Skip to content

Commit

Permalink
Merge branch 'main' of github.com:TinyTapeout/tt02-submission-templat…
Browse files Browse the repository at this point in the history
…e into main
  • Loading branch information
mattvenn committed Oct 20, 2022
2 parents 5dd83a9 + f434021 commit 90fdbd2
Show file tree
Hide file tree
Showing 2 changed files with 30 additions and 0 deletions.
11 changes: 11 additions & 0 deletions src/template.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
// TinyTapeout02: Verilog Template
// Remember to include your top module name in the info.yaml file
`default_netname none

module githubusername_top( //prepend your github username
input [7:0] io_in, //leave the port names unchanged
output [7:0] io_out);

//****Your Design Here****

endmodule
19 changes: 19 additions & 0 deletions src/template_tb.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
module template_tb ();
reg [7:0] io_in;
wire [7:0] io_out;

initial begin
$monitor("%8b %8b", io_in, io_out);
io_in = 8'b00000000;
#1 io_in = 8'b00000001;
#1 io_in = 8'b00000000;
#1 io_in = 8'b00000010;
#1 io_in = 8'b00000010;
#1 io_in = 8'b00000011;
#1 io_in = 8'b00000010;
#1 io_in = 8'b00000000;
end

githubusername_top dut(.io_i(io_in),
.io_o(io_out));
endmodule

0 comments on commit 90fdbd2

Please sign in to comment.