Skip to content

Commit

Permalink
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
replaced pragmas in deprecated modules
Browse files Browse the repository at this point in the history
fix whitespace
sifferman committed Mar 6, 2024
1 parent 4710bf7 commit c43525e
Showing 4 changed files with 8 additions and 8 deletions.
4 changes: 2 additions & 2 deletions src/deprecated/fifo_v2.sv
Original file line number Diff line number Diff line change
@@ -67,13 +67,13 @@ module fifo_v2 #(
.pop_i
);

// pragma translate_off
`ifndef SYNTHESIS
`ifndef COMMON_CELLS_ASSERTS_OFF
initial begin
assert (ALM_FULL_TH <= DEPTH) else $error("ALM_FULL_TH can't be larger than the DEPTH.");
assert (ALM_EMPTY_TH <= DEPTH) else $error("ALM_EMPTY_TH can't be larger than the DEPTH.");
end
`endif
// pragma translate_on
`endif

endmodule // fifo_v2
4 changes: 2 additions & 2 deletions src/deprecated/find_first_one.sv
Original file line number Diff line number Diff line change
@@ -26,11 +26,11 @@ module find_first_one #(

localparam int NUM_LEVELS = $clog2(WIDTH);

// pragma translate_off
`ifndef SYNTHESIS
initial begin
assert(WIDTH >= 0);
end
// pragma translate_on
`endif

logic [WIDTH-1:0][NUM_LEVELS-1:0] index_lut;
logic [2**NUM_LEVELS-1:0] sel_nodes;
4 changes: 2 additions & 2 deletions src/deprecated/generic_fifo.sv
Original file line number Diff line number Diff line change
@@ -70,7 +70,7 @@ module generic_fifo
int unsigned i;

// Parameter Check
// synopsys translate_off
`ifndef SYNTHESIS
initial begin : parameter_check
integer param_err_flg;
param_err_flg = 0;
@@ -85,7 +85,7 @@ module generic_fifo
$display("ERROR: %m :\n Invalid value (%d) for parameter DATA_DEPTH (legal range: greater than 1)", DATA_DEPTH );
end
end
// synopsys translate_on
`endif

`ifndef PULP_FPGA_EMUL
cluster_clock_gating cg_cell
4 changes: 2 additions & 2 deletions src/deprecated/generic_fifo_adv.sv
Original file line number Diff line number Diff line change
@@ -48,7 +48,7 @@ module generic_fifo_adv
int unsigned i;

// Parameter Check
// synopsys translate_off
`ifndef SYNTHESIS
initial
begin : parameter_check
integer param_err_flg;
@@ -66,7 +66,7 @@ module generic_fifo_adv
$display("ERROR: %m :\n Invalid value (%d) for parameter DATA_DEPTH (legal range: greater than 1)", DATA_DEPTH );
end
end
// synopsys translate_on
`endif

`ifndef PULP_FPGA_EMUL
cluster_clock_gating cg_cell

0 comments on commit c43525e

Please sign in to comment.