Skip to content

Commit

Permalink
Added support for board "zrtech v2.00"
Browse files Browse the repository at this point in the history
  • Loading branch information
julian-becker authored and olofk committed Oct 17, 2019
1 parent 345d23f commit 56ea545
Show file tree
Hide file tree
Showing 3 changed files with 34 additions and 0 deletions.
15 changes: 15 additions & 0 deletions blinky.core
Original file line number Diff line number Diff line change
Expand Up @@ -48,6 +48,11 @@ filesets:
- upduino2/blinky_upduino2.v : {file_type : verilogSource}
- upduino2/pinout.pcf : {file_type : PCF}

zrtech_v2:
files:
- zrtech_v2/zrtech_v2.sdc : {file_type : SDC}
- zrtech_v2/pinmap.tcl : {file_type: tclSource}

targets:
default: &default
filesets : [rtl]
Expand Down Expand Up @@ -161,6 +166,16 @@ targets:
pnr: next
toplevel : blinky_upduino2

zrtech_v2:
default_tool : quartus
filesets : [rtl, zrtech_v2]
parameters : [clk_freq_hz=50000000]
tools:
quartus:
family : Cyclone IV E
device : EP4CE6E22C8
toplevel: blinky

parameters:
clk_freq_hz:
datatype : int
Expand Down
11 changes: 11 additions & 0 deletions zrtech_v2/pinmap.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
#
# Clock
#
set_location_assignment PIN_24 -to clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to clk

#
# LED D2 (DS_DP)
#
set_location_assignment PIN_3 -to q
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to q
8 changes: 8 additions & 0 deletions zrtech_v2/zrtech_v2.sdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
# Main system clock (50 Mhz)
create_clock -name "clk" -period 20.000ns [get_ports {clk}]

# Automatically constrain PLL and other generated clocks
derive_pll_clocks -create_base_clocks

# Automatically calculate clock uncertainty to jitter and other effects.
derive_clock_uncertainty

0 comments on commit 56ea545

Please sign in to comment.