Skip to content

Commit

Permalink
add support to basys3 board
Browse files Browse the repository at this point in the history
  • Loading branch information
rafaelnp authored and olofk committed Mar 20, 2021
1 parent 58c1a0e commit 1988f54
Show file tree
Hide file tree
Showing 3 changed files with 27 additions and 0 deletions.
4 changes: 4 additions & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -70,6 +70,10 @@ https://alhambrabits.com/alhambra/

https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/

### basys3

https://store.digilentinc.com/basys-3-artix-7-fpga-beginner-board-recommended-for-introductory-users/

### colorlight_5a75b

https://fr.aliexpress.com/item/32281130824.html
Expand Down
11 changes: 11 additions & 0 deletions basys3/blinky.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
## Clock signal
set_property -dict { PACKAGE_PIN W5 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_34 Sch=CLK100MHZ
create_clock -add -name sys_clk_pin -period 10.000 -waveform {0 5.000} [get_ports { clk }];

## LED
set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { q }]; #IO_L23N_T3_A02_D18_14 Sch=led[0]


## Configuration options, can be used for all designs
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]
12 changes: 12 additions & 0 deletions blinky.core
Original file line number Diff line number Diff line change
Expand Up @@ -34,6 +34,9 @@ filesets:
arty_z7_20:
files: [arty_z7_20/blinky.xdc : {file_type : xdc}]

basys3:
files: [basys3/blinky.xdc : {file_type : xdc}]

colorlight_5a75b:
files: [colorlight_5a75b/blinky.lpf : {file_type : LPF}]

Expand Down Expand Up @@ -318,6 +321,15 @@ targets:
part : xc7z020clg400-1
toplevel : blinky

basys3:
default_tool : vivado
filesets : [rtl, basys3]
parameters : [clk_freq_hz=100000000]
tools:
vivado:
part : xc7a35tcpg236-1
toplevel : blinky

colorlight_5a75b:
default_tool : trellis
filesets : [rtl, colorlight_5a75b]
Expand Down

0 comments on commit 1988f54

Please sign in to comment.