-
Notifications
You must be signed in to change notification settings - Fork 613
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Browse files
Browse the repository at this point in the history
) * Fix Reg() to properly handle clocks as rvalues (#3775) Previously, it was not calling .ref. It was thus not properly: 1. Checking that the clock is bound hardware 2. Checking that the clock is visible in the current scope 3. Reifying the clock if its a view Note that RegInit() was already correctly calling .ref. (cherry picked from commit 1c348c5) # Conflicts: # src/test/scala/chiselTests/ClockSpec.scala * Resolve backport conflicts * fix test --------- Co-authored-by: Jack Koenig <[email protected]>
- Loading branch information
1 parent
87806e5
commit 991fa8a
Showing
3 changed files
with
86 additions
and
37 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file was deleted.
Oops, something went wrong.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,85 @@ | ||
// SPDX-License-Identifier: Apache-2.0 | ||
|
||
package chiselTests | ||
|
||
import chisel3._ | ||
import chisel3.testers.BasicTester | ||
import circt.stage.ChiselStage | ||
|
||
class ClockAsUIntTester extends BasicTester { | ||
assert(true.B.asClock.asUInt === 1.U) | ||
assert(true.B.asClock.asBool === true.B) | ||
stop() | ||
} | ||
|
||
class WithClockAndNoReset extends RawModule { | ||
val clock1 = IO(Input(Clock())) | ||
val clock2 = IO(Input(Clock())) | ||
val in = IO(Input(Bool())) | ||
val out = IO(Output(Bool())) | ||
val a = withClock(clock2) { | ||
RegNext(in) | ||
} | ||
|
||
out := a | ||
} | ||
|
||
class ClockSpec extends ChiselPropSpec { | ||
property("Bool.asClock.asUInt should pass a signal through unaltered") { | ||
assertTesterPasses { new ClockAsUIntTester } | ||
} | ||
|
||
property("Should be able to use withClock in a module with no reset") { | ||
val circuit = ChiselStage.emitCHIRRTL(new WithClockAndNoReset) | ||
circuit.contains("reg a : UInt<1>, clock2") should be(true) | ||
} | ||
|
||
property("Chisel should give a decent error message if you use an unbound Clock") { | ||
val e = the[ChiselException] thrownBy ( | ||
ChiselStage.emitCHIRRTL( | ||
new RawModule { | ||
withClock(Clock()) { | ||
val r = Reg(UInt(8.W)) | ||
} | ||
}, | ||
args = Array("--throw-on-first-error") | ||
) | ||
) | ||
e.getMessage should include( | ||
"'Clock' must be hardware, not a bare Chisel type. Perhaps you forgot to wrap it in Wire(_) or IO(_)?" | ||
) | ||
} | ||
|
||
property("Chisel should give a decent error message if you use a Clock from another scope") { | ||
val e = the[ChiselException] thrownBy ( | ||
ChiselStage.emitCHIRRTL( | ||
new RawModule { | ||
override def desiredName = "Parent" | ||
val child = Module(new RawModule { | ||
override def desiredName = "Child" | ||
val clock = Wire(Clock()) | ||
}) | ||
withClock(child.clock) { | ||
val r = Reg(UInt(8.W)) | ||
} | ||
}, | ||
args = Array("--throw-on-first-error") | ||
) | ||
) | ||
e.getMessage should include( | ||
"operand 'Child.clock: Wire[Clock]' is not visible from the current module" | ||
) | ||
} | ||
|
||
property("Chisel should support Clocks from views") { | ||
import chisel3.experimental.dataview._ | ||
val chirrtl = ChiselStage.emitCHIRRTL(new RawModule { | ||
val clock = IO(Clock()) | ||
val view = clock.viewAs[Clock] | ||
withClock(view) { | ||
val r = Reg(UInt(8.W)) | ||
} | ||
}) | ||
chirrtl should include("reg r : UInt<8>, clock") | ||
} | ||
} |