From 7994b2907019cd5e297cfcfe0f0c9f3d8c5601c7 Mon Sep 17 00:00:00 2001 From: huhuhang Date: Tue, 21 May 2019 14:23:47 +0800 Subject: [PATCH] run all notebooks --- ...346\215\256\346\216\242\347\264\242.ipynb" | 3282 ++++++- ...345\214\226\345\210\206\346\236\220.ipynb" | 1243 ++- ...351\202\273\345\210\206\347\261\273.ipynb" | 1435 ++- ...345\210\206\347\261\273\345\231\250.ipynb" | 923 +- ...346\236\227\346\226\271\346\263\225.ipynb" | 467 +- ...345\276\201\351\200\211\346\213\251.ipynb" | 1348 ++- ...345\222\214\350\201\232\347\261\273.ipynb" | 495 +- ...347\203\255\347\274\226\347\240\201.ipynb" | 1381 ++- ...344\270\216\345\272\224\347\224\250.ipynb" | 8556 ++++++++++++++++- 9 files changed, 17892 insertions(+), 1238 deletions(-) diff --git "a/jupyter_chinese/topic01-\344\275\277\347\224\250-Pandas-\350\277\233\350\241\214\346\225\260\346\215\256\346\216\242\347\264\242.ipynb" "b/jupyter_chinese/topic01-\344\275\277\347\224\250-Pandas-\350\277\233\350\241\214\346\225\260\346\215\256\346\216\242\347\264\242.ipynb" index dc779465ca..9d131fd428 100644 --- "a/jupyter_chinese/topic01-\344\275\277\347\224\250-Pandas-\350\277\233\350\241\214\346\225\260\346\215\256\346\216\242\347\264\242.ipynb" +++ "b/jupyter_chinese/topic01-\344\275\277\347\224\250-Pandas-\350\277\233\350\241\214\346\225\260\346\215\256\346\216\242\347\264\242.ipynb" @@ -119,7 +119,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -138,9 +138,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
0KS128415NoYes25265.111045.07197.49916.78244.79111.0110.032.701False
1OH107415NoYes26161.612327.47195.510316.62254.410311.4513.733.701False
2NJ137415NoNo0243.411441.38121.211010.30162.61047.3212.253.290False
3OH84408YesNo0299.47150.9061.9885.26196.9898.866.671.782False
4OK75415YesNo0166.711328.34148.312212.61186.91218.4110.132.733False
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "0 KS 128 ... 1 False\n", + "1 OH 107 ... 1 False\n", + "2 NJ 137 ... 0 False\n", + "3 OH 84 ... 2 False\n", + "4 OK 75 ... 3 False\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = pd.read_csv(\n", " '../../data/telecom_churn.csv')\n", @@ -163,9 +342,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(3333, 20)" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.shape" ] @@ -179,9 +369,27 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Index(['State', 'Account length', 'Area code', 'International plan',\n", + " 'Voice mail plan', 'Number vmail messages', 'Total day minutes',\n", + " 'Total day calls', 'Total day charge', 'Total eve minutes',\n", + " 'Total eve calls', 'Total eve charge', 'Total night minutes',\n", + " 'Total night calls', 'Total night charge', 'Total intl minutes',\n", + " 'Total intl calls', 'Total intl charge', 'Customer service calls',\n", + " 'Churn'],\n", + " dtype='object')" + ] + }, + "execution_count": 4, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.columns" ] @@ -195,9 +403,41 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "RangeIndex: 3333 entries, 0 to 3332\n", + "Data columns (total 20 columns):\n", + "State 3333 non-null object\n", + "Account length 3333 non-null int64\n", + "Area code 3333 non-null int64\n", + "International plan 3333 non-null object\n", + "Voice mail plan 3333 non-null object\n", + "Number vmail messages 3333 non-null int64\n", + "Total day minutes 3333 non-null float64\n", + "Total day calls 3333 non-null int64\n", + "Total day charge 3333 non-null float64\n", + "Total eve minutes 3333 non-null float64\n", + "Total eve calls 3333 non-null int64\n", + "Total eve charge 3333 non-null float64\n", + "Total night minutes 3333 non-null float64\n", + "Total night calls 3333 non-null int64\n", + "Total night charge 3333 non-null float64\n", + "Total intl minutes 3333 non-null float64\n", + "Total intl calls 3333 non-null int64\n", + "Total intl charge 3333 non-null float64\n", + "Customer service calls 3333 non-null int64\n", + "Churn 3333 non-null bool\n", + "dtypes: bool(1), float64(8), int64(8), object(3)\n", + "memory usage: 498.1+ KB\n" + ] + } + ], "source": [ "df.info()" ] @@ -218,7 +458,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, "outputs": [], "source": [ @@ -234,9 +474,233 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Account lengthArea codeNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
count3333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.0000003333.000000
mean101.064806437.1824188.099010179.775098100.43564430.562307200.980348100.11431117.083540200.872037100.1077119.03932510.2372944.4794482.7645811.5628560.144914
std39.82210642.37129013.68836554.46738920.0690849.25943550.71384419.9226254.31066850.57384719.5686092.2758732.7918402.4612140.7537731.3154910.352067
min1.000000408.0000000.0000000.0000000.0000000.0000000.0000000.0000000.00000023.20000033.0000001.0400000.0000000.0000000.0000000.0000000.000000
25%74.000000408.0000000.000000143.70000087.00000024.430000166.60000087.00000014.160000167.00000087.0000007.5200008.5000003.0000002.3000001.0000000.000000
50%101.000000415.0000000.000000179.400000101.00000030.500000201.400000100.00000017.120000201.200000100.0000009.05000010.3000004.0000002.7800001.0000000.000000
75%127.000000510.00000020.000000216.400000114.00000036.790000235.300000114.00000020.000000235.300000113.00000010.59000012.1000006.0000003.2700002.0000000.000000
max243.000000510.00000051.000000350.800000165.00000059.640000363.700000170.00000030.910000395.000000175.00000017.77000020.00000020.0000005.4000009.0000001.000000
\n", + "
" + ], + "text/plain": [ + " Account length ... Churn\n", + "count 3333.000000 ... 3333.000000\n", + "mean 101.064806 ... 0.144914\n", + "std 39.822106 ... 0.352067\n", + "min 1.000000 ... 0.000000\n", + "25% 74.000000 ... 0.000000\n", + "50% 101.000000 ... 0.000000\n", + "75% 127.000000 ... 0.000000\n", + "max 243.000000 ... 1.000000\n", + "\n", + "[8 rows x 17 columns]" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.describe()" ] @@ -250,9 +714,77 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateInternational planVoice mail plan
count333333333333
unique5122
topWVNoNo
freq10630102411
\n", + "
" + ], + "text/plain": [ + " State International plan Voice mail plan\n", + "count 3333 3333 3333\n", + "unique 51 2 2\n", + "top WV No No\n", + "freq 106 3010 2411" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.describe(include=['object', 'bool'])" ] @@ -266,9 +798,22 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0 2850\n", + "1 483\n", + "Name: Churn, dtype: int64" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['Churn'].value_counts()" ] @@ -282,9 +827,22 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0 0.855086\n", + "1 0.144914\n", + "Name: Churn, dtype: float64" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['Churn'].value_counts(normalize=True)" ] @@ -305,9 +863,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
365CO154415NoNo0350.87559.64216.59418.40253.910011.4310.192.7311
985NY64415YesNo0346.85558.96249.57921.21275.410212.3913.393.5911
2594OH115510YesNo0345.38158.70203.410617.29217.51079.7911.883.1911
156OH83415NoNo0337.412057.36227.411619.33153.91146.9315.874.2701
605MO112415NoNo0335.57757.04212.510918.06265.013211.9312.783.4321
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "365 CO 154 ... 1 1\n", + "985 NY 64 ... 1 1\n", + "2594 OH 115 ... 1 1\n", + "156 OH 83 ... 0 1\n", + "605 MO 112 ... 2 1\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.sort_values(by='Total day charge', ascending=False).head()" ] @@ -321,9 +1058,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
688MN13510NoYes21315.610553.65208.97117.76260.112311.7012.133.2730
2259NC210415NoYes31313.88753.35147.710312.55192.7978.6710.172.7330
534LA67510NoNo0310.49752.7766.51235.65246.59911.099.2102.4840
575SD114415NoYes36309.99052.68200.38917.03183.51058.2614.223.8310
2858AL141510NoYes28308.012352.36247.812821.06152.91036.887.432.0010
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "688 MN 13 ... 3 0\n", + "2259 NC 210 ... 3 0\n", + "534 LA 67 ... 4 0\n", + "575 SD 114 ... 1 0\n", + "2858 AL 141 ... 1 0\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.sort_values(by=['Churn', 'Total day charge'],\n", " ascending=[True, False]).head()" @@ -352,9 +1268,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.14491449144914492" + ] + }, + "execution_count": 13, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['Churn'].mean()" ] @@ -383,9 +1310,37 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Account length 102.664596\n", + "Area code 437.817805\n", + "Number vmail messages 5.115942\n", + "Total day minutes 206.914079\n", + "Total day calls 101.335404\n", + "Total day charge 35.175921\n", + "Total eve minutes 212.410145\n", + "Total eve calls 100.561077\n", + "Total eve charge 18.054969\n", + "Total night minutes 205.231677\n", + "Total night calls 100.399586\n", + "Total night charge 9.235528\n", + "Total intl minutes 10.700000\n", + "Total intl calls 4.163561\n", + "Total intl charge 2.889545\n", + "Customer service calls 2.229814\n", + "Churn 1.000000\n", + "dtype: float64" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df[df['Churn'] == 1].mean()" ] @@ -399,9 +1354,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "206.91407867494814" + ] + }, + "execution_count": 15, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df[df['Churn'] == 1]['Total day minutes'].mean()" ] @@ -415,9 +1381,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "18.9" + ] + }, + "execution_count": 16, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df[(df['Churn'] == 0) & (df['International plan'] == 'No')\n", " ]['Total intl minutes'].max()" @@ -439,9 +1416,91 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea code
0KS128415
1OH107415
2NJ137415
3OH84408
4OK75415
5AL118510
\n", + "
" + ], + "text/plain": [ + " State Account length Area code\n", + "0 KS 128 415\n", + "1 OH 107 415\n", + "2 NJ 137 415\n", + "3 OH 84 408\n", + "4 OK 75 415\n", + "5 AL 118 510" + ] + }, + "execution_count": 17, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.loc[0:5, 'State':'Area code']" ] @@ -455,9 +1514,84 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea code
0KS128415
1OH107415
2NJ137415
3OH84408
4OK75415
\n", + "
" + ], + "text/plain": [ + " State Account length Area code\n", + "0 KS 128 415\n", + "1 OH 107 415\n", + "2 NJ 137 415\n", + "3 OH 84 408\n", + "4 OK 75 415" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.iloc[0:5, 0:3]" ] @@ -471,9 +1605,92 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 19, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
3332TN74415NoYes25234.411339.85265.98222.6241.47710.8613.743.700
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "3332 TN 74 ... 0 0\n", + "\n", + "[1 rows x 20 columns]" + ] + }, + "execution_count": 19, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df[-1:]" ] @@ -494,9 +1711,40 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "State WY\n", + "Account length 243\n", + "Area code 510\n", + "International plan Yes\n", + "Voice mail plan Yes\n", + "Number vmail messages 51\n", + "Total day minutes 350.8\n", + "Total day calls 165\n", + "Total day charge 59.64\n", + "Total eve minutes 363.7\n", + "Total eve calls 170\n", + "Total eve charge 30.91\n", + "Total night minutes 395\n", + "Total night calls 175\n", + "Total night charge 17.77\n", + "Total intl minutes 20\n", + "Total intl calls 20\n", + "Total intl charge 5.4\n", + "Customer service calls 9\n", + "Churn 1\n", + "dtype: object" + ] + }, + "execution_count": 20, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.apply(np.max)" ] @@ -510,9 +1758,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
9WV141415YesYes37258.68443.96222.011118.87326.49714.6911.253.0200
26WY57408NoYes39213.011536.21191.111216.24182.71158.229.532.5700
44WI64510NoNo0154.06726.18225.811819.19265.38611.943.530.9510
49WY97415NoYes24133.213522.64217.25818.4670.6793.1811.032.9710
54WY87415NoNo0151.08325.67219.711618.67203.91279.189.732.6251
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "9 WV 141 ... 0 0\n", + "26 WY 57 ... 0 0\n", + "44 WI 64 ... 1 0\n", + "49 WY 97 ... 1 0\n", + "54 WY 87 ... 5 1\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 21, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df[df['State'].apply(lambda state: state[0] == 'W')].head()" ] @@ -526,9 +1953,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 22, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
0KS128415FalseYes25265.111045.07197.49916.78244.79111.0110.032.7010
1OH107415FalseYes26161.612327.47195.510316.62254.410311.4513.733.7010
2NJ137415FalseNo0243.411441.38121.211010.30162.61047.3212.253.2900
3OH84408TrueNo0299.47150.9061.9885.26196.9898.866.671.7820
4OK75415TrueNo0166.711328.34148.312212.61186.91218.4110.132.7330
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "0 KS 128 ... 1 0\n", + "1 OH 107 ... 1 0\n", + "2 NJ 137 ... 0 0\n", + "3 OH 84 ... 2 0\n", + "4 OK 75 ... 3 0\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 22, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "d = {'No': False, 'Yes': True}\n", "df['International plan'] = df['International plan'].map(d)\n", @@ -544,9 +2150,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 23, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
0KS128415FalseTrue25265.111045.07197.49916.78244.79111.0110.032.7010
1OH107415FalseTrue26161.612327.47195.510316.62254.410311.4513.733.7010
2NJ137415FalseFalse0243.411441.38121.211010.30162.61047.3212.253.2900
3OH84408TrueFalse0299.47150.9061.9885.26196.9898.866.671.7820
4OK75415TrueFalse0166.711328.34148.312212.61186.91218.4110.132.7330
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "0 KS 128 ... 1 0\n", + "1 OH 107 ... 1 0\n", + "2 NJ 137 ... 0 0\n", + "3 OH 84 ... 2 0\n", + "4 OK 75 ... 3 0\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = df.replace({'Voice mail plan': d})\n", "df.head()" @@ -600,9 +2385,143 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Total day minutesTotal eve minutesTotal night minutes
countmeanstdmin50%maxcountmeanstdmin50%maxcountmeanstdmin50%max
Churn
02850.0175.17575450.1816550.0177.2315.62850.0199.04329850.2921750.0199.6361.82850.0200.13319351.10503223.2200.25395.0
1483.0206.91407968.9977920.0217.6350.8483.0212.41014551.72891070.9211.3363.7483.0205.23167747.13282547.4204.80354.9
\n", + "
" + ], + "text/plain": [ + " Total day minutes ... Total night minutes \n", + " count mean std ... min 50% max\n", + "Churn ... \n", + "0 2850.0 175.175754 50.181655 ... 23.2 200.25 395.0\n", + "1 483.0 206.914079 68.997792 ... 47.4 204.80 354.9\n", + "\n", + "[2 rows x 18 columns]" + ] + }, + "execution_count": 24, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "columns_to_show = ['Total day minutes', 'Total eve minutes',\n", " 'Total night minutes']\n", @@ -620,9 +2539,119 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 25, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Total day minutesTotal eve minutesTotal night minutes
meanstdaminamaxmeanstdaminamaxmeanstdaminamax
Churn
0175.17575450.1816550.0315.6199.04329850.2921750.0361.8200.13319351.10503223.2395.0
1206.91407968.9977920.0350.8212.41014551.72891070.9363.7205.23167747.13282547.4354.9
\n", + "
" + ], + "text/plain": [ + " Total day minutes ... Total night minutes \n", + " mean std amin ... std amin amax\n", + "Churn ... \n", + "0 175.175754 50.181655 0.0 ... 51.105032 23.2 395.0\n", + "1 206.914079 68.997792 0.0 ... 47.132825 47.4 354.9\n", + "\n", + "[2 rows x 12 columns]" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "columns_to_show = ['Total day minutes', 'Total eve minutes',\n", " 'Total night minutes']\n", @@ -676,9 +2705,79 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 26, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Total day callsTotal eve callsTotal night calls
Area code
408100.49642099.78878399.039379
415100.576435100.503927100.398187
510100.09761999.671429100.601190
\n", + "
" + ], + "text/plain": [ + " Total day calls ... Total night calls\n", + "Area code ... \n", + "408 100.496420 ... 99.039379\n", + "415 100.576435 ... 100.398187\n", + "510 100.097619 ... 100.601190\n", + "\n", + "[3 rows x 3 columns]" + ] + }, + "execution_count": 26, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.pivot_table(['Total day calls', 'Total eve calls', 'Total night calls'],\n", " ['Area code'], aggfunc='mean')" @@ -707,9 +2806,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
International planFalseTrue
Churn
02664186
1346137
\n", + "
" + ], + "text/plain": [ + "International plan False True \n", + "Churn \n", + "0 2664 186\n", + "1 346 137" + ] + }, + "execution_count": 27, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "pd.crosstab(df['Churn'], df['International plan'])" ] @@ -723,9 +2879,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 28, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Voice mail planFalseTrue
Churn
00.6024600.252625
10.1209120.024002
\n", + "
" + ], + "text/plain": [ + "Voice mail plan False True \n", + "Churn \n", + "0 0.602460 0.252625\n", + "1 0.120912 0.024002" + ] + }, + "execution_count": 28, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "pd.crosstab(df['Churn'], df['Voice mail plan'], normalize=True)" ] @@ -753,9 +2966,194 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 29, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurnTotal calls
0KS128415FalseTrue25265.111045.07197.49916.78244.79111.0110.032.7010303
1OH107415FalseTrue26161.612327.47195.510316.62254.410311.4513.733.7010332
2NJ137415FalseFalse0243.411441.38121.211010.30162.61047.3212.253.2900333
3OH84408TrueFalse0299.47150.9061.9885.26196.9898.866.671.7820255
4OK75415TrueFalse0166.711328.34148.312212.61186.91218.4110.132.7330359
\n", + "
" + ], + "text/plain": [ + " State Account length ... Churn Total calls\n", + "0 KS 128 ... 0 303\n", + "1 OH 107 ... 0 332\n", + "2 NJ 137 ... 0 333\n", + "3 OH 84 ... 0 255\n", + "4 OK 75 ... 0 359\n", + "\n", + "[5 rows x 21 columns]" + ] + }, + "execution_count": 29, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "total_calls = df['Total day calls'] + df['Total eve calls'] + \\\n", " df['Total night calls'] + df['Total intl calls']\n", @@ -775,9 +3173,200 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurnTotal callsTotal charge
0KS128415FalseTrue25265.111045.07197.49916.78244.79111.0110.032.701030375.56
1OH107415FalseTrue26161.612327.47195.510316.62254.410311.4513.733.701033259.24
2NJ137415FalseFalse0243.411441.38121.211010.30162.61047.3212.253.290033362.29
3OH84408TrueFalse0299.47150.9061.9885.26196.9898.866.671.782025566.80
4OK75415TrueFalse0166.711328.34148.312212.61186.91218.4110.132.733035952.09
\n", + "
" + ], + "text/plain": [ + " State Account length ... Total calls Total charge\n", + "0 KS 128 ... 303 75.56\n", + "1 OH 107 ... 332 59.24\n", + "2 NJ 137 ... 333 62.29\n", + "3 OH 84 ... 255 66.80\n", + "4 OK 75 ... 359 52.09\n", + "\n", + "[5 rows x 22 columns]" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['Total charge'] = df['Total day charge'] + df['Total eve charge'] + \\\n", " df['Total night charge'] + df['Total intl charge']\n", @@ -793,9 +3382,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 31, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
0KS128415FalseTrue25265.111045.07197.49916.78244.79111.0110.032.7010
3OH84408TrueFalse0299.47150.9061.9885.26196.9898.866.671.7820
4OK75415TrueFalse0166.711328.34148.312212.61186.91218.4110.132.7330
5AL118510TrueFalse0223.49837.98220.610118.75203.91189.186.361.7000
6MA121510FalseTrue24218.28837.09348.510829.62212.61189.577.572.0330
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "0 KS 128 ... 1 0\n", + "3 OH 84 ... 2 0\n", + "4 OK 75 ... 3 0\n", + "5 AL 118 ... 0 0\n", + "6 MA 121 ... 3 0\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 31, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "# 移除先前创捷的列\n", "df.drop(['Total charge', 'Total calls'], axis=1, inplace=True)\n", @@ -834,7 +3602,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 32, "metadata": {}, "outputs": [], "source": [ @@ -845,9 +3613,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.countplot(x='International plan', hue='Churn', data=df)" ] @@ -868,18 +3657,147 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 34, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Customer service calls0123456789All
Churn
06051059672385902684102850
1921228744764014512483
All697118175942916666229223333
\n", + "
" + ], + "text/plain": [ + "Customer service calls 0 1 2 3 4 5 6 7 8 9 All\n", + "Churn \n", + "0 605 1059 672 385 90 26 8 4 1 0 2850\n", + "1 92 122 87 44 76 40 14 5 1 2 483\n", + "All 697 1181 759 429 166 66 22 9 2 2 3333" + ] + }, + "execution_count": 34, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "pd.crosstab(df['Churn'], df['Customer service calls'], margins=True)" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 35, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 35, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.countplot(x='Customer service calls', hue='Churn', data=df)" ] @@ -900,9 +3818,77 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 36, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Churn01All
Many_service_calls
027213453066
1129138267
All28504833333
\n", + "
" + ], + "text/plain": [ + "Churn 0 1 All\n", + "Many_service_calls \n", + "0 2721 345 3066\n", + "1 129 138 267\n", + "All 2850 483 3333" + ] + }, + "execution_count": 36, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['Many_service_calls'] = (df['Customer service calls'] > 3).astype('int')\n", "\n", @@ -911,9 +3897,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 37, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 37, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.countplot(x='Many_service_calls', hue='Churn', data=df)" ] @@ -928,9 +3935,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 38, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Churn01
row_0
False2841464
True919
\n", + "
" + ], + "text/plain": [ + "Churn 0 1\n", + "row_0 \n", + "False 2841 464\n", + "True 9 19" + ] + }, + "execution_count": 38, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "pd.crosstab(df['Many_service_calls'] & df['International plan'], df['Churn'])" ] @@ -1021,7 +4085,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic02-Python-\346\225\260\346\215\256\345\217\257\350\247\206\345\214\226\345\210\206\346\236\220.ipynb" "b/jupyter_chinese/topic02-Python-\346\225\260\346\215\256\345\217\257\350\247\206\345\214\226\345\210\206\346\236\220.ipynb" index 67f57cb636..b0e7daab64 100644 --- "a/jupyter_chinese/topic02-Python-\346\225\260\346\215\256\345\217\257\350\247\206\345\214\226\345\210\206\346\236\220.ipynb" +++ "b/jupyter_chinese/topic02-Python-\346\225\260\346\215\256\345\217\257\350\247\206\345\214\226\345\210\206\346\236\220.ipynb" @@ -81,7 +81,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -103,7 +103,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": { "scrolled": true }, @@ -115,11 +115,190 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAccount lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service callsChurn
0KS128415NoYes25265.111045.07197.49916.78244.79111.0110.032.701False
1OH107415NoYes26161.612327.47195.510316.62254.410311.4513.733.701False
2NJ137415NoNo0243.411441.38121.211010.30162.61047.3212.253.290False
3OH84408YesNo0299.47150.9061.9885.26196.9898.866.671.782False
4OK75415YesNo0166.711328.34148.312212.61186.91218.4110.132.733False
\n", + "
" + ], + "text/plain": [ + " State Account length ... Customer service calls Churn\n", + "0 KS 128 ... 1 False\n", + "1 OH 107 ... 1 False\n", + "2 NJ 137 ... 0 False\n", + "3 OH 84 ... 2 False\n", + "4 OK 75 ... 3 False\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.head()" ] @@ -182,9 +361,32 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([[,\n", + " ]],\n", + " dtype=object)" + ] + }, + "execution_count": 4, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "features = ['Total day minutes', 'Total intl calls']\n", "df[features].hist(figsize=(10, 4))" @@ -213,9 +415,32 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([[,\n", + " ]],\n", + " dtype=object)" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "df[features].plot(kind='density', subplots=True, layout=(1, 2),\n", " sharex=False, figsize=(10, 4), legend=False, title=features)" @@ -230,9 +455,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.distplot(df['Total intl calls'])" ] @@ -276,9 +522,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWkAAAESCAYAAAA/niRMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAEpNJREFUeJzt3X+Q3HV9x/Hn3eWEhECCSawmhgQNfiiIP8uMlKCd6ljsGGpoBY2KTlAConVqbWXQRhopEn8WDT8SmRapihLLr1ClVjoakoGp1l81zHyESn5IEGPMhR8BTO6uf3y/S++Ovdx+d2/33kmejxkm9939fvbz9rufffnZ7+73s12Dg4NIkmLqnugCJEmjM6QlKTBDWpICM6QlKTBDWpICM6QlKTBDWpICM6QlKTBDWpICM6QlKTBDWpICm9REm8OAk4GHgP7xLUeSDlo9wPOA7wNPNdqomZA+GbiriXaSJDgN2NDozs2E9EMAu3Y9zsBA9RX0ZsyYys6djzXRbXtFrQvi1mZd1VhXNQdbXd3dXRx99BFQZmijmgnpfoCBgcGmQrrWNqKodUHc2qyrGuuq5iCtq9JpYj84lKTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCqyZn8865Hz1q9ezbduWptru3t0HwLRp05vuv7e3h717m/th9rlz57FkyTlN9y1pYhnSDdi2bQv5vvvpObx60PY/WYT0jkf2jXdZDfct6cBlSDeo5/DpTJn32srt9my5E6Cptq2q9S3pwOU5aUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAMaUkKzJCWpMAmdbKzjRvXc9RRkznppJM72a0OIY4xHWw6GtIbNnyP3t4eX0BqG8eYDjae7pCkwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpSQrMkJakwAxpqXTeee9k6dIlLFv2rsptly+/mKVLl7BixUcrt12xYjlLly7hsssuqdz29ttvZenSJdxxx7rKbQHWrv0aixYt4uabb6zcduvWzVx44bls27alctu+vl1cfvkKdu/uq9x206afcu65b+Pee39WuW2rfff17eKiiy5qqm2zDGmptG/fXgD27v1d5ba//OVmADZv/kXltps33w/A/ff/vHLbm276OgA33nhD5bYA3/rWbQCsW3dL5bZr1lzJE088werVqyq3XbfuZu67L3PbbTdVbnv11V9gcHCQq666onLbVvtet+5m7r333qbaNsuQlihm0UNVmU0vX37xsO0qs+kVK5YP264ym7799luHbVedTa9d+7Vh21Vm01u3bmb79gcB2L79wUqz6b6+XWzY8D0GBwfZsGF9pVnppk0/Zc+exwHYs+fxyrPpVvpupW0rJnWkl9Lu3X088shuVq78eCe7bUhvbw979/bXvW/r1i0M9Pd0uKLWDex7kq1bt7TteO/vmE2UrVu3MGPGsyu3q82ia6rMpmuz6Joqs+naLLqmymy6NouuufHGGzj99EUNt6/NomvWrbuFxYvPaqjtmjVXDttevXoVl176qYbarlt3MwMDgwAMDAxw22038Y53LG2o7dVXf2HY9lVXXcGqVV9sqG2rfbfSthXOpCVVVptFj7a9P3ffvZH+/n0A9Pfv4+67NzbctjaLHm27nX230rYVHZ1JT5s2nZkzZ/DBD1489s4dNmvWkezY8Wjd+1au/Dj3b/tNhytqXfekwzlm7kw+/OG/a8vj7++YTZSVKz9Ob++B967nQDN79pxhwTx79pyG255yyqmsX/9d+vv30dMziVNOObXhtlOmHDEsmKdMOaLhtq323UrbVjiTloBJk3qHbff2Pqvhts9//vxh2/Pnv6DhtvPnLxi2vWDBixpue+aZZw/bPuustzbcFuANbzhj2PaiRW9quO155104bHvZsvc13HbRosV0d3cB0N3dzRlnnNlw2wsueP+w7fe+9wMNt22171batsKQloA1a740bHv16usabrtixWXDtpcvv7ThtsuXrxi2ffHFlzTc9o1v/LNh21XORwO8+c1vGbbd6PlogGOOmf/07Hn27DnMnTuv4bbTpx/NwoWvoauri4ULX820adMbbnviiS95evY8ZcoRnHDCixtu22rfrbRthSEtlWqz6Sqz6JrabLrKLLqmNpuuMouuqc2mq86ia2qz6Sqz6JrzzruQyZMnV5pF1yxatJjjjktNzUYvuOD9dHV1VZ5Fj0ffixYt5oQTTujYLBqga3BwsGqb+cADO3c+9vQnnY2qnS88UM9JT5n32sqPu2fLnQBNtW3Vni13suAQPSd9oI2xiWRd1TRbV3d3FzNmTAU4FtjccLvKPUmSOsaQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCsyQlqTADGlJCmxSJztbuPA1HHXU5E52qUOMY0wHm46G9KmnvppZs45kx45HO9mtDiGOMR1sPN0hSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYEZ0pIUmCEtSYFNmugCDhT9T/axZ8udTbUDmmrbqqLvmR3vV9L4MaQbMHfuvKbb7t5dHOJp06Y3/Ri9vT3s3dvfRMuZLdUuaeIZ0g1YsuScCe1/1qwj2bHj0QmtQdLE8Jy0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYIa0JAVmSEtSYM38fFYPQHd3V9OdttK2naLWBXFrs65qrKuag6muIW16qrTrGhwcrNrXQuCuqo0kSQCcBmxodOdmQvow4GTgIaCZn7CWpENRD/A84PvAU402aiakJUkd4geHkhSYIS1JgRnSkhSYIS1JgRnSkhSYIS1JgRnSkhRYM5eFjyml9CLgS8AMYCdwTs75vhH79ACfB04HBoHLc87XtqOeIX3OAP4FeCHwO+A+YFnOeceI/a4DXgf8prxpbc75H9pc22bgyfI/gA/nnP99xD5TgH8GXgnsAz6Uc769jTXNB24ZctN04Kic87NH7HcJ8F5ge3nTxpzzheNcy6eBPwfmAyflnH9W3j7mWCv3a8t4q1dXo+OsbH8dbRhr+zlemxljnJX7tWWsjXK85tPAOCvbX8I4j7X9PV8ppVcBq4HJwGbg7TnnX9d5jLa9NtsS0sA1wJU55y+nlN5O8T/yj0fs8zZgAXAcxQvsRyml7+ScN7epJihenJ/MOX8XIKX0KeBy4Nw6+16ec17Vxlrq+Yvai2kUHwIeyTkvSCkdB9yVUlqQc36sHcWUz8XLatsppX9k9DFzfc75Q+2oo3QLcAXPXJKgkbEG7Rtv9eqqMs6gPWNttOMFY48zaN9Ye0ZdFccZjP9Yq/t8pZTeA3wZeFfOeUNK6aMUz+PSOo/RttfmuJ/uSCk9B3gFcEN50w3AK1JKs0bsejbwxZzzQDnDuAV483jXM1TO+be1J6J0DzCvnX2Os7MpQohytvgD4A2d6Dil9CyKoPunTvQ3Us55Q85524iaGh1r0KbxVq+uCOOsXl0VtWWsjVXXRIyz/TxfrwSezDnX1tm4BjhrlIdp22uzHeek5wIP5pz7Acp/t5e3D3UMsGXI9tY6+7RNSqkbuAC4bZRdPphS+p+U0i0ppd/vUFlfSSn9NKV0VUppep37J/KYnUHxvP5wlPvfUtb+7ZTSKR2qqdGxBhN07BoYZ9D5sTbWOIOJG2tjjTNo41gb8XwNOwY5598A3SmlZ5yGGbkv43i8DuUPDr8APAbUe5v5EWBBzvkk4CbgjvKcZjudlnN+KcXiVV2j1DWRljL67OYa4Nic80uATwG3luf5tP9xBp0fawfyOIP2j7Wxnq+Oa0dIbwPm1AZa+e/s8vahtjL8LeAxdfZpi/LDi+OAs3POAyPvzzk/WLs953w9MBV4fjtrqr0FzDk/BVwFnFpntwk5ZimlOcBrgK/Uuz/n/Kuc897y7/8oa3pxu+ui8bEGE3Dsxhpn0Pmx1uA4g4k5XvsdZ9DesVbn+Rp2DFJKM4GBnPNv6zRv2/Ea95AuP/n8MfDW8qa3Aj+q88n2WuA9KaXu8hzim4BvjHc9I6WULqM41/SmcqDW22fOkL//hGJJ1gfbWNMRKaVp5d9dwFsojuFIa4Fl5X7HUcyG7mhXXUO8E/i3nPPOeneOOF4vo/jkPre7qApjDTo83hoZZ+V+HRtrFcYZTMxY2+84K2tpy1gb5fn6b2BySmlhuX0+xXGpp23Hqy1LlaaUjqf4WtTRwC6Kr0XllNI3geU55x+Us55VwOvLZitzzmvGvZjhdZ0I/Az4OfBEefMDOefFKaUfA3+ac96eUvoO8HvAAPAI8Dc553vaWNcLgH+lWG+2B7gX+Muc80Mj6joCuA54OcWL+W9zzre2q64h9f28rOeOIbcNfS6/RDHA+ym+wvSxnPM3x7mGzwNnAs+l+LrazpzziaONtTo1tmW81auL4sOluuOsbNP2sTZKXYsYZZzVqastY22057G87xnjrLy9rWNtjFz4Q4oPBA/n/7+C93DZriOvTdeTlqTADuUPDiUpPENakgIzpCUpMENakgIzpCUpMENa4aSUDk8pDaaU6l7UkVL6z5TS2e147GallO4pF3gipXR++dU6qWXtWgVPB5mU0tDVvKYAT1F8HxSKZR1HvUospXQ6sCrnvGA8ask511vlbrS+7yn7/vJ49C11miGthuScp9b+TsWaxO/OOTtblNrMkNa4SClNBj5NcTVZP8WyoRcDRwE3A4cNmY3PA44HPgskYA/wdYqr7fY10NfTs+OU0vkUS45uAs6huLJuWc75Oymlz1BcnnttSukaiivHPjLGY88s63o9cBjw7Zzz2eWl5NcDf0Bxtd5dwPm1q/X283i1Hxs4C3gWxVVrZ9WuipTG4jlpjZe/B14CnERx2e4fUVwauxNYDPwi5zy1/G8nsBd4H8UC/KdRXLL87ib7fjXF+r0zKC79vhYg5/zXwPcpZv1Ty+2xfJ1idbjjKS7XvrK8vZtiBbZjgGPL2z7XwOO9kWLN6xdSXLq+hOLydakhzqQ1Xt4GvKNcc5eU0qXASqDuT0HlnP9ryOb/ppSupVgB7Zom+s7lCnKUazt8NqU0PefcV+VBUkrHUvwfxoyc86PlzevLDh4GamsxPJFS+gTFO4Sx7KV4N3E88IOc86YqNUmGtFpWrqj2XIYver4FmFO/BaSUTgA+QzHLnEwxFjc2WcKvhvy9p/x3KlAppCkWaf/1kIB+WkrpSIqffXodxW/wQVH3WL5FEdCrKZZV/QbFO4y2/OSZDj6e7lDLcs6DFEE5cj3d2pKb9Vbx+iLwQ+CFOeejgBUUpxnGW5UVxLYBz0kpTa1z30UU6zyfXNb7ehqoN+c8mHP+bM755RSng14KfKBCTTrEOZPWeLkB+FhK6ScUH6x9hOJHPAEepgy/ITPII4HdOefHyqUi3wM80Ia6HgZe0MiOOecHUkrrgVUppQ9QLFv5qpzz+rLePUBf+eHiRxt5zFT82vQ+inWbH6dYXrPuDwBI9TiT1nhZTrE+8SaKQNoIfLK87ycUvxm3JaXUV/5G3F8B7y6/8XElxQd27fA54JyU0q6U0ifH3Lv44YBe4D6KdwcXlLd/GphJ8e2RDUCjaxhPp1hnuA/4BcVpoCsaLV5yPWlJCsyZtCQFZkhLUmCGtCQFZkhLUmCGtCQFZkhLUmCGtCQFZkhLUmCGtCQF9n/058RMi308VwAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.boxplot(x='Total intl calls', data=df)" ] @@ -313,9 +580,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "_, axes = plt.subplots(1, 2, sharey=True, figsize=(6, 4))\n", "sns.boxplot(data=df['Total intl calls'], ax=axes[0])\n", @@ -339,9 +627,96 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Total day minutesTotal intl calls
count3333.0000003333.000000
mean179.7750984.479448
std54.4673892.461214
min0.0000000.000000
25%143.7000003.000000
50%179.4000004.000000
75%216.4000006.000000
max350.80000020.000000
\n", + "
" + ], + "text/plain": [ + " Total day minutes Total intl calls\n", + "count 3333.000000 3333.000000\n", + "mean 179.775098 4.479448\n", + "std 54.467389 2.461214\n", + "min 0.000000 0.000000\n", + "25% 143.700000 3.000000\n", + "50% 179.400000 4.000000\n", + "75% 216.400000 6.000000\n", + "max 350.800000 20.000000" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df[features].describe()" ] @@ -390,9 +765,22 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "False 2850\n", + "True 483\n", + "Name: Churn, dtype: int64" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['Churn'].value_counts()" ] @@ -421,9 +809,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "_, axes = plt.subplots(nrows=1, ncols=2, figsize=(12, 4))\n", "\n", @@ -498,11 +907,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 12, "metadata": { "scrolled": false }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "# 丢弃非数值变量\n", "numerical = list(set(df.columns) -\n", @@ -522,7 +952,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 13, "metadata": {}, "outputs": [], "source": [ @@ -541,9 +971,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.scatter(df['Total day minutes'], df['Total night minutes'])" ] @@ -565,9 +1016,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 15, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.jointplot(x='Total day minutes', y='Total night minutes',\n", " data=df, kind='scatter')" @@ -582,9 +1054,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 16, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.jointplot('Total day minutes', 'Total night minutes', data=df,\n", " kind=\"kde\", color=\"g\")" @@ -613,9 +1106,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 17, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABwEAAAcACAYAAAA41TwhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzsnXt8FPW5/z/zndlLLkuyQAhaCQjCAiHUAEkEglagnFNFQWkl2BaiBpRYoer5VQKegi0S1LZW24NVsKKeI+g5nHqptgcvrRdUQKFVEBZEBG8QLptkc9nbzPz+mJ3Jzu7MZjcXstk879fLl2F3dmd29/N95vk+3+f7PJwsyyAIgiAIgiAIgiAIgiAIgiAIgiAIIn1gPX0BBEEQBEEQBEEQBEEQBEEQBEEQBEF0LbQISBAEQRAEQRAEQRAEQRAEQRAEQRBpBi0CEgRBEARBEARBEARBEARBEARBEESaQYuABEEQBEEQBEEQBEEQBEEQBEEQBJFm0CIgQRAEQRAEQRAEQRAEQRAEQRAEQaQZtAhIEARBEARBEARBEARBEARBEARBEGkGLQISBEEQBEEQBEEQBEEQBEEQBEEQRJpBi4AEQRAEQRAEQRAEQRAEQRAEQRAEkWbQIiBBEARBEARBEARBEARBEARBEARBpBm0CEgQBEEQBEEQBEEQBEEQBEEQBEEQaUY6LwIKAIaF/08QvQ3SL9GbIf0SvRnSL9GbIf0SvRnSL9GbIf0SvR3SMNGbIf0SvRnSL9HtpLO4LgBw9MyZJkiSbHqQ05kJj6fl3F1VN0Gfo2vIy3NwPXZyPX1Kv8lAn9kc0m960Fe/F9Jv6kOf2Zzepl+gd/+evfnagdS7ftJv10DXlBhdfU2k395NX/8uUki/QBIa7ii95ffuLdcJ9Oy1pqJ+QyGx1/x23Ulv0nB3Eu97SEX99rYYBF1PfLr7epLVcDrvBEwIQeB7+hK6BPocfZO++H3RZ04f0vVzdRb6XnoHffF3os+cXvTmz9abrx3o/defCqTid0jXlBipeE3nGvoO2qDvom/RW37v3nKdQO+61nMBfR8K9D0opNv3kGqfh64nPql2PX1+EZAgCIIgCIIgCIIgCIIgCIIgCIIg0o1zUg7U5XINAPA0gBEAAgAOA7jZ7XafcrlcMoCPAUjhw3/sdrs/Dr/uKgAPhK/zQwA3uN3u1NnXSRAEQRAEQRAEQRAEQRAEQRAEQRApyLnaCSgDuN/tdrvcbncRgCMA1kc8P8Xtdl8c/k9dAMwGsBHAVW63+yIAXgD/do6ulyAIgiAIgiAIgiAIgiAIgiAIgiB6LedkEdDtdp91u91/j3jofQBD23nZ9wB84Ha7D4f//QcA87vh8giCIAiCIAiCIAiCIAiCIAiCIAgireBkWT6nJ3S5XAzAdgAvut3uh8PlQD+EUvLzLwDWuN1uv8vluhPAcLfbfWv4dYMAfOp2u/sleKphAI52+Qcg0h2upy8gzDCQfonkIf0SvRnSL9GbIf0SvRnSL9GbIf0SvZlU0S9AGiaSh/RL9GZIv0RvJykNn5OegFH8DkATgN+H/13gdru/cLlc/aD0Dfx3AHd31cnOnGmCJJkvdOblOXDqlLerTtcjOPplwG5L/Kf0+UPwNrZ24xV1nJ7+PfLyHD12biN6k355niEEQJRk8IyDAEAUpfZeljSp9JnPFYl+ZtJvcpwrzSZLT38vPQXpt+voLm2n8mfuLtLR/qr6AMcBspwyti8ZersWU+36e5N+VVLtOwR65pras/d94Xsi/aYGHfU90vG7SIZU0y+QmIY7Sm/5vTt6nT0xv+zJ7zQV9QugV2isu+lKXaRq3CQR4n0Pqajf3haDiHc9fc0eGtHd15Oshs/pIqDL5foVgJFQ+vxJAOB2u78I/7/R5XJtAnBH+PDjAC6PeHkBgC/O4eX2Guw2AVfd+ULCx7/06zlInSFBpAM8z+BpCWLd5l2o87RikDMDKytL4cy09BrngOhbkGaJdIW0TcSD9EEQ6QONZyJVIC0SBI0DIj0hXRMdgXSTmpyTnoAA4HK51gGYCGCu2+32hx9zulyujPDfAoDvA/hH+CV/BVDicrlGhv99C4DnztX1EgSROCFAM+4AUOdpxbrNu5SdBgSRgpBmiXSFtE3Eg/RBEOkDjWciVSAtEgSNAyI9IV0THYF0k5qck0VAl8tVCKAGwPkA3nW5XP9wuVx/AjAawE6Xy/VPAB8BCEIpBwq32+0FsATAn10u16cAcgD86lxcL0EQySFKsmbcVeo8rRC7qYwIQXQW0iyRrpC2iXiQPggifaDxTKQKpEWCoHFApCeka6IjkG5Sk3NSDtTtdu+HebPC8XFe9wKAxOtcEgTRI/CMwyBnhs7ID3JmgGccIJKRJ1IP0iyRrpC2iXiQPggifaDxTKQKpEWCoHFApCeka6IjkG5Sk3NWDpQgiPRFALCyshSDnBkAoNV7PqdNRwkiCUizRLpC2ibiQfogiPSBxjORKpAWCYLGAZGekK6JjkC6SU3o+09BHP0yYLfRT0OcG3ieIQRluzbPOAhA0o1aRVGCM9OC2uqpnXofgjhXnAvNdsXYIohk6Wl7TLpPbURRwgCHFbXV5dpvZOWBYEDs6UsjCCJJErX3ZJeJ7iZZ3yNSkx6vDzzPSJNEh0kVG9fTPjiRuqSKRjsC6ZroCKmsm948HjsLrTSlIHabgKvuTLwK6ku/ntONV0OkMzzP4GkJag1b1ewMZ6alQwuBHMJGRZRB4UQi1elOzXbl2CKIZOkpe0y6T314nuGMN0C/EUGkCe3Ze0mSyS4T54REfQ/yFYiuJNX0RDERIppU02hHIF0THSEVdZMO47EzUDlQgujDhADN+AFKo9Z1m3ch1LOXRRC9HhpbRF+EdJ/60G9EEH2LhmY/jXkipaD7ENGVkJ6IVIc0ShCpQ18fj7QTkCD6AGbbnUVJhtNhR9WcIjgyLfC2BLHtjcMQJZmMA0F0gnhjy9aHyw8QqYvFyiMgAqIkgWesQyUiRUnWNf8GFMea7impgyjJGH/RQFzznZHgGSBKwJ/+Tvd9gkhXgiEJdZ5WuAqcmDd9pOaTgOu+c/blMktE+3SFr5CoxkiL6U9H9ZSINkg/RFfQk/OjSA1bLQySCIQkifRMnBNSwYZGXwM49Ol4RV/4jATRp+F5hvqWIO6N2O68qrIUuZkWMJ7DwivH4KGte7XnllcUKw5CksHfVDDwBNFZukrHVgszHFt2mwBPg083HvtS+QGic3TXRM5i5XHaG0BthC5rKksx0GFNaiGQZxwGOTN0jvUgZ4bicItyh66N6FrsNh5zvzMCdZ5m2K0CfIEQ5n5nBOw2HiFfX8mBJIj0JvJeIQAoK8zHVdNG4OFn93aZ72HmL/X1Mkt9ESMtADD1pzvrKySqMdJi36AjekpEG6GQpDumrDAfN109DhzHgVGsg0iCnpofRZYDdzrsWHLtODQ2BTT/P79/JrIsPOmY6Bai7ey5sqGSJEPmmRYvqfcGYmJvZYX52Ln/pPaavhSvoHKgBJHmiOA0owcoWQ73bt4FERwkEXjxrSOomlOE2uqpqJpThBffOgIpyWLNqoGv2bADS2pfR82GHfC0BMHzZGKI3kNX6lgSoS0AAsq4e2jrXgSCIs56fbh9wQRlsumw96nyA30dnmeQeYYQx0HmWVLaitTnr/9rD7461YwVG97pEpsbEKEtAAKKXms370KSuSAQAKysLMUgZwYAaI42ZZylDpIENDQF8Mi2j1CzYQce2fYRGpoCkNJs/t+ZsUYQqUoiuo72ZR7900e46epx2gIg0PnSR/H8pb5eZqmvYaSF5qCI+jj+dKK+gpneE9UYabFv0BHfsz1t8DzD6YZWbNl+EFVzivDAsmmonD0Oj7+4D4sp1kEkSU/Nj7wtfnjCcYfbF0wAAJ3/7/H6IfPdWBaA6NNE2llXgRNXTRuBu//wbqdtaDxfmOcZjp1o1PyPo183xsTC123ehZuuHtdn4xV95XMSRJ8lJEmG251DkgyeR0xm8LL5xZA5OakqQWaOdG311O6sNkQQXUpX6tho3DkddjS1BPHIto904+3pVw70mfIDfZnOZqRH6rNqTlHMInNnbK5ocp8QJSkpXYqiBGemBbXVU2lXeIoSEiXDBIV11eVpkxlIuz+IdCRRXUf7Mjv3n8R1M11dWvoonr9EZaH7FkZaOHm2RfN11ccifZRoX8FuEyAHxYR38fkT1BhpsW/QEd+zPW2EADT7goZxknpvAO7jHop1EAnTE/MjZSHbp4s7LK8ohtNhR52nNcL/n5o2/j+RWkTa2XnTRxomoyVrQ9vzhUMA1v5xp3Yeu1UwtPUcuD4br6DxThBpDguXH4hkkDMDjAGQuRhj/PCzewGZSyqLPp4jTRC9BSMdOx12yEDSu0l4g3FXMWtUTKDk4Wf3omLWKKX8AJHWdDYjPVKfjkxLl9pcnjHD+wTPWNK7qERRAidKEGQZnCiZOtS0U6tnkCTFrq2sLEVt9VRtR7KURvdr2v1BpCOJ6trIlznT4DOx8Yrvkaw9jucvAcDqqjK4CpyG5yLSC7UHduQ9JTvT2q6PEukrOB32GF8hnt5VH9tV4NTOu7qqDFaLXrdGvjhpMT0RRSVpjWccRElWdBLHjrWnDVGSYeF5wzjJvOkjtX9TrINIlETnR11FCMC6J/Q29KGtbfpVH0u2Ekiy/gLN9/oukXa2q2IX7fnCqn+q+gc52TZDn5QxtDse01W7lARFEGmOwHNYXlEc05tM4DkEQ8aLd5Isw9OaeBZ9V9Y5p96CRHeQUPP3KB27CpxYeOUYrNywI+ndJGrZj8gxdN7AbMPxdv7AbOV6uu7jEilIZzPSI/XpbQl2aW8JKw/UVJbqegKuWFSCLdsP4qNPT2u6111PJ2w17dTqOWxW436lNiuD6E+P7552fxDpSKK6NvLJX999LMYn0UofdcAeJ+IvLa8oxlMvH4DH68OqylLwPIeAxIFnXFolHaQbyd7bjXpg/2LJlE77KPH0bmMc1iy+BB6vX3detee9er1Gvriqe/K504tk/cr2tMEzDt5A0FCDjrA/PMiZoQSJZZniFUTKYWZDHRHzOUXDHGSZJaThZMcZzff6NpF2tqtiF1I7vjDPOMM+2JE+aSJ+QDprNz2WMgmCMIUTZTgdNiydNx611VOxdN54OB02cKIMxpnsEuS4pLLou6rOOfUWJLqDRHUVreOKWaMMSy4msptELfuxvrocj66YgTWLJ+OsSSa+hWe93pkg2qezGemR+tz2xmEsryjuslr2wYCIgQ4raqvL8VjNTKxZPBmv7DiK13Z/Yaj7ztpq2qnVc4iibFgOVEyjRui0+4NIRxLVtZFPvmDWaAxwWFFbPRWP1cxAbfVUXemkZO1xIv7SQ1v34v/9eCLWV5fDYmH42e/aetgeO9FIvn0K0pF7u1EP7Cf+vK/T88J4ehdFCXaLEHPee6N0G1mCL1r3RHqRrB1rTxsCgH5ZVkMNqsHs5RXFOHGmmeIVREpiZkN9gZD29x3XT8T9T32QsIaTHWc03+vbRNrZERf067xfwDPI4ddGEukLCwCq5hTF7OJWfdJE/YB01i4lxBJEmiOKErIsPAryHbFZnQLD7Qsm4MEte7QMh9sXTAC45LLou6rOOfUWJLqDRHUVrWP12EjUshl8AudV9W/nGfwc8OTLn2DZ/GJdVtLKylLwkBPOSKadsr2XzmakR+vTamFYX12OkCQlrIV4+gkGRGU8cByq739D97roch3J2uro87aXxUd0HyHRpE+wmD7fPe3+INKRRHSt2tosu4Da6nKAk2EReMhBUbPxAgCIbX5HwjsMI+y4DGiLivH9JRkcA9ZsfF93v1j7x53k26cgidzbo+/nRnPGnftPYsk1RZ2aF7and7Oe9zF9AUXJUPfRkH/du+lIBYB42hBFCQNyM2M0eNfCEjBOCTI/9fIBVM4e2+l4BWmP6CjxtCMAuPvGMq0/2iBnBlbeUIrcbBs2rpyJL+u8eOKl/XAf9wBAQhpOepyFx4oj0wJvSxDb3jgM93EPzff6EKqdBZBwvFjVNTgAMgdJksAYB5nn8PjzHxvG01TfQBQlMJ439UkFObG4WzpXlent108QRCfgGQerlWHpvPGwWwX4AiFYrQwcjMt7CoyBh2zobJg50mbOidHjiTZ6J4hkSOQmrurRH9ajjXEIAYbjQIYM3mD3npnWVb17vD48/coBzRn2BULIdVghBhILS/M8Q31LEPdGTEajSx+1dy0dhSaonacrkiUi7awU1k0yNteorMUAhxUBUVbGA2Ow8sb232pRNBziODAA4y8aiNLC83QTOyNbbXTetbd0vlQY0TEEnuGay4ZjZukw8AwQJeC1XZ9D4DmkRXojui4xiewekUpEVhgISTIYU0r+qzbTzMZfeH4m6utbIPPMUMtG5UPLCvOVnrCcrARgZBkhScbjL+7Dzv0ndf4HJ8uQeWZq09M5kJJutPdbqRrbsv0gZpQMRU62FU6HDb+941I88j8fa8HkQc4MQA732wE0HyUZmxppxyVJ6XEPTkZIVu5j6m6AaM0xxkEGlShPJ8wCwlae0/xXtbd1R/xK9f0lSQZjDOBkcDKHk54WbNl+EFVzipCTbUVOlg3/88YhvLb7C+39vS1BAB23aaQ9oqMYaWfN4ktgtwqQISMkc8iyW7SEIMgIjxkJsiwjGNKPi/aSfxSbnXgLIJ5n8DQHsemFj7XrWza/GC+9fYTme32UyDgGDyXWJnKcYbxiy/aDupKeZYX5uOnqcfj+jFGQJBlrb5kKSZIgSkBWBq+LpwlC7P1A9WsTTZ7uynZXqQb53gSR5sR3LoH7nvwgxrjdf9s0wz6CFgvDmQaf4XsBiJnYATANOp/xBmIez3VY09bYEj1HezfxeIsj0Rmgy+YX4/EX92HJ3CJdplx7k7jIjOZ1m3dpz3NJ6FoEpy0AAm2lj9ZXl+s/bxdPKBNZPKIAeWIkmpHeUeL99kYZ/lu2H8SCWaN1x99x/UT8bOEk3P/UB7pJZYM3oOmvrDAf87/rwvond+vuEVYL0xYnVYzO+/iL+2inVg9htTBcOmEI7tn0nvbd11SWwmphCITS59vv7FijwBzRnXRmgbmxJdZ/NrPx6zbvwm9+emnC/olq3ytmjcZjz38U01Nl2fxi1HsDcB/36PyPeLu2kMaBlHSjPX85BMQE5tQewkuuHYfH/nefrt8OInRutTDUR/gR0XNII0RRgsAzeHyJ+ejLK4rxwNMfateQqL2mSjSpi1lAWPVdtm4/iJ37T6KsMD+mt3VH+z6pCxVXXzoC9eF4BaCMhaXzxuO13V9oxz39ygHtuY7YNNIe0VGiteN02OHx+vHiW5/EjBWz+JuqYfdxT4yGzRYZV1WWxthxo3FmpO2Hn92LtbdMofleHyeReEVkSU9XgRNXTRuBu//wru5+H9njT73f8zxDiy+oi2Wrfm3NhndiYs+cKHeob2xvhpPltHW+hwE4euZMU9zm43l5Dpw65T1nF5UIeXkOXHXnCwkf/9Kv5yR9fKp9ZpWe/j3y8hyp4m8NQxfpV+YZajbsiJnQqRnyS2pfj3nNYzUz8Nxrh3DNd0ZqOwX+9PfDqJg1GivDxjPyvdZXlxsGJfplWrHC4Pja6nLNCCfyPpGTuJ7WSE+Q6GdOR/3GI9EgWnvB3HhjBBxw5MvGmDIWj9XMgBBx/4z3HlzYIZF5DiFRhiQBAuOUMqAG12v2vYQ4zmS8zoQgt71Pe9eSLGbvt/aWKTpnrLMB8r6m3+4gWXu/srJUy9CMPP626y5Gq19ETrYVA3MywAF49PmPMKNkKByZFvTLsmmLSJGvW39rORC1kGSm28fvngnIqb/LKt3sr8zzhvff2upycGLvmda097t0dhdfV9vRaFLNfvQW/UaSat8hkNg1dWaBuSM+/eN3fxc1/2E05tu0rB8vDDUb3kHVnCLD+0PVnCItKB7pf1isPAIiIEoSeMZg5ZUy00af9+4by5BjF7rM5pN+u4b2tBniOHz+jRebXvgYTocd86aP1CpbZNoFDMjJBAPAQ/nske+1uqoMj2z7yFCHg/pnmX4X8TQvQE1ABb465cXW7Yd0uxETtdfm/rXe1+8uUki/QBIa7iiJal+xSxwee/4jLLyi0NDvjLRHZYX5WDJ3fNgGJXbfN9OXav8i3x9QbB4HQIZ+Z3RH50Bdpb2etCepqF8AKWdfuxqR47A4rB1XgRPL5hejqTWA7Ayr4Vgxi7+pWo/WsNnYuP+2cogJJAGbaXtjzUzw8rmd78UbH6mo394Wg0j2etrzZX/9X3tw+/UT4Gn0wdsSRIaNx++e+4ep/dfbfsWHjfRRcrJtWLMxdkwsnTceTofd1HZ3VUWY7v69ktUw7QQkiDQnXmkXnnEoK8zXArveliBe330MVguPyyfpdwosryiGLMtwOuwxtb1FWTbMYlt7yxSTc5v0JJK6poQXkf4kE0RrrzRce2PEKAgWne0Z7z1sPENzUMTJky1a2d38/pnIshh3FpQk2bBsFzPJ0GYMupSkri69ZfZ+Hq+fMldTgEgHlUHJBI38vSK1HK2fnGyr4W8r8AzrNr8HQAlE8Dynyyq97yflxjbcoK+c2c6C6FJh4JlpuTqi6zC7/6ZTab6u2MVHJQyJ7qJTOz/i9Ncxs7WJ9GCN3Dmr9lpz9rMZvs7Zz6a9t+p/8DwzrPChVgyI7FEIGRiYm4kzZ5o6+hUS3UR7/jLPuHAJUDt+fMUYPPzsXjgddlTMGoWcbDskSUIg3Is+Wud2q2Cqw7jXFEe/nCyDA8ALDMGQjMrZYzvUdyqdy371VtT7ODjgqmkj0NQaMNSBI7yT1FXgxIySoUktAALm+lLtnyNip6qqCU5UkhuWzC3CTVeP65TPStojOgLPM8gch/t+Uo5AUESGXcBTr+xXyjRnGc/tzPz/Yec5tKSKSA2bjY1AUIIgy+33WU0wbkH0PeLd160WhoVXjsHPH21LNL9nyWTD4/OcGXjkrulgjIM/KOJ//3YY3y0bijpPq+ZbA0Bt9VTD19utQlz/u7srOPUUNI8liDQnnnNp5bmYUnArK0vBAXjxrSO6QMOLbx3B0nnfxsIrx8SWCRWY4eKg2aJFvLr96Wpsia4l2SBaPF1FjxFXgRMVs0YBADhwWLP4EqzZ+H7cUgDxxpnMc/Cc9WsZ0Oq4yczLAg99hpGV53DsRKO+iXe4XIHAONy1aBIamwLaYmK/bCsEnoMkJnYtHZlQmr1fQ1NAdxwFyM89RosdKxaV4JUdR/Ha7i/a1XK/LJvhb6v2ONF0I3PaAiAANDQFTCd2MlhMY/r2ymm0t2hDvdm6DsGkd1dv7AlopouuKK9FgTmiuzAKfjgddsiI7Y0SSXv9dQQAaxZfgpNn9QlHVgtv6osb9UZRtZ9psxi+Tn18eUWx5n/IPAeP14fbF0zQ5gBG5aZVu84YpQulKvH8ZQGA02FDxaxR2gKguhgY7d+KQSXg7CpwYt70kXD2s5vbVBN4nkGGEuhuaApoi3uKv6H0/rPyHM54Ax3uO8XzDCI4/PLmKfj6dBO2bj+kK2lK89CeQb2Pr66ajIefVUrDmfmrrgKnTodq7yiO48Da8RnN7vU5WVb89o7LYBV4uAqc8Hh9WDa/WElkQGK9rWLOZeCzAOlbco7Q01VzGaM50x3XT8QPZo7C/U99YDpW4sXfOFGK0ZvVwrC6qgx2q6Dd1z1eX8J+cDqXUyQ6RzxtSSK0WDMQTjxv9BtqN8su6KpSrVhUAhlyzLG+QMj0/tEX41cJfVaXy9UfwL8BuBhAduRzbrf70gRePwDA0wBGAAgAOAzgZrfbfcrlcl0C4FEAGQA+B/Ajt9tdF36d6XMEQSSGAGDVDaW494m2G/CqG5QbcFAyDpTdf9s0wz4gQKxRfmjrXqy/tdxwcdBqYYY3fytPTgHRObpyl0akk+p02GO0vKqyFA/cNg3+oATGoATLo5zfeI5uUJQNx03treXwRjnxa2+Zoi0Aqseu27wLS+eNR37/TPAc0y0mrqwsBWMcOJ4ltejS0e8n8v22bD+oO44C5Oceo8WO9U/uxi+WTAEAXD5piE7LK28oxfKKYjCOCzvdh7BsfnFMAO+plw/odBOIyh7d9sZhw9epvXhWVZYiN7yA197OArPPoS7aCNSbrUsRBIYVi0p0/RxXLCqBIDCEelFPQEmSTXXh74L7Q3t2lBamiY5ilHi08MoxWBkujWRm49rrrwMAwaCk8xFWVZai34DY3mk1laV47PmPDEvZCQBWVZYiKIoxdn7Z/GLYrCxcQskGTu2r7A3ozrtsvlI9xMyuE72HSFsnA8i08Th/YDbqPK26nj1Am3+7rnqqVm1GnU86HfaYfvNa70CDcyoLy7H9q9Rebaq/sfaWKR3uO2WWgBSvTxBxblDneS1+JUhr5HeqPQHnTR8Zt3dUPJ/RynOm/d9nlAzFphc+xopFpWj1KwnRS+YW6V6fSOUBMz2rx1EVpPSnK/tMG/kCv3nmQyydN950rCQbf+N5pYdrdAKz02FLKp6Q67BiXfXUdluhEH2H9rQVHXNwFThhtTJD/+HxF/fFxEDuWTI5Rv/5/TNj4uFqP8y+GL9KdC78DAAbgOcAtHTgPDKA+91u998BwOVyPQBgvcvlWgzgPwFUut3ud1wu190A1gO40eVyMbPnOnB+guizMJ5Dhl3AmsWTwThAkgFB4MB4Dv6gcaAsJMoxk7qHn92LddXmJeAMFweryw0d22BAJIeX6BRduUsjcpFCBrRAHKBo+d7Nu3Dv0qn46pQ3ppynVlJUlDDAYUVtdXlMPxyJ44wD0mJsgCyyxGbksXargJNnW3T9VNSA2i9vngKOAzKsPIIBMaFFl45+P5E7FhfMGo2jXzfSQn4PYrYY3tDsx4KIBtjq4+ueUBaU79m0Uzve2xLAuuqpkCVlXDEeuPOHE2C1MEgi4A/ruawwHzv3nwQAuI978NLbR8ITOxlfnWrCUy8f0Hrx3Lt5F9ZXl7ddZ7yduDyLu2gDxnV6VxfRRiAo4tlX3bqd+8++6sbiuePBevrikqCh2W+qi664P8Szo10ZzCH6HtELzBWzRsX40JFJEOoCDEzspKr47RggAAAgAElEQVToEIBnth/Uje1nth/ErT+4OErLTFsAjDxfpM22WBgADi+9rX+/l94+gsVzi1CQ79DGg8wzw0WYexYbl29qr/wjkTqY2bp+WVYMcmbAkWkx1qQMMI7D4rlFmk9d52nFUy8fwNJ54/GtPAd4BtMdr81BESxkrKs1iyfjoa17NX/DzG/mwLVrj+PuGidb3qOo93F1B4j7uAdPv3IAVXOKtH7VVh5YMrdI5wtHLggCkb9pecxOPbWMMc9zOjv39CuKPzv3sovCgWXFd14wa7Tix+oWxjls2X7Q1EdVx5DH6zOcw6laoypI6U1XVKhQMSvxbbcqof3IsVIw2AELzyBAjom/CYyB8YA/qIyFSHscgjKXM4rtiQn0D4/nJxN9m/a0xXh99Yp500fi/qc+0FWd8wVCyHFYNT9Wpc7TCo7jkGkXsK56KoJBCacbWvHky59g0ZVjcd+t5QiKEmQZaGwJ4MarxyI32w4OnFIVoI/c9xNdBJwCIM/tdvs7chK3230WwN8jHnofwFIAEwH43G73O+HH/wBlx9+N7TxHEESCiODgbQnElBC09ssAz8MwUGbmXMhy7PZqNbBmuDgoSUC4XwM5tkRXYrRLY1VlKXieQ0CKX47FCHWRQjRZsAuJSna92vuE4zhlMhl2GMz64SjOrllAGjHnMiuz6G0JmgZbzjb68OCWPVh1QylyM9p2X3XluIt+v6AIWsjvIfQ7kIxLuzQ0BeB0GPdzOn9gtvaaQc4MXDVtBDY+/7GS3SyK4MBgtShZetE7R84fmIUxFw5ETrYVOVk2bN3uxnfLhuoWFdXziLIM1k6Pv8jgiGmJaOrN1qVIErBz/8mYidNNV8u9ahEwGDLvbWhjsZn9HUlSMLOjZsGc+28rhwzqa0nEJ3qBGYj1Beo8rZAkoFkUtfKeOdnG5Zu/PtWEgnyH1jsreueeJMmQIrQsyrJh4CQoSrCH7y9rNr5vWOpxZWUpLOHPoI4HMxttsZiXHiN6B/Fs3crKUtN7tyzLWPHIDty+YILuOfdxD+7ZtBOP1cxQdtqFH4/shc14hqZGH2TZeFw0NPm1BUAgfnny9gw++RepizrP27L9oLarw33cg00vfIyVlaUAJyMghu/PEYk/ZnOl0w2tuOv37+hKhcrg8OaeLzDrkgsN+7+rpfHrPK34Vp4DtrCTFL24sWx+Meq9AU2XkRpSx1D0WIg+jkhvusrW8DxDSDKOx/kCbTX91bFSNacIw85zAIyDEI5ZCDwDxxiCooSvT+pLIKvJbGbXG5KkhK63Kxc9ifSiXW1xsm4nX062VUskUnv8AcCjNTNM21tEVrtR7fOTL38SU6J+eUUxfvPMHuQ6rAmXkE4HErU5HwG4AMCRzp4wvMNvKYAXARQAOKY+53a7T7tcLhYuP2r6XHhRMSEGDMhu95i8PEcSnyA9SOXPnMrXdq5JVr+SJKOh2Y9gSIJFYMjJsuGs1wefX4zZci1DybK74/qJ+M0zH2rP3XH9RAi8yaIFz8VsxVZ7ghgdb7cJcDrsMdcsSXJM37O7byzD0MH9EuoT0hc10hs/c3faX0mSwVv9qL21HJIkw2rh0eIL4me/U3Y+lRXmo2pOERjPw2JRxkK0tozGS0Ozcc3xE2eaDQNiqm6VXSk7Yp3dW8thtbCYEgR331gGm1XJdHI67Jg3fSQcmRYwFlu+Vy1XUDFrVNx65vc+sQsPLLsUef2zOvSdEnpS0X+Itp1lhfmGZYxeevsIbr5mvKFeLAIzzHhecs14DBiQjWMnGnGmoTUmY7l28y6svWWKrsTSsvnFCARFw/NwnJJd+r9/O4yPPj2NVTeUoiC/HwShbanJ4/Vh3eYdcDrsMaU77r6xDANzM03HpNn9pb3vL3rMp+s9x0y/pzwtppOmPGdqfM5Efiez4LOqi9xcGb9afmnSv3Ui11HnaYmZwDoddtQ3BbAuys7H82t6o67OFYnYXyA1v8P2/PJEtSwIHOrq2/oJlxXmx5TyXTa/GH//8AsU/MtoADCs4lFbXQ4IPOxWhlBIWRA0Ot+ZBh8uyM8GwgvsdZ5WbTeBI9OCQc5M9O9n19nweNdvs/K4+8ayGF9/YG5mzPeUbvRm/apIkozTDa26Po/u4x44HXaIEpDrsMHpsMUm5N1QqvWH7Jdl01URAGLv36GQhM+/adD83tVVZXhk20emfa3kqI2kr+8+hrsWluC+p3bH6CxyrCXj83fEv0g3EtVwR0lE+7m5Mm79wcWQJBnrby2HLAOSLGPTCx9rpYzvvrEMQwY5NFvjbQmaJscZlQq9a2EJ9hw8YWhbn37lgPZ6m5VH/352NDT54Dnp1Y2Lh5/di6o5RVqAWjleQP9+dpxpaA3vXrRhdVUZtm4/pC0WKrEVBqGDPkpHvtO+RKp8H5Ik40xDa9K2xshm1Tf58fj//CNmznT7ggnIzmzr4xs5H1TL2q6sLMVApx1n6n26OMNdC0vwl3ePYt3mXfjVciWO0J6P3R5GfnKdpxXguB77XVJFD4mQijGI9kj0etrTlsfrw0tvH9F8z+wMq+Hx9V5/jN2uqSzFy+98hjpPWz9ii8Dw0wXFONvoi1mYfmjrXtx23cXgOE53X0gkLp2If68eU+dpgcVu6RI73xVwcrQnFcblckXuuBsGYAGAJwCciDzO7Xb/MZkTulyu/wDwLQDXArgGwI1ut/vKiOdboCw4Xm72XIKLgMMAHD1zpglSnLIjeXkOnDrlTeYjdDt5eQ5cdecLCR//0q/nJH18qn1mlZ7+PfLyHD0/KhWGIUn9mm27z860YmVESThAMZzrqsvBGHC20Qdvc9suQUeWFXm5GTjb6Edt1C6Q/v1sWPfEbm3BQnV+71o0CT5/SMtWNiqXGInMM9RElFxUrymR8is9rZGeINHP3Jv1mwxGWo9cnIhuEG9Uqs1svAxwWA139D2y7SPMmz7SMFNUzeZfUvu65nCo4yMv147aJ3fjrkWT0NIagtXCw+mwIdPGIxCUIUNGQ3NA58Dcs2QybBYewZCEr0+3ZeitWXwJAiFJF2RWJ6nqhPKxmpkQ5N6ZudRX9NsZjGznNZcNx/emXAiP14+GpgBe330MC2aNNtVyrsOqLZarqDoGgJoNSvZ+zYYd2vOqrgvys3H8ZJMWDNSSSmQY9hX0eH1YsagEr+w4io8+PR0uOyprWXb+8LiJPIcj04I8Z4a226SrSi929H3Szf4yqwCPN/b+7uxng+QPxRx/rkn0dxowIBtHv27otpKc8a4jBMSMw9VVZfjre59jRslQzf6/vvsYlswtMvRrUs2X6S36jSTVvkMgMb88WqNGx61ZfAlsVgGn61vR0BTQbG5ZYT4Wzy2Cx+tHps0CUZZg5Xk88ed9+P6MUfh/D78dc02PrpiB+iYfrBYBtSa9j5dXKH2/83KVnXpGPrrSB9Ce0PVHlv0y6p3Z1b8d6bdrMfpN1QXn6F7DaxZfArtFULL4GYMvGMKaje9rz69YVIJnX3Ub9p9Uy4Gr/jsAPPjTS3Gq3gdnPxuyM6zY/Od92mvvuH4i8px21PzHjpjrKi08TysTKUT1nVI/z5btBzGjZChysq2aL366wd9t95FESSH9AklouKN0VPvx4gfqrjuO49DYHND6vFfMGoXBA7Jwur4VjHG60svq61dXTcZTr+zHjJKhhrpbXlGMIfnZkCQ5pkqGOg+78epC3PX7d7TjnQ4bHHYhxg+P9I8j/+6s7nrSnqSifgGkhH2NtD3RO/Xj/eZGNnhVZSmys6y4ae2rcBU4cfv1E+Bp9GnxuCH52Zg3fRQam9vmg1dNG6EtZlfMGoUhgxxY+UjsGLpnyRT8dsse3PnDCRBkOSn/xege35lYX3cQb3ykon5TMQZhhPr7g+MAWU5oB1172rJYeZz2BrR5allhvuEOvqdePoCxFzpxZflwSJIMjuMgCBwOH2/Arv3f4DsTh8T0kP3Dto90lQQAYMPPpmPNxvditHr/beUQRdmwukuivWDPVeuIZDUcbyfgj6P+/SWA70Y9JgNIeBHQ5XL9CsBIAFe53W7J5XIdBzA04vmBACS323023nOJno8g+hIhAFuieoFs2X4QN11dZJiJI8kyOJnD/U99EHuDvrUcW6Pea+v2g1gyd3x458Yu3fGM4xAISrrdhisrS+GwC2A8j4AIXZ+01qB5GS8qiUG0h1GJicieIOb9INpKUMQrcdQv04q1t0wFYxw4yJCgZC2ZlZhRyzJec9lwTBwzOMbBv++2coRCMrJsVjy4ZQ/GXujUFm2yM6zaAqD6fqsfew+11VNh5zkU5Dvwbz+cCBkyHn9xH+q9Afzy5ikQJRknzzbrFgAHORMrf0T0XoxKaPzpzc8we9pwDMyxw+mwYcncIlh5DgFRhiPLgtpqZbcsY21lDSMz99XSSJIkQ7Aw3HbdxRiQY9ey7lwFTlTOHosHt+zRZZxu/vMn2q4AcDLW3jIFMoCvo/oDrn9yN1ZXTcZru7/AmQafFiRZWVmKfplt2X3u4x6s27xLtyAphwOEuQ4r1leXIyRJHS6zSKVpFEKijE8+O417l06FLCuTpl37v0HZuPNTohxoor8TY5xWUlGSlH+DkxGSoZU8isYsWJHsdRiVoy7IdxiWYuxT4iIAtOnML8nweH1wOuzazjozm5PrsIZ7rAI2C0O91481G9+JCTTv3H8SVXOKIDCGeza9p3ve5w8Z75wCkJNlx88fe1e7DrU3W37/LHxZp9jsG68u1MaF0Q7zp19RgtXR199eH2JqCdD7MLJ/aj++yGBZnacVaza+j/XV5RBkJbFNXQBUn1//5G6sWzoVi+eOB8+HTWK4N2sI+p5+rgInJBlawp3qKyyeWwRZBloDIXDgwv6ADElWdtioSJJyDYDe3qtlH42C8Eo/bypt3xuI9IEjE8fUUDkXXnx4c88XuLd6KpqjArA1i0o1e6xS52kFz/Rl0tX3vmF2IY6fbMLfPvgCs8uHG/b1e/jZvVg6bzwcmYqOJFmGJMnwtgRhswiGO09qq8tx8mwLnnz5E81X7ov+aF9AtaVOhx2yLOOeJZNh4Rl4gdPZQrPXRWrn3s27sGbxZAxyKj0yj5/w6pKT3cc98LYEUDm7EE6HDTNKhmoLgGpytFlZ2sZmP+64fgKsFh6SKMMvSe3OvdpLAOqKsvxE6tLRRa72fMaAKMfEoR2ZFu3fofBxlbPHYlD/TCB8B5AkGV+fasHru4+hcvY4rH7sXd34qd2s9HaNbGGixM70rYBcBU4svHJMTMKHmkjNiXJCc9VUjjuYxtvdbvflXXkil8u1Dkqfvysjegt+CCDD5XKVh3v/3QLgvxN4jiCIaEx6gZiW9mScae8/UZINewZVzZFjtl2vWFQCSZINjdz6W8u1bDzdzcFh3NeEZ5ypM0QQKkYLIZE9QeIt1glx3sPpsBtmeH544ARWLCpFQ5Nx+YLPv1Gc8HuXTsWqR2JLgi6dNx4WgcdLbx/BLdcWQQa0rOf7flKuLbRE7iDkOA4hWdYWGB97/mMtQ5XnGVoDfuRkKyUT1OtYsagEAs9BCnvXyQS8id4Bz/T23FXgRMWsURBF5TfOsDCIgGl/SvX3V51vcIC3OYi7//Cutjvkz+98hh/MHKWVfv7R90ZrC4CAousHt+zBbdddjN899w+cPNuMYEgpz/SLJZOxdfuhmN3iPGsrx6S+R2RfoegdMBzHwWewE1bdbRDZ2yJRqPePgtXCMHb4QM1WqdmRVgtDSOz5qXkyv5Pa28TjSyxbuTkotluxINJuVs0p0nZgRV4HJ8sxE1iAMy3FGOKS71NL9E4kSTbcQaUm7ERr2ayywb0GCzDqbhWOA2qfjH3+rkWTYnz05RXFePCZPbjx6kLduFJ7s9VWT8W6zbtwzWXDMTAnA6Kyoo6BOTasq54Kb3MAVouAFn8Q86aPxLY3DpuORVrsSx/M7DDPc3A67Lrg3LY3DiMoSsi08mgNSobPe5r8eODpD3D7ggl4/s1PsejKsbBbBIiShOwMq1YydN70kVpZT/Wc6zbvQtWcImx64WMsryhGv0wr6r363XvRuw1XVZbCYmG6HYn3LJkSExTUAnKiRNrtBVgtDKurypCdaY3Zraft1OeAiWMG48uT3tiy9k+2BYHVeVdOthUWgdf51pH91NZt3oXVVWVaXz8jfZ8/MBu/eWYPAGWx5c/vfKbsOM2yGvoRIVHSLQCqj/c1f7QvIEqyYTuRuxaWIMPOwyrwkBkD46DzEeP1TbtnyRQ0NvsRCIq4a9Ek3PfkB9r7XjVtBDb/eT+WXDseFwzKxu0LisHzDK/sUEokxiuXaxUYTje06nZ66/oERn22uIsc7Sz0EL0fsw0oS+YWmS5yRSbJ8YyDjXEx2hLDO65155LkmGpcZjsEfzBzFFp8QcPxc/7AbF3J3J9XlYFxwH0/KUdDU0DbQRgIirpd45ExPafDDkdWx2KNqWLnEzq/y+Xa63a7iw0e/8Dtdk9K4PWFAGoAHALwrsvlAoCjbrf7GpfL9WMAj7pcLjuAzwH8CADCOwUNnyMIwgDZOAB1/23TDPv+8QwAjBcIBWb8OGMcnn3VrTP2z77qNt1tGIqzOEjZQURHiV4IAZSeIKqmzBxcgTEgHOQ2eo+KWaMMM5+r5hTh2VeVXbU1i0qx9dW2ckL9smzY9sYhOB12hETjHa52q4AHt+xB1Zwi1Df5dZPShqYAygrzDbOTt2w/iJ37T+K3d1wW8/zyimL87YMvsHTeeAwekKUEoTmAE9syoM9VCQLi3BG5Q8OopFtNZSkCwRB+/V97YuxuZOaZGrCVeaZpZHmF0t9v0ZWFOHm2GTv++TWq5hQhv3+moa4H5rSV46icPRZ1nlYwxgzLzDGe6fqrqO8RCOoniVYLQ4M3ELMD5u8ffgGP14+Htr7fYT0bjfm+mHwSCklaiRWgLTty/a3lPXxlCsn+TolmWso8B89Zf0x/5My8LM3xMCuBpy7gRF5HzKIHxxmOk/omP+586C2ywX0EpT+wsR+h7nSO1HJ7lQ1U6jytaGoNYP53R8MfCBk+n2W34v/eO4rVVZMhCBxEUcb//k0JPkcmSqkMcio9ha+5bDgunTAENRve0d1L8nLtOHk2hNqoRUWrhUEKkLeezpjZYQ6c4T2+vskHzmGHzcIbPq/0D7Tj+Tc/xY3hygPHTjZqST4rFpUAgGkSn/r4Q1v3Yl144TpyzKx/cjeq5hRh5/6TqPMoO2aWzhuvO6ax2XhcpUJAjmgfnmeo9wZ09/Bl84tR7w1olSRqq6dq8RCzHU/fysuOqdxSVpiPmspSXZl01b8d5MzA4AFZqPMoVZSM9G238vB4faiaU4SX3j5imJQd6Ud8fboJFbNGxexI6Wv+aF+AZxwqZo2KidE995ob1810Yc1T+nnNAIcVAVEGJDmmh2RZYT6CQQlrH2/rs7vyhlLU3joVp+t9aGgK4KW3j+BHV4xBozegJQupiRJaG5+oHqpq78CFVxRq93v1OuPtXIq3yGGjROT0x2QDitkKYKKxKaslNpawuuqSGBt909XjdKXEVR9h6bzxGOTMMvRhLALDL5ZMQUOzHyFRQlNLCL95pm08qe2FzO4fdqsQHhPl7c5VUznukKjPc1H0Ay6XiwMwPJEXu93u/TCRg9vtfhdAUbLPEQShR5KMFyCCIQn9c2xYs3gyGAdIMiAIHDhRqfd916JJaGxq6wnYL9sKxjj8bOGkmF6BAGIyM+q9AdPdhswkKBYS5XD5lXJdmdBgnKCCmjlS52kBeEbORB8mulSVWs6Q4zjUVpeD52NLUCyvKIYvGNJ2fRiVuzp/YLZp8GHn/pO49vKRcPazYcGs0VpfEQCYN30UgqKIE2eaTYNs6vuo76my7Y3D+OmCCVj92Lu67FKP14erLx2Bem8AWXYL/AFRl0360FYlqHjPpp1aCV9OBtSSCKlcgoDoHEq52inhHaJRWc6bd+EXN08x1LEkAYxnugmZPzyBcxU4kWGz6BxudfHtwvP7GeraauFhtfConD0W/bJsKCvMh8fr095DzbIWeAaecfjwwAm4j3t02dc8YwBkpXwpOIRE2XQHjFr6Tn08WT0bjfm+mHwSEmXDTPZQNwZCk9mVbPY7KRpp06/aKyPRTEtRlGOyOtWyXJx2jZzpAs6mFz7Gqjh6YSaTvTMNPu29yAanP8GQsS/uyLQY2hyj8nZm1TIamgLY9MLHWF01Wff8zJIhuPbykWAcMHvacJw80wqOA3yBEP51yjB8cbIJu/Z/o+0eiOwd68iyYsQFOaj5j3di7iW11eV48a0jOlvx4ltHsGTu+KR3YhO9B55nkHnOsCRsY7Pf0I7+vx9PgiwD/qCEQFDUlcB9aOte/PLmKbjzRxPhbQ7g38NBu8jFkfVP7sa66qkIibKpH62eL7qKjVq6K79/Jh6tmQEOwKn6VmTa9Hc0dSFcTaAakJMBWZIVH0WWSc8pTggw9A/VBIs6TyvAKff6Oo/5jqevTjXhsglDsGX7QZ0v1OoLYf1PyhESZQgcB44Hbl9QjOMnm3C6vlXze5taArh9wQSt7KfAM4QkGbW3ToW3JYgZJUMNk7JVP0LV/B3XT9DtSIm8N1All/RBAFCQ74jxuWeUDNXtenY6lOo+mXYBp+t9kCEj027BnT+cgIbmACRJxoAcOzY+/7FOW+ue2IXbrrsYrX4R38rLxJK54yFKEk57mnR2WG3NcOsDb+Av7x7VFkLUhcOrpo1Ai99495Q6f1RaSzCAkwEZEEw3EzB4WuJXpCHSAJMNKLXVxkml7cWmVLsXCMqaHzH+ooG45jsjwTMlSeiBZdPgD4jgOA6t/pDhfNZuFRAURfy8qgynwgt3vkAI+QMywRi00vj3Lp2CVn8Qty+Y0Fa1IJyEZ3b/UGN6oiy3G1NI5bhD3Pm+y+V6KvynNeJvlWEA9nfHRREEkTxmASg+XFbQCEmWEQrJuqy6O384EQDCwdk2eMYgCMa7PCwWZlgmNF4p0vbK1enO3U7NcXKU+xaRtcQjyxmq2lhVWYr+OXatd96ZBqX/Ta7DqjjHHAcZ0PUBERgDOBjqtV+WLaJUlowWXwg/mDlK66ep6vHTL+pjxkFNZSk++OQEVleVIddhg8Az7RxqwI/joOyW4jhd37WfV12CytljdWX7IrNJIxcV68624MEte7Rx4W8nMM7zDCI4hCQJjHEQeCUxgMZO6mK2S0nNggaU31iWjYNoMmTUbHhXt3AOcFhdVQaBZ1gfVV7uwwMncN3MUQAQo+tl84vx2PMf4epLR+Cpl5U+UTWVpbBZGarmFCEv1w5Jhi7TtKayFABisq9vunocZEnG16eakJ1pNdQtzxBXz4nQXg+CvoLNwnDDVYUx1QFsAoMYSP67aC9YleyuZKPfycrH+gx331iGHLsAGcZ222phuobuMElKUjOWPS1B+IOi4THDznNgzWJld5UZ8fqoRZ+Pdp2kLxaBGeoxz5mh9ZPUjY+w766WC3vp7SO6csyqltQ+rHWeVgRCoragx8KlkddsfC9md3hZYT5umD0O//ZjZfFFLYWo2mNRlhAMiaYJe+BkzL3soph+sN7WACCDAnppSKS9djrsWDpvPM4fmA2LwCBDhmiQRLJr/zcQGMO/Pxq7uKf2DeaUYhUxpT4jF3EkCXjipX1YNr/YcBcVoFalYVr50JklQzB/lgtNzUGs3LBDd0+zWBhcBU7NP3p99zGsXToFjOPgbQ7i54/q5w25pOeUxizhR50LlRXmw9schMertG7Y9sZhUy15vD4sryiGLCOmCkuuwwpRBMSQkiw9qH8GAGD9T8rh84fQ1BKAIDA4Mqx4IqIc6fKKYjgdNgzIsRteZ0F+NqrmFGnnr/O0tI0vnsHCA0ERkAUGTzNVckknvC1BXZ/TZfOLkR2x69lV4IwpF7q8ohh/fHG/plV1rmU078vvnwWOAcGgiOMnG2G3Kl7m4mvGYeOf9mmlyHmeg6vAianfPh8cAwbmZmBgrh0WYRiefuUA5k0faei/gAOOn/Rqiym52TZsfdWNRVeOxarKUm1xXtUq49sWe9RYhz8oIgQrJRClEWYbUCRJBm9wvJkNlyTAYuXh8Qa0JPucbCt+tnAiGpuDuv7XKxaV4JUdR/HRp6exrnqqYVx6QG6G1ls7Msa9srIUNovS6mHX/m+QaZD8HAiKpvcP1Q8f5MzA5183Kj7FLVPAgQNjiPHv9fFKDpDllIk78GvWrDF98ve///14AB4A0wC8GP7bA+AsgPcA/Pttt93WavoGPUsugJ+2tgZgsv4BAMjKsqGlJWB+QA+QlWXDlu3uhI+//l9GJ318qn1mlZ7+PbKybPf02Mn1JK1fgXEoKTwPe9x1aPaFNGPnyLLA4/Vj7R934tnXDmP3JydQWjgYWVkWSBLwn385gO9PH4UrpgzDuBED8cqOz1A6djBaAyJ8gZCS4SZKsNsEWAWGe59oCxQ3+0LYd+Q0Lp8wBE+98onufV56+whKxg7G2OEDsO/Iae2allcUIyfbhp8/9p7uffa46zC9pACcwQeWGKdrNK8eP6NkKBpagliz8X1sffUQ3t/3DUoKz0OmTYAc74vrZSQ6LnqzfpNFlpW+TOCMtTHighz828NvY/cnJ3DJuPPgafThXy4ZhnWbd2lamTR2MLIsPASOQzB8oaVjz8PeiDF0+4IJePsfX+LSCUOwZuN72PrqIXx85DQmjcnHoWP1ONPg08658Iqx+K+/HogZB3MuuwgPPP0BnnvtMOrONuOWa8ej3uvDD2aOwiPb/olnXzuEaRefj9899w/d5ygZmx/z2L4jp7F47jhcWvwtDOqfibLCwWjxBfGtQQ789f1j2jhiHIf3932DZl9I+84GOTPw3dICCIxDfUsQq8Of5/1932Ds8AHgBQabwPfY2OlL+u0IRnZw35HT+NH3xuDtf3wFQAmClIwdjMsmDMEVUy7EzJIhuGTcYMyedgLqUcMAACAASURBVCGeeGk/OHD4aUUxpow/H9+cbsbG5/fh7X98hdnlw/Hsa4e0c7kKnLjm8pH45eM7sfXVQ6j3+vCzH0/C1G+fj8LhA7F1uxv//PQ09h05jbsWluCjw6fx6ZcejB02AA89uxejhw3A757bq7vWve463HLtt7VSHq4CJ34wcxRqn9yNZ189hANHz+KqaReieFQe/vWSYSgrPA91Z1vAMw6XTRiC3Z+cMNDzUAiMS1izqt1gADhZTuh16WZ/ZY7DL/+4U/fbfPTpKcwoKVBKBSSBGjCOdw82u3+b3e+B2N9JROx7fHjwJKaXFEAAYnyfNYsvQUtrCKsjrqts3GB4Gn346lQzXAVO3HzNeMwuvxDZmRZwPIefP/YeCocPhPvY2RidDf9WLn7zzIcoumggcvrZIMpKFifHM01/siwj0yZgekkBZpdfiO+WDsWW7Qfxz09P697rXycPhQROOQfHJaXf7qS36DeSnp4zGOHMyUTRiIGxvrhdgCgDQUnW6Ub13S8akoP/+O9/4vvTR+F3z/0Dh47V40ffG4MrpgxDsSsPjHF47vXDioYuGYqfP/ouXnjrM0wpOg/Pv/kpvj99FGaVDUVjsx9HvmzAwJwM/GDmKKx/ajeGn5+ry9ZW7fFlEy6A1SKAMWN/YWbpUKyNshUff3oa35syDCfPtiAz0wrG82AWhqCkHw/t0dW/Hem3a4i01wNzMuAa2h8AkGmzKAlkAsPY4f0RCin9WGVZxuSi8/CLx419k7qzLVh45RjUbt6Nb4/Mw4tvf6Y7X7MvhCumDMPHn55G4fABGD2sP156+wi+P30U5l42At+bPAxbX1X8DdUnf+b/DqBi1mhk2njMLB2KU54WXd9i9Z429sIBGDdiAN7c8xUGOTPw04pi+AJKX9jo49u7JxnB8wwS42LuBR0hhfQLJKHhjtIR7XM8M7RTV0y9EBNHD8J3Jg7BPZvex5EvG7DkmiIcP9GI0cP6Y/bU4bh62nAUuwbhyT8rffiafSFUfHeUrvShqoPLJw3BmQYfTpxpwbY3DuGiC3LBGNDUEkCLL4Tf//c/8fybR3CqvgWVs8fhOxMvwNgLB+Cv7x3FeQOzMKh/puF1jh7WH2v/uAs847BsfjH+8y8Hse1vn2LX/hOYdUkB6r0BrN74Hi48PxcPRdnrRPTZk/YkFfULICXsq9nc7XtThmk6ufma8Xhk2z8Nbehf3z+m/X3060aMvbA/ZpQU4Nsj87Q5kmuoE7kOG07X+/D7//4nXnz7Mxw4ehaTxuTj26Py8MYHX2CQMwNXTbsQJWPzIUoyTp5txf+8fggFg3OQYRfw+u7jOPp1I26+tkgXt1t1QylaAyH8dsteuI95MG7EADj7ZWDSmHw88dJ+lF98PqZPGhL2ewuQZeEREGVsffWQtrj5yLZ/4oW3PuvROF288ZGK+k21GIQRZjZZjTVF3h+tFgYZxr7m9ElDcPykF8+/+SmumjZC00vxqLyYe7UaS/jv1w/jOxMvQIsviGkXfwtlhefh6NeN+PueLzFpTD5sFgGrN8bGmi88PxebXvgYt113saHfMu3i83Fp8QV4bfdxtPpDWDrv25hz6XB8Z8IQ8AKHw8c9WHjFWC0OsnP/CXy3tACcKBlqWp3PDhqQjZYmf7fpPlkNx01Gdbvd9wCAy+V63+12/19nLowgiO7FbJeDPyAZ9vFbMne8eS1nAC2+YEzvnH5Zxrs0REnGzv0nsXP/Sd1zVXMkOB02LJ03XssecjpsppkjZhny5s2RjXsOUrmtvoOZNtQsODXTOF45QZ7n0NQYwPond2uZz4MHZMHT6Icky5gwOj+mh5ZajnPd5l3aYwLPGY6Day8fqcuc9vlFLJ47His3tJXfsluFmM9h9FidpxUDczOw+rG2rKiaylK8/M5n2vOiJMPGYks5tZUg4GJK6qg11Avy+1GWXopipvWcbKVUc1lhPipmjdZlwy+bX4y/vvc5FvzLaDgyraZ9SiDrd1PNmz5Sl7GvlsO96/fvxJy/qTWAH18xBhzXlvlp1tdHjOibOW/6SF1g2umw45THF3Pfycm2IRAKYdUNpVoSSuRuxAWzRlOGdBKE4uwSNsrcjPteaL/scFc0Ro/3Hpwsx/g+HDitr6R2XU8opXKBWL9nZWUpnA573J0Dqp1U+0Woz69ZfAnsFgEhSYIMZWLFyTJ4yLh+1mgc/bpRd2yDNxCTNU36TR8Y42LK3dssHE43+E13djgzLci0CzrbqY4lldrqqRjkzMBdC0vw+Iv7NJ9iSL7D0K7Lsqw9ZmaPM20WQJbx8o6jhtU8jEqbOh12NLXo5wcrFpWAA1Df5Ed+/0yt7LoRVNo/tVFtrdHOFMVO2uDzi7rf/54lk013aFXMGqVl2qvltZwOO+ZNH6n4w4EQGINut1/FrFHI75+FL+ua8Jd3j+JfJw9D5ZWF+KKuCZvDizhHv27EuupyrNzwTtzePQNyMvBYzQzdfcHs+GTuSdR3+9xjtNt+eUUxHnxmDzxeH34ZLoVf52nF3z/8AtfNdMX0PVMZ5FR29xnp4EyDD3f9/h3tNc+/+Sn+dfIwnDcwW9s96ipw4qppI3S7q5fNL8bgAZmQZWDN4ku0hR918Zox4JG7poNnHLytAVTOHttWjj2iFL6p/0xVBHolZv5rc2tQ8zfj9UJV/3b2sxnuFrRZeWz80z7ccf0Ew1LNv7h5CgY5M7B26RScrvfFVKv4098PY3b5cC1GIcky1t4yBeA4ME6xdXf97m2tWkH0PYExDlJAbOuPDeU1g5wZMfM8itOlF/HaN0RWb1HjE2/t+cKwLP3jL+7D3MsuiimlbBYHU3e0AlxMj9inXzmArAwLTje0mo6pOo957+1+WTZsf/9z3HdbOeobA1iz8T3deLvp6iL8+r8+1O3E7Y22OdHrDbpcrukGj/sBfOl2u4914TUR3UwgKCIvz5Hw8T5/CN7GVN3wSUQiihI4QHcjhsDww++NgcAYGAfkZNvww++NUeqyRJTBANoWTNZVl5v2zjEs72nWE5BxeHXnMcwsHQaeAaJkw6s7P8fs8hEoK8zHjJKh2sLk67uPmTZKNWusyrqgRBzRO1EDSQzGpeDU/iFA++UEZUALftV5WrU+e2pZQ7vN2AlRnXP1nJJkfC2BoIjK2WN1JbWi+7YZ1R73BUKG73fiTLNubNZu3oWqOUV4bfcXKCvMB88Y/JIER6YF9982LRyI5CCJQECSwDFofQIiP4/dKqC+yQ+ecRTISEHM7ODAnAz8evmlyMm2aguAQFSprSd2YV31VASCEmoWlaDFH4IkybAIDMvmF8NiVf7/4YETmFk6DBYhtjyc2k8n+vyqI794bpFWV18yKUkqRJTKi570zps+UitRqV6/uuhiFRgybTxqq8txuqEVDU0BrdTY0a8baUKZBDxn3sM3WRJZ4OuKxujtvUek78ODg98k0YjjgEVXFmqTOvXxdZt3Yem88bhn0048/coBVM0pQsFgB46f8Go6U4+NnDgqPVz8eGhrW7AvslS5xcJ0SVAWgddlhFNAJP2QJDmmdO3aW6bEXSwXRUkLmpn1IclzZiglaXlOl9DxiyVTDP34NYvbFmbM3pMxDqIk409vfgZvSxDrqqcCAGQZaGoNQuA5reyiSsWsUTGfZf2Tu7V+V8sripGVlw2YlPZvDio7sbQeLe0sGhLnDp5XMvXv+0k5sjOseOqV/brfecv2g1g8tyhmfmjWDzu/fyYkGZpfsGv/N/jZwknwB0RdCa4Vi0rw6s6jmp29Z9NO1FZPxbrNu+AqcCIYkhF9e6rztGoJpWb69gVC4BnAiTIgygiFy96aHZ/MPYn6bnct8cqKq8/5JRm5DivW31qOkCjhq1NNeOrltvvz16ebtNhCwWCHtmAHKL/PS28fwbL5xWhqDaBflg1NrcY6aGgKaK9RfWi7VdD1ojRa3IhMOK2pLMXyimJYLTwybRYERREWnsdvt+yFx+vT/A01sCzLaNdeJ6NPomvoit6MZv5rdoYV2ZkWpX+aSTsSNZahHG/BA09/EDNHuu26i+E+7oEoGff7ZhyHe5dOBWPGPa9/ecsUyDLw4DN74D7u0eIfQ/Kz8este3HnD5Wkiao5RYYLemtvmYIMK49goK3Lmbo4ZFZin+J06YFZucuAqN+kMaNkKLZuPxiTOLGyshRWK0O9V7HJzn6Kfre9cRju4x5TWyjwym7q6OT+h5/dq7UCUst6mo0ps5iGp9GPiWMGIxiUUPtkbML8uuqpmDd9pHaNvdU2s/YPAQA8DuAv4f/+M+LvrQA+dblcH7pcrpHdc4lEV2O18LjqzhcS/s9uIzPdm7EIPEIhCWs2vodb7nsDaza+h1BIgkVgkGSz2swmNZ5lGTWVpVoGnboLySIw3HH9RN3jd1w/EQLjMHHMYNyzSTn3PZvew8Qxg2G1MFTMGo1NL3yMmg07sOmFj1ExazRsFgaLlYfM8whxHGSeh8XKQwCwKuq8qypLtZ6DkWjGmEhb1Azcmg078MDTH2J5RbFOG8srirHtjcPa8YOcGbBYeEOtCIzpJnYqdR5lh5XVImgBjujX+gIh7e9l84vxP28cwopFep2urCxFVoZFV86gztOKb0436d5z2xuHYz5Hv2wrVkaPt0Wl2Lr9UOSloM7TioLBDlxz2XBUzBqNmg3vYEnt66jZsANfnWqCKMlo8AawYsM7WFz7OlZu2IGFV44JZ1HpP0+m/f+zd+7hUZRn///MzJ6zm2QhEFABzwE5VA4JkgRtQelbRUF5XwkeILYBIR7wUIWgLaBIwB6stq9axQrYt2L7Ws/2/SFYrYACKq2IGDygoEAgYZNsDnuamd8fszPZ2ZmF0IJS3fu6uC6yOzszu3M/z3M/9/29v18nT67+kARZO95M31Sl+xeCSmNzhMbmyCFRb4mEQv3BNiSHiCSK/PqPf2fOb9axcNmbhFtjNIcjnDusDwuXvclne8MWn1+7+XPL9W+cPJRN2/Zy8ejTmPfgemM+FwWB26eOMI4dObCQRTNLScgqd11bqmm3JIN73TIhYRubI9z58AYamqMIgsqc36xj8fJNFhRe1rpmQrLrIv05Cl3dEaSYntxItfQ1OJPfGkVCSUSVxOSaLyJJ1huxO8edPxxpSSLoa8OXB1pt78spijgdoq2fndDDT8+gl7pdIZY9txVVhWXPbTX8TD9HLC4zr7KE2uoybpw81JIQX7x8Ewm0JPGCR99i4bKN1Dy4noXLNnKwxX6MZv33m2PNbVFLoi0T4jj1ubskrXt/7ebPLeOzprKER5/dSvW9r3Lnwxu4sOwUXnjjE4IBD2Afv0gp8bHe4Zp6zrnTihEEFVRtfl6zeTf1B9upP9jOHQ+t5+b7Xmfeg+uZfEERIwcWGp/r1T3nkOvM/au2EJdV23GtSgKhpEZLzYPreejp9wiFo6hSNmb/uq0zrl5nxAUXjz7NFCeOLe5HPG7dH65avYOatNj3x1cNpz0aZ96D64y44LvD+6CqWObMJSs2UzKwt3E+PVGndyMue24rM5e+yrLntnLtZUO4Z5YWQ4jJ9cfOv2+5Yjg9u/lwJX1LkrS4J9Pxd1xTckRJ6UMBYLLWNdPnCNXRuafT9y6h9rj2zCTze7f/eh0JWSEUjrJw2UbT+rz+H3uM3EIoba3VO/cWLnuTOb9Zx/xHNhCNySyYfo4lFkrdP+p7wUgsQbg9ZhybKV5tj8YNcGZbR4LbHniD6372Kjf98nVa2qKGNlsqW83zf/sEUdSK7/MqS9i0ba/FP1Njpqx9NZbue6l+eSTnkJJru/V5qjhUABVRJGMuw8ipZYhdHcn7icQSTL1ogCm3NvWiATS3RbnjofUmJpbUzx9sjvDT327g6gsHMHJgITdPGcbazZ+zr7GNSWPOMADOmXw+FI6SUv8DOotDBXneDHsELTZAko7o98za8WeyrCDICj2DPgRZQZYVy/oY8DktXX76fsnrdnDtZYO1XPGStSx7bitXX6jlqOxyYzWVJSiqSmtHzN6fWyIsePRNvB4HN08ZlnF+X7v5c+ZOK7bk2Hr38PHO9n3G+dLPH4srxj2OHFh4xPvZ48W6up48BuQBP62rq+soKiryAguAFuBXwC+AB4ELjsVNZi1rWeua2SGW4nHF6HKCzg1XbXW5sYGyIixE29clUUBRFROyXVEVFEXF7ZJMr7tdEirwzvZ9zK8alewEhDWbPqN39xwLvWLt8k0sua6clraYpa28IM9tRdQ7RSTs29A1ysOsfVMtFYG7P9TBype2M2vSEE7sEcAhCkTiCULhCNAZbDsdArMrhlrEg0UJHNj7ezDgprktxqrVOywUcRrCLUFtdRnh9rgh9H7lDwawYPoo2iJx/F4n735YT/FZvWyTJqm+GwpHcLsk5k4rJuBzEU8oNDZ38O6H9QatmKKAKAnGd0u9170Nrfyg9BSDpg46UUsLpo/KSP+ZikR1uySWv/g+P7xkkEZrl6UFPa5MlhULzZxL0ua6fr0CqGRGkvYMetlV38qy57ayuLqMxqYOA53/9KsfJRHs5dQkKWrtaBGnjOtPjs/J/KpRtHbEjG48O0T0fU++y00Vw1g0sxSHQ6SlNWaiUJxXWUK3PI+Jgi5T52u4PW5sFhYnKfGOFCF9NNC83xRTVXjhjU9MaOEX3viEGZcOOeIOhkxUMKlrcCaqcr37qSuUanbnKMj30dTUjiqJxmsyGtI5GPDYztkSKmqGTkiHKFJbXabNs6KAJFm/2x3XlJBQFEOrden15YdMBKe/lwl5eqQo0qw/H79mR6F5uOcuSSKN4RhPrv6QscX96F3gM+IIn9vJype3Gd14egxfNWEwAHszdGG5nKIR89TtCvHCG59w14xSRElDa4fCEfYf7EgmDs/isu+dTjDgscQQS1ZsZsH0UUw873RDJ/xQ6GodMBiKxFmcQt98R2UJ/hyXLcvI4uqyLqOSv012rMd56vlVBJ5c/aEFVZ9Ke5/nd9HSbvXlUDhCQlE6Ke9jCfL9Hu582MpMcHcaC4b+nk5rrheon3qlzpYyvLk1Qq9uOfzokkEoqIaPP/HydoPK3+WUEEXY8I89nDOoN47kOvPk6g+ZO62EJSs2Gcf3LvDT3BrF73MiJ7r+2x6NDvdvsymKaqz9ehdxenJ4SXW5sedJjVdD4ahtl0fZd04wcgvpHSSZ4tTa68oNv83NcbPy5W0W4E++343DIZBIKNxyxXB++Yd3MnaohFqixvmDuW5ND9bnRFWhe56X2uqyZO5E85GivkEmnnc6Nf+9zpgrdVYOnZLRIQpIqppd479i+1e7fZ0uiY64QntHHJ/HqT1PtL21lHz++hi4ecowVr603fDFRPJZ33LlMATA7ZJo60iw9Ppymltjpi4k3RdzvE5Lvk/vFNwf6iAh2zO06HssnUEg3B7lv84/k45Igt7dvYQ7YsyrLCEUjth+vrk1RjDgwZ2SM9DXFrs4eu60Yh559j02bqs3YoP8Y8A+lL5+KlmAxldm6etjuD1Ont9eUioas+ap9dhj2XNbcbskbqoYRkG+l3hCIS4nuPOhDVRNGHxIf7535dvMrhhK1YTBdM/zEPA5eez59wGYXzWS3t1zkCSRW68chqJoRXRZVXj+9U8YX34aCVlhftVIVq3eYawJ2vljVE0YjNMhMmvSd1AUlais4HJJNP0byT10tQg4G+hdV1eXAEgWAu8E9tTV1d1TVFR0K/DFsbrJrGUta4c3SRKJqiqJhIooQEJWkR3aZj9TksrlFLnjhyUcbI4YxbVueR7cLpGayhIjmNaRFy6nyB9f2cHY4n54XBBPqPzxlR3MmDgEWTFPcLKiIIoweuhJRru2vviTgZoxo8bfdeUmCi3QJuIl1eUZk4tZ++ZaOsKobleIhcs28kjNWJAVcpySxSciUdkUYIfb46x8aTu3XjkMtyhY9MZmVwwllpAJBtyEwhGDIi7gcyKK4PU4cLsk9jW2JTelEW2MSALNcZmfPfE2i2aV0a93ntGVot9zUd8gFePOJD/gZtHMUkJhjRu97rODDDy1gDseMmu6tbRFqV2xmdunjiDf786oWfXDSwbajitRsB9vJ/YI8NCcMTgdIomESrgjxtjifrR3xKldsfm4Dl6+jaYnilM3Uwumn0M8oXDP41rhQ09QpPqGToH0xMvbCQY8hNvithz6ckoHeN2ukOHzJ/fO1RJbgko8rrJm02cMH9CLZc9tJRjw0K9XwJSg0ZHOwYCbA00ddM/zZpjXy/B7nSycMQpRFPC4JW6eMsxEm5uqE7Q/pNGA2hV3DgX8yGr3mE0SYfIF/VmyInVTXqJtko/wXIcq8KUfZ6EqJ3OSZUl1uam457A5B2B5rqmaQKlzdo+gD0FQSaggCKrtHIqgIiQUTRdRBkXG+t0kkdt+/YZxv5mKOw5RRAVLwkbvprXTz4hh/b62zy/rz1+7Hao443RYi2SHeu6yJJGQIRqXGVvcj6df/YiZk4Zw832vA5oWYLrOsF40URSV5S9+YPHnmmklNLVELTHPfU++y+1XD2dfMjmYGpe7XZLRTV7UN2hotoXb47hdIsFcrQCjqiq3XjmcX/zPO7bzdM+gF0kQjAKgfr/3LN/EoplltrGIonSdmujbYsd6nNud/8bJQ2kKx0xd9qmaw93zPKgqFv3I2RVDicVlk4blw3PG2D7rVNo73c/y/C4K8rwsu+N8rTgUjnLZ904nP4W23laj8JoSenTzmgCi7dE4bZE4f1qzg2suHgQCJNTOdeaSc08zjg+3xw09udokFW5XrSsAmKyZLXXebGzuMIrOmTqMVFRCLTFLvOpwCDgcDguws3eB3zhPOpAtUwJaVlSjAFnUN0jl+LNMOr5zp2l0dZFmmV7dfYTCUWZNGkK+320ZB+nzYF6Oy6Bv1MfJype2G0VN3f+fff1jCyirasJgnnqljvc+bjBRjGftq7N/Rc86Fdhz8ejTTIAY/Xmmxr/h9jihcMQ0h/YManPbqtU7mHnZEIOaMHVvN2Vcf/IDLmqvK0OWVdu9mN4p+Oe/fmSZs6x7rCgFQS+yrCLLKrvqW1m7+XN+NGEQwbw828+/8MYnTLtoIO0RmaDPiSgJdMQVwu1a8fP1d3dr9KI9/ajAipfMoKZ7jgGNst36ducPR5LncWTj5K/A0tfHtZs/50eXDLLNhQkZclR9ewWomjCYP63ZweQL+oMALW1RgrkehpxeQI7XQc20Esu4SPVnURBY9txW5lWW0BSOMOPSISQSCnsaWrkvSc08d1oxuTku9ja08X8bPuO7w/sYgOj0efu2q0YgiBj5j6kXDTDWoPlVI421Sr/+8UwR3tUiYBtQDLyZ8tpwoD35/+xoylrWvmYTnCKtTRFTQDp3WjF5frc9WlEScEgCqoIpwJ5XWUIsrrBq9YemoHTV6g+ZcekQQ4fEnDyDP60xFwf/tEYrDtp2IV5nry0oClYdqv2hDmTZPhBLKCqo9snFrH1zLR1hpAcSAGoy2BVkxSJSbRdg6/p5eX63KTGgL/g3XH62sdFcvHwTIwcWMvmCIn6S1tWUH3ADEInJdA+4uPeGcmRZSwiqqsrtU0dw78q3LUHDyIGFVI4fhM/j4OTeAQsKX9eY0FFNi6vLNUT/taWEWiJGF2LdrlDGhLSSpPtK1+D88kAYn8dBPKGaxvScqcUEA57jOnj5NppdwaQpHONXq941Ch+yonVq+30ufG4nbpfI2OJ+ho/MqyyxcNw/8JTWFapTZaUWAvXOwdSAuKayhL+9u5vZFUPxuZ385LcbTOuB3hXrdIq8/cE+Lhh5smX+DgY8tLTGTcH7vMoS8gIu5leNQhRhX2ObSY+tZ9BLY3OEp1/9yLQ2BXIOjeDPaveYTVbgqVfM6/tTr3z4T3UCQuYCX9fuxX5tj8uKya/skt+ptIt6Mg06E8x1u0IsXr7JSKToXc+LZpbad0JOHHzY7xZNu1+7jtk7KkuIxBMGcCk9YaN183bqZ7gkgYNp6NFDIaOz/vz12uGKM3k5biP5EQx4qBh3JicU+PG4JJZUl5NIavS6JIFwJEFLW9wC3MjxOJNsBB5yc+xj+NwcN/saWy0gJaMQ0pGwjXmUDHSMi2aW4nO7GDmw0BLnz5lazB/X1HHx6NN47Pn3mT5xMDdVDCOY68YhiRxs6aBy/FmGxp+agaI0I/uIKGQrJ2l2rMe53fnTO/96BjXN4cfuPJ9wW9zQHB45sJCFM0pp7YgRShabK8efZZy7Z9CbkZmgKRw15sN0P0tNtt04eShCyjnsOrkWP76Ju2aUclJhgHhcISh6aGzuMHTiLvveGRTkeU0Ap5UvbefqCweYwEb/TPGuqwCYrGl2qKJzpq66qI0m0wNPaZ3Djz67laZwzDTviYJ5/de7PU/s4c/YBYXa2U0K4HKJFrajhKwiIIAqcO/KTk22or5Bg4VGFKGxucM479xpxVq3dcq937+qc3zd9+S73HD52QRyXLZ5lbZInO8O78Pu+taM4z7b6XRs7V/p9tXn10w6evq8oWv49cj3MGdqMUtXmnN4L6/fyaQxZ9iOA71r78sDcURBsAVQhsIRo0v/vY8bmDKuiFmThlDYLYf6g9Y9ltMh0pY2Tm+fOoK2jgQHQhp1bdWEweT5XeTmuFn91k4qxvVHVRVC4QgF+R4amiOmoufNU4ax/MUPjDXCDtR0tDUC7da3Rb/bmI2TvyJLXR8VBVRUNn+wz/Dx1FxYpo4+WVYJ+Jz8x6iTiScSfLk/wvp/7OHyC4oYX36qEWPrHf0HmyOseOkDkz93S2oMvv7ubs4d1sfScf3Ey9tZsmIzd80o5Se/fZN5lSWW8aqzVew/2EFB0MOcX2vnqJpg1kf2++yBJoqCBi49zqyr4+2nwOqioqLngd3AScDFwA3J98cC/3v0by9rWctaVy0eV20Lbr+86Vzbrj6PSyQaU2w3mYtmasjj9IX6RxNUy+T4wFNbnZXTuQAAIABJREFUqL2u3DaIlTNoC8qKakvNqGuY2AVcmQqZWfGyb585gAXTz6H+YDt+n4uA18XjL75vUEvYJYztULs1lSUGJcXS68tZuGyj5VqF3XIIhTu4+9pSmlqj+L0uixDx4uWbmDVpCE6HlETEnWV0Z+nXuvXK4SyaWYYoYiRSQAuGd+5pYX7VqIy6Qe3RTpqtaCzO5AuK2NvQakIcgdZtkI6Kml2haX1VjOtvmgP0zUXFuCLT/ewPdbB05WZjo5oV7z5+zK5gkh9wm14TBcHkx3dfO4q1mz83ujqCuR6CKeh6SOqhFfh56/09FmRzTWUJL6371OQftUlaTllRjWK4/p5eUHQ6JB59diuTLyiypfmsGHemZVObSgt185Rh5PpdZlrfa0qIxhJUjj+LcHuc5S9+0CUE/7+C5v0mmiyrGdf3r/r3yLS272loPWzyW6ddTO0QCQY8trHFypc6kaGPPf8+U8b1N9aCkQMLNWo5RUWUxEN34aXdr06zmErR65AEU7egESdVl+NAJR6TEYAePQIcOBAmjmSha74n2Q1pZ1l//nrtcMUZURQI+pzce0M5TWErvb0em8QQTSAO/VwPPLWFRTNLuX3qCKIxmTWbPrPMy3OnFfNFfTNn9O3GvMoSnlz9ISSvfWIPP3/ZsJMPdoZsO14TGXSBQuEosqxSOX4Q8x8xz+tLV27mhsvPJp6QmXbRQBRFxeUSWf7iNiaed7op+fjjq4ZT4HZkiNk1fe/0grdEFsCXbl0Z53oRAAFQBRRFQexiMSrT+VNpOedVliBJoCiCyef1uFWPE3sGzRrZsyuGklAUy95zdoXmf0+8vJ0bJw+1xNJ6QvDpVz8intA8YtHMUh57/v2M3WLNbVEcksi6v3/BgFMKCPicTBpzBms3f06eXwPnedwSS68vI8/vQVFU2iJxg15MEvmni3f/CgDm22aHKjrbgWnmVZbQFonbPvPG5ohBV+iQRKPz6farh5vW/1A4Qp7fzWPPv09T2MoiUVNZYrxXNWEwp5yQazCx6NYz6GVxdRm/WvUut109wnI/8YSKoih8tlfrmJo5aQh+n5OnVtcxtriv5d4DPqcBWirs5kMSRUvXtA7+fOCpTRn3YtlOp2Nv/0q3rz6/Zpq3FAVcTpEZlw2ipTVGJCYjinDPrDJa2mI0Nkd46hUNeON2SbbnEAT43fMfcMsVwwzQnP6evhfL93toj8YZObBQK9ahgTBXvLSNi0efZtpjza4YSkG+l9vT4tdwWwzobBjQ9w49g15qq8t5cd0nPPP6p4wcWMiJPQZZ/FkveIfb4zgdXw2NcjZO/nrMTDGeXFtVBadL4pxBJ4CgUpvc2xxKfmR2hfZ3KBxhdsVQHA6Rj3c3cWHZKXy5P2z44v5QhwHwXDijlPyASwMU+13k5bj525Yv6Nc7j++POoWf2owRfX4VkpvL7nkeW79pbo3R2hEnGpWN99PHts/ttG9wEQUktEaEhCAcN4ChLo2Durq6lUVFRW8Dk4ATgB3AqLq6ug+S778IvHjM7jJrWcuayfRJdn+oHZJJq6iiGIgiHd3+9KsfEY0p/O3d3RZdvotHn0YiQ4ddJrSulKFTT1EyFwczneevb5vv6ZnXPuKqHwyw0NndcsVwk7aJqWj4T9CXZe2bYfG4YqGI0WmM7BLGVtSuaBQAITOtm1MS6JbrRRDA7ZQyChF7XA7ue/JdqiYMpv5gu4US4Bf/846BLrX7vCQJGe/B59Y2jaFwhC8PtLN9ZwOXnHeakfwbW9zPCHjiiswNl59N9zwt8HBIAnsb2gwNK/16S1ZsZtakIbb6RfrG5VgE5t8Es5t/v4pgzq5gYse7n/q3z+tg8gVFFuouHSkPyU4Mh8ijz23j/OI+pnk5xyvxzOufmu5DT8IEMqDeCrvlGDpUO/e0cE91mSWJfUIPf0a/0zeNd19byg2Xn43LKREMuEHAhC6dM20EuT73YYs3We0es2X8PYSvHh+bKcny0NPvmY6z27zrtIupHSL7Q2aNWFGEVavrTNSGT7/6kUafVF0Ogkq4LW7Sq1ww/Rw8TofRsZXqV6kAFL1ToGc3n0nfJJPmlaKoJESQk5tAHbWfyACWSmRIVmT9+eu1riSXZFlBRTx0J5cAPZIo+XT6LllWEQWBWFzm+6NO4fEX3k/r3K2jasJgdu5ppmfQaypq62PonMG9ee+jBu6ZVYYsK0acfd6wk2z9p7k1RsDnzBjjFOR7mf/Im6Z1ZHz5qUYBUD/u579/h9rqchbOGMW+xjZWrd5hJHIEID8Zh+mdsMdDMuR4tMONc70IoNPNpRdQDkcbmun8eTlufjt3LHuSQLNQOMJdGeY0PU7UATq6RrZBnXX1CMNvg7ke7vvDu1SOP4u6XaGMfhbMdVtpPytLyPHZJ9iaW2Mse24ri2aWmubxudNK+N+1O3jv4wYWzSqlIM9HPCGjqrDhH19y3rA+eJ0C8ZicLd59BXaoorMOplk0sxRBEBAFAVGCvQfa7bv3gGhMNvY1+nzkkERyvA4DlCMrKnFZMfZ5T7y83dgfuZwikiTQFI4d9h4TCS2/EmqJGvdjR0974+ShrFr9IdMnDmbMiL6IaRzHWie2avrcL2afa3vN9mj8kHuxbKfTsTVJEpERCOQ4qa0uR1FVJEHQACtdWK/0+TVTl6umwioSicqmXMbsiqG4nBKLl2+iqG+QeEKmsJvP9hwNTVqhOxOdYq9uOfxq1RbyAy5+dMkgYnGtq3Xbpw1MnziYcFuM+VWjaI/GjY7um68YZjmXx+Uwzpl+jVhc5oOd2l5ybHG/jGDm7nle7l+1hRmXDbLk8+44BjTK2Tj5q7dUndfUtbt7wGVImejsGCf2DBjPxiw/EqChSWMSuqliKE6niEMSqV2+2QAO3TzF6qNa7hpLLKzrC0887/RDxjCCAL+65TwCPpet33hcDvL8LmSls9s8fWzH4glb4J0gQKgtzuLl602/y9ct4dDlYniy4PfBMbyXrGUta12wTFRE+QG3iWZQDyYUVeWZ1z+1JHIvLDs1c7FPEo6oUy8TjadDEiydSTXTSnC7RC4+91T2h9qMRNrF556KQxLw+5wmKg6/z4msqLZ6bj++cjiuQ2izHOo3PNLPZO34sQTwhzS62hfe+IRJY84wxoWigHgITamEopg6YZ5+9SOLHtnsiqG0xxKsfOkDNm6rZ+TAQmZcOsR2DOhCxIGkbkOmQmGmDYHLKRr6aKmJs3mVJax8eZuG6EtSjp58Qi6qApJDsAl4Svj9Xz40BMMPVXjs1T3HtKlNvZ9ILJHVN7Gxr1OPy65g4nCY5+q1mz/np1UjOZD0tzyfm3kPmTs9n//bJ8yuGEpza5RILEGu34WQpFFas3k3azbvBrS/51eNsk8U+t04MqwHX+xvNWkKybJKbo6LxdVlxBMKLW1RVNWeKkynrdGT6noB0OdxcMuv/mYcHwx4iERllq44fFCd1e4xmyQJzJk2gpbWmLHO5vpdSJKA8hX/IHaUapIkGOhk3ew27zrtYjQumwBQCVnrhgEVURC59Huns/+gpl6Q53dy+9ThRoeW3nma6lehcJT7V72V0a/iCTMA5cdXDTcSiftDHexpaLX1bRWVmgdTKE6vKSHf70JS7MeCKGJxUEkSUSUh689fo3U1uSRJggXotmbzbmRFxS2JyaSAVcskFI7g9TiIxrXk4M1Thtl27laOH8j/vfkZleMHEo3HjI4aHQi1aFYZxWf1smgMv7N9X0Zdn7HF/Yzvk/799jW2mdaR+1dpdGR2sUVDcwdzfrOus4Dj1eIiCYgn4zC9Ezbrs/Z2uHWrK3RzhyoG2J3/1iuH43KJzP3NOtNz3ZthTuuR7ARBULnpl69brpFIKEanYNWEwYTCERRVZX7VSIIBN/OrRrJq9Q4TIMnndho6avr3eXL1h1z1gwGWfalOM6cn5FI/s2SF9tsAtLbHLQwH2z5t4JxBvbMFk6/IMs2bBXleHqkZa1AkpyaLb7t6uAUYPLtiKD6Pg9//ZbtlPlpyXTmogom+ftHMUtN1BUFgwaOdYIZbrhiOz+ugsakDVVV58PYxRGMJDjRFDL33vY1tXH3hAF57Z7eR5LWjp9U7Sw6EOvjVqneZV1nCpeedyjOvf2oqVOp6qgCNzRHb30Xfm2Xai2U7nY6dSZJIU3vc1LE+u2IowYCbHGfXiP30+fXJ1R/aFgYee/59ZkwcYqHm1qkHf3HTaARElqzQxoKd9tkLb3xCzbQS6g/aF8t3728F4OLRp3HnwxuMAsyw/oXIisqqV+pMcUXPoEbB2DOoUZHr4Lk8v5twu32uYN/BNmZcOpi/bNhJwOfMCGbW43qvy4GAwF3XlqIqKg6HiMehgTGOptmtb3f+cGQ2Tj5KZpdHTZVogNRYpJzFyzcx5PQCJo05k5a2qIm6GTrlR3TpBt30PEQoHEESsS2+6cclEqrl+ktWbGZ+1ShaO8x+qcsI5fvdLJpZyuYP9nHOoN5EognbOENRFbrneQi3xw12gk3b9nLXjFKa26I0t8boiMoZpCaGHJcSDl1aJ4qKiroBPwbOBvyp79XV1Z17DO4ra1nLWgbLREW05Lpy22BiyXXltnpgkqih0e2KfSLg85iLcT6PVoxLL5TcPGUYCPaaY4qi4vNKLJg+ClEARQVJ0haN1va4Bf2Ul+PmrmVvWSb22upyez03SSDUlpluyc6+ziR+1o6SCdjSz+Z4tCVNT7g+8uz7RpdcQb4H0SERTyaIXZJo8dmclAJ0Kpp5ftUoJp53OoqqEonZBwjLX/yAnkFNpydTcSQ3x43HJVl4/2+fqiXkdVowvVie63ciioKRsL772lJUFf731SS6eWYpdy7bkBbwaIkPXYQZyKiF4XJIOJ0it1453NiUdian3cTjMgnAIYmmsZEe/LkkgZisfiuK6l3R6TkaIINM50jl2BdFAadDoLCbj7tnliKJAgIYyeP9oQ4eun2M6bkX9Q0y8bzTTUmQmsoSnA7RQt01d1oxG9770rKRml0xlPv+8C75AZct3ddf397NvMoSQ6elsVkrPE6fOJiWthh+r5vHX3jfsjGeM7WYR57ZCiS7E5PdsX/+60fMnDTEFFh73ZJlvVucgUIxq91jNVEQTetvTWUJX9duJJ1STUDsUpFLp11UJXsA1C/+511D9P3/3vyMpnCMqRcNYNe+TiqZdDqXSWPOsPUrfXzLCAbNs/7+z3//DjdcfjY/+a0mm75q9Q7b+3/s+ffN5318U1KbxWeb6HSkFWVTYxddB+OEAj9OSewyOj1r/7p1BVTgdEk0hGOW+TTgcyKJAmoyIZbaBfjAU1u49cph5Pk9xOIy7REt1sjz2xdLJEnksu+dYerO0wuJdbtCqIo1IaLTzG1470tT8uKFNz6h4oL+KCgoCjZrQQm//bO1O1cHEqYmDCOxBImkL+rj54bLz+bXf/x7NtY+AjvcunUourlgwINKZ9ex/rl0qq5ueZ1a2KKogTAPhDos57Ob02ZXDOVnT7xjdApminmXXl9OMOAmoSjUXldGuC1uS9esd4vG4gnL9ccW9+PuxzaawB6qCt3zvIYWZa7fRVHfoAmAFMx1c8V/9OfzvS2msaZTmh9uzXO6JGIyBtWzS+KoJ6u/LWY3b96RpJvVpwOZzvg6GNCoWwvyPbb7sruuLWVscT9TB3U0JvNkEiAazHXjcztJKIpxXbvC3TOvfcSUcf0tzDJrN3/OjMsGEQx4SCQUFEWlYlwR7dEEd80oxem0Bz/n+V3E4jJVEwYTjctcVH4qF5afioiAnKTrTaXkt6PC0ws8uk62AJbupWyn07GzBFgo2u9fpdFr9i0MdClU1ufvGRMHg4DRcReLy0lf6m9byA0GPKBiAnDuD2mdoal677F4gv8YdTKBHCft0bglN6fHArrPBwMe2w7rKd8vItwWNwCXn+9tZskN5STiCqGwFh88+/rHTBnXnznTRrB0xduW3EcoHGHhjFIamtpZu/lziz/PnVaMQxIMSZQv9rfx9KtbDbDysSiG2K2fBfk+Ghtbj/KVvn1mVyS/o7KEvIBoOyciqNx65XDy/W72NLSyavUOg7YzPY5WVZV5lSVs2raXkoG9CficOBwCt08dgZIED9vNmXOmFtvGDvtDHURiCXK8TmOflapHmHptURJYuOwtU5yhy5kgCDQ0taMoWsffzElDkGWVeFwhFpdZu/lzpl10li0rB8LxCdjo6rX/ALiBPwLtx+52spa1rB3OUoWEU+mtMqHCHA7RQgc3d1oxTqeW2M/3u03Fvny/G0kSyPE6cDtzksU7Nw6HgEMULKLZLpeIM8M1JEnkJ2mI0p5BL4ur7QuWi6vLbb+Doqq2NKGiKGRMyDsyJNG7ksTP2nFuqmCLwNS7luZVlvCXDTuNQqHdgj9/+jlM+X5/E73gXdeWsnDZRkOvQdcei8syNQ+uZ37VSBY+ag0QFFU1ks0rX95GUzhmFAp15F2qaHF+wMVdM0oRJYF4XMHtEi26fLUrOhNnejB/sCXCfU++y5ypxUBmJGi/XgEuP7/IoEYaObDQktSbV1lCW5ICZPvOBoM+xyGKROIJQxMgvUhuV0SvqSxh1eoPD6nJ+E2xQ82/Do4OyOBw53BIIqGIRgOWrsc0u2IoHrdkJBkamjtMiYKrftDfQt+mJcTKWZXWXfvUK3WMLe7HJ1+EWDSzFFlW2XewzUQlClBbXU4sLtMWiRPMdfOfY840kstrN39Oxbj+XH3hWYaPL72+nI3b6g0dFv16kiQYm8K504oJd8QMSpzmcMzo2OoZ9LJwhn0HSkJRcDgkRBFiccW2C/jbrt2jqKrlWa9a/SHXXjbka7mf9II3YJv8BlBTursVRS98CbbxhK71sGTFZqMj5P5VW0xUMumI0kz6Lfr4zkTd2T3Pa/wdCkfID7hYUl1OXFbY09CKKAmWTq79Ia1bd8Gjb1F7XZkprgoG3AhpibzU2GV/qFMHo7a67Bs51x6vdrjijKKoxGSM9RY6EcmLq8uMbpf0pPNr7+zG7XQYuiX6fH7/qk5dFD0BfuPkoTSEOmz1BPXuVkW1jw/ao3Fe2bSLsrNPoCDfS26Oi8kXFOHzOpLfT0VWFVPC0e0Sbbtzm1sjhnZhOjBKL8jsD2lUosGAJxtrH6Edat3KRDdX1DfI1IsGGOutHj90D7gIRxImKuPCbj4Ku/lY8Ohb3DWjlJ8+soGqCYMtxYVQOGKA5Aq75dAUjqKiGjHy/3tzp62e8MqXtxlx4Y2ThyIKgslngwGt4H3rlcOQRJFwRxSPy0r7qev06Ps1nYrxzoc7v+MtVwxn6kUDuOOhDYDmn91y3TTbjLUnXt5OQlZxSqJpTUkdxy6Pg4bmqCXWLQi4soXAf8LS5023y0FbR4zbf73O5KfBgMcoWNz58AZunjLMVq891BJh2XNbTR3UTqdoAojqer+SR6S2uhwV65w4trifLVjihsvPBmBvQ5tpbtMLdFPG9WfkwEJLJ1W+3024I2aiKk3fI6VS8utUqPOrRuGQBO23cYpce+kQDjS1s68hYYzVHKdkoibPdjodG8u0t/a4HLbajJlAn/r8rUoiC5e9afi17huLZ5WZ5rrzi/twYdkpzHtovYXycOVL27n6wgFGl3Q6EOP2qSO44fKzKeyWg9MpsPdAO5XjzzJ04O0K4IuXb+KuGaUs/uNm0xiMRBIsePQtk88/maS51Sl7m1qjLHv2fWMv2NIWxeUUmTKuv1GI12VKVEGlvrGDYMBtaGdefeEAA7B0rIoh6eunKGYjj6NhMoKtjvnS660SUCMHFtLaHjcBzfV41+vRmkQkUUAQ4LHn3zdYtyZf0J8lKZ2vN08Zhuzq1Bl+4mVN9qF3gZ/m1iiBHBeKYgW8jxxYSL7fTVNrlGCu2wDapesDLl6+yaA91//Wrba6jPuefNcAOf+g7GT2HGizxLwrXvqA6knfMYDakiQgiBCPqyyeVcaKlz4wA/m+ZsBGV8dcKdCjrq4ueixvJmtZy9rhzeUUbVHvmVBhsbhibMwgNRlRjijAypc/YGxxPzwuTeB65csfMGvSdzjYHLUU3Xp39xkooNRr1F5XnvEatkW9DIk0uwm8ZzCpbeYQTEkyh0ND1dmfB0IR+yR6NEuh8W9vmfzH6RSTWjMw4JQCI+CtmjDYkiTeb6Pbt7ehlZEDCy1dhnOmFlPUN2jQaqYHCI/UjOXeG0az50AbE887nXB7nL++vZu504oRBcHkh/qG9aePbGDJdeX8ZdNn/KD0FPsCviQaG9JZk4YYdEdLV2r0Bvsa22zHi6pidBoCxiZ1cXUZqgqqqhrBlj423E6BWERFRTWCf/0+UhN3dkX02iQl1cZt9d/4onqm+dflFFFi8iFBBpmACbrpm8moohWV9UJepmdQNWGwpaCno1V1atzf/+VDU3KuIM9r62uKohq+u/zFzkB14nmnA1pwfs3FgyzJmI3b6qmaoPlNMNdNc2vcFLjrGin/Mepk47o6XYxOWwedlB+6ptBTr9RxzcWDKOob5JYrhqEoKnddW0pjs6b5lsn3P9sbZtlzW00J829yUfqfMVW176RW+eqbAQ9V8BZkxdi8Y3PcnT8cSZ7HQTTDepBKzRzMdZNI6p+G2+NGF3iPfI+pM1tHfab7lb5Zy0ihnkwu6PcvyFqnzU+SG83/vm2M7ef0OV2RoW9h4JCdqln6r+PHMhVnJEnk830tuBz2iGhFgRj2HXoLpo8yOrT111OL2fev2sLd15by+b4wT7y8ncrxZ9leI8/v4sbJQwF7Ws9uAQ+Lk+tR/cE23tq6l9FDT+InKXpqNZUlrP/HHnbXtzJpzBkEc90snFHK8hc744abpwyje54XRVG5c6U5oXLfk+8a3bE9gxqVqL4mZf316FgmurmKcWfadjPfe8NoQuGohYHlxB5+Fs0sBbTOJjuU/bzKElpaYyxctpH7bjoXRVUtMXK3XDeLq8s0xhdBMGlu6z5+98xObcFMmmovvrHTcv08v1mnxy6h/cs/vMPd15YCGN9NllWDQi/1PmYlUfyioJh0BPW1B6A9KtvGurXV5d/I2ParsNR5UxCw3WtoWuWd/pWJ+k1fO/Xn6XE5SKR8rqhv0KBBTH2+6YW7PL+9tnX3PC/1B9ss+0QdaLF4+SYWzihl554W4/xzpxXjdon85LdvW/wmdY+kx+k6kOfi0aex8uVtRkFSA/eU84v/MQP8fD38SMnfMb2o6hBFXC6R9kgC6SvUKv8mWqZ8WiSWMCXu7eJXO01pMUnhHgpHTPPWipc+MGg+gwEP/znmTH76yAZbv08tFgsC1KcBMv+0ZgfTLhqI0yFQ39hhYhaaXTEUn9teFqS5LWo7Bu18vrE5YtB86zGG/ts0t8Y4uXcuXqfAjEuHEI3JiKJAWyRGLKaY7kcvpE+9aACxuBY9qVmf/bexTGDIuKyyYPo5pgLyjy4ZZMzB+nEPPLWFRTPLONDUzv2rthiAd/2YscX9jDyC/pn7nnyXBdNHkZvnMNjlZAVD9/emCq0Il8rUpRUTi0z00DdOHkpHJG57/5ly0Ppac/8qrdlgf8i6Ltz35LtG97dbFGiTZfY3RU25oluuGM7jL2wz8hJfN2BDPPwhALwHnHQsbyRrWcta10yRsUW9CyLcdtUIrW0ZbeK67aoRKMnOlXmVJdRWlxlIO0VRScgqG7fVs3j5JmoeXM/i5ZvYuK2ehKwaBUD9Gr/8wzvE5QxJKNl+QVBV1bgf3XoGvTgkMcPrAjdOHmr6Dnqg8ac1O4gntMArnlD505odoAqMHFho+m4jBxZm7BBM0BncpV9byiKE/m1MzPAMHYKAgIAsw2kn5rFoZhk/u3E0fXsFNIqNFLPTyVu1egc/umSQJbmwdOVmJo05wwjKLdeVRJrCUZ7728eE2+Pk+V381/lnkpfjtk32TRpzRjLIj3HesD6GPlr6eVP10Xp1z+HpVz8y/pZE7X7Tx8u8yhKcLoEbLj/bGBNFfYNs3FZPY3MESRS48+ENpuTM4uWbaI/KmhD6IRLNkDkRrSfcU4+XkijrhCCgSiKS1NWQ4/i1TPOvTtuX6fdBgFB7nJoH1zOjdi01D64n1B43fhN9M6m//9DT73H1hQMo6hs0zqE/AwSomjCYvoV+22t5XA6CuZp+ZN2uEG9s+YJFM0v5xexzcTrt515Qyc1x07fQz21XD+fK7xcZPpjnd9EUjuGURJZeX274lP5ZURBwOERUVbAE7g88tYWxxf0o7JZjfEZPMqbP8w88tcW0DomiBvz4yW83MOveV/npbzWE//RLB7H+H3uYV1liOcfTr35kPBN9nOlzf9aSpmLbSc3XsPfOVDRPf152xy363UZUSUAS7f1Snz97BjWdKb34F8x1M2Vcf5Y9t5Wbf/U3/rimjkUzS/nt3LH4PA5uvXK4ZU51O8XkHKZRqKe+/+OrhuN2STxSM5ba6nIK8txaki5lLtAF4+38VQM6oRU9VRUhmeBLt2zscuztX12zEsCi321EVuzXdL2D1W7edkj29HKpxeyDLRotft2uUMZ4pCDPywtvfEIkau9zKipV96zh9l+/gdPh4PvnnGIB8dUu38R/jT2T6ZcOwukQSCQU9jW2Mu2is7jvpnOpmjCYZ1//mE+/bKGxOWJ73wX5XkYOLOTGyUNZ/4899O0VYOn15UjiNyMW+LotlW6ud4GP2upyHq05nxN72McFCVmxjV2iMZkZtWuN/VrdrhBPvKxpsC+9vpzF1WX4vA7yA256Br24nA7T+hEMeGhpi9IekdldHyaekJFVxbbzmZRYN5OmWsnA3rz2zm7mV43ikZqxRtI71ZczFW4QNNR+1YTBSRBQ1Pa43gV+1mz6DASBqgmDqa0u0zq/BJU4EFUOBbrIJqmPhsUT9nmDEwr8puebKV5M3Q/16paD3+dEEDhkoXjx8k1Ujh9kOldujtt2HhVFIaOeus4YEIklTOOkI5pOEApkAAAgAElEQVSgsdne59L3SCf28LP0+nKqJgzmhTc+4eLRp5m+UyrIOXWspu4bZFlBkBXcokBLe4xbfvU32/1F1o7MHMAdafuL2RVDKezmMwFYEmB0vd1307kaw48gcKC5nfqD7TQ0R4gqKh0JhSdXf0iv7jkm36jbFeKl9Z+yaGYpt1wxzFSQ27RtLwtnlBqxbSpAubk1ysJlG6nbFaKob5C7rx1F5fiB1B9sI55QLPm7+1dtwe1y2Pp5c2vM9Jq+h0x/Lc/vMo5NzWXo41GTGUrSJasqCx59k5lL1tLYFLWAVR94agvjy0/F53by0NPvZX3238wy5eC+3B8mHle494by5H5IAy7bzYeiiMGW1at7DjdPGWbs4TIxsoiiwBf1rbR2xPjpI29y3c9e5b2PGzQgtkvzm5UvbWfB9FEsvb6cqRcOtMS2Dzy1BZfTfiw0Nnccdq2RRPv8oT5GRFEggUC4PWaJt375h3e47erh1FaXHRfA5K6C8V4F/q+oqOhxYF/qG3V1db876neVtaxlLaNlQmAkEio+r8Os4+d14HaKzLhsEC3JxdvpEJhx2SDcTpFEBtRDpiSFoqr2+oLJol76eURRYM40Te9Mv6dcvwtJEjLSe9qJqs6cNMS2c0EQYepFZ7H/YLvx3aZedBaSZL/oyIqKWxS6pDeUtePXMmlLROJmCot0rZFU1Jxdx0coHDlkkWv5ix9Y9ADnVZYgigJPrv7QRD9aMe7MjMmYgM+ZLB5KLFz2JrMrhlrOq3cMgjaWGpo6jHu/9LxTcTokfnjJQBKywg2Xn41DEg1q0uawmYpGR901t8YIBty29xQKRynI8xxWZ8Ih2o91PeGu/+1yioTCR6bX+e9gGedfRetayvT7oWamLta1xjJREnVEZfL8LiRRxClBY5Ia0462S0erFvpzjL+HD+jFXzbs5KLyU2ls7rDVgXU4RO58eJ3hu6OHnsSYEX1BUBFFrfsxHU2nJy4kSWDfgQ66peicpP42eX4X9QfbTPQvL7zxCffM0hDMqqqy/MVtJopRrbhoLfzcv0rrmJn0vTPwehzcVDGMbrludtW3GufWj01Nnme7TzotE02goqpIX/G9dLW7LfW484v7cOl3z0CSBGJxxdTVrPvlJeeexsqXthuvxWWZTdv2MvmCIppboyYU58Zt9ezc08KsSUNYtXoH0y8dZIqjnMlYaVd9mPX/2MMPyk423nc6RLweh4k+WaeMU1O0WFs74ry47lNuuPxsCvK1zqgnXt5OfsDFrVdqna7iYZDQXdGiy9o/b0eDyln302de+4ifVo3kQDKhJorQLdeLomrzqd28LR8Chaz/XxQx9FbtaPJrpmn6I/91/pk0tUb5vzc/s8TT14zXtIL3hzQN4UUp3Vm66QmXaEw2dY7dcsVwenbz4nU7mD5xMBvf3wvk2N73vsY2pl44kGde+4jvjehjojmdV1lCfn5Wt+pfNb2zSjcJraPCfl9nvy9C0LryGlJig7pdIaOjPhSOEovLfLanmfnTz8EpCdx29Qh8bieyquCUJFOH6E+rRhrAjObWmKHZ1jPopaG5g7nTSliyYlPGRF8w1813h/dh4bJOrctFM0tNe0O/12X7HfcfbDe0WaGTdSD9uERC4cLyU2lrj5toxudOK+HRZ7eycVs986tGZoiFRZCzM+6/ak6HvZ86HSLd8zz0DHZqjeZ4tO4PhyTw5QFzrNcz6GX3/laWPbfVkIRILdSlmrYWxwyqQr/XxdOv7rDV5GtujWRkBtC1LgM+Jy+t+5Q1m3fzsxtHc/+qLSycYdXHHDmwkNwct8F0oRVMRHJzXBR283HqiXkkZIUbJw/lmdc+4r2PGxAEwXLvclLn9d4bylHppLG12z98kxlZjqXpjCw+j4Pa6nIQNPYchyQgyGoae4vA5ecX8cc1dVw8+jRqV2w25EdS9+BzpxXTFI6hKJ3d+brsSJ7fBQgoqmLMV8GAh+8O78P8RzYYe7JrLh5Ec6tGyJeQFeO49G5qndIw1faHOnA6rFrbc6cV89QrdaZj9T1k+mu5OW5+9/w20zlP7h1gftUo1mz6jCvG9Tdi0dRYNdM4LMjzMj+N+eBIfPZQNKxZO3pm9zuLSTCkXc4qFI5ozEeqqrGnZIhHdGmVqy8cwB0PrTedR1HtY2FZVnn7g32MLz+NhdNHIYiCwQ4UCkeMDu3m1ig1D67nZzeOtvW99mjcds5/4uXt9Cn0G2tNQlb5818/Mq01smKfP9TGo9ug5507rcSk/apfW1FUHOrxIUnS1ZzIaOAL4IK011WgS0XAoqKinwOTgJOBwXV1de8nX/8MiCT/Acypq6v7f8n3zgF+C3iBz4Cr6urq9nfxnrOWtW+kZUoyi6LAwhRqDf31n9842vY8gqCdy64Y55Dsr+GUBFvtP7dTtIgS3zxlmJakiymmJMLNU4YRjSk8/sI2U3Li8Re28eOrhnPJuadZEtSqgm1x8NpLh9BkQ2+T47FqSuiFjMPpuWTt+De7ZyhJgqEtAfZUWqn0K4Ecl8Vn504rJtQSzZiIC4UjuF0Sc6cVk5fjIhpXaIvEicZkw29Tg/JMRRpVxSRi/Ne3dzPhvNMMDZ6A18XjL75vJE7mVZYgJelEDoTaOOvUAktB5tnXP+bi0afREU1Y0EcPPLXFoPLKdE96gfBQRXIkkbZ4wrYQ+uTqD41zzassQZG/mdqbhy2SYp+oz0RhKysqbkkkkqGbuldBDl/Uh1EUlV31LRR28/Hk6g/ZH+pg07a9lmvNrhiK2yXhdnUm4V57ZzfnDTsJOdn97XM7LPpjbZE4F5T0pfzsk2hpi7JrX5i1mz/nknNPw+WUbH1qftUoVr68jRkThxiUHpkC41c2fs57HzcYelUV4/rTFonx0P9uJT+gaVKl0irNmVpMa4c9ZUe4PWZQ0tRUltDcFjUSeanXTU2ef93c+8eTZVrfHZKA+hUvg5nGk0MUUVFT1mjtuCGnF3Bh2SmmBPGNk4fSFI5RtyvEA09t4a4ZpTS2dBh6VS+88QkzJg6hYlwR8x7UtFbsdD09LgeTxpzBvSutlOfzq0bx0NPvcePkofxl/WeUfecEuudpfvXIs+9Z9BVnTBximgtEUTCtERXjzuTHVw+nrSNuS0dnF49kY5dja0dDL1r35931rbR1JHjo6feMpKCe6LDT6L1x8lDW/f1L7ppRatJTTS1mz59+DvG4wrLnOmOWBdPPYXF1GbKssqehlYf//B6hcIRbrhhOrt9l0VWZXTGUjmjc0OsLBjxIGYqSomDV2vzlH94xxVE1lSXk+ByWdUhPqFSOP4uy75xgS0/589nnHs3Hl7Wkpccghi5ahnl/z4FWrr5wAH99ezf/UXqyKTZwuySiMZnn//YJVRMH09gUMe0XU8FATWENaNrcGuOuZRttj1n50nZmXDaIu68tzXg/PrfT0L0CzV8ee/59pozrb/pO6WOoZloJq1750PRbrN38uUWr8MdXDUcQ4Yv6sIXSa8mKTtrGVat32Ma6bqdAFHsdwax12uGS9Hk5bttYWVJV3C6JBdPPIRQ206ktmHEOOV6noU+aOtfsD3UQiyeMfV0mGtFQS9SgoV96fTlrNu8m3B5j0cxSOqIJXE4JSRJxOTR9Pp2uMfUe47JMc2uMP//1IyZfUMQFI/uSm+PihsvPZvVbOy3jr2Jcf1PMUlNZQrgjiqpARzRhyalcfsGZbNq21/R76nNyTbKglPq73Z2h8JMFvx2ZHRIIlKYDKkki0bjC0pWa5vSh5Ec6dakV5k4r5o0tXzDunFNoSa71f/7rR/zokkGs3fy5BlpLyAagOL3Id/OUYfhznMyuGEosLlu6Xfc2tGYAgahIDoGbKoaRH3AjiQJOl8ikMWeY9l41lSUIQmexsmfQy7xrSlBRmDTmDBOoQ5dfmHdNCQV5HqIxhYQgoADd8twsqS5Hwb6g43TaU6Z3xWePBmAra4e3TL9z94CLYMDN3deWcrAlQrg9bgJmyAq4JRE5KelwR2WJoSGon8MpiVSMO9OWDeCmimGWdVvLg8F5w/qY8l9zphbTp9BP3a6QAfzVi3Q+t30uONQSZe3mz7lrRmmyyA/LX3wfgO+N6GPQ8usx8+76VqOZ4JnXPuIHZSdbYoNbrtD2c/r3WLJikxErp177SHIRx7rQLajqV5MUKSoqKgc+B94AxqcVAY2/U44XgR1AZV1d3bqioqI7gVPr6up+2MVLngzsbGxsRVEyf8cePQIcOBA+wm9zZBbI9eJxH9kyfPGtz3X52Bd+MeGYH3+sfyPdvorncZjrHy/56ZPJ4L+ZJuVAjpN7V77DpDFnmBJbcyuL2XOg1VJYO6GHH1VVtQm8rbNTL5Djokeel72N7ZbiYK/uPgPxrlvPoMZd3x6LIwkiogCKCrKqEPC67I+/rpya/15neX3JdeV0xBIICMZ5VFS8bgd7DrRZUBu9e+RQ8xvreWqrywm3f3O6kLo6Lv4d/DfVjuZ4TwgCM2rXWl6vrS6j5sH1ADw673wURUuWrVq9g/yAix9dMohwe5z2SFyjPPK7CbeZx9ecqcVIkoDb6WDd37/guyP6sP9gu4l3/EeXDKK5LYbP7WTly9vYuK3eVvNkXmUJeQEX7R0JJFGkPRrH63YQaomiouKQRHokUVItrTF8HqcJZb1oZqmJXx00n79nVhk///07/PCSgcz5zTrL7/DwnDGoaDQO8YRsm6SZMXEwgqxkDDxUSaTmwfUGOjfgcxKJJTj1BA3Fmnp8VFFtn8cjNWM1hJiN/Tv4b1c2H3a/XwKoeXC9zVxVBsCutIQUYPDZpwbCsys0emRdJP6FNz5hbHE/8vxaUB7uiLFuy5dcVH4qB0IaBZjX4zAKGz2DXu780UhcDonm1s5k8+UXnIkkiix+3CzE/ezrH/OjSwbx2d4wAZ+ThKwgigKiINAt10NclpFlldm/fJ2ivkFmTRpiGTvr/v4FA04pYPHyTTxSM5b6g+28uO5Tpl00kObWKOH2OJu27aXsOydwYo8An+1toUe+hwNNEdvinl7Y1/9ecl05LW0xSzH0aGkCftPmX9HlYH+onZ//vnN9//FVw+kZ9KHEvlriVLvxdEdlCU6naHR1jxxYSNWEwVq3nCjw8vpPGXBKgYmNQNfSAXhozhjmP2IuEvYu8KHIKtNr13LPLE03ytINKwkoCsZ6kWoPzxnDzKWvmvzvkZqxSJLA3oZ2a2xS4ENImOfSX/zPu6b4zOuWTDoY0Bm/yCl6Ml9VzPLv4r+p9lXEEIdas9JNkkRCHXFCLRFjPp9XWWKZx0YOLOSHFw9CVVX2Nrax/h97+N6IPpaCg+QQcDskVMAhiiadNegsUOsJ5tTX9c7WinFn0qt7Dg1NHbz+7heUfecECrvl0Njcgc/r4I+v7LBl2vD7nNz0y9ct3zE1puoZ9Bo6cA2hDgQBY+8RCkdYXF1OPC4z695XLed57M4LEBJHDw/9bfVfu3gDtJgDASOeDQY8XHPxQEsRT0fvV00YzPadDYwvP02j9FTgmdc+YmxxX8Ltcfr1Chgap7rp86HOTADYrtm115XzyDPv0RSOMfOywbRHE7z9wT5GDz3JkujLC7i45q5XLN/zsTvPR1VBUaChqQN/jhOf22GsC6tW1/Hd4X1MflwzrYRcv4tEQkFRO3W8vtwfpnu+lxt//prlOj+7cTS3PfAGoHVI3nzFMJpbowQDbnI8Dg40RY7J3vI48l84Ah+2s67EycFgDs1tURKygqJo4CRJVRElgYZwjI5IwtAR061n0Mu9N4xGllUamjssnaZVEwbTPd+N1+XE5RRpTbsH3d/14+dXjaKlLUokluDkE3INv7vy+0WcN+wkQmGt8yqY60HAqqeu7w39Xif1B9t5/m9asbsg34POGquqZJyf4wnVdrwsri7jQKjDBFKtqSxh1eoPGVvcz/KZ+VUjLfsHfX8hfPviB0j6L3BE86u+x+3K76hKIg1JjbzUNTH1/6lWW11GMODh/721k/OG9bH45Tvb91F+9kn8cU0d0y4aSPW9r3L3taNsY0R9bdcLEalx5aZtexlffqrtPuycwb1Neps6kMjtchBPKDgkgbrPDlJYkGPKC+b6XTzy5/cJhSPcPnUEsZhCMNdNQ1MHv//Lh+QHXCaQhh5TBwNuAh4HjXasQAE3t9nmEw/vs0fynFLtUOvt8ei/X3cN41C/s5bXEIyCXOr7syYNIRjwGPO9JInICMiqasyhTeEYN08Zysyl1tjwodvH4HBq+WRZVpEkgXhcRUVlX2Mbq1bvMHXn3XVtKc2tEQI+N/UH2+ie7yWSBHTIsmpovuu+53KJqIrAA09toXL8WSx/8QMqxp3JST0DBlhPt5EDC5k+Udt/Kgp0ROPEEjI9u/mIxbS8V2OzNg5SuxHBuhfVdey7Eiv8M4XuI/XhLlemioqKugMXAr3q6up+VlRUdAIg1tXVfdGVz9fV1a1LnqerlxwORPTPAQ+jdQN2tQh43JjH7TjiolvWspbJUtHgCBqEwQGoomjZ4N1yxXAUWbXVgVhcXY4gQN1nBykZ2BtVVREEgU3b9hIcfAJup2hGhDpFZEWxRdArqlawSE+qBbz2ug2CYN8t43KJNLcpZnRnZQk5HsEWLVJbXZ4RSXQoxHyWRuD4tH/luWTqKFFUVUss+F2IgoDbI9KnMMCPrxqOKAggqNx6/99M5zq/uA+115XT0KRtMv+yYSclA3vTPc+jUSTSmUC2E59P7UzRtVVO7h2goSlCQlGY8+t1pnHy2z9vJRSOMGdqMR6XiCyrtCQD8OUvvk/A5+K/bxuDJIIoCBaKgWDAgygK3DRlKE6HyNLry/jd8x+YgiRFheZWbbN7Yk8/994w2ijchdtiTLvoLIPKQ6eYcgDInbQFOtXZ/lCHEeRAZ5I09fhMFMH/7h1ZmebfVD+1+/0ckpWKRe+wjCqqoe+YujmzE9S+f9UW7r621KR3oieFewa9LJpVxnnD+jDvwfUmH9N9Zn+og8amDqNDZdKYM5h43ukEvC7Lte578l1uuPxsVBW272zg/JKTkURwOiVApSkcw+914vOK/OqW83BKEh63yPyqUbRH44RaojzyzFbqdoUoGdibnkEv9Sl0XRUXFJGQFU7q6advrzNpi8SQJIHueR78Phc5OU4WzSwlFO4sVl48+jRee2e3QYkXbo+jotI94Eopnog4nQK3XjkMhygiShCNaxuR7FwPsqLgcXeKqyuqRnMrK+pR79I91JyuvxfIcVJbXZ5M5mLq6tbn11S6GJ3CKJUCNMejbWd6BjXqZF0zc1d9K+9s1+hjVGDp9WXk+z00tUapmjDYSCDev2oLd88sZc8BexS17jL7Qx30yPcwv2qk9oKqUZinxyaLq8tAknC4RBIxBVCZXTGUP//1I9Zs3g1oXQh28UtDc4fR6frvDF76d7PDdXl3xWRZoSDPg8cpGeexo8PauK2eyvEDaWnTksz/OfZMgy4TOrvl7r621BRb3D51BOPLT8UhiUb8LWXQEjyhh5/bpw7nky9a+NWTW+hT6OcHpaeYEiK3XKGxb/jcDhZXl5NIyCBoFEttHfadNKnU3/tDGpV4a3uM3gV+DjZHePrVjwyaW1VVUVRszyOgJZmyc/I/b4dKFAlJ4Jb+3v5QB4+/sC0jer9nNy8F+SdZUPaSpIHHMlE3n9TTT820YgI5LhKyartHlGWVKeP6E40n8LqduN0SPyg9hfZogvlVozT5Co+T1W/t5LzhfRg5sNBS7FZVcDlF4gmVgnwPCVklGktw3c9eY15lCe993MDu+lbj2hqo1Uk8ofDGli8Y1r/Qkgy0u47P3andprF/iBTkeVEUhUhM+UYyXBxtO1xXtdMl8dm+FstevyDgIiZD7fJN1EwrtvhR3a4QsbiMJGnsL06HQOX4s4jEEnTP9xKNyUiCyIqXtjG2uB89u2kFtfZIAqdDYvVbO5l60QAK8rx6+E5ujpPcHDfxhMr8qpF8vLuJ4rN6mebd2RVD6ZHvs8TIehfY4uc2MbtiKP91/pn8ac0Opk8czN5QG36vk1gG7cPCbjmISX3vTdv2UjKwt/FdBQHWbt5l0JZ2y/Ww7LmtNIVj9O0V4OYpw0y/yarVO7JU4UfBukpPrx+r03emdp1m6kAtSK55F5Wfyu76sEGpHIsnaGqNMuKsXogCXPa9M3A5JUYOLKQgv/M8On1owOekW54H0OanqRcNsOTe8nPdLJxRanQa6nSlBXk+U9Fmf6iDBY++xa1XDjdizntmlXHHQ2awb0trjKkXDWDlS9uJxmTT9W6fOgK/x0VcVpL0qVqxZNO2vcR6+PGdkEuuz8WimaVJPWABWVGQZZUF088xSbhk8tn0fUQmyaJs5+vRtUONB0FVbfMaFlrQ5LNTFAVBFFj2nFYAnDTmDENbMH2suN3S/2fvywOjKq/2n7vOkpkkE0ICWkAWGSBAm0AmkkRQQFoFWaSVRJQEDQihguhXIWhlEQjYxdqvnwtSBayCbd2BWtyLqKz2hyAERRQUISRMkkky673398ed9829c+8NQXAl5x9lMneZmXPPe95znvM8gAKEoxIamsIQeQ51jWGqVTltQn+88u5nNGaStbf8/jeQl5WJqdf2h8hz4FgGCqPongUi3ZNk5+EPhBCTZPgDIQg8h4amiO5eRuZ2wbhhPXHsZEDXEHc5RUSiMs2jSBwGgI6pdlpzFAUOK28rRDSqgGcZdEh1oLa2sU3f/flgJjmTtelZ8Xq9wwA8C2AXgAIAvwNwKYD/AXDtebiPp7xeLwPgHQALqqqq6gB0hTo5CACoqqqq8Xq9rNfrTauqqjrd1hN36OA643s6dnR/jVu+sOzb/I7af48Wa4v/EqupazaIAf/x6d1YOqPANIjLigK7yKFfj3Rdga2i1AeWASo1YqpAy8SFWcIh8qxpo7GyvNCyqMLzjK7JyPMMolEZG+IiyzpqrQkDLT+D6QIickhLtpt+T2QS7ERtE712pw5JuCjdBZb9/m7jfojPxdnEX1lW8PmJBix9vGVC7Z6b89CtUzL9XWRZQX1TGNGYDIFnkZJk0/3tnpvzdMfPK1ERa1rNjzlF2Xhz1zGKutfSY3q7eugGEQCSk0Q47LyhcLasvID6aHKSTYfyJEVgggYi2iq3F+WgMRjF6g0fGp4T8t6V63Zi8fR83fN4zy15iMUUHZWMVt/Q29WDqddm0cla8vfp1/WnyL35JblYu0mdTszLysRN1/TFqfi1td91akdnq8+APxAyfd7sNh4et/55M/s97rk5D+mprV/j+2RnE3+JteajqakKfj9nqOFv/kAIqW4RDAMsuTUfLMtAjhedtY0KoAVEkeIyB1gocc0QKx8DAJdTNNDMPHzXcNPzpac4EGiO4PLsn5j6oD8Qwr1leQiGYli+Xr8R0CK0Q5GYTucyLysTChiDbsaq5/dSP02cglxQ6sP+T2sMaP8FU31wOwQcPRnAhi2H4A+EcM/NeeiS4cax6kCrMaWt9mOKv7X1QTQHYwbAULJTRIfz+DlbW2sBWMb7mvog9UVtsxvQUytt33+SxluiBTS/JBe7D5xEry6pkBUgxSVgaJw+htAy/vZRPWCDFMIbmiJwOUUsmnYZTp5uNmi5/d9vhuO/h05CAXQU5FrQB7lHfyCM3z25i6L3ScNyfkkuAGDvJzVIS7abxtP6uH4z2fT9fs5QdExLOm+/yw/F2hp/z9ezeb7WLFlWEI7IZywKigIHj9uBeX95DZXl5nl6XWOYvu5x2xGOSLqYqdI/mwNujp9qhCfZjtd3qlvpX43sjd8mFLEJvee6TQcMuf3c4hzcNWWwboqcxH3tdVwOkdI3UkCfwNKCeV5WJtWB057ndCCEf7x2CJN/0fdrxeTvu30b/usPhLB8zTbdb6qNGdX+Zp1fVB314/MTAdPpI7dDNBSIV67bieXlBXDaVJCoeVM4jGhMwYZXq3DL2AGYcd1AHX3inKJs1DeFIfAqm8YTG/fh+pFerFz3vi6G/v21KnWi+4kdWDw9X0dRt6DUh5gkGya7Kkp9+NMdQ7Fx6xEKolq+Zge9LtHnIYXtxO9peXkBfjHkEpo3EP1YQNXeHjesF+oCYXpNK+AGGOYHmSOcyb5ODgzA4Hfqay3f0yl/M20Akr9VrtmBFbMKqVaUrEC3dyOMJU47DymuT/7Ke59RJgy7wCEWk3X67Npm9vZ9X2F4blc0NEVoDtCSZ7bE/ES2FZJD32ehnUpAHkRyYso1WYjFZMQkGTaRg8OCku7k6SZKq5wIbFpQ6sMNv+iDSESCwHPgeQZ1gQhuuqavTltVW3BPdon43eyhiEnGvceFbGfzXJI9biLbjdPOI8VlN7yX0He+vPUwjT/PvvGxKVVgJCph3aaPMOmqPob88ZX3PkPRVX3A8QwEAI+9sBelY/rjRG0jvR8zWlCWZfCHp3YbfHV5eQEWrtJPbR853mDpwylJIqUIVxTF9HrzS3y48eo+ulofyUnuX/eu4TktGtUHHVPtqEmYnNbuHe+5OQ9/vH0owlFrnzWrDS2bWdDmWsS5+MN3bd91D6MtNZ/UVAWVswpRfbrZACziOBb1TRHdbze3OAeCwOL+dbswsFe6gfZzYdllqG+M0PVh5a8L0ByOGbSpxw3rifv+ul3nnyNzu+CKQS3602SK755V2wzPwqJpQ+i06srbVBAqFIY+bzde3QeZaU7IMvDKe5+1TH+XDEYsJlP5LW0cTnWLhnUrsebQ1t/rTGvo+bC2Nsz/BGBSVVXV616v1x9/bTsA33m4h8urqqqOeb1eW/w6fwFw43k4LwDgux6lJdf4odsFRAf6nV3bzM7Gf2OMOb+2le4Cx6pNN7NE3KpxGJPNpwpXzDLfGMmKYkiG5hRlQ5IUSkeovafKWYWmtETk74bPwDC4e6oPyzQUdndP9QExydKPWJFDcziqe605HIU/EIQcMcfMfdeTg2dBR8cvFDIAACAASURBVPct3E3b7Wz8V+FYmigAqv8sfXw7pXdoE71MkoDKWYUIRyTwHAOWZbFgrVEnUEuf9ewbH1Mqjl/kd4csK6itD0EU1SSFUB2RcwzslY6m5ih9zaoo0CXDhcryAoocCoUlS4FswmNe7Q+ioSmsu1+eZbF07XuGz0C4xotG9TY0/8nff3PTIDBgdBRiI3K74ZQ/aNBCUb/rQvBQLH2bs5hmU6Lmz1uKnTdM47aGgvoh+y/QdvoGBkAsJqE2pMYhQeRQNKoPKtfsoJpn2qQ4kcKIYRikJNksJpbMkXtdM11YUOrDs298jCS7YODiZywQeYLAIpmz4Z5H1CSaoFF5jqWbXjN/Io3w1S9+SOmqyVRghsd8ynHF2p1Ycms+RuR2Q5KDp98B+Tsp2i14KKGY98QOei3yXRF/bi2mtNV+bPFX4TlTwFDlrMLzmn+xIofTGlpEsv47bCpFi9VvA7Ss92eKmeTfPM9gYdkQRCUJVw7ugsde+BDb95/EwrI8/OEplVLLTKtF66f+hjCefeNj3DIuy1TLzapAqAV9kHt32tT73rDlIKZck4Xxw3oh0BzFM69WYfr4gSgepSAt2WGJotV+tnBYwqmQ+e9yptzkbHKXH4r/au187xnOds2yuieGUVotCs6elI1Vz+/FzWP7GyYJiGkbwoDaEDfLvxdM9RmmyLXFYXWaOmSJnnc5RdNzP7B+D5bOyMeymQVgGLUYFwhGdHpc86bkYs3GfYY4PXNiC3CP5B6Lpg2h9M+kCFg2bgCWPr4dK8oLAencZlYuRP+NMeZToKFwDKdCATAcZ/Cr13d+bog780tyIVnoFsuyqs0qCEa9aFWXSsbGdz7FtZf3xJenjDp7D274gGpd/uGp3SgbN4CC6sh7SAwl8b4xGKFTUBlpDtTWhSDLHPyBkI7VoHLNDiyaNgRXDu6Cjz6txaJpQ8BzDGKSgufe/JgC5WIJmsverh7ceHUfyLKCi9LVidm6xjD+/uohTJ8wEGvuHQV/IIwjx+t1n4dM/iQ+p1CUc45D3zf/Bdrmw6ZmwQRCvicrv41JKpND0ajepj5CpvoAmDb7FpT6MKawhwE4tHLdTiydkQ+GYSBwKlvF8299jGE5PzHkmf5A2PTeJMkcdEwmo6v9QdhFHo3BFs3qOUXZSHGJWDjtMlTHgUVaekVyXCKwicRQrfbqtPH9cX+CVuafn1H3egLP4dHn9mLWr34GJibp9hffln0f/Rc4u/olx7GmWpR3l/ogRSRDblU8qg/WxylaXU4By8sLoCiAwLO4vSiH0mNLsto0KBs3gIJhAH3sq1yrNsHnxwG9vxzRGxu2HMK8KbloaAobfPqB9XuwaNoQS181ex2wqKVxLP7npkE4dVqtGZppta1Yu8NwPbO8gX6eNTtQWV7YKiiVrP2MZO2zZrUhsq9say2C2BnoQC2P+67su+5htLnmw7GUuphYhkfVoUz87R5Yv4fmh76sznjm1Srd4Ed9Y0RHA53ishtYMgh4LdE/l0zPx72a5veI3G5oCkZNnwWGAc1D75ycg/rGKDqk2FE5qwC19SGdXMbc4hwK9GxojJjWO2ZOHIiLO7oM+0NtzeGsfq8zrKFmdrY+3NYm4CVVVVVEKIF4Y+Qsjre0qqqqY/H/hr1e70MAXor/6SiAbuR9Xq83HYB8NlOA7dZuF5KxrMXizjKoKPWhLhCiCWhqnD4wGpVMg6MlLZJl4m6eHHMsg48+rcWymQWUbvSt3cfQMdVheh4osKT9NKUPFRgIUT1tqcCzYDnGsp6gAAiFJUNh0mqZbRcg/nbsTDQc1qPxhZAYBjzLIhCK4eTpZvrbWqHrBb6FUrPqqB9v7T6GMYU9KEqe+ITHbdcVodUGSG8dws6qKHBCg/KcW5yDJIeA6tPBVjeRiUU/AGAZJFxfRSdmpjnxxL1XIRo1L9zYRR619SGkJdt1dEfahmPiMXWNYXAsY6lvpwDo4BZx/22FiEkKZFlF48Li6bGiFf2x2telb4hICirjmj2J/qXdVK1+8UP8z42D0NAchsBzuOOGQbSZk5eVidIx/cFbgD6OnmzE6hc/VJswdg6dOiTp3lNbH8S8ksFoaNTrQPAci1AsBo/bjtuu/xnSUx04UduEx1/aT/UhkuzmjZpLOruxvLwA2/d9hf98cJxSj4YiMciKuQ/6G1QdwPklPgPtLVlrzI4jz6m2IWNV1LzQKWOsCgTn+3uJWVKRF7RK52NjW4rNMUluNWaSf7Msg2p/I+wiD39DCL8a2Rt1AdWXybFWDcUUl4j5JblgAMwpysbaTftR7TdvGloVCFNcIr2X2ZOyEYnGKJWpdoJ2bnEOOA6QJAY19UEkJ4n43W2XIxyVwMU13wiKVvvZzILnmXKT9tzl7O18rVmKAry89TAtbsiKgvtuzUddXIeVgDquu7IX5hRl46X/HDZMy82bkou/v1ZFz2nlvzaBw8bdn2LJrfnwJ9A8ert6wHEM0lOcOHqywfRZcjlE2ETOlHqvtj6EB9bvoXT9STYRi6cPAc+xON0QBMsAdYGIjp55x/6v0DldBUGRc23ffxLjh/UyaCWRzxS7wGPy17XWKGw5MGiKxgwN6BtG9UGaW8Ty8gL4A2G4nSKeeHmfSp9ocq7PvgrQomuyS0TZuAHwJNvQIcWOL6sb0THVgSnXZGHd5v0YP6yXZcHN7RR1a3Xie1JcIs2n/Q3q9J23qwczrhuIf7x+iE583TlZnSRoDkp4/q2PKV3n0OyfoK4xhEhUhihwmDi8NwDAl9UZJ2qb6GfzdvWgdEw/nd4aadaUjO6HjVsPY6TvElSu2YG5xTm6eyWgwcTGUzvtot54mEt+kO+JY63kAljYRRYXpbssmxu/ffRdLJo2BCNyuxnqBcvX7MBii8aIogD3PKLSHBaN6o1fjuit218Rs9rT1dYHLcEW5D2hSAzRmEKv+eCGD/C7OUMRDKl0t4TWfvywXob7SwQ2Eeq7aj8BZ5tPcWWmJeHBDR+g6qgfZeMkiBzbvr5/TZMkGXaBx4Mb3tf51TKTfRyRhpg+foBej5UBQhEJCx5uWetILaI1UFu1PwgFCl2HnTYB/bp7kJpsg8viOFGweI44BnlZmRiR202nnV1TFzTkGbMnZWP1ix/i2st74uWthzHhikvR7aJk03yAY/Xn9STbTfdp5PNY7cG0dYiYrMDWCljNrDa0ff9JTJ8wwFLyp93Oj2nlT1r7ns3i/aJplyFqQYVMYpvbKWD7/pO6GlVi3c5qr0jOoX2NYdQ9HMswCDRH0SndCcEECEXA1BOHX4od+7+CwPNY/eIeeNx2zC3OoQ1Acl4ijfLbR9/T7Sm11+7cIQmKRV3j6+ytz7SGng9r6z195PV6f15VVfVvzWsjAXx4Lhf3er1JAPiqqqr6OB1oEYD/xv+8G4DD6/UWxnUBZwD4x7lcr93a7cdsPMfqisJkZFrgGTDQ01ctKPVB4BgosnkCwXOM6QSfVWLBcQzmFufoNlVzi3PA8ywG9c006PnYbbzpdWXFPNjLioL0FFtc80ldiGwCg0hMoXzi2vOsmFVoiYCXWtFIZE2+12+Dl7ndzqzHY9Uk1OonzSnKxrb/d5xu1KzQ9V+easSU0X3x5q5j8GV1RtdObgPSiKDVtOeYOPxS3aQeYF4U0FJmkQRieXkB1u88aPle8mw882oV9NZCT6Cl58jLysTUMf3BWDT/Q5GYysWeUESX41QfZsfYRR6LV79HfdusiHx3qQ+CwBp4/NsLy2enJ2F2XNm4AQb/IufomunCzIkDwbIM/v7qIfxiyCUQBQ4rZhVCgYJAUxRrNu7Dr0b2NsTuucU5WLPxI+rXi6cPgb8hTP1q4vBL4bQLSLLz+Purh3SURPsP16Bfzw4GqrjZk7Lx1u5jCEckBJrMiyayDNTUBZHtzURHT5KO1vTRihGWzZ1qv4rqI0ho7d/bgsZ2OwVaUGotplyo1hrI53ya1eZNlluP99qNJ2uSixCKTXLMb2/JQ22dceJwyui+CDRHac7isaDf7JBip5ODxLfrAhHTgo1VgdDlEGnT4+WthzEit5splSmZrtLqDVEtJFnBr0b2xi+GXEIb8e4kEWDMffVMuUl77vLdGQ+geFQf3dq55NZ8zPvLO7r3ybKKRr69WKXVJ4C2QHMU/3r3CMYO7UlpEUORmKnv+RvC8GV1xtEEmkdvVw+mX9cfJ2qbwXOsqe7s/BKfqsOW08WUeo/E4+VrduC+Gfk6oFRFqQ8Mo+jWBkKvl0hZ9/LWwwhFYrrPTuK22uhGexfla1hrhaIYgEWPvY+BvdKxsGwIOFbVf3U5eURDMTAci8bmCKVyrQtEMG9Kro76njQ5iA8sn1kAgWfw7/c+w9X53XXUtLMnZVuCNhgGtGBtlZcnJ9nw3Jsf03wFAG4e2w/BcAylY7J04CPSIJ90VR9EYzLuffQ9Ormtvad5U3LBMsAjz31IfX/i8Et1UwskL5o5cSDcThHPv/0pfn7ZJaj2G6l8q4768fLWw1g8fQigAILAQuBY4ALPfRPtTMVjkQMqSn0GTUCbwOBUXQjNIfNYd7ymEdX+IFiWsaTEFywaI8drGg37qIVleYb3HjhSY7i3+SW52LztCNzxaS9JUsBxDDa98yllt7jjhkHgeQaPPb+PnsvjtsPfEMLyJ/RNlxfe/gQTh1+qYw9IBDYlaq9a6Wd9Ud1I7+GL6gA8bnv7fuwcLHYW4EEz0JDCsTheo9eWJnHEKvaRPDXQ1MIyNGFYD1xT0APRqAxZtgDac8bp7NmTsrF931eUXSbRh68c3AWLpg1BoDmiAyQdOd6AsnED8PxbH6N4VB/TfIDnWUy6qo+B2pvIk2g/T2t7MC3wmWMZ+Jsj1mA2C7+HAjCSfMGAjL8rawswjsT7388ZilA4Bo5lwIDB5xbAM5ILmj0PiXluoNl8z2WWTx6vaYRN4FHx0DZkeFQ92E3vfGqgHJ1fkot/vn4Iez+pidfdDtK1od6iBtMhLhNklYfzPEv/37ivZcFZjpqYW1sbsOdibW0C3glgo9fr3QS1MfcoVC3AcW29kNfr/TOA6wB0AvCa1+utjZ/jWa/XywHgAHwEoBwAqqqqZK/XexOAR71erx3AZziPNKHt1m4/ZCMNrmp/M8Cx4KHSubmTBN1UnDtJQNhKyHxWITjWvNknA6YTfBd3dBkSCzVxZ/HC25/okEMvvP0Jpo8faKDaWLF2JypnFRroMTLSnBAsplh4jkF9c9TwfrvNHJEhyQoaghYIeAukhqJYTDO1CxB/K2ZVzBA5BlHJWDT2dvWgaFRvuJ0ipTkkjbsnNx9A2bgBqkDvVJ9uA3bn5EGISTL4OJVHTX0zYNF8djsFrNn4ES0euJ2CoQhMigKLpg0Bw6g0IIEmVfSYND2q/UGEIxKmXJMFm8iisrwQdY1hNMepL4iwPQBce3lPnRaKzcZifkku6htb6EDIhMm9q96Fx203fYbtNlUfQ+BZLLl1CL6qacInx+rgtAlYu2m/rhhIhJQBVYOOVIjNisjLEqi+LsTCsln8bW2zcqamEznOzL/IOY6ebKRaN6SgJ/AsAs0RSrlYNm4A7l+3Cx63ncZidepO0emVhSISOqY5TGlvtPpmy9eoNJvBcAyRqIS5xTkUFUp02Bavfg8De6UbiocLSn2QZJnSemibkaoIt7WgOLnPi9Jd9Lsg50xycK0eRzYHd09VC9RLZ+Tjry/t0zU2L3TUPscxpoAhjmMgn8cvhrcoAPAsAw5Km1CO0ai64VkyPR9Noaiq08epcermsf1pzCHaEICGgu7WfLy56xDVljSLlRUlPtoAJMeSaVKzDerrOz83bCgXlPqwbvN+6mOkKHLz2CzTdUU7TVjtD1LaJI5jEIspBu0LjmVgtu2zyk3AqIUoSVZMNUXbc5dzs7ZQrJpu4DkWC8vyaJPv2Tc+jjNzqFOk9z+5Gzdd01cHpvtF/iWYX5ILl0OAKHAG+vs5RdkQRRYZDgce/udenX8XjepNqYvKxg2APxCiuRFZG4LhKPp2Tzes839+5gMsnp6PP63fQ1+T41pd1f4WKsbl5QVY/kRLjj92aE/UN4YNa8XSGfm6BhFp0Lzz3y8wpygb/HmOPReKtVYokhiV8eKKQV3oNHJeViZuGdsfDMuCYxh0zXTrctk9B09i6Yx8AMBnXwV0+j7V/iBO1anU34m0W8Rn5hRlm05L/fWlfZg+YSAWTPXh7d3HTPMFu43FLWP7468v7UPVUT/ysjJhE3gsf8q41q9ct5NS6y2Pa3Q7bJwB4Pn316ria0UWIlEJc4qykW7BRGMXeURjZPpEXavMQH7jh/VCUyiq08psB8IZzap4zHEsIpICjzsO7FUUcAwDkQPCUbVe4XHbTScuH352LwCVuSIzzWmIp4Sq2Cy3ePjZvQZgzoYthww5wc8v644nNu7T1TNUGm81JyB09CSGDRvUBSLPIcnJ48uTTSgd04/eT8nofnT/CejzCy17gBYASvKSR57bS79L0vBJfG60IFItBfSFtB873/Z193HEJFkxAG5I3vjMq1Wm8fHlrYd1Egkjc7tgWE4XCqBXdXX1eecdNwzCijW78JubBlFfjUkyGAbI9mbi5Okm3XpNKGdf+s9hTL22vwGQRGoeI3K7meYDS6bn4/ipRgqyIH/TypOQfd4Lb3+CilIf/nvoZKvAZzJc0BpY7duYiGq3czdJktExLUmVLpAUxBgGG7Ycwl1TBiPQFNEx0UmyTNfXxPib7BIp0HPs0J5wOQTDe35z42AdAF4b/24vzqb6lvWNEfTtnm6gHH3mVVV/+LWdx+hzAYDGZrPnn4AwPMl2w/559qRsPPbCh5hwxaUGHe0FpT4ACmIMEI2encd+02xabdoHVlVVve/1en8KYDKAxwEcA+Crqqr6oq0Xqqqqmg1gtsmfsls55l0AA9p6jXZrtwvBrCiekl0MFSolluFxWOr7SZKq/bVu0wFdcFy36QAqSnNNJ/hkWTHVEKycVUgLbdr3W9KxSQqaglFdset/bhwEl13AXTcNprz3GR4H7rppMFiGQV0gbED6X2wXTCcTWcY6qbBC07EsA7NK27kmhO3WNpMkGR3cYly/RqXMWr/lIIpH9YEnTh1BEkGC+E1sXjy5+QDcToE2MPKyMlEyuh9tjCsK4HIKOkFf1U/NUXahSIw2+ZbOyAfLMnjh7U8MdBrXXt4Tz735MUYX9MDCVe8Z7skfp+KteEjl+l/560JDAg4AqypGwmkXUFleiJgkQ1YURKIyNm87gl+N7E3vT7uRrfYHsW7TgTgfuRuyoqC2PohVz+3D3BtydBMu2gmUuoCquZKRpiLqtMh9UtAInwUNw4VSWG6NYg/4epsVssnRCs3rpzVy8ehzKvFCtT9INUcWluXh4Wf3UsoqMrlE4h0xorMGtEx83v3QNiydkW+p50A+gyfZhlBYNgjZP7n5ADgWtMhIim0pLhEetw3N4SgWr9ZT6jywfg9uL8qB08EjFI5h/ZaDuO36nyEjzYnjpxp1BccMjwO19aqeFRgGsqTgiY1qMy8vKxNLbs0Hw6j9alI0VL8rH6KxGEKRGOb88W36G0yfMABQ0E4ZAwBQ4HLyOsCQy3n+n14OCu4u9WFZwiQxF9cdNSteA6qWoD+gRwZXlOSCZRkdtWZFqQ82kQXPmushK4qCgp9eRPOSxFgZk2TYRFZHRUOOTXGJePyl/YbN569G9gYD6L47hgVmTvwpbhmrUnryHIPbi7Mh8OZN0ETKZzV+ymAY1lSrcUV5ofn3a5KbEDS5WZOcPCPtucvXNxL/iQ4QiXcOkUNUoymd2CgUOQanE3LYBaU+eFJsuGVsf3x5qpE26e6cPAgetw0sy6C2PojHXvoIqW4R0ycMQIrLppsWJJomy2YWoHRMP8iKgspZhagLhOGw8ahvVBvO2mYGAZPMntR6s7oxGNHF4xO1TbrplWp/EDEN3ZO3qwcOm2CalzEMA7ed1+V36iSXFy6nAKbdH7+2aQtFHBjV7xgGHMuiZHQ/CsQh4DHtFPKCqT7kZWVi+/6T8Hb1oG/3DmrDbvxA3VQpoJ/Ut0LL8xyLx1/aj5kTB6JThyTU1AVp7Bk/rBkpbhHDcrpg/ZaDNF9ITrJhy/tHMLqwB+w2HtPHD8QtY2WwLGPQ/9XmJ5RKVlKQ4hIMtHTk82r3sbMnZaMpaD6NE4rEcFG6qp188LOWabAnN6trxkXpLjSFogiGY7TIR+7rQgPCfV1rLX+ORmSAZ2k9QhRZXbwIR2O0yffmrmMYXdjDUBPwuG147IUPUReIUI0mNg7A8AdChun+qqN+rNt0QEelHAhGDBR1ADD12v6GugJpRndMtSMSlXSgtzlF2cjsYN5wTnGJOvaAzduOYERuN9wytj9q6kJIdgk67dX5Jbl46l8HEWiOYOmMfNQ3RRAKq8DRucXZOHpSnz9fKPuxb8JaAyRHoIKreJYFLzCIxKf0eJaFwKnSDpAVFI3qjbd2H6O+rChAisuGaeMHoDEYxcKyIZBkGU47j8ZgFCNyu9HYaiY7QnxxYdkQNDSF0SHFjj88tQdVR/0IR2SsfvFDOslktv4SMHLXTm5MuSbLsq4VaI5aTtiqtTOn6d8y05JQWV6AUCSGTulOlI0bgLd2H8NT/66Ct6sHZeMGoGumC6fq1GNLx/RDhxQ7YpIMBYoONETulfjwtzER1W7n3ziWQapbRDSqrx/ML8mFQ+SwdEYBFChgoII8g+EoTtWFsOq5fejX3YPiUX3gD4SwePX7BmBzJCbpateeZDseeHoP/IGQLk+trQ8hxSWaxnNCyUziMWGusWL3EgUWt13/Mzz8TxWcsbxc1Q5MnKa97fqf6aRTHn52L/yBEK01Ju4Vvktr8xpRVVX1JYD7v8F7abd2a7c2mBXFk1Wzz6rpxXEMAAb+QEhXMM7wOCDJiily4paxA0yvIcsKtn7wBZ1QkWTgtR2fYczlPS0baImcy7//227cf9vlcCcJWDRtCFhGpa7hOFVx7KX/HNbdz0v/OYzp4weaNh85zly7UJIVcBxMkVhWJnKMKW0JmVBrt3MzneacBDq1Q+zI8QYqqksSQQUwLQ7MnDiQTtRleBwUWUcoD3+S4TKg4555tQolo/sZir0LSn1IddvwyPwRkCQFOz86gcsGXISiUX0QicZ0hbgnNx9A0ajeqEwQ/H5562HMKcoGYdkb2Csdr+08ZjntVVMXhMPO416Nry2dkY+9n9TAl9WZHmO2kV28ejserRiBGStep+c7XR/STbhoJ1BIo3RBqU9X7PG47fAHQnDaeXAsiwnDeuD5tz/V3acZDcOFUlhulWLva2xWiP+7kwSkum0o/nkfrP93S4EsJcmGiEbgNMOjUg96u3ooN/2ZqGa0z8S8KblYs3EfLZ6ZxUmi15DhccBpE7B49XuGJPzmsf0gK9AJyBNfy/Co1Hdm5744IwmxmAJJljFt/ACEIzE8/tI+XHt5T13RY/akbKzd9BEtcN+bsCE+crwBMycOxCUXJWNEbjeMH9YLsqKgKRgBz7HwJNspGlD7+7SHbHV9fn3HUYz0XRJfr210vT6fRUxJkpHayvOQiHJEvEDoD4QMwut1jWHDa5XxqeTOHVymfi8KHDqlJRli5YYthzBz4kA66Wp2bFqyHXdOzsGpuiCWTM9HKBqDwHHgeYZSImrfv2R6Ph5Yv4f668JV72FOUbbpurI+TmWqPZ5hGIQj5hrNMVnV90uMJWbFKjJJo82VXt56GBOHX0p1vdoR1F/fYgDWbzmIay/vaZhSIZNAsqwYCt1LZ+TTZjigzdvzwXEMtv2/41g6Ix+B5ijcTsEwvQxGQfXpIESB01EkE6trDFMKJILKX1Dqg8Cr+X/VUT+dAkxxiXA7RTy44QP4AyGkJNlUhHM8T6IT5LLqa9piYumYfvSaGR6VAok8PxOHX0rBUUS/WOBZddKPV/PlexKenSPHG7CivBCSlYh3u7XZzBos92nWYTN64uVPqJN01115KVwOkU409/rJUYrGJ83u5CQbnn3jEABrWuQOKXbcXpSNE6eb8Kf1Hxgo4jxuO5aved+QL5SNGwBZVhAKx/DXl/ahLhDB7cXZpvHQk2zT5TsCxyImKfjylJ6Cz+zz/vmZD3Dfrfn4zY2D8bu/tYBNCXvGH+OFxMXT87Hl/SO6Pe2uAyeQ7c2E08a3T1h/TWstf+Y5Fn4NHeLCsjysXNvSbPV29dD11JfV2QBIfnCD+tuOH9YLgeYoPjlWhxSXDSvW7sTAXumUUSXRb/2BEI6eCNA6iBlFaIbHAcUCFNm1kxscy+j2UeR+KssLTYE6HVLsaApGdY2PQHMEN1/bH+mpdkBhsPK2QsiygnBExnNvfozXdh4DAErbSMAcJJfR3uuFsh/7Jsys6SRyDGo1wDRCe62tPZF4mcgI4Q+EMHtSNtb/+yDGXN4DUIDFq9+jOu9k3U1yqDIGZrIjAKiu7gPr96Bs3AAae55/62MDWxBgBE1keBz02omsGERTvjkURVqKzXTC9stTAXSyyLUJkVY0pkCWFQq8ANSce/WLH9L7IMesmFUIhmFQfTpIAfw3XdOXAqe1PvxNT0S12/k3kWMwbfwAQ61uxdqdcUauiKFh/ewbH6NLpgvXFPTA3Q9vowBnsk4QqywvoHt7kj+kukXcXpyDxmAEbqeIkbld4HII6JBiLgOhpaRNSbLhq9pGy1xZVmQ8/cpBHDvZiInDL0WKS4SiAI+/tF+n4V7tV4FQgeYoHQggtmLtTiyZng+ZZyhTy3fd0LbMV7xe75PAmQlMq6qqppzXO2q3dmu3Vs2KAsqq2cdbNLE4jgHHMqZcyYBiKHLMnqSO7VtN0V1d0B2xuCA2wwBXF3SHXWRNr83zjK6oTBINAKipCxmoDS/u6DK9H7AwbVZOHz/QsvmoKMDLWw8bimTTxg8wLYBGJAUb4qhV8v4NWw5iusX7263tc5OJcwAAIABJREFUZlYs09IRAvrNNUkEJca8yXtRugs2kcVf7xkJKAwkWTZoQCSi40bkdsOix/RII4Fn4U4ScPJ0MyJRCaLAYnDfTuq0Yopdve9AWOfXnTroi80EhbzosZbJlQWlPlx/lReKomBZeQFWa6b01GleEeGwhNuu/xn+9q+DqDrqx19f2megEbFq9jBQi8Kv7/wcxT/vQxFLpCDndopYWJaHDVsO0e9Xi/rzdvUYvquKUh8A4Pm3P6WTPFrNjbZOu/1Y7Ez0wGezWTEr2lXOKqCaYPWNEaqBQzaMsydl47+HTuI3Nw2CJCt46K7h2Pb/vsSdkwfhuTeNCLa5xTmwiZzumRhT2AN1gQhq64OWyTF5TqKSZPoMLSj1gRcYXJTuMv0+FJPp2gnDeuB0Q9igU+F2irqkOzlJxJ/WfwBApX1UlBZaQwC0UJ2e6oAsKxB4Bq/vPIorBnUxaBSR57y9QNdiPMdgaE4Xw1QdzzGQYmc+/kymA3ZAfRYYRbF8Hsj7o1ALhGTjpzUrMXa7yCMYjho0ieeX5EJRFMiK6nd9u6fT9TvFJdBnzgz1OXtSNhqbI7TxOLBXOq4p6I7FT7xnem/VfnUyhhQwTjeEUO0P4s1dxzD+ip6YOXEgXE4RboeIf79/xED5PKcoG7//224Ujept+jx+9lWANvC0lHNmxSqGgWmu1DndqRZa0Y6gPheTZAUjcrsZmymaSaD6pjCddCI+FwzHTP3GHwijo8eBkb5uFLBUNKo3SsdkYUxhD/ztXwexfM0OLCwbgvVvV2HKNVmmPkI0XitKfNi07VMsKPWhU7pTp+9NinELSn2w2TjccUMOZEUBzzNYOO0y1CVQQ1eU+PDA7UNxqi5EC3MpLhu8XT3wB0KYU5SNDVuq6PkJOMksj1hQ6oM7STBtnsRkuT02nwcza7BotanMNE6r/UHUN0aorvbsSdlwO0Xk9M1AWrLNoGs5e1I2jp1stKRFbmiKYPO2I/hF/iUoGd0PqW4bOJYByzF4a9cxdO3kNr2HLhnqlMiDGz7A7EkqcO5EbZOpr7sdIu6aMhj/eO0QKkp9qG9S/TaRQtJqokVWFPzzjUMqWj/FAUFgwXMsvjzVSN/T0BTG829/SgFwxKe1+Xz7hPXZW2v5M1g9g0/imk+m9u67NR9gzGU9tGCI5eUFtABNGmjXX+U1AGcqSn34z55jWFDqQ4pLRFqKHXdOHoQ/PLVbFwtFkTP1RwD4ojqAX43sbdi7yopiaLYUjeqjoxSdW5yDHfu/wrCcLvithpHljhsGweUU8L/P/NdQaCa61xf6fuybssR9XASszjdH5HYzSN1UxkFl2/ef1DWlPz8RwFu7j2FEblcsf0JlMpo5cSAu6uiCx23HtAn9EWiKINAcoYCz6tPme7NQJGYAkr228xjcTgFX53c3fSaIrywo9eGJOADU47YDAO67NR+CwKKhMYKFcXmRqddmGSZsU902CByHcDRmeH7ml+Tq6PAXlPqwcNplWLfpIx1bwr/ePUI/x92lPoQiMazVvKd0TH9sef8Iikb1hsdtb/fhH4hp93v+QAgcp2rj1QYiCEfNgY0et00HCKv2q6D5O27IgQJQhonWgM1EEqhzugsCzyA91UunZ4lfPvNqFeoCEVPJHEJJe9eUwahvCuPiji6dfMjqFz9ERYkPDjuH6tNhjLuiJxqborp9ppkeZigSs861msLgebsu/n+XVOKt5d2ffGt30W7t1m5tNqsxfoFjMK9kMBoaW7iXk10iOI5BskvQ6fuxHMAwDIIhCZu36dGORBTYDFF0/22XW4h5s6ipMwr7Omw8RIHVTfYpUO8hkc5xTlE2JFkx0NM9uOEDLC8vNL2fFbMKTQteDGNNy6dwDMYO7Wm4tpUmiSQrpqPkt4ztf94KF23RmPkxWn1T2JR7PhExlri51j4DpMGV4hLBcyw4AM0RCSdPN6Nzuks3qWR2DVIoIIU8b1cPpk8YgIr/26bzjz8+vQepbhG3jO2PUERCcpKIOyfnQJZVceOaOn3Sboq6jm8SVr/4IeYUZaNkdD9MvrovbAKHSEzC5181wOUU0SHFgd/cNAj+QBihcAxOu4CS0Vlw2jlUlhcCUFBR4qOTh+Qe//DUHko7kJZsx8xfDoTTJqApFKXPbF5Wpkq9EYygsTmCtOQWlJTZPRO9qqvzu+so+8xo/L4v6KZv0s4nPbBZ0a6mLmQ66dG1kxuLp+fjo09PoW/3DroksqLUh46pdtowqywvRFSSIElAJCbB5RRwyh/ScdjPLc7B6zuPGponC0p9cDlVStpQJAq7KJg+Q2SKpbY+ZPp9iAKHu27Kxf1PthQJRxf2MEUFLiwbglm/e4M+8w/PG44umS5cMaiLoaEpCKyOb3/2pGy88t5nmDqmv6lG0cKyIVi3eb/u97lQ4y0xSTKn9V4xy5x28mzMiu6rg1tERFIM37n2/aTB1haxeKBlw0VQxGXjBsCTbIPLIWKNhjo2Ucd4QakPC8vyALBoDkcRCscwpygbHZLtOHqyEZ9/VQ9fVmc47AKWlxegLhCmYCMrVGkkKuF///5flI0bQKdkfFmd8eTmA3G6cpFSLn90xG+YyCITiomb1dmTsimtVDgqIQZ1nbOaplQ4znS9qywvBCNJ7UWVczSOZSybCwRoIMtGEN3SGfmWfhONyvjj07tbBSw5HRxKx/RHOBoz1VNzOwVUzipAJCbjysFd8NJ/DuP6q3qDZ3nwHIMlt+ZDkRXwPIsd+79Cj4tTdXHfjBq6cu0OOmVC7mXtpv2YU/QzcCyLhuYIfFmd8eauY5g5cSA6epx0kqG13Idow7Y3T86vmTVYNmw5ROnrrQpqhN6dFOJuvLovojEZn35Zb5i+JowbAs9h94GTWDazADFJhr8hjKZQFDzHomiUF3WBiIEaseCnF5nqvudlZUIB0CHZjtuu/xle3qpqVj3w9B6Dr8+elI0nNqr6greM7Q+WZRBoitAc/q3dxzSayeZNG55nMeWaLERiEsAwOsp88rwlTjpaTRUSn77bovFyoecaidZa/iwn+C/x18QJZVFkEQ7LpuchVNvV/haq4hYQpIDPjjfgJ5lJdP1NS7YjJssYltNFl7PcW3YZbTbW1oWwadunuCqvq6GuMKcom9LQzSnKxpTRfXH3w+/S+zlR2wSng6dAII/bZsiBH1i/B5XlhVQugrz+x6d3Y+mMfMwtzkFtQxAsw9BcJyPNiftvK4QsAZIsq/tCRoHAc1Ci0nnzsXb/VS0xtloV+QmLCvk3w6j5woQrLqWgu2p/EItXb8fCsjzcPLYfwhHJQBN+4EiNqSZmqtsGBQrGDtUDyYZmd4E/YJxyzfCo09mLpg2BEI97k6/uC4HjaI5MZCWq/UGUjRtgoKQnE62rXthLc+qlM/IhKyqgMFFTe/maHVg2s8AwKbmg1Icxl/cEFAUcx+DhZ/ca8qR5U3KR6raBV5QL0s9+aGYpT5Uktsq0AuhBHAQ0T0AQZBrbDKQ5tzgHDjtHc1Py/sRchWj9LV+zQyMD4QIAsCyDOybnAACag1Hc/9QunQ8WXeXFqboQHnluL0rH9MMLb3+CaeMH4L7VRu15rR7mglIfkhwCGAaW61OyU9Sd47ukEresYVdVVS3+Nm+k3dqt3dpmPIBF0y7DydPNtNmXmeYEwzJgGVaXTFSU+sAACIZiOOUP0vd39DiQnMSBZYG9n9RQlBygBqpJV5mj9aIxGQ47p2soSoqMSFzMOzGwrZhVaKpTWDmr0LTZZ0Vpmrg5IK/HZMWy4GVFy8eBhcdt02n6eNw2S02Sb1oTsDWNhB9zEsRxrCX9mVYw3QzVSGjQzGi57p7qQ0xWOcg9brslpRBBx6W4bFRX0pNsQ6rLhqZQVIdYf3DDB5hTlA1FgU5PZd6UXPxr+xEcO9mIG6/ugyXTh+Cr2iZs2HLIslBINg8kefhJhhtNoQiagjHdszu3OAeiyOomm+aX+PDMqwdRMrofFCi4b0Y+GDD48lRAh0ZasXYnls7IR0ySEZNkWgAnida9GrTUwmmX0UaQ1cZGkmXwCZM8ZjR+F4IPW8Xfr4Na1G4sW5rZNtN4c/SEOg20bGaBjmql2h/Ehrh2ZiK6ecOWgxQFxzDQ+TShlHnh7U9UPv5IDHaRx5b3j6Bv93RK/yWKLDp3SDKd3PYHwli76SPTSapVL+zFlNH9cHtRDhgGcXo58zgeBw7Sz3qitkm3aSbve2D9HiyaNkRH50sKcVYaRY3BCIpG9aH0zWbx9u5SH1LcIiJR+YIodMQkBVf5uuKKQV3oOv7W7mOISec+LWlNV56v16KijUEgHJVUOjhFMd345WVlovtFyabAHo5n8LfNB+g6UDZuAP75+iGMyO2Goqu8cDlFNDRFdL6/fstBXD/Si5XrttNz3XHDINQ2hHDgSA2G5nTR6UgtLy+g5zej+JxTlE0/q9sp4IW3P8G8KbmISTIFEFWWt+Q2hMYGaKG1Ia+v23QAy8sLIcsyPvtKRZAnNsNbi62yhQ6zLCvgzvG3bTc1/nvc5jGa5ISySV76r3ePYMFUH5Y/oS8g220cWI5RNXM6uWmjmBz352c+wPLyAtQnUJGRItxXNY1Uc2ReyWCkuuywizxuHtsfXIKmGrnPhWVDDLFVSxVOrNofxMUd3Vj560KqezK4bwZEgUMsJsNpE/DukS9xdX53/OvdIyga5cYdNwwCYzGlQ/KLB9bvwW3X/wz/+/f/tk+tnEcz26v4AyEEw2o+2/MnyaZNNUFg8cDtQyEKPFgWUBTgvrXbLaeeM9OS8NybH+O6Ky9FLCbDHwhDFFvAOWYFOZLvdu7gQkWpD3VxnWxFAVLcomHCThBYFI3qjRSXqMs7yOTddVcG6fTi/BJV17AuEMEVg1om3POyMg3TinOKsiFwDL7wNyEzLQknahtRF1AbRx63HdGYhDlF2agLhHFvWR6WxIt+Vvl810wXZk4ciBS3CClB5+dC3du1ZlZ6azyAGKvX0H32jY8xr2QwQmFJt96SyblEQDJp4BKTZLXBbNZoIKwSU0b3RSQq0f0i0fdjGQaKogBg8FVNAFfnd8fKdTvpFNfFHd2GfReZ/AJAJ0yCoRhkGbi4o0uNm5JsyKNVpgrzdTsmKVj0mEotvmbjRxptKRnhiIxFGn37BaU+dL/IidraRnqOc2nitftviyXGVitABaEYJP8Go1InpySpcWzH/q/gy+oMt1MAyzJIT3EYmr8kXya07ikuESkuG0KRKCJRCSzL4KNPa1XgWorKhsJzDPiYOggQi8lIcdkp6CcSjWHx6pZcd36JD7Icxc1j++OXI3rD7RTpnsqqBlBTH8S1l/dEXSCik2PonO4y1dSOxWTDpCSpCXIAwlHZlFFh5bqdqCwvvOD864dqhB5fG9PWbzmI0tGqzrQV0wqgf560IBtvVw94jsXi6UNworYJb+0+hjsn56BDigPRmIyauiCagvqBkVSXzTSukqY8kcx5ZP4I+BtChmk+bU2B6LwS8JusqKC+2vqQZT70u9mXIyVJpFOEeVmZpuvTy1sPY0xhD3o8qfuoUlV6yYdvA4DRzsDRbu32A7REodW7S32QZWt0f31jxDDe73KoiHKzgpZV48sucjhVFzHQh9qTzam6rDSnJMk84bW6Lm9FQ2pBCynLCiTFnJZPkmQkCRy6ZrrbFFxb27Scj8JFqxpj5+H830cjmwt/wHyKKD3FgVUVIyx/G0KDNn38QJpAk8U0FJFoUlt11G+Y0CPXSIujju02zjApMntSto6fvuqoHx1SHIYC3cp1O7GsvAA18cKWNsl2xZuMVpuEar/alD/dEILHbcPyJ/QJ8wPr92DmxIG611as3YE7Jw9CKCLRZ/Dhu4YbJsfIMeGIBEnzDJqhmRc/9j6WzijA0hn5YBirhjcLaDR7EpMThWMuKB82i78Qzr7ETuKddgIkUauBxNjN246g2h+Eohhj6ojcbobvv3LNDtq4tqKwuqSzG9PGD0QoEkVdIIRX3vvMqHU1VaVIMpvcjkky5c9fWDYEjcGIQSRbO9X70F3DTf1LVlr+n9zfnZPNC5CB5ojuuaz2qxpBhA4v8dz1jRGsfvFD6otm8XZZXFtOi+b7MRc6HHYOg/t10jW6KkpV2pNo8Nw+sxXdl7bJUO0PYr1J43pucQ7umjIY96/bhSc3q8jNrpluBJqjOHm6GTzP6sA7kiIj2W7HuKG90DHNgUXThkAUWLidvfDC25/g2st7onLtToPvj8jtRgvh5H7++PRurJhViDGFPQ1FmUhUps8EyzBYs/Ej3YZz3SZVKy3Do04mXnt5T/zr3SOYcMWl1CfPpNdJzB8IgQXAxDWGysYNaJV6MtGsaOFZFu2dlvNgkiTDIXKt5oSKYkQ5D+rbSaf1SvTVAs0RFI/qQyfkzPNlRReztEU4svZ7u3oQCkuoWPtOyzNd4qPFDe35ONbYpLPSeAMUzPvLOwCAkbldMLhfJ90U+vySXGz94Av8cnhv1Nar05Cd0pLOmPtkpiXh93OGnteplQvBWisMme1VZk9q0aWqnFWIv7+ml094eethXHdlL0RjCo2VK39d2GrM8gdCuHJwF11eoS2ktUbdHIqq8S5xP5oI7Fk6Ix+vvPcZSsf012mtkXvQTnytWKsWzb881aiLlaQ4vbBsCBqawgg0R/HmrmMYU9hDd30ybZ0Itqgo8WFeyWBEIjJcDtEcnHWyEcvX7MCqihGGgtqFuLc7k2kprMEwgNJCow+e1TWp/YEQkp02rFxrnJwrGzcAG7YcxNIZatONZRnICtAl00Wbcp8dr6O68In7trJxAwCojbu5xTk0B39562FD3kAAp8Q/F6/ebr3vYoBVFSNwqi6IaFTWgTjnlQwGgxbtQG1hOCYZ6fPVdVutcTy4oYW9ZsXanZg5cWDLNdHiW7+fM5Qef65NvHb/bbHE2GpGh0zoB4GWJnBTMEp1/24e2w9jCnvofg8r7fRAcxRTrslCQ1MYkaiExmBEx4CycNplaGyO0poE8S+OZRGSJN3rd9wwSBdfn3n1ICZd5aXa1iQGr9t0wDLmk30U8UESz7+qaTR9P8Oa1+bCUQmKosAm8taMCooCm4btot2+x2YhP0CkoxL19VwOUWWb+7mXMhRU+1tANmZU8gvLLkNUkhPyzpbc1tvVA1mBaVxNbMrLskJrdQAMsZW8RoYEZk/KhiwrlOXFzNe/qG6EwDP43ZMt+rUk91heXoDa+hDqGyN4eeth3PDzPnj63yqVrxVtvifeuPw2ABjsmd/Sbu3Wbt8niwFYZlLEtGq4xSRzis2YpIABkOwSsWjaEDwybzgWTRuCZJcIllV1RNQiAGiSEDFB96xYuxNSPIHVGklg87IysaDUh8ryAiwoVRGbXBzxl/h+jmNw5+RBuuveOXmQ5f3wnPn5WRYQRA4KxyHGMFA4DoLYUqSXJBmMpE43MZLcalDVblpWVYxAZXnBeQ3ErWok/EiNbC42bDmE2ZP0v6taTFPO+NtIkkzRk2QxXf3ih5j3l3ew6LH3cNM1feHt6sHf/nXQ9BoOOw+XU8SRL+sNzfM/P/MBRanNnpSNvKxMyykmWTImFSvW7sDJ2iaDzxLhY/LvUCSG+saIJQqf0DQR87jt6JBiRzSmokm9XT2oieu6aU19llg8uOEDWtwDrClM/IEQAGDztk8xvyRXd88VpT5IigzezgMcB4lhEJYVrHrhQ0yvfB0VD21DXSBCNQa05/0x+rBl/D3DcRzHQuHYeDxiwXEsRI7B0hn5uL0oG9GYRBvXhLriobuGo2zcADzzahV8WZ3VzVW8Uas1q81UhxSH6aT0xOFqc6KmLoRPv6xHOCLj4o4uc62rJ3ZAkmC6hjDxUkDVUT8amsKY95d3sHzNDp0mSsdUO43PTaEoFpT6DP4VicVQWV6AsnEDqPZUzGJNqW+M0OeysrwAC8vykOq24cCRGsybkmv6vFX7g5DjYcQq3mop0Za34ff8IVskKpsChiLRc1/TSGNba9qiLTGzxvUD6/cgGIph5sSBuHPyIHTNdIMBE6dttmPl2l1YvHo7Kh7ahsWrt2Pl2l1QZCAzzYloTILAs5Bldep0TGEPS9+3el5ikoya+qDhb02hKH0tEAevLF+zAxUPbcPyNTvgD4SoVkuKy4YnNx/AazuP0cnBDI863Zi4HswtzkGyS9S9dnepDxwUWnRqjXrSzMhxxjW13b6OmcXtaEQy5IQd3CJiAGKMqsuYl5VJz0HAN9v3n8TyNTsw7y/vYOGqd+HL6qx7DkgBTmsZHscZYxa5hhmd55yibJobe7t6kOFxUJpErb2+83ND/JxbnINTdS35xYQrLjXEjRVrd2Kk7xLUN4URicpIT3UgIkmYW5zTau7DsgyiMRmx+Hfcbmc2UtSveGgbzb38zVH6/dG9yqxCPDxvOGZOHIgnNx9AqlvEklvzIUkybhnbHykutcgk8Ayuv6q3DuTg7eqByyFi5a8LkeTgDb+j6iNO03xg9qRseLt6LP04FIlB4DiDDz24QY3LxKr9QcgKMOWaLKzZuM+Qv2snucj7GYbBxR2N+sTb959EQ1OYxuqCn15kKkEw4QoTKvy1O+C0iViz8SNdLCf3QXxaBcoZ2yIX4t6uLUb23xkep36PpwDv/PcLLJtZgEfmj8DMiQNR12i+N3I7BbidIppCMdzzyLv43ZO78WV1AL8c0Rsrf12IvKxMeC9JQzhqDjhWjxdo3CWU91Z6ryNyu+nOYbXvYhkGDMMgEpUM+8KGxoihfvLnZz7ALWP747k3P0ZFiX7dnlOUjdr6lveSqRYS+xP3h9V+la2JrFlEYznxs7Q1t73Q/ZfjWCBeQ4oCSEuxYUV5IVZVjMCt4weiY6rKEqHuQ4Zg6wdfYERuN7qXiUTUPJs0mJtDMcPvQZpoWsvwOFBbH0JjMIKKh7YhGJZoA5AcV326mWpWktcaGiPwN4QMsfmPT+/WxVczPcMHN3yAolG9TfNU7T6K+KAK3lQgCpxpvslxDBaW5cHb1aP7XCdqm8CxLKJRmTIqJH72Gn9Qt6612/fYFMZ0n8XzLOYW5yAvK5PuuVKSbHh375e4cnAXVPzfNjz63F4smZ6Plb8upCAbM6B6tb+ZMmiQ11as3YGiUb0BqLlvIqiTxNXXd34OoMWPwxFzbW4tjW+Gx4EMj5PmTzzHotrfMtWY+Gy8vvNzdE43zz0kWUHHVAcu6ezGzIkDkeq24+Zr+2NhWR5uvNoou0XisxUA43zXJdr3he3Wbj8ws0rMCJVWIkqBtUDkyIo6fsyxDE7WNrVQ23VwIhKT8eauYwatwOtH9rZMCu+4YZBOc+qOGwZB4BkDL/j8klwIImuKpGYAvP/hcd11X9vxGcYU9sS6TQcM6PsFU3MNU1wVpT7YRQ7VdSHD6+luEdHI9wsO/03TjX4fjfhwtT9IUUJup4COHgcEmE9lmiGgFcAycdDq/r289TAWlg1BczgKp02ApMiIRmVs2HIQ44f1skwKqv0qneCkq7wINOuR8kSUGAk0i+R4nmOxZuNHKpVXYwROu4A1G/dRHZw5RdmwiRwee34fbh6bZeoDRPx44vBL4Um2we0Q8dgLH6IuEEHRqN64vTgbjcGoUcS+1AeOBW2gL56ejzUb97WK8nvuTVUL9O098eeeY8BzDN7cdQyv7jiKJdPzdTSisydloy4QodR22qkEct4fow+3tjG2SqisKCgFgdXR+Win9Bav3o7K8gKKTrvuyksxb0ouFEU20ExY0dNZNa5TXCIqSnyw21WhdzlOCdmts9v0/YBiSrWRptFHM9Nsy8vKNCD0Fk27DEumq1orHMsgJsuoawjrJmlnT8pWiyIWdE/kuax4aBtda64p7IFntlRh2cwCnG4I6SYSyToIyTreahGDZ/o9f+gmSbIpvat0HuhArSbn1285qHufVXNLFDi4nSJsrHqfsfi0v9aXtRo/CgBBYNDQJGPR2pZnafH0IZa+n5xkRefIIi3Zjv/9nyvAMqpeoL8hrPMZLb2Nx22nwvQsA4RjEtw2Af5AiK4PGWlOLJmeD45Tm0O3F6m6lkl2ATaBhSBwuO/WfDAMwLEsRA40R0lPsSEcVSgdI3nuWoutWtDSha7jc652pkkKwjTBgUFtQK+JXVHqA6AWAlqjBtfm51bUSbX15mwG2ilST7LNYl2SEWiOIsUlYm5xDhhWwRu7jupQ2BkeByZd1Qe7D5ygiO30FAdOnG7Cro9O0OfZbIKw2h8ExzEq5ZlLxPFTjUhx2ZGabMOS6flgOXXa568v6XOf2vpmzPvLtm8M4fxjtLZM5kiSDE7k0BxW17MZ1w2AAugmQypKfGgOR/HKe59h/LBesNvUyT0CpiN0mhkedZrl9qIcdEixg2HUCWXZAnAaisRw0zV98dbuY4b9IMl3m8NR3bEklnfNdGFBqS9OMx7C0RMBdEixY/v+k6gLRHRrFccxNNcG4pMnqpuZ5uhpKXY8Mn8E6htDSHHZTe+d58z3yQ1NYcy9IQdf1TSio8eBFbMKEY3JOF7TSAFLBGTBihwicY02jmXBW+gC/Rjz4kRrbWKV/K3a3wxoKNBsAosJV16KSLRlkok0GBK/w+QkG345vDfuXfUuPG47pk3oj0CT2gQJRWIoGdMPosBCls3rIh09KgMFAegQ2QgroCSRqCC28Z1PDbrsd9wwCKcbgnA6BFxkUhS2mpANRSTs/aQGRaO8uHNyDqVyZDkG/3jtEL1nT7Idy2aqEhCpLhsUqD5OnoUMjwM2gUVNXUinsZx4vbbmthdibYIYx7Goa45SwCeJYR63DUkCB0mSEIGCYycDlEpWO9WTl5WJW8b2R7U/SNkcfnPTYEMO63KKlPpTq0u6+8AJjC7sgZW/LkSKy2aY6HdpdMXIuTp3SLIEFGubHFb5yMUdXbh5bBZYlkFleSFq6oOGfRSpIdw1ZTCiURkPrN9DKXI7p7vAxRme4LqjAAAgAElEQVQsyGchE4b+QIju30rH9MMD6/egoiTXsMebU5QNUWSxfstBTB8/4IKbOP2hmZX8wCl/EK4kATf8vA+WPWHcCxKdV5YFXA4RkiJj8fR8NIeihr1pqss8t70o3YUMj8MyZjOMSrM/flgvSiVOANBWuTTJj8AoSHKoezni84lTjWnJdjSForhlbH9LFq3jpxpxcUcXHAJr2CPcZzEFTEAW5xK722ptOpfX682rqqrabvK6r6qqasd5vJ92a7d2O4O1lpiZUXuaCbFneBzgWQaSpMDfEDZQs/ykowtXDu6i2wzOKcqGKJgLrXMcA5dT0FF1uZwCGIYxnRy8/7bLwfOM7v08z0DgGQzN0V+3otQHm8gi1a1PwlPdImIxcwrUyvJCy9cZqFOC2s2atvBm+L45Fk1RyaABpiaC5160aI1uFBabpR+6aX2YNJEyPA61cGnRADQrxnVwi1hQ6kM4aq4tSEb6i0f1wX8PnUSfSzro9EJKx/QHy5pv1MnCT2gwVt5WiKUz8uEPhBGTVL/RFjm0DRxyvD8QwrGTAWzYcgg3Xt0HJaOzcPO1/SGKLI6fasJjz+9Dl0wX0lPthg3l3OIcuJIEAw3j3GK1iKylBplXMlinEbTpnU9x5eAuuuPmTcmFw26kMdPe9/QJAzG6sAdO+YO6Zg8AneZatV/fZNUmZIk+/P1quZ+7fZ2NcWsUlFbfqbYxleFxID3VgVXPq8LsI3O7YNlMtcgvSQre3vOFQetnfkku6iyE4l0OEes278ekq7x45b3PKIf9LWP7mzbyGpujplQbDIClMwoAqICSe27Ow9LHW7QnzKiYFj32PmZOHAiB52gR7c7JOVg6swCyrOpp1dartJ8up4BF04Yg0BwxbEa1dGB/fHo3ls7Ix2s7j2HM5T0QjcmG+wWj/jZm8ZZsVNv6e/7QTRQ4U3pXUWAhR86RDtSkCSVyDIpH9cGR4w30elaN68w0J2RZQViS4xoJ6vNWW6/G7LFDe8JhE3QNjCXT87HhVb0uRUOTue+npzpQ3xjGvWWX4ZS/ZU1PddvhbwzhH68dMqXEnVcyGCvX7kLVUT9e3noYy8oL0NgU1cXsOUXZcNp4VM4qQENTVFfcIJvf7ftP0qJNRpoDsNCnlGUFNfVhQ6x+eethncal1W9gRoXebmdnbaVDM3sfyTdvGasgJsmWhYdOHVrWTVJkmDlxIDLTkgAAazftR10gYmgO3nHDILicfPxZssNtQleYl5UJSVJ0sbCi1IefeTPwTMLz8syrB/HLEb3R2BxBcpKI04EQGDD4+ZDuEHgWS2cUtErX//rOz1E6pj8ef2k//IGQqgEaL+TnZWVi6pj+9Px2G4dVz+1r9TttN6O1FYDESArcThV5L0kKfptAY1+5Vs09rr28J154+xNMuUYFoZmB6e5ftwsLy4bg8Zf3Yco1WVi3eZ9pnpDhccBpF/D316pw3ZW9kJZix9IZ+WBZlS/gxOlmPPb8Pl0RzowOizQLX3n3M0wc3hsZHv2kiMAzcDlaqPbJs1BbH8Sr24/SPMjjtpuucVY+TKZ3tfpWJM+QZQUPP7sXd0/1we0UIHAsul+UjDsn59AGF8sxqAlEdDF/4bTLcPdUn6EI+mPMi7XWGngCMKc5S0+xob45inBEQjTWUlh+9o2PqWa5dh1ct3k/bbLMKcpGOCIZ6hg2gcdfX9pniJ3zpuTisRc+xIQrLsXCaZdh3aaPIAosFpblwZNsN/UPLXCI7Ck5nsGSW/NpzvrEy/tROqYfAo1RiIJkaEhb6X2LPIeKkly8uesYBvXN1DXsZ0/KRqBZBaL+8/VDuHJwF/xpQ8t3QRr6L/3nMIpH9YEkt6xFVqDPtua237QUyvfZzBhfiK6pylChxuMNWw7hrimDEWiKIMnOY9G0IbCJLALNUXx5qpE2KTxuO512SmwYkv1a2fgBOFHThN0HTuDy7C5Y8NA2CjS7vTgbNXX/n70vj6+iPPf/zsyZOUvOSXLIBi4gIgQI4UIgQZIAla23Ipu0EFxI0BAgFBFtBaItiKza1uXeX20RK2BboLdUZbH3otAqohUEqqxBEQFlCQknyTk56yy/P+a8k5kz7wRQcYE8f/iRs8yczDzzvM/7PN/n+w3hj39XwXQeyrHKR+eCt9Hjm77JYQWC++J8AI+vfB9VZQV498MvMPzWjgDUSattu09g4vCuWp0vFBY1qtsaX0iTUlg8vQhjBt2CIfkdsGH7x3h23T4snFqIk2f92n7PH4zF16HdWDStSKsDEnC/zx9G+ejcqxqIebVYovwAAd54XAI4lsEvVhpzjyWrduHn9/ZFJCqZwG6bdhxDxdhc07o9tzSfujb7g1HML1efN5o/f17jx/UZbsNENpl0TaypyYqCpZVFCEdFiLIMUVRzqAVT+sNu57S8ovqkDytf248FU24FALjsNtTWh7H9g5OmGh6prVWMzQXntpv2CKctqHQJq8BXid2XaowqfNuyZWdnN1ZXVydTXr9QXV3d5mv7NV+v3QTgeF1dAHILo+sZGR6cP++/oj8kI8ODkQ+/dsmf3/Tr0d+5z1/pa0Tsm7gfFzn/d2UfehMs/JcXONNmY15ZAdokq7piBCFPiviPTOqD2vqwYVLo4bv7ID3VCUUBXnj1IwzJ76B9Z9vuE6gY2xPz/t87pgC0/KfFqPdHDYFuXmkB2qTY8fPndpg+v6SyGOWL3zD9cS8+Osygu0M+v7SymP76jGKIkgRJAlgGkBWA4wCWYTFlyZum46+YNwQVS7dRX3fyLPX6WU0JsgKHL843mTaT12ckQf6apgppqEng8jmhvw/+C1y+VoHCsZj3250UfymCwDGISqD6DUk+YzERndt7tYYEKT5s2nEMowZ2gsvOmxbvTTuO4SdDuyAUFuGw2wyiv/PL+2kbTv35ykfnYuVr+7XvTxzeFZvf+dSkNUIKwvX+KKbemYtlq3ej5y3puPO2zrBxDDiWBcepMnwrXv3IlPzQpu70k3pVZQVUHZVF0woRjMTgFHjIsoJTNQGNVqlkeBe0TUuCw87hzPkgGAZaDCFJOZlKI7a0skibxlpWWQwFyleaPvk++K9V/G1pylhkGGo8Itcv8bWn1+41ICirygrgSeIRExW8tKlZeHrCsK5aM2TsoJvxo8KO8PkjaAhEcfh4LUYN6oT6xig1MSXNtPnl/TWaxG27T5gaIIumFRoaeYDel0QINg7PrtsHnz+MeWVqkYxw4KelOPDws2+b/u7fPjIYz67bh+qTPmS39+KRe/siJsk4UxvAuq1H4fOHMbc0H8lJAp56eY9pw6z/G4itqBoKRVbA21j8/hXzmjZ1TE9N11Ifb20si3BMNExkJsaiS81Lvg/+CwAMz+F3fzNfo2l39oRi1Vn6iqa/5ipCH9pEBbnnj0zqC1FUDOCKBVNuRUyU8c89pzCg941oCIRNsfeZhwYhEIwZfGT2xDx4kgQsXNl8Xx+6qw88Lh5rXj+EMYNuSdBxVfVchuR3oMbOmeN7IRSR0D7LjZPnAnDaOa0Iov/c0hnFiMVkNDRFTNN7ZGJcX7ShnWvBlP6oawhRjz+/vD/WvH5QRUl/zYCg74v/6u1K7hms4vaKeUNg0+2drT73+7lD8IdNB/CToV3AMawpbw5GVI2yxPyAxDcAWkGEFAPbpiWhsSkKUZKR5OSR5OAhKwr+sPHAJcfuJZVFKF9szJuz23sxfVxPLInTl9EKMcluAbKkaGvMtt0nMGFYNgSeRSQq4+/vHsebu08BAJb/tFjTEiTnfWJqIWycivInn7O6pl/Grnb/bSkPNsUCjsP5BnWCOjHHAJrzjPLRuchs40QgGANvYw33TP/Zeb/did/NGYyT5wLYtvsExg/NNoCOSM47amAnJDl401TsujgAol9OlsbeYhX/Hr67jwZU+snQLohEJYMvVsWBErGYgnMXmnDkswu4re+NEEUZCgAFCgQbp2kIGY+dBztvo4Ir9KAl/euEslef4z9aVoBUXY6gcBx1D/LUzAEQJfmS8uLvkP8Cl+HDidaSnwKgvvfkzAEIhkUV/BW/9wTw9pOhKu0bE/9vUyiK8/VhtM/y4Jcr3sXCqYUGvXZyzEXTClGxdJuBOcAfjCEj1YHZz7yNTK8Tj9zbFy6HDY1NMfzmz3uosW9eWQHe3nsK3Tqma0wCW/91HD07Z2jNjzmT8sFxDJKcPFgwiIoSGDB4afMB1PujmDSiGza+fYyqn7VpxzHcUXwzQhGJ+jzML++PaEzE+fqw6f1+OVkoHZEDjmXA21iwLIOyhVsBtKw5dal7s5YmOhPtu+i/AL5UftDSni0txQGbokDhWKx4dT/GDFI1qIfkd0CKW0BaikNr4N17ezfERDWnJprrMVGi1g+mj+uJ6zLckGUF81e8R20Wzp6Yh7RUJ/xNKrtQJKayVTgEG2RFgctuQzAiGvyXMAK5nTzO1jVh54enDSBhAgBtaIrC1xjB9Rku+PxRU+PcIbB4bv2HGDe4M25q56FeH7Lu6/OY+0blmF4je7ffzRmMacu3U4+TnuK4EjmupT98F/33u9DDaMn0tbzE2Pn8I4Mx/UnzvV1ZNRRVz5vXgPLRuXA7eQ3koH9Pn8uSmCzJMp5c84HhvPo8ubY+hL1HzmFYvw6GIY60VCeS7DyiogSOZQxTuHNL88EwjLG+U1qALTs/RUFOO2SkOpDkEhAMxUw5hNPBoTEQ1RrapHa2uLIIYkw2XQt9zn2pYJmLxe7L9eEWm+zZ2dks1HWXyc7OZgADUK8TcFXLprRaq30nLSopWLfViOJdt/UIKsb2RPeOXlyf4QbHAslJdnTv6AXAoNYXxNLKYm3y7chndUhPVdGPd97W2dQgBOiI05ikwMYzWDClv9aMkxQZikIfXbaiKLVGtNJHy8EATSHRRCuaZoHaI5qDtNejElqcEkw0K03FJZVFX5uoKg25r3DsVSvKTaZFfjVrIMIR8aKbCyt/4TgGoZhKd0UoL8liPmdSPv667ShOnQvgwYl5BpqMcYM7awLwJHEgCTjHMgiEYhg1sJNJ2J1QYFrRurRv68GSyiIwjMq7H5NkFP3HdVTe7wVT+kPgWW2z8IM+N2LBC80TsHMm5eOdf3+OkQM6abSbgKoL2C7djaWVRYZpPUZH1WVFjxCJSbDzPBqDUXg9do0vffLIHMsNMKFAWb3lkOF4KhIrpiUnHBRIkqzRo4kAJIa56ujoLONvC9QlVuhzPZ0beS3D68SDJXlQoKBirHoOfZJaVVaAirG5iERlKIqM5TOLEYnKYBmA5zlEYxI8Lh7dOqajtj6Mla8eQPnoXK15od+A1fhUWs17b+8Gu8Ch3h+FoihqfGcZ1DWELGO7PxjDw8++bdjYLU2gha0qK8DYQTdjaMFNBnrnz2sCAIDF0wvhsvNa8Ux/rGWrd2PBlP7w+cMGyuAUtx1vvP+ZoaizbfcJcCwDSVGRieOHdUFjfFKQ6B5xHEN6gAnxVkISz11T9Iky6GLuMnDF1xYGqiZhok+7HCr1yiv//NjwbK3ecgh33nYLRhTfjBde3Y/SETkmf+Q5Ds+tN+sLLq0s1nz5QkMY6alqcaZ8dC5Fx3W3dl6avws8h1/8/j0NYGFFtSWKsok2mTxzKW7BMG1jdS5/MIr0VCf1vcamCN4/eA73j+rRipK+wnapU9+0z/XLyYIkKxgz6BZIkoKMdLuGeBclGZIia+usPxjF4xUqJSxBzJMYvWbLYSyaVggA+OyMHxu2f2xqGi6cWoh6fxQMA8NkimyVl8swUcyWDO+i5Zvlo3NNOe/6N6oxcXhXU1Fi96GzKP6P67HilQ81UEfJ8C5I9djxxNT++OPfj6D6pA81vhAuNIbhTbZj3ODOGJR3g/belUA4X412OZM5oiyjIRC1nAwh0xgpbgEuOw+X3WZC9es/q+7bVCq59w+eQ8mwbC1eipIMhgHGDLoFGV6noflG9lcLpvTXaLm2vPOplm/T/NPrsUOWFQzJ7wBFMesRL1m1C4unF4FhgdRkO/p2b6udkxQIyWcTj+1x2WEXWE0ewNcY0Z63+0f1wMKKQg3AQfYIpCGvj9mLV+3CshnF2rGt9q7hqAQHx4BRlGtmKvtiWnKJ73k9DtT7jVPvc0vzcV16Eop73YA/bDygNVhSkuzYsvM4PvqkFo/d3w/zygqgWJyPYRj0y8kygZ2Ivl+NLwSXg4c/GEEoImL2xDz4gyowY/q4nrg+w41zF4J4e+8pDL+1IwIhtUnyh40HUX3Sh4Kcdtpxlq/Zjcfu74emhMLtnEn58Lh4rYBNqG1T3ALcTgHPrVfBcGMG3QKPi6X+HYGQyoSRmC9kt/di5IBOhn3jo5MLtKkZ/WR52zZJ4DgGTp69LEmUa5VVoKU9G1mrbADuH9UDLyYAcJb/tBg1vma5k0kjuiEtxalRG88q6U29zw7Bhnp/RKO+JzSiiXkt2WORWEfYXDK9Kk1nkpPHkspiyLIMllVp7c/WBvF/732GnwztgnG3dYbDYcMTUwsRESXEYrKhwVJVVoBNO44Zzrt8zW7ML++vTUItrSymXh89Q8tz69XJyfQUJ1bMGwJFAf5nm0pvS/SueRtHnfLyeuwqwBvsNbMv+z5aM/NLMURJNrAOMPFniFB/elw8wlERCqzW5mbN08T3FAWGuMnbWDz2u53ac7Zmy2EqwGf2xDwAMEyJV5UVwMYxkBQWHAtMGZOL8UOzUdcQRkyU8Os/GfeGhDlh3dajmDSiG+oDzex5BGDC21i47DwUBQaWrl/c3w919WGEIuapbJ8/jFSPHUsqiyDLgI1ltDoagG9E1uFie0gRquwS+X+9yQAWf62/ptVardUuarKs4P2D5wyLJgCUj1aoVJpJAovrMj2GQuu8sgK4BBahqGwSF/71n/Zg6Qz6As+xDJ5Y+b7pdavP8xyDRdMLIYqK1jS02RhLilKOY6lJO2RQaUWXzSimUqDaBdbENT6vrAACB4QshMKtqAesdLVkGV9bE5BmX0Z77PtkkiQjo00Szof9F91cJCbk2e29uG9Ud9QncGxXlRVg4g+7ouZCCH95sxolw7LhtPMAFLidgpZsely8QQC+xtdMZ/Hw3XmQZSAaM6L1SFJbPjrXkt7rTG0A7dLccDo5dGjrAccx8Ljo/Ps2joEomZN9klSIkowRxTdjyzufYtzgzliyahey23sxaUQ3E13Mph3HDNeIRgNDqMGeeNGY7Kd67Hjkv3ZYFv+eXbcPi6cXYdTATgY6PzKdtrSyyKT38WUQTN8Xs4y/o3LBWXyHVrx7dHIBRLnZj0jsqmsIoep5FblfVVagoe2JX0RiEtwKD08SD0lSTMWTWSW9sWqz2rB9YEJv+PyqPojVdCihu100rYjaALZxdEBFXUMYgJnGNNWtFn/TUpzgbSxuyEwyFCnmlRUgFhPx8N15UBSYqMr0x2oKx7TrRpD4T0wrxIDeNxroIOeVFWDzjmN45a1P8cxDgxCNyoakf/bEPIiyYtnguuYKHQqoGqpLdUVN4PJQ4C0ZLSbQNEVvyPJQm5OCjUMgFMPIAZ1w7kKTyR/DFmLvoqwClELhGDK8ToiSAq/HgfZtPVrBjzRBSEG8IRCl+ntaigPzy/th54enMaukN1UDM9PrxOnaANWfV762HylJdu11AC1qtAbD9PfId1qbJlfeLqXpwnEsFI4xfI5MO+nj3s/uUdk3/vj3wxiS3wEuB48llUUIRURwLItn1u7FPT/qaorRPn8YMVFBja8JK1/bj/LRudi04xjKR+ciI9UBgbeBATB7Yh5e0oGgZpX0BhI00gBC++XX8p3ZE/PAsjBoDtGa02QaKrEZM31cT7AcA58/jKH5N+LHg7ugoSmCE2f8OHy8FrPvyoM/GEUgGAXLAmdqm7Rzk9zlruFdrwmKua9qLel9JsZqIU7R+pOhXbQ9UiJKvl9OFtJTHfA3NSP5E/dT5B7NKe2LSEyE12PH/PJ+CEZErHxtvzatsvFtdWLO67FTNbIZBobJ69v63oiaC0EL/wxoPjK3tMCkh1XjC0GUZAQjMaQk2VG10tx0XDy9iHrscxeM/qef1K6tD2P1lkMYN7gzbsh04z/732RoyJP4q/8NNo4DBwUsp9JJJk4BnK4NaPSB14q1CJ4AKfI3F4ZT3HYtVgLN+/vF04uw8rX9ppxgzqR8nDoXwKIX38dTDwyAJNHBxjFJwoRh2Sbw8Os7j2ufsQsswlEb/ve95kmucYO7YMP2oxjWrwMYhsGh4z7s/OiMlpdmt/difnk/pLjtmo4lADh4Do+9aMxnl69RwWyJOld/2KhSh+rlI6wa9ilJdvxh40GTnhWNvnfxS7uwaFqhtlfz+cPgbRyeibN1XA0g4m/CbAAeLSugagKStUqSZDAMY6glADDkkNUnfXj0+XexJB6Pqk/68HkNnQZQzSnVZm2m11rrLDXudx4Xj3p/GPePytVy6f958ygmDMvGC69+ZHpuZpX0Bssy+IWu4bewohCLXnzf4ENL4lPaeup6j4uHXWAxdtDN6NOtLSRZMukK6xkMyLHapbs1NiOyVwtHRMNaoNdP1kCBds5Eh3811RGuJpMkGbCxkBVjzbKuIYR5ZQUIhmOGnGLRtELLvY1VDBTjtPbzygqw5vWD+PGQLobPVJ/0oSEQw8rXjA080jTXv7Z26xGUjsgBywDn6kLY/M6nGDmgEzZs/xhld3Q3HJf4//UZbvz83j54IT75S2oyiZO6D93VB0/OHIBQWERtQwh2nsMTL74Pr8dhoqWeV1aA5zd8aPB7MgVIruuVrktcrJbcESpw9y0AA3WvKwDOV1dXh6jfarVWa7UrZqzFlBurG2EGdBNuMyz08WYUQ7IQeJdlBXNL803JM8fSxdMlSTEJwT90Vx8IPIuGgLlRk+F1UD/vEFiNKsaQIOimnPTnFSUFhz6tw+LpRVAUBQzD4J97TiEj1Yl0j2CYfiS6fxxnoWvIMtQqhM3ietssPt+SXU5R9VoW5U40fTGOTKsFw6IJsUOSV7JRC0ZELF29Wyt+3DeyB+4f1QMAA9bCl5OT7GhsiqBtmyTq+x3aehAVJVOx5KG7+iA5iYfDzuJCQwRL47919sQ86n2srVdR8fpkn5ZUEJoZQKXsTGzSPbd+HxZNK0QoKmrPLI33nKbPtmTVLiypLDYU/xI3qxu2fwxFUZDhdWHm+F4QeA5ej92AKJXQ7NsxXJqO0vfVLONvC/HASifNHxYNuqhejx3Prvu39r2W/GJeWQFCYdFAnVHjC2Hj28cwe6I6SehrjOCRSX3xP28ehdPO4fGK/jhb16TRL5JNm/p9+sRz1eQCk94gbbN3Q6YbYwfdDIAxTM+qG2e1iEfWniWVRaj67U7LaSqi5alS3clYOFWdkjl9PgBFhrbxJJ8n1GKvvPUpeI7DkrX0qTCOY1s3kGgJ2KJojeyvs5lP00x7bn2zVsiG7R/DIdgQi8nU5uTiuF7kkpd2mTZT/XKykOIWTJNNmV4nTpzxa83j6eN6alqIiSAKolWSnGTH3/7xsWmzNqukN556eY9GeSsrMjxJgqlBNLe0AL//20em65riVj/71+1HMfYHzYW8DdvN59I/W7Qiy6Ydx64ZXZ5v21pqugBmKqTp43qibVoSWIYxgRt+9cc9+GV5P9M0nap5rTbRWIqu94Ipt8IucHC7BHXKT5ExckAnbUppqS5Hnz0xD6MGdgLLMHFtE8mUx+v1T/VFErezuZBOa06nuOlgJkJPumhaEYLhmDYFq9JVZxuetaqyArzx/kkDerp8dC4UXFv57FcxWmGIFqsfLSvA/aN7oNYXRlqKSreYCBiaV1aAprCovVbjUxH108f1xA2ZHrAMA1lRMPGHXcEABm27h+7qo2lhEV+0ouxW890Q5pf3RyAURTQmwS5w+J83j1Jjrd4/l+lQ+PppgsamCNJSnBBFa1BnopZc4rEJQGPb7hOYfEcPgAHu+VFXTXfr3tu7ITWuO05oIDdsVydZMr1OfHbGr+kDxUIxsyadwOGFVw7g4bvzrgrg5qVaizr3ABZMuRU+f0SLc2RySm/kHiY2WGp8amON7PNCERFRUc0RGwLNNMVjf9AZdpsNC1b8y/BdMvH/0Se1KvUbGKx/44jJf+eW5iMUEQ2gtBS3oAExSdM7xS3gwYl5Ki2jjm1G/3cIPEsF2BGmpDmT8sEyQDAimuoiVWUFkBQZPn/YtK+ziskMGCypLNK03fWN7KsFRHylTZJkpLp4LKsshigrYFnAxjFgJMWQ/7IsY7oPtLyOxJElq3ZR9+ckXjy3fh9uzHKjanIBfI1h0zrcLycLNhsL3ta8m46JEqaM7YEXXlEnZomPJz43z67bhwdL8gyvNTTRfZb4uolOdnIBWJbRpsKXzyxGNCaDYxm88Op+g0RDpteJCw1hDTDr9Thg5zlLJq77R8lajhWJyVd1HeGqMwVoChnzxjVbDuORe/ua7veLGw+ganIBlujyCQJGS0txmtaOeWUF4Dh1EvDtvacw+Y4e4G3mOoxVPHQIzRGPNj39yKS+iEZlzCrpbdAGpvn/AxOa4zYNhPGbP+/BommFmP+CyhoDMFpupWc0yvC68IJO6ufb8u8W14Lq6uoT8f/t8A38llZrtVa7FGMUauEIjMXkmCRTC/uSpGiII1pBe/0b1YbvrH+jGhVjelIn9Wwcg5c2HTR8/qVNBzFnUl/qQr5sRjFcTpuBVlSUZUSismXDktqI4xj07d4Wjz5vpIJx2DnIMX2hUoEcr5jxPENtcPI8A5FSVeOgmBBhjxL6w8u4bZdbVL1WRbmtGqWkGKcAF20gACpKkqCfaWidtBQ6lWxtfQhpKU6cskDriZKiIXuIv4ejItokOwAGCEUkrNt6BLNKesNp5/HSZrMwfVVZAdwuHjUXQnhiWqGGZKUlFcvXqIjY3z4yGEz8tUSNC1GWMfOpf6JfThYeryjUCi0zx/dChtcFlnQJwuEAACAASURBVIElBYMsNxf/ZEWhblY5jsGTa/YYENH6ZEXv21b35arZfFrG35a/lli8i0lAEs+hfZZH83UuPlFBjBRjaX5BKLb015okuHo6wnml+SY0NNGjWrOluUhHjqu3Gl8Igo1DRBYxv7w/eBsLUZKxestB02bv3IUm/Kiwo6nR/Oy6fZg5vhd+8fv3tNfIBKzVJFQ4KuKBCb2xestBlAzLRmMghvRUh1pg5+jNe4+Lx9D8G2Gz0d+vD0TAsUwrkhQAw9DXfYZpdmJa4+7LblKsptp9jarGDSmIRWIS9XPBcAxOu820mcpIdYBhGAMVnF6bas2Ww4bi7z0/6mrQ2SOF4OnjesLrccBp51AxpieCkRjml/cHywJn65q05wRQqcQfLMlDkl3A/+0+jiWVRRBFGZIMlV5O9/yS65qeqoJ3PvqkFqfOBbT4UX3Sh007jmHRtEL4gzHUNYQNhfOYKGLRtEIoAFiGQSgqomJMT3CcWiThOLaVIukKW0toXP0zUuNrZhNYNK3QshhBm1paNqNYA7L95c2j8VirrgUNgSieXtusa7loWqFWmL4YVVhVWQH2HD6n5SneZAee/vNeQ+wmvysaEzW/pBUpk5PslvmSwHOQFcUQL0gxUp+vRGISxg3ujKZwzECZRDS2r+rE9goaLVb/eesRTBzeVQMJJepYE99bUllkuKfVJ314fOX7WP7TYgg8h1SPAI9LMGjEk2LX4ulFSHbZqY2a59ar674oyVqj2CGwaGxSEIpIeGvv5xiS3wFJDhuWVBYhGBbhctjw1Mt7TP7ZPstD1ahkGJVi3Gpv+Opbn2Dm+F5IT3GC51nqsTu09WD80GxDzjS3tAChSAyfnW4wNe0fmNAb/mBUowmt8YVw7kLQdG1J3uPzh6854ObFwBMO3oZn1zU356ym7wUba1nYJUCxhkAEdsFmABuQab8fD+5M/W77LDfKR+di/RvVuH90LtV/l63ejZnjexnOlZbiwCP39sUKypRVVVmBJUMMwzBUgN3SGcVYXFmECw0hjX0m0ytg5vhesHEsvMkOvLTpAEYN7ITp43oiq00SBJ7Fw3f3QXKSAMVi0puNUxTpKc/Je9eaL34VI/6qrv3Qakh6swHweoxrI8nrVBC6gtr6EHieg8uh0ibWNqhTxA/f3QcelwAbx0DgOTQEInjo7jzYeQ6RmISU65JNjFZTxuQa4g1ZP20cg3GDO2vPi9UUIZOQvFs9e8lJdpQM72KWMnlpF56YWojf/HkvfP6wJltS1Ot6jBl0i4EtSA+KIw0VfzBqWYfgWEalDGYZS6DiVVNHuMpM4Bg4HTZD3ujzh6k02e8fPIeKMbkq+MwlINklQJJlLHhBXRP65WTFKfDVmkgoTtsNAIeO+3C6tgmlI7qbctRUDz1H1cuuJNZSvB4HVXP4zttuQdQClDpzfC88MKE3eBudvlmB2rBcu/UI7h/VQ/tNhPUm06uy5yWySX0b/n1J58rOzm4D4GcAegFw69+rrq4eSP1Sq7Vaq10RUxRodECkCbBpxzFUjO1psRmio9AEXs0UaYgkjmWolHdT7wR1Uk/gWaoeoWgxaSjKCvxNUdN5nRZaa7KsUOk9OY4+/bhsRjEaLRpuSkyG28UbGpA2GwMlRi+kEUTYV+Vmvtyiqn4jBYYBFOWqL/hdrFHKQNWZa6mBQOh6SBJMK5b95s97MLc0nzLJkY/1b1TjjuKbsW33CeokRjQmakW/Jat2aecmkyg3X5+MkQM6IRprTiz0OhBpKQ688Op+Az3GoU9rUVVWYFkErw9EIIqq9mG/nCzqBvSlXwxDOCKhtj5o0NpZUlmEmgshRGJ0+jqOa54+sHGsiR742XX78PDdfUzFE32yovdtq/tytWw+reLvlBY0Aa0sscDMcRwWTSuEzx+BKMlw2m0t+kWihg+tWajnryevEY574iNzS/PR2ETfDAJAOCqhPhDBdeluPLtuH+69vRt1s3ffKLNeW40vhPRUJ7Lbe7XzSXE/oCFmq8oKkOwWUNcQhsclmETuF1bQqURsNha3F3XE6fP05n1dQziuZdGKJBV4lgqEEXgWYlw48euko7aaaie6VKRgaufpU/o2jjMUvMhmilbYfm79PjwxrRC8jUXF2FwkJwlY/0Y1xg3ujAwLnb2sNkl44/3PMKD3DYiJMub89zsAgKWVRZq+pf7z6V4nOAbo082oRfXIpL7U6ROWBf7498PaNSc6Pe3S3YiKEliWgdvJo7Epov3NVZMLEImq0+568MWiaYWY91vjdFVrY/vbMatnxEpbLWYxtRQTVS2VWSW9cVtfldKf0NXq6UHVwoqK3Pcm26nHIqhnkp8karTSmtT+YEwD9JF1zWZjtbWoIRDF1n8dN+Xgsyfm4dW3PsGUMT0hJxR7WppiT5wO/7o1tq81o/lhIn2rlY51NNbcuCDNWqK7s+b1gxg1sBPaJDuo3xUlGWfrmiwbNRlel6E5Q8AYehYCnz+sUtdCpQ+j+SdgbqIsW70bSyqL8Oauz0xr2byyAvzjg1P4z/43IT1VbVQDoB5blBSN5aD52Opzk9+9rQnU9Nz6fXi8oj+eWbtPi8tW11bguWsCuEmzFsETCbFiw/aPqetmQ1MU6al0sGabZIeqowoFv1xhphJ9sCTPskF88lxA27tNGZNr6b+ECj8cFTGvtAAfHq1BTqcMatNwSRwcRMtnoxb5e0yUEY42M9oQ331r7+f46JNajZJxzKBbtMY8oe/veUs6xg/NNu1hH7uvn5afXYsg4m/aJEmGU+BM13ri8K6wQYEEBQoU1NWH8Zs/78HsiXmY99ud2vet1kcSJ+eU9sXM8b2QluJEvV/ND2kN5YVT1aZJituurekXa4gAwLbdJ0y/XaVJPopxt9Gb6LKs4N7bu+Hl1w9j+ZrdeLyiEKs2Nzerr89wQ5QU+IMRLeaSvWn56Fzq71KgGPJaK8pIjmUhyvJXkidota/fopKCx1/4F7weB56YVogLDWH4gzFIMp2q+eQ5lZI+u70XD0zojcdXNoNC3j94DsdPN+LBkjy4HM0af/1ysvDgxDwACs7WNWHnh6dVv1cU1DWGEQzFTPXsh+/uA5fDpv2GxFhPhgUSYznZn9H83+XgseIVFbxK+9tOxBkCHpjQGzzP4mf39MGv/tg83f1oWQE4lr42fdN1skvdy/8ZgB3AXwAEr9zPabVWa7WLmY1jMGpgJ1MDjbfRdfA4jo5CW/ZTlQ70Hx+cwvzy/uBYFVX5yj8/xoRh2fSFWoFl042mR2hV/GMZBhvfNhbSN75t3chkWQaHPq010H7uOngG+d3bWWxOFeuGmyTDzrHgeBV1xF9CMvF1cDN/maIqOW9Ghgfnz/uv+uT9UhqlxKdoDQTSxAOgaTbpi1H66bnkJAE8z2FhRSEABZIMvPvRFxg/rAsAYNTAToiJKl1ju7QknDwXwMuvHzbpMgDNmlGSpPrmc+v3GSbiSNEaUJuFegqAdVuPYPzQbLy19xRGFN9MPbavMYIkpw1uJ48pY3K1wrP+GuknAPTTMIFQFJltnPA3RU20jnNLVaqZzDYuLK0ssmzaJ1pisqL3bavGztWy+bSKvzaOoSJFL9U4jkVdXOOSUN4uW70bPW9JR8lwejyuawgZCmC0YoZVgSqrTRKefnAgBD5ORZrswIIpt2poPPI8rd5yUGtYL6wohM8fNtBahKMiQpFYnJOf3kg8W9eEcYM7a5z+/z56TtO0kBUFD5bkIT3VCVlR8NKmZl0r8jzrff2lzQdMflxVVgCXw4bHfvculXtfT3vaiiQFRFGmTvpPHdtT+8yXoaO2muKmTbXraS9JwVTgWeq9i8ZE1AdE0wbPapN2oSGMbbtP4vaijrjQGMJtfW/Es+usCxAAcMeAm7Hilf2YdHsOfj1rIByCDTyv6jyt26pSwJECOcsALMeYCoFPrvkAVZPz40Lv6lqgKDJkCfjxkC5gGWjvxUQFO/Z9jm4d0zRNFhKTY6IIwcZCsAlaEYX4+YsbD7S4PrbaN2cOO4ffPjIYLNOcO3/0Sa0BWKO/r7yN3uQm66csK9qkqqwouDHT7N8kxrrsfIsgKKCZrpN87vDxWtNzqKdITCxG/rL8VkRjEjwuHnlds+By2Az01e4kHveP6gFZlsGyjEajBLQ8xU6eRZITqX/7ldXYvpqNFqsTcwFr0FwUj1cUQoGMaFTG2q1HMCRfJX8qu6MHnHYWx083Wq7r67Yexey76JT3ZxL0UfX3nUxg8zYODBi89vYnuKP4ZhNl+byyAkgKPS9tCEQxoPcN2LHvc3UPyzGwcQz+8cEp/On/qrXfQTQKafsFAupLPLZDsJnoHckegoG6FhDqaSt92PQUp9oIaC1UGyzRX6tP+sCy0GKLPxjTmiBLKouoMYtMIi2dUWRiOao+6UN6qgN/odDN6vMONfbKpkku8l6K24755beC5zn4gxH0ys7EybN+y6ahN9mOVZsPaoBPr8eOt/Z+jgG9b7DMpQglHjkGYfj4QZ8b8fLrh9EvJwvJSXYs/2kx0lIc+Nf+03hkUl9EohIe+91OeD0OPHx3HtJSnJBlwC5wgChddBqz1b4+kyUFyS4Bi6YVgmUZA22oIHDIauPS9uyyohi0Q512rsX1cfnqDzB9XE/87R8f48eDu1hOyCmyAo9LQEySUFVWgLVbj1A1yOx8M41ipteJkQM64a29p+LyQKqGdm1DCP5g1JIpjGEZA01uY1MEQ/I7wMaxcAg2vLjxAOr9UUwZ20PLg0gdxqo+kJjXvrjxAJUWUq8v2AqA++4YyWFrfCGtCVbjC2Fo/o0mkE5VWQGawjE89cAAuJ0CguEY1afTUx0aCCe7vRdjBt2C+bqJ/Yfv7gMuXnchoPtEhi5RkvHaW8fwxLRCyLICNoEFx2pi1iHYcKbWDCgm8hOz78oDA2BBxa0a5bR+ffF6HIiJEmIxGckuO5bPLFb3hGAgSeqeMLHe8m3UyS61FlIIIKO6ujpyJX9Mq7Vaq13cOACpHrthM57qsSMmyli39YghISb/pjafJAW8jdWQx/oEW7CxJmTe7Il5lo0sUVaozcEnZw6gThoKPEvVkOBYxoSa+Nk9fcCxDLrfnG6i/bRCXLMWGoKyrF6/b0JwNdFaNf4ubpfSKNUXlPUTFRcawnh953EMye+AMYNuQVqqSvsZitCn5+aW5kOBguWrm2mpHpnUF26ngHp/BLKswGbnkOJ24Exdk5bU0JJYvWbUE1NVKjCroktDIGpoSCYn2bHmdbXR4g/GTA2OWSW98Y8PTmFIfns8vXavJd2m2yVo/090tBRFpfw9V6fSgLAs8MS0QjQ2RREIqijbcETEBX8YbqeAhkDEEnWr3zgkJit6364+6dPuy/UZHnAsrqrNp1X85QB8lb9Q3wCfOb6XFjPf3H0KALSGGNEhSU91wB+Mwe1Up5qbwjFqMcOqQOXzh1VQhy45f+iuPlhcWYR6fwRej12bWAWaG3Dk2SO0Fg9MaC4iW6FKX379MB6+Ow8LpvRHipsHbk43gFWq4tPkRCSbnI9oWyQK1CcnCXEaJZUuj9BukE2IkXvfiXVbq7UpxNZ4q8ZZ2qR/+ehmTcDLpaO+2BR3c0EK+OK836BTQ2IMQJ+yHTWwExgwSEt1YMGU/lAUGQzDwmZRpAhHRby5+xSy2rgwOL+9RmVnpcG3estBlI7oHkfcv2d473/f+wyTR+bAZmMMFIbzygq0aSZiXo8DUIz0pOpze9DQ2HY7bfjifAADet+gTcoAzVMo5aNz8es/7cWyymJDEQ8MvhMUMq0G8AKHWp32b8nwLvjxkC4oGZ4NG8vCYefwYEke2qQ4cKY2gN//7SNM/3FPzf/Id9qmJYFjGWS398LGsVrBg2UYnL3QZPLvbbtPYM6kfMQkqUU9NSBesAODmeN7we3kISvA2viegBSpAXVCqsYXwqYdx7BwaiH8QTU/aAhENBrn+eX98AvdVBTRxkpsdAKqjx4+XotF8cILLV8htO3kd34Zje1WU80GmCQLUhLoW2kUr7Mn5oHjGKzafABld/TA2q2HqCwTOz88TS3cKoqCcYM7Q1Zk8zReaQF+R9FHJfe9xhfC9RkqsRTDMpT8vABtku0IhmP4osZvAYIKo+N1ycjrmoVAKIr0FCfOXmhCj07pqCpL0RpCHhdvyEuz2iTh85oAPEkCPj9HPzZpppP3rPSBNu04hqw2LtP1V9fKq78BeDk698RouUWq24E5/73N9NmYKGsx68ZMN85eaKbnzm7vhb+peVpaf09YlkFBTjv8c88pTeNSklWQGckF55T2RSgs4a29p6i616u3HNT2kqKoQFGAnR+exrjBXag+U1sfwn/2vwnXZ7ghyQrCMQlv7DqJXtmZ1DqIVZ3CxjF4+fXDSPUImDAs25CTVJUVINVjxyP/tUNtPHociImKIedQPyMAkgImDsL6puoc15q1lPdyHIv6QBThqGRY1/VUno9X9G9xfazxhdDxumR4PQ78csW7mDm+F73mxTH4zZ/UxviTMwegYmxPQAOdAbX1Ifxug5qD6PNr0hAf2DuKpauNf4MoS1S/rWsIab+R5O5pKQ4oChCTJC1HfeGVA5g0ohuWVBaBgZqrkzhMcpD0FKchr9Xv8zxJAp7UNAdZrQFIrksrAO7bMVrMV9C8Vur3WafOBWCzsZofIq7/7POH1Ry3LoAbsjz0ZjPDaL6a6rFjfsLE96//tEfTC35wYm9t/69n6FpaWYQ3d5+CPxhFxdieiESNYFKr+ogoyVi39ahhnSI614mx9smZAxCNyTh3oQkMA5SP6QG3U8Cqzc2A5kcnF4C3sYam36NlBTr//nZAGpe6b/wIwA0Ajl3B39JqrdZql2BRScGaLYcwJL8DHAIQE9V/k2JpYpGoYoz1dJ0sK/QpwRnFePWtTwzJwqtvfWI9qccwdN1BRYHLwRsK5i4HD1Gkcy0vm1GMFLdgoOrkOJUNMyPFHudYVxMCO6+KE9OSFELjQfubv61M+FrV+Lscu5RGaXNBWeXWbwhE8ddtR/GDPjfio09q8ebuU8j0qpp1MVFC27Qk6vTcstW7MX1cT+01wg3+5JpmpNGcSfnYc+Qsut+cpt07wvf/eHyCMFEz6nQcPbTr4Bk8XlGIxqZmsfqJw7tCEFSausQNZ70/ijd3n8KpcwGUj87FTe08OHchCE+SgDtv66wJGVs1F91OQaNcrPGFcKExjD9sPEilArZxDFI9DtTWhwyFGxqd3bzSAgg2tkVEaaJv+/xhVQScVT93Nfm3Vfyt+BJ0oHojDfDs9l6kJ9AWkkYg0achk4L6+/rAhN7w+SOmeJjpdZlEuGeV9DZMnQDNNLnTx/VETFSQ5OBNa8n7B8+h4s6eWFhRiKZwDC4Hj1Wbm4sqowZ2QkySDYjuf+45hZLhXaBAnaKMimbdVzLJOnJAJ9T7o9qzVOML4cZMN4bm34gf9LnRUICbU9pXm1IZkt+BSheZ6XVqqGp/MBqn6GmNty3G2fhjfblI8otNcRPgjZ1j4fU4DBNuc0vzsfK1/aj3R01+/cikvhBFBb/5czMwaF5ZAdZtPYh6f5Q6cWXn1amkbh3TUFsf0n6TvgDRvq0HJ882NyP/s/9N+N/3PjM1IIfkd9CeC/3ftjSBahEASoZ3MV2DZat3Y355f82vCY3dytf2t6hrS5p7nNJcxFMs8prWxvY3b1EJWgMwsTnw2H394HEJ8LgYfFHjh0OwYdzgzvA3RbH5nU81veBEqnFZadYGfnrtXupU88gBnfD3d49j7A86Y9OOZtCfKMlw2DnDc/XAhN54afMBjBzQCYFQTIv3JK5nep1YOqMIS+LPuCQp+Ou2o/jok1oDq0Km1zx1S6NRWrZ6F5ZWFmP6OAb1/gge+927ltO3KW47llYWIRwVkdXGddka29eqWTVdUjyCYd396/ajhsacmpPZ8WBJHlI9dpy70ARBYNEYiGLyyB5oCEQsaQ6nj+uJl18/rNHScSwDhmXwlzeqUfQf18HGsghFIpqWmT8Ys9RH1TfXPotPDCypLKJosu3Csp8W49yFINwuQaOcI0U10uxRtWGdYFkW/lDUlBNt2nFMo8Dz+cPgbRyeXbcPPn8YM8f3gsBzJtr/2RPzsGrzIXTv6NUocQk9auKedWllMWxQAJ7T1kqBZyFLQES+unVbL1fnnpgkyUjzCFg8vQiNTVG4HDzqGsLUOHG2rkmrayTSc48b3Nm03j63fh8WVhTi3IWgpjdsFzit4faf/W/Cj4d0gcvOg7cx2qTJoeM+LJ5ehAuNKrCM5AXHTzdiwZT+mPPf72i586FPz2PBlFtx7kJQq2tktnHh3Q9P40//V43fzx2C+Sveg9fjwPRxPZGR6kRdY8hQB3HYOXAsq1F8koZ1ptcJgefwyL19oUChsr4QoCm5BlbPrNfjsLwXX6Z522pm0+e9eu1bEarW3+KXdmlrIFnX9ffqbJ0Z6KOPk/1yshCNydo5/vj3I6Y9etXkAhz45Ly2b4pJMvxNMVN+AQD+pqjWNCe/lzTX9RTdS1btwszxveBMmP63CxxeeOWABrZ7YEJvrHxtP34ytAuiURlpKQ4smV6E1VsOofqkD2u2HMakEd2w8e1jBi3sla/tx9zSfASjMdTGfyMtlyLxJCLLrQC474BZxfyMVEd8X3ZEAyovnVGMmCjjTG0A/y8+2b+4sgg1CZqWD93VB7+4vx+e0DGizJ6Yh0hM1Hx1+U+LqXslh2BD9UkfauvVJt2Q/A7a/m3b7hNavWzkgE44VxfEM+v2GmrVLAtqfcQuqHrIKR5B+2xykl0DZJDzk1ib4XWCt3GGfSqp61Wf9GHxS7tMe8jF8f2xTVG+NZDGpT472wH8b3Z29ksAzurfqK6u/sPFvpydnf0rAOMA3AQgt7q6+kD89S4AVgNIA1AHYFJ1dfXHF3uv1VrtWjZJVlDvjxpeq/dHLafiwIKKfmcYlRaMFlglWcHkUTngGBaKoiA91YnJo1Q0PO1YAk/XHeQYBi4HBzufFG/q2cFx1hNfCgPU1odNx7k+w426xogBafloWQHaeAR4k41TOd5kOwCF+jvBtFwos0qMv46EuZWeo9nI9azxBQHdJvlSG6WSJENiGE27CYDWPGvf1oO6hhAam5qLXi0lEMTGDe5soqj9y5vVqBjTEwoUMHFUXTQmo64hhGfW7kXZHd1NmlHrth7F/PJbUR+IGKgLqsoK8NbeU+jWMR0rX9tvoi2YNKIbHn3+XS1Bnl/eH5vf+RQlw7Ih2Fnt895ku6kgMmdSPrb+67i2Kc70qhOHpFCXeK7r0pMRicr45QrjFMqTaz7ArJLehs8GIzEkxWywxTUpacnKteTbVvH3q25GSGNm3ODOho1hdnsv7vlRV7RLS0JVfBJ6VklvRGOSphu1YfvHeG79Pi151cfDUFTEidMNGhKP4xhwLBAK03VKHIINDkHV59H/BkKFCBma35DX77ytM9JSHHjq5T0AVFq5p9fuRc9b0nF7UUcTFUjiBBU579Nr9xpo4jK9KrL/x4O7GHzV63EgHJG051ttVtM1Pv/2D5WiTyvWAVA49qr305ZM4FksqLgV5+qai1hZaS4IPIuo2Px0X87E/KXSXZNYsaSyCOd9IcMkNACs2aJOa7Rvm0xAo5j323dMDTjiJ+TzZLpj/RtHcOdtnTFlTC5eeFWl99TnRSS+6v0su70XN2R5qOwESQ6VTtftEjQKW/LMXZfu1o6d6XWibVoS9RoEQlFNQ6X6pE+jXW5J15YGWmoFEjWbVQ7xTZkU17XSaw6TeBiKiEhOEgx6rJleJx67vx8mDOuKhkDY1EB7br2qi0lAbKQYpzWts9waJTkp9o0fmm0AE/3i/n4GHT99IZs2ceD1ONDYFDNNZY8flg0oCqaMycXkkTkQbBxESdaoccl0Fc3XaxtCsPMc1m49ghofffp2XlmBgWb60ckFgIOHeA3H5EuxlpoukZhsykVHFHXEzPG9kJ7q1DR0BvS6AU3hGK7LcEMUZSzf8IGWR1jRHLZLdyPVI4BhGA2MRtbX9W9UGxpzqzarxd9+OVmmWPXQXX0gyTKW/7QYyUl2vPPvz1E+OpdKQ+/1ONAYiBqen6qyAkwZkwsA2PLOp5g4vCtWvqayFVjqw04thI1j8Lu5Q3CmNqDpEM6fcisa/FEwDBATRQNDhqwoSPUIGND7Bq1JlFjUI+eQFQURpdlvbSwDX5zW/XIaY99Hu1yde71JAD6v8SOrTRLO1gWw88PT5uZGfNq0qqwAG7Z/rK2LXo8D4wZ3RvssOh04GDWPqPGpoOaqyQUAGNg4Fmu2HMa9t3fDUy9/oIFwNJpXRqVb9rh4A91rUzimHfvZdfuwaLrKQqH3zXmlBRicfyNybk4Hz7N4+O48pLgdUBQFoiTj7zs/Q0FOOw04uOJvB3DfqBytuUga1j8Z2gVn64KafpxVTkXyhpbo7Jas2oUnZxZDgTHfBfClmrfXoulrPzaWBcvBMLkT0YE3qQ0sj0PTvGRZxnSv1m09agIhkIn+TK8Tk+/oYaAlrj7pw6rNhwxAtrX/dwQThmVjaL4KhGYA7XhAcyycX94fdoHFnNK+EEUZvM1mahSSnKHGp2pivvDKAdzzo65I9zoRi8loCsdQOqI7stKciMZk1NaHcMsNqUh2CZDsCsAA6V4nfn5vH5ypbYLAs5rmpScO5iDA6PVvVGPUwE7apHlMlKgN7aWVRa1MWt8Rs475xXh77ylMHN4Va7cewcgBnQxNPeJbsmQePPnNn/dg8fQijdKb51g0NEWwcOX72uf0UiP6eoTX48ATU/ujTbIdJcO7mnJZT5LKlFTvjyCjjdPQ5Ca2omooHizJgzfZjtr6kIGKOhaTwdsYrNp8CGV3dLeMted9IWr+QfaZifVG8plvu4l9qeceAOBzAMMSXlcAXLQJCOBVAM8C2JHw+u8A/L/q6uo/Zmdn3wPg+rXcAQAAIABJREFU9wAGX8J7rdZq16wJPIvJI3MMiIOH7lIpM2lTcYxCp9iaOrYnOAtkuWBj4fPHsGSVkYbC5eAtj0WbKHxq5gA0BKImes/0FCe9YanQRY+XVBZrDUDy+uJVu7B8RjGUhPVfUQCWZai/syK+gaSZ1QY7zSNoWl1fNWH+NmhIv2vGcSzqgzFqQzcqKfAkqVN+sqyAbYFKkiSFZENImlZ1Daq2zlJdEmylVaYXyc5IdVCLwP5QFIoCrNt6xFDsAOg6Kz5/GBzLUsWGiT/SEG/zSguQ3d4Lnz+MByb0xpu7PkPF2FzUByKw2cxN9rml+SgfnYuzdU0QJQk/7N8RNo7BMw8Ngp23YcP2o/hh/5ss0XVui82jjWMNVI8b344/NxdJtK8V37aKvwLPQo5a/9UXAxKQAn8kJuEPGw9qRYExg27B02v34uf39tUSYKedNyHeX379MFiGwf+8eRRld+Sg3h9Bu3Q3/rrtKIbkt9cQxf1yslB2Rw9LvYdwVERMVLBt9wkN2ad/LvQNdb3W5Yp5QzRauZdfP4xF04oAKFoRDTDrV+rP6w+qugApbkF7jfxd943KMfxO2hTK0viE1ZLKIoiirGl0kSlKSZbVAl1r8QMMA0SjsqnAyiR9+WPaWHouYWNZQDI+F5Ikg+FYjd5Yj/CtPunDuq1HMfXOnli2eleL03Lk84+vfB9LK4s0X7xvVA8oCjByQCesef0gRQuqAOvfOAIAWvGGAajsBPPL+8fXGTsCwShWbT4Enz+MWSW90RSOYX55fwRCUTQEoqitD1GvQUNARV/PHN8LoiSDZdRnfdfBM1Sw0qYdxzC3NB8cB4PO6LUEtmjJrHKI1G/wORZ4DvPL+6FdWhLKR+di18EzhmllWlOirj6E/33vM5SOyDH5tNfjQLt0N+Q40wXR1yMxdn55PwOC/wd9bsRf3qw2UHv+/d3jKMhph1WbD2Hc4M4ou6O71rDmbebns3REd+pU9oMleah6fif65WShZHhX/PL3RrmANVsOW9IoEV8nzCSJ07c8Z6b1Iihpoml8LcbkS7GWmi60Iml9QFVwIVNJ997eTQPTkHvp9Tg01HzZHT0sC61WbBrkPuuLXitf249RAzshxSNoWlM+fwQuh81QGNRTnCeelzZVTXJooi3M2Rh44uAM8hySxg35TmMwiiQHjzfe/wwjim9G2R3dISsKYjEZz6wzyl2QBuaLjw1FxZieJvCJvqhHro0kKXh6rUrDt2DKrbDzti/dGPu+WUvAH3sL+S7HsfD5jQ3eByb0xoFjtVhYUQiOYyBJCl5KmPz8555TmFPaF+GI1KLG73lf0OADLjsPQIHXY0fJ8C5ajPYHm6UiNu04hrSUbCq1qK8xYvj7WAZa/k9eWxqn8d62+wTuH9UDaSlqk6QpHEMgGMWPim7Cir8dMFCgNwSi2vdJrnGhMaSB26xAQgwDPDKpL55c80GLQCKvx4F6Su0i2SVcMz76VYxWEyLrn88f1q4lAW9aTWSu23oUPM9SdXx9/jBiooiZ43shw+tS99A2Bg/dnQeOZTRAz8WAbGRi9Y7imw0U3Hp6TRvHIBIVEY3KCEdFPL9hr2V8y/SqlIjVJ33449+PmPa85DqkegSUDO9qAEsQMOrmOFCDgD4LctppwGhix083onx0Ll5+/TBmlfS2jics0wqA+w6YdcyX0a1jurZGJwLjeJuq985QGuE1vhAURTHQHi/UTTsD0MBkm3Yc0+oRhBHpv/7yby0vsKo1ZHrViVm9bjWgxsovavzgbRxWbT6IIfkdtBhd1xA2TIBbDdr4gzFLMAbZp+rrjfomJseyKgvGt5TrXlITsLq6+ravcpLq6up3ACA7O1t7LTs7OxNAHpobi2sB/Hd2dnYGAMbqverq6vNf5be0Wqt9302RzQkoQVKs2XLY0Phas+Uw5pb1xfhhXdAYTzh5G4Pxw7qAYRlAoU/MyYpCTRKXzSjGhGHZhsmOuaX5VCRnjS+EmKRgw/aPTTSh08f9B7VhaaUfYvV6TFLweJxjmVim14mllcUadd6lJgwtIVzWJmgtrt165CvT/12rJoGhNnQXTy8yaD5erBBkgyqs6/NHTDonbVId1ARC7+ezJ+ZBEJoLY0kuFbmfOF01v7w/Hl/5nqnYMaukNxgwWDi1EGdqA1gXpzsgjUOrhMAfjBk2ouS9pat3qdz5DANZUXBrbjsoCtAYiCISkSnUW7vx8N19wDCMhrQjz+/aHSoyMDXZTj3XklXquWgJTVqKA7+eNRB1DWFs2nGslUIxwazi7/IZxZbfuRTaJFLgFyHA5w/j5dcP44EJvfH4SrWA53YK6JeThUm355goKZ5bvw/Tx/VEOCpi/NBshCMiWFZNpH48pIumO5bd3ouRAzph/op34fU4qDE4xS3ALthwh/1mbHnnU5SPztWeS8C6oQ5AQ3JXn/RBURTUByLU56BdwgQVafYR/1taWWTQq3A7BQNtklXC7fNHEAhGDcV38vs4lvlKyPWrySJRmbqmTR3b80sfk+Xo1NxsQiOLGGl6+/xhE41LiruZKrGlIhegbqhKhndBituOqrICbNt9AjaWhayomsdD8jvg8PE6FWXKAryNQzAaw6iBnXD8dKNWvFk0rZDqU+G4hgTRfCW++uw6dXIrEpUw77c7td9Cy6defl2dSkhPdWoF+ZLhXTBucGc0NkWxaHoR/E1ROAQbojERQ/I7YP0b6hS6jWMBQCuoKvFrx3yLFDLftlnlEMsqrWPw12mq1o9xym/OpHz85c1q7Tc5BJvJnxyCDe8fPGdqehB9vap404FMywHQiuDJbgHzygqwdNUuQ8FRT+25cGohGgJhKmCIpRTR0hPyJEC9lqlxrcAh+R1MTcKNbx/Dz+/tA1GUsWhaIV7caCzUE18nYA6guWj5eEUh6gMRKq0XQUlfKzH5y0yytth00d1fEl+uy3CDYxkNKJeYB5JGyobtH+Pe27th67+OU7XRFAW40BhuschF/t2+rQcLpvRHKBrDslUf4Gf39EFtfRiRmIhf/8mYN5Em4q6DZ0yagon0s/rz1fjUafAHS/Lwo8KOpt9LplkyvU7Nh37YvyMYMHC7eCQ5eZw402jI9QkLwbbdJ9AYiCEq0pkSEkFKL20+oE2N+fwR2LiY5T262mjrrKdzWDTFRIP+kT7fpeVhz63fh8crCjXds0SqepLjepwClq9W81krffZEXVSWBXyNEdhsDK7LaParDds/xsN35+HFjQcw6fYcBEJRQyOZUIs+vXav4XhW9Qhvsh0jB3TCixsPmACls0p6475R3THnv3dqQKTf6zQza3whBCMxbQqc/L5HJvXVcoNwVIQnScCqzQcx9gedsWh6EZqCUeoz+/Lrhy0b6Va5ztXoo1/FaH5KYibRRV8+s1gDb9Kuadu0JJQM74In13xApfcm00q19WHUNYTgtNvAMozWTOFtDLbtPmGZV5LcIS3FCZYBgmEZ5+tbptd89a1PMGbQLZbxlfirw85p+XXinpdcBwCmHOHZdeqzOiS/g6ERQ2K3vjHpD8aQkepA9UkfPq8JWAIJRShIctiwtLJYZfRSrAHi14J9W0wYLcX8tBSHYY2mTcfOLaU34mrrwwYfOlNr9IXqkz7sOXwWFWN6YsWrH6F8dC5uyHTjXJzGtqWJaPL/S15SY9/x042m58jnD2v7YfKb9CCNjW8fQ+mI7lRd5VWbD6FkOF0nluxff1neD4KNwzMPDYIsK4Zc55sGMOrtkuJ9dnY2a/VedXX1l/3VNwL4orq6WoofR8rOzj4df51p4b3LagKmpbkv+pmMDM9l/vRrz77Ja9R6P5qN5r9napuowY5lGXWEWTfmrCasZtT/7Il5kBUFikyfErx/VC71HKKkYM/hc1g8vQiKooBhGPxzzykM7tveErFGm66SZJnasHzk3r70JMBiasVKWFtSFHS8LgW/mjUQMVEGb2ORkmRX6bUsrMYXpB4LFn8Dx7HwprrQ0BQxnUOWFerrX8W+j88FzX/P1tH9V09NSzYrv5o1EBltjOMp+mvrtNu0jSZJLFmWgWDjTAnEph3HML+8P6KiqiOmKOpEzBNTVeRpIBgzIVNffv0wgpGYqdjh9TiQ5OATqAcL4E0WsPGtY/jhrR0tE4IN2z/WRIwBI1KPAYPNO46huPf1sPM2xETZkMQkXjOPS9DomchrBM23bPVuLJxaaFlMkSTFlNDMKy1AJCoiHBWR4hYwdWxPdXPxFX33+2qXE39jsoJ2Fs+oGpfN2h5LZxSDd/CG+CDLCh6v6I+zdU3g4vGtfHQutv7rOCYMy0bAosF8XbobHMdgyzufIq9rFtJTnThdG4Db1UzxpS8E1vhCGpXi9RluNW4pwLm6Juw9chJDC27CkPz2YBgY6DutGuovbjyAnwztgiemFeJCQxhgrBuGFxpUqg1JUlAfCCMak3HfqBwkJ9nR0BTRKKHIJkKPEHxgQm+wLKjHTXKoAuKP3dcPi/7QPHHw2H39kJ7qQm1DiHrtwDAXja9XS/wFgBpfkzZhqr+HCoDML/l31viC9DV9Ul/LY6amKsgIOZDqMdO4EJ+j+RuZICEFkERNQEmR8djzaqGQiLnrfWheaQH+8cEpbTqpxhcCw9BzDKfdhhWv7NfQoXq0dKKWEZl6mj6uJ9q2ScKpmoChIH22rsmyMKM/B7E7b1OLQryNxfwV7xn8uUPb5Ks+Llv5r1UOISkK2n4Dz6nPH8bihKmo5Wuap6IAOksAmZ5L9OmS4V3Mk82rdmHBlP4YM+gW+IMxrPjbAaTGdbQkyUjjr9HZAUhPdWHFK8YJRNJs2bb7BBZOLYQ/qNIeWiGbiV8lFlYIiIRMhBHfLR+dC0lWUNcQ0o7h9dgNQI85k/KxYftRFOS0a7GpT37zpcTk77pZ+a8sKzhxttG0Rl3smfb56bppDrsNXo8DqakKfvPgQNQ2hE0aNwLPUXNOb7IDALSmQapHwNLKYsQkWaPPrBiba7mW6+9bpteJk2f9uKldMvYerkH1SR8CoRhWbzmE2XdZT3QX5LTD+jeqDWtHINjy+Wp8arPaKv8lenAuhw1vvP8ZXnnrU2R64xqzkkLN9VPcAibf0QO/XGGtZel2CgaQUvVJH0pH5GBWSW+cu9AEWUfVSLtH3zdrqYYmy4op13pgQm+sePUjjBrYyaQxRvZzNRfoe+3GJhU0pm+E6d/PapOEhqbm/DdxyphjGQRCzVqUxPc5joEgcIiJEgSexfzyfnC7BLjsPASexcgBnUw5pka7zMBwvIfu6gOfP0K9xy47j6de/sAwCUN++7Pr9mHx9CJNBzUmiob1nnz/i0Zj8TsWM9du6v1RDfi9dPVuTXvwunQ36hrCWL1FZSu4zmLvZ8UA9X310YvZl11HrGpCpBZQ4wshFJZUCkwLdqva+hCujzeea3zN9N4eF4+0FAdiooSTZ/1xAJiEtmkurHhlvyb9kepRaQ7XxUF7KW4BaSkOvPDqfgDAlLE9EIlKGtCTrMlEumT1FqOOKWkuWoHr0lJU6RAy7bh4ehFiFrJB+uuQ+B6RlajxqY1QErvJ5G1iPp/d3quxz+j3Ao/d1w8RUdKmtFLcAtokO5Ce4oTNZtmaaNG+T3kFLf5+2fzh6zCrmH/kszp06dDG0PiiAY+WrTY34qrKCsDbGI32GQBsHIsnphbidBxgT+i5/aEotR5L9LRbyk+8HgdYlsGiaYWQFVXyZM2WZnr9FLeg5TlkDSA2JL8DFrzwL5O0jqwoqt5ysoM6qepJElA1uQAxUcHCle9SJxYXr9qFpx4w1zq/CbtU0IcITZ3DZNzX9FuuiNXVBSDL1jRmGRkenD/vv6K/4fsUcKzsSl8jYt/E/bjY+b9LRvNf1iKBA+jafwBMYsRPr92rFqA5Bvfc3g0cw4JlgBS3Xf23RdON4xh0vznNMLFFkmzaFABAp9haWllMbViyHIOf3dPHRB/KsgweuquPiYLPxrFUMViOYVBXFwCgIgpEUUJduHkxoJrVdVXof8OyGcU4frrhitKHErvU5+L74L+MxXVmEpKXGl8I4YiI8+HmvztxoopQEyYijvrlZJmQxSRxGDe4swG5BsBST2T6uJ7wNUZMyYQeYUkKKjFRgiwDowZ1QlMoZnoeVLSgSgdAjkktCE8uQKrbjuc3fIj7RvXQKASsinVWyXmNL4SGQATJSQL1uyS5StT/W7q6uci9Yt4Q1NcHIQJxelbWgMID8LWKy38f/Ncq/rKM9TopMfT7VHMhiKfX7jXEB45jEY1JeH7DR1ohyuPi0a1julbMpQMlVJq1xAT58YpC7fOJRV1Cpfj8nMEanQutaULQ1dUnfVpDffH0ItTWhzR08qiBnbDz319g5MBOSEtRk20agnXOpHyseGU/yu7ojl0Hz2BQ3o349Z+MukFEJJy2iSDo7MT14IEJvbF6y0FMHN4V6Sl2jTKR6Hicu9AEzoKyEorSYny9muIvADA2jpoTLJtR/OXzr//P3pcHRlHe/X/m3DubTUICKOABBAxJTSAbciDK9Xog568SPEgoIRxWEG3l0JZDJFjfamn7eqJytBJt1QqILYK19YQo9BUCBA8KKJCQsEl2kz1n5vfH7DOZ2ZkJ0Er1hXz/USa7s7OzzzzP9/l8P9/Ph6EN1/Sz3VuJoQ0lCQl7WF1Yy0hxoP5MG5x2Dj+6VZa0JQUJ9TwcizEKADkyv4+yDpDzV63vOP///HQE0j02NAfCujl7UZkXa6r3asA68hyle2xwO3m8sqNOM8Z9/hCsPIu2cFQjLbak3IunXv3MVDbq3tI8BIJRTR4TE2T/2e6pDpnAEo3hdHMIv/+zfD8EQfpWZUH/z4xfsxyikzn424yYyXyu7n579Z3PdXNUNwLQrdvdUSxOdYAxWcdbAmGly5TEj8YNAs/LUqRWnoUoSaApSlPQN/Iuc9k57Kqtx5ETrZgzOQc8x4A2sRAgxbxEoLAzyTMiuUS6ySmaUrpvGYbGy2/XYUfNcfjbIzqwxKh752zzhlH8Xxm/EkMrIBog38eVL8iSxlQnzzDD0IZAkxQVlHslMbRSACTnXlO9F8tmElljfc5JZLY4loEUB8de2FyrSMpaeRY7a47qvKuIXDgAzXluLLwCgwd2R/2ZdpyOz4lmHQRESmtXbb1SQM/s7cHMiYN0Y1MNzKV7bKbPTe8MpwbIXl5ZBH97FN6sHnDZePzsGa1EOcn1U5KsinqBEflk4bR8jX8tuY76M23K+H9g2hBjbzvVb2QW37fxC5wdQ3PHu3MaW4I6H1K1XGGDL4hoTMDpM+0QRK2/KKDtvDAqUBRkZUCSAJddu59RSyOufWMfHplbrPHEdjl4nDzdDk+SBaIo6XwmV84uMswxyflO+9rlAls3JygAv/z9HgwZmK4rViwqywcgGubZHd9fxBOb9uAndw6GJ8mqqFvsrDmK20ZlIioIePWdz5VxP3lEP8M8jdzXQDCq2b/ZrAwutzpxf1xKkoJZ146xt/C5jNHO4vs4foF/IycwyTP87VGlQ45hKFhpFv5gWHdP503JxacHT+Gmog5SMJH3lsdeMZoTxuPici9+OEruHCTHqu4uxo2FV8DKs2gJRPD2rqMYd93ViEQF+NsiOuxCLZtslAu4nTxe2Fyrm6fml+aitT2ikVQW4jloZwUWo78RW4l0jw0cS2PV3GLQNIUregzSWUSsXl+Dh2cV4egpP/6+5zhWzi4CBQo0LXvYP/Wqfl/7r2Jqne3lvo/j12j+/Vfzh28rjOb8ySP64UxrEPNLZQuZeVNywbHGZA6KojTekMRDcGfNUcycOAjRqKgZl4vLvHDYWDz09IdYWlGIX7+s7yK/57ZrDcczySs71DY+0DyfJOTcyAKepbG0ohAbttUqz0Bmbw96pXcU8jV+gotHYWlFIaJCDJu2a4lMm7Yfwo2FV6BHqlORYTdbG8KRf2/uJXG+Y/hci4BXJvy7B4BFALac16dp4ziAyzIzM5l4px8DoGf8ONXJ37qiKy7pYBnatOBm1NVXMd64q08UJTAcjVhMwsMq77/F5V4wNmNwgKEpbP679jM2//1LVE7MgYVnNMm3hWfM5T0lfRfS/NJc0JAXffV5GIYCRQFOO6s57rSz4FnKUPbTwlEQ0LkHl+6+wjgxFiXj7xATjCVTq+aWdEnOdRKsScG4JRDSvI5s8okXnSx/QCEcFRTJFsLaSQSnyCaddBtRFPD8ZtmPwe3kdb+nkXRXg08GX9dtrcWSci82qcAOwrA0kjtYXlmEFWt36RhDbaGobFJf7gUoCQum5iEUielBtRdlqc6R+X3wwub9KB2dCZ5jDAHqloAxM5xsolsCEfBxLfZE/8GnX/tM4+kGAFVzizUgN8/J3h2JG5st78nSCNGoqPFluhS8fMzmX5ahIZp8b9qk+ER88NTzQwzAI3EQjwBRoUhMkdowAqeWlHvhD0YwtuQq3Rqw/eMjSkHcjP2p7qwxKpoQeRcCdE0ZnamAuuQc99+Rh5He3jjZ2IY11R16/WS9cDt5JDksePUdWTbX3x5VPATUn/X4S5/i4VlFmF+ai9QkY7m6ljZZ2ml5ZSFa2yI68KkqLgto42gNIaMgK0MH3lyKvhJm8t2xf0MOymz9PNu9NZO4I+xhUljzuKzgOJn5+8rbh1H8g54Kw9poHiYyLWabrsu6OfHkAyNgszKYNyUXf9x5GKWjM7FsZiH87fKYEkRR6QIgke6xQZJkwhUF+XkhMkWCJOGbBj/WbT0AAMq4dzssaGqVv4fZ9aQmWxWSCMdSmDF+EEJhAU0tQTT7wwqgubPmKH44qj+aAxFNt8+lMPeSMMshWIYylJ79tsOsoJHssijFuVAkhvRUG+ZMzoHTzsNp47H94yPIG5CB5TMLwXMMJEjw+cNIcVlNgTR1pHtsCAQjoClaAf7MCEyJ3mXqDqqe3Zz4w47DGF3QG1aLNm93O3ml0JLIzDfKnRp8WsmlNdV7ZYuAWFSXN/jbIzJJI9mKlbOL4POHEYkKsFoY+PwhBVjtmeYEBQpMghTuxeKB2ZmsZ2fz77l4gprtuTiWVuSXjYoej8wpRmtbBJGoiJggKoQOAp6Vjh6ASCymjBV/exSfHDiF6WMHYdIN/dASiCh+PURea0VlEf74zmGsnF0EmoaOmGfmCTh5RD9FPo90xLhsPF7cul/pqr7v9sFgWePn8Fh9QJPXhqMxRTbUzGO2e6oDgXiHbLrHpukyI90nkagst67uYlADjQ2+IH6x4RPML81V3pfmtoH9Dj1/LnQIggiBorDwt+9rjpN9BImCrAz428z91YicMqBVm/C4rCi75RqkuK042RjAB/97wrQ43OCT18p0jwNRQUCq24a2YFTxfjSaK31+Y8l6t5PXeFC9sHk/po8dhGQXj5JrL8e6rfs1efbLb9dh2s1Zmv1X4rhkGQqLyvJh5Rk8mNBNXftVI4YO6on775D9xavmliAmmndgpXtsaI4/o+QclChBipNpKVBgOWMfNUqQuryFzyGMctr5pbn46yfHDSW3U5MteGRuMWJRERRNoSUQwi0lsq1ComTrwmn5oCgYKgDcW5qnOfbs6/t0ONfYYVehW7IdTSbqJiTPNMoF3A4LfP4QREnSzOfkWVT7Ap5pDSPVbdXtm9SegEZ/s/AM/rDjMOZNycVzf5Kv/297jqPoB5cZXu+Z1g4C4QefnVQKWhGRwsj8Pobko0sVU/tX84dv7fMN5nyXnYMoAhvePIg7bxqAjFQ7IBkXiAGYekMaFbWr3z6E6bcOQoMvqChzqaPBJ3eP0zSU8RwTRFh4Rtm/GaltqMkeS8q9iIkiTjeHcPBII6aMltd5gmOcOtNm+F1ESUIgGEay04qxJVfhd28d0pBGJwzvi5a2jjXGbG2gaXwnIMS5egIeTTh0NDMzswxADYDn/5UPrqura8jMzPwHgKkAfhf/717i+dfZ37qiKy7lYCAh2WXRbNyTXRYwNIVx112tL6zRFCYOvwqjvFeAoQFBBHbs/idomkI4KupY+FVxf7a/fnJcYfIKIvD6u59jyuhM/HBUf/jbOvwFfziqPxiaQt0/z8Cb1UORCd1dexJDs3uaTHgU7FZO8x3sVk5mVXEsWhFRXm/lWFAUhY3bDmJkfh9YeSAak+IyNTmGBbfH7hl2Vg+uxDDdYJux6RhjFqpgkrh36e3LQQmyQbv6t/fEPWjIfU4EkI081YhR/LwpubBZ9EW8XbX1KB+bhV9t2otkF48Z4wahclIOREFSGJi7a0/Cm9UDKUnGIBzHMqicmAMrT6PslizMGDcIANDYLBffpt0yEJGooPEWIZI2ZCySqJpbDI/LCp8/hIwUB/xCFJdnuAzHiijK0gS7auvhsvOYdENfsIzsPyiJEmiGwt/3fI1RBb2xuMyLqvX6Ip2alV29/bBGLkaEiNIx/ZXkX/YzCSkJSigSw7KZQyGIxt4dFeOzUX+mHX/+6J+XnFem6fwLCaZbaMrYe5WArer5QZ3gEyCqctIguGycITiV6rZi1/6TGDqoJ+wWFuVjB2HdVq1Pk4WjcW9pHrqn2Q1Bga3vfyVLV9g5uJ0WTQGbjI/L011Y++AoUKDQ2h6BN6sHAMjsejuHVLcNx+v9SgLf4JOlNtQAzguba+Hzh7CoLB/bPjiCkfm9Dcd/cyAMSYLibZH4XDptPF5/93P8v5H9dSzJBp/MOP/5sx8pxXvyfkIOqJpbAkEUL1nww0xOlab+9Sf3bAA18bBI/JtZQYVlaDwypzjegUwhHI3hwSc/0HSykK5Yow6lJzbtwU/vGgK7hdP4SRIQ+Z8n/Vj7xj4snJaPTw+ewsj8PgDkIj/PMYqcnFGxKS3Zhuc378O0m7MUsGRFZRE4joLbKQMsDb4g1r6xD/fdPhhPbNqDXhlOLCrLR0vAWEpMEqFhhP+8ogDtoZjm2PzSXMwYlw2fP6gwxcn3vZRAEbMcghLMO1a+zTBU/nwgAAAgAElEQVQCBxdMzUN7MKpj9VdvP4zJI/rhjzsP69jsC8uGIBSOIWyLGc7LPNdBHinIylDyj29OB5ROVzMCk9vJa4pqNE3hl/cOQzgsgqYplI7JhCBIaGwJokeqMy6xLuFP736Jkfl9MOmGfnDaeDhsHUVCp81YVSBRytPGs1hqINO4bGYh1lTL+VjF+GykJFlBUQDP0XLO7g9r7sGD5V5wHG3qLfZ/Ncx9dTpIb2YhCCIoxMGbBE9QhqERM5GjPHKiFTtrjppaPZxpDWHhb99XxvID04bgg398gzFDr0RrWxiBYAQuBwcLx2p+o+If9ESq2wpRlDAyvw/e/fQ47rxpAFLdNrAshan/lYlnX9+HXbX1KMjKwKq5xWhqCaElEInnAH2QntLRIetxWdGnu0uTU9cd8yGztwc/vWsIKicCkiQhGhPhaw1j6cyhii88Ibi1h6MYld8L3qwecDt5OKyc0pVtBsL5WsMIR2NIT7ErajSky2xxuRdr3+j4DisqiyBBXpce//0eXac4TVEKsHgxFwBJmI1ntYrJjHH6DiAikfl1gx9vfXgEtw6TfXoVtYm5xWgz2Pf99ZPjGrKOWnLbZefBMRRafWH4WkMaQNlorjSTuU1JsoLnaVROzIEgiKickIN/HK7HjHGD4POHNZ2rgNwtYuFprJgly+E/MG2IskYXZGVg+q2DEIoIcDss8AcjmF+aC1GUwMYVFIZm9wDP0QiGYmhqkdUEOJMit9tpwcOzisCxNNb9fDQEARAlCcGoiOc3f6bk/qRgRfZ+HEODUY1Hs3mkK+RIzGmJqkjpmAGKfy/Q0c0myw9zaI6rQYQiMbjsAoqvvQyv7KjTkCEZFpBUez2iZOFJsiDFZcVzD46CIMgS23/95Dg4jtbkO4IoQhQlRWLcbE0muQA5vqTcC4qWsGqunFtXVu3UfW+1L6AECcue+xiPzC3GPbddi1S3Dc3+MCRIKB97DfztUaV7j2EoSBIgSgAkCeOuu1pRkDlyohXLK4twprXzrkJyzWQ/zNCUKfnICFMz22dcTPHv5A8X6hr87VFwrGxJ9bNnPlLIQ4n41JJyL4KRmCHG0C3ZCpuV0639I/P7oCUQwtKKAl0nOPnuKUlWCKKEhb/tUM7I7O1RpKIpyli29ooeLlTdXYz6piCiAo3L053o19uNaFT2vGZoWWGp2R8xxHBe3LIfNxZegYW/3anBdch6lNgta+ZjyzH099cT0CSSAHQ7lxdmZmb+GsAkAN0B7MjMzGyqq6vLAjAbwPrMzMyfA/ABmKZ6W2d/64quuGQjGhHgcfKwcmwcyKRhs9BoCwmGnjwP/igf1+X10nrilHvBsTRC4ZjhxMixNG4Y0ksnCcezNMIRQQdMsQyFrKvSNDKhBMRIbNFeMDUPFAWIknbCEyUR4aiIDdsOaIp9G7YdwMwJOYY60DCZ2KOmXXrnD5LRDEy7Io0XYuOun//kAv19DkEQ4eAY9M5wyaZ8UkciZwYgmxnJV4zP1sltkEj3yB1Ok0f0U4pxajYdkT1cHfdUMPqNH9v4CXz+ULx7D2AZFt+cltmoD0wbAoaidYzUSFQwZQwZeUEZmSTTNBRPHW9WDwUEU79mzuQcRCIi3vzgKyyvLARD02BoCiIkzBg3CM9v3o8Jw/tClCTMnpQNnmPl2w0JsZike4YJc29RmRc0LSESFeFvN2YZepIscDstps/kxRxm82+ovRO5YamjS5uYWSueI9DOD+p5hWwM7RYOPn9ImUsJOLWk3Is33/8Kgwd2x+L4ppT8DkQChoCvLEshGhMASsLqu0sQjYk40RiAIIm4YUgvZRw/+uNiHcuVSD43nAkq8nYElA6GY6g/E4TNyupAlrpjPix56gP8+ifXo3uqEz8al4WWQAQvv12HW4ddjZggGj4rLYEI1r6xD/ffkacDxxdOy8eO3f/E9YN7aXwwCCmg+Ac9QdEUKsZnY9P2QxiZ30fzfO2qrceMcSJYSbpkwQ8rz+jYu4vLvbDyNCLBf/2OmAHURiQOAuYD2oIKGVeSJCEmSHjtr5/jsy8asWJWETwuK+ZNyUUgGEHF+Gzsrj1pKjvjcVnBMbTO60fdrdLgk73cllcWKczUdI8ND80oiM+pFH75+z26nGrO/8vBjHGD0BaKYWlFAdI9drwYL7wXZGVg5ewiSJJcUNy0XWaGTh7RDy+/XYdx112tk9VbUu7Fi1v3a9a3076gjhG7pnpvHPxjNT6d5O+XCtHILIf4T22i9QVvGo0t7ahKlJ2NS2VaeVbHZve4rAiFBfzmlX8o437l7CIAVPwriag5UI9H7ykBQKHFH1ZAdDXYYFbUyEixY87kHM0zt7jci5oD32DwwO4JnjxevLC5Q/6IdHg/+uMSsKwFy9fuAiCDKkYARqKUJ8cZy0C1BMIAZI/txL1Ckp3X5XiPxO/fxVbs/le7ps8WMQBvfXhEI7W1s+Yopo4ZgLZQFM3+iCkBhEgxqgkUw/N6aebFxeVeJNk5rJxdDAkSWIYCTcuFiyc27YHHZUX52Gvwp799ofg3uR0W3HHTQDT7I2j2RyAIkkLcOV4fwI4aOTf/n59ej0fmFiPQFlXkOtXj3OcPgWGAZn9Eu26VefGLe4YhGI7hVFMbnn7tMyS7eCW3T8yJzJQUXA4OgiChPRxDN48Nq+aWQBQlSJKEF7bsV3IIIql7z23XIhgWDDvFu3lsqJpbfFEC0EZhNJ4fLPfC7eLx7OKR8r7EpHulORAGzzH47ItGHK8PxD2qXfjmtB+nGtuU+ZG8nuz71lTvxexJORrJ7fmluXjipT0oHdMfT736ma7r02iu3FlzVHfti8pkoDoQlHSSn3KHl7ZwaCQ1t2S6FyvnFIOhKbQEwppcdcHUPFgtDB5d/4lmDNqsIk6cboOVZ9HaFkaPNIdhnrb+zVql0EeIbuTfD0wbgrElV4FlaEgScNuoTDS1BHGiMYAreyZBiFz84/HbDG1OK0AUAIk286y0o/5MuyE+pi4ap3tssiVOm0wm7kyiWSbe98MLm/UyxD+9awhcDt7QGkEtm+yy83hq4Qg0NgfBsRTOtIQVWVmjtcCT1OELWD72GjT4gjjTEkIwLKAlEMaSp7QS5QAwdthVOO0Laa5jwdQ8zf1pbQtDFPWE2PtuH4wXt9RqroHsh1l0YCFnw9Q622dcTPPwhcofzid4hlLUHFoCERw80ohRBX2Ubv+6Yz6sqd6Lh2YUYOVsWY7bbuXQ2hYCotBhDAvLhkACDPf0V1+WhNa2KH756h5DrG5xuRePv7QHk0f004wTgpPcc9u16JHmMBxDHEfjxOk2XVfvy2/XaYjUG7cd1Ph5pritCgFowvC+APTdheR9yS4eD0734pEXdysElxWVRfAHZeUBq0VWBfku4pz2i5mZmRuh9QS0A7gOcpfeWaOurm4egHkGxw8BKDB5j+nfuqIrLuXgrSzqfSFdYuhxWQw9eaIxSTEVJkBW9fZDqJyYY1qwEkVJ1zq9plr2wTM7blR0W313CXhey2DieRo0RcmJrrrjj2chCJKOYQcAM8ZLpt6Cxtd//t14ZgmEy8EZFld/csdgLJs5FPVn2pXvlpFiB8+YL9C4BFhK5xIksSb67CRxMWMmmskfuJ08biq6Em99eMTQo4F0GwGybJt6jKplDxt8ctcS8X44cTqgMQwmvjf3xuUQ503JhSBI+MXv9YXJ++/I00l/zJsisz4TN7Sr1u3G8kqtSbIMckdhs7BYMt2LcEQw/O7dUx0IhCIY5e2Dpc9qi/UelwWTbuiLFzbLsnR33TxQASiXVhQozzApMrEMDY/LihnjstHSFoaF47BhW61Opgno6MSKxUTTZ/JiDrP5t5vbgkgoZvgeFh0FaCIvQcCjxPmB4misnF2E9nAMgiBpxtED04bgntuuBcvQ8LdH4bRzyBuQYeppQsY7Af6aWkMIh0U4bZICtD08q1AzLiNRUTdOSfGBbPBG5ffC5BH9lQ0A8TQxAxgZisbSZz+Ex2XF5BH9MGF4X4QiMfTukaR7btXSTrJ86OeYMzkHPdKcoCjgzx8ewZihV2rkRMh3XlFZpGjvk3M5VZJUyvVc4oSMcFQ0zQkuBLhuROJQwHxVQYWiKbQGInjoaXmslI7pj/83sj9GF/QBx9GYdstAXVHv3U+Po3RMpm7cqX1byWf++uW9cU+JvRqPFFJU7N3dhaaWIMJhASt/twsV47N1OVVBVgYgQVOQue/2wWj2y7kMAYnJRnBh2RAMz7sc3ZJtGJnfRymYkHuf7rEBFIUJw/tiZH4fhf1q1uEliBJWqzwNSVxq49osh/hPfz6LeHe401i6uGeaEycaAzo2++QR/TS5tHrckO7Sn941BC2BiK6jRT3Hqz2k1OvRidNtigweeU/Vut2aOZIcf/ntQ3FJ9rBGGSDJYQGFjjk90Z+zqSWokVwia5nPb9ztmuSw4M6bBhhKe62cXWx4/4jUqPrY//Vit7qI/G0WsSkKGDywu6Zwt3BaPjiWwprqvTKR00BaXg0aA0ROjlcAOXKMFLWJLPjiMi88SRbwfIfU6J/+9oWOHLaoLB+VkwYhGBJMC3wcyyIaFZWuAfKZxK+P5xgIAvTKNet3Y+XsIqzb2gGSLyn36iTN1TmRegwzNIWYKCrdigS8tllZ2C0sWtsjuv1og0+WH3v1nUOG3t9c/Le8VAhGpioAEUHZz5l5aTusHNxOHqvvLkE4KuBUUxv+tuc4CnN6gKGMiy0uu0yKaw9HNcQ6smcja2di0c9orpwyOhNb3/8K95bmKYoVz7z2mVJIJO/1uKxoCYThtPFwOzkNudlIam7Vi/Jc62sN4Ze//1Tztyc27dGRGzZtP4QpozN1BSQi19wjzQmWofDcn/Yp45HMnUumezHt5iwwDAWaAv6w47CmG5DIPF6MBZH/dHTWbS1JennPNdV7sWJWkeYcDb4gooKIcFTA4nIvmk0kmivGZ2P1+hqsqCzCbaP7Y2R+H03nVCwmYt3WA1hYNkQjO75OJZs8b4o83n3+EOZMzgFDM3hik+wn9+o7nxuS9J94aY+mk4mQRNxO3pTkDIlS9ofkOzyxaQ/uue1a/OyZj5RzuOwc1m09oPH4Tku26nIIULL3Hc3I62OilPSDBkWvTvcZ3/I4+C7jQuUP5xoMo7XZIL+Z286BcvKaop+vNYSV1fJe6tENMuH+vjvy8LOErnC1Vys5Rvb0xwwUhghZJBoTYbXQKB3TH18cb9b7Fpd5wXPyvGlEYBMF/TO7en0NKsZnY1dtvS53ILn50opCXbcfef8VPVyYMzlHyW1mTcqG22nRSJU2tco5RLrHoeCG30VOe66f+UXCv9sAPF1XV7fjW76eruiKrjhLhKOSoYTn6rtLTLwCJeOOHcj+e0Y+DTGToovpcTOPIUFS2G4k0j02rL67BBveTOj4e/MAZk3MMWX85PRNw8Tr+2nkSQUTb0HWZMOhdNsYFOPME4gSw+Iqx1FoD4mapP3Bci9EzlhvH8AlwVK6EGHWdZnmlgu+A69M04HaL79dhxsLr4AoSVhS7kXvDKcCmtUd8+m8meqO+bB87S48vXCEBlwF9L43pLvKaMyTwgW5lm4eG5770z5MGN7X8PUEgHY75QR+w7ZazBg3CI3NIVyW7kAsZrzhaGwOgqFpHdBH/Nu6pzoVfX/irVExPhs9Uh1KF831g3sZsvp9/pBGbjWRNb1u6378v5H9Db+PKEmQKOqiLXKbzb9Vc0tMNxqJIAnP0Vgd9/xQzw9tUQG+M+1YUy0bXScW436x4ZN4MipvqlZUFiEt2Wb4OxA/loKsDAiCPNdRoPCr6j0ahjTLaIGWxH+T80lxb9TM3h7cVHSlBmicNyUXr+yow8wJ2VhRWaR0RRGGH8dS+OldQ5QNKvkbSdCNvP3IGN9Rcxw7ao4j3WPDPbddi4FXpoGhjTvA1dr75Dl99J4SrJpTrEhmsywFnqYQvVQQOoMQBNGYbDPuwoDrpt7AIsCgo6AigVEK5YnM6JWzi3R+xFvek6ULm1qCujyge6rDdL5Vy7ele2QpuLVv7MPK2UWwcAx+sfETBSRJnP/Kxw7SFaAff+lTje9Kg0/ulva4rIhGO8gfBGRZt/UAVq3bjYKsDNw2KlNHGNm47aCpzBPxgOmZ5lT+/l0wgS+VOBd5KUEQwTGM4e9F5GUT2ezq/IOQcUi+8OziUWgLRpDksGDxk++b+ph5kmTiX7LLgkfmFKOxWc4/NmyrNc03QEHTRZrZ24Nbh12NZc9piURJDtnDcMg13TXPgFywYbCmWi6kF2RlYGlFIQLx6331ncM4Xh8wzBs2bKtF+dgsw+uizyIpqD52MRS7v+0iNsPQiErQgcmPbqjB0opCJTdcfXcJunlseGROx/5k7Rv7dHMibaKyos6Dq+JkBLfTCpqW0D3FYejftHp9DVbNLcaj6z/Qrc9zJuegm8eG9lAU4agJ4S3FAUGSTJVrRFGWniPrmZnvKpHF8/lDcDsteHvXPzHwyjSsfWOfBvR7YtMeLK0ohM8fNpXAJb6JHpdFl8tdbDnvuURnMrWAcffKvCm5WP9mLW4fMwBulwXrttZi2s1Z8CRZEY1JOO039mEKRWJYVObFy2/LHXBVc4s1ezZSuEhcv33+ECw8oyHSUZA7n71ZPTR7KSvPKnumbslWiBK0nm5lMiHPbuVMxxtNU/C4LJ0+RyTMvLhXzCrC/b96D+keG5ZXFupyNo/LCkjQkKMWTsuHy85jR81xrKnWAtgXW0HkPx0xAM9v3q8UzwhZrXuqA6JknOdKCZYFBVkZ4BgaqUlW0DQNK8cYvu/ydCcWTM0Dx1JgIrSu61WUJPj8IcRioqZbf8HteZgwvC/87VGN4kyPVAckaK8xUWaU52UfXvJ8bnnvSzwwbQgiEREelyzfvbBsiKaLdcHUPFMbnFS3TXOukfl9dDnEEwuGY9XcYkiirFT0/Ob9uk7XZn9Eyf2JBYeQkBt81155/8n4LklwnRVb01MciIXbwDh5gAK6xedvMkc2+IJoD+nXcTPSY0tb2FBhqHr7YcyelKORGl1U5sV7e49rya1vH8L46/piV229MobcTh4uOw9REk3HrdrPVp07kLEcicY0mBmJdI8N9WfaEY11yOW6HTx8/rAOVwRk9TOfP/Sd5bTn6gm4/EJfSFd0RVecW5hNWjFBMuxYe+CuIaYdO6Io4b29X2u8/3bs/ifGDrvatIh2Psdp2tg3LyYad/zNmQxD+QsLT+Pm4is1iS4x2DbyhuFo4MFyLx5JkCch3TZGxTiH1XgREkXJsLNPFKCcn7z2EVV3Q+KGSGLoS4KldCHCTP6AZ4AIaPTOcBqOp4oJ2Wj0BTXJ89lktEQTM+NEtg/HGheaEwsXVXeXYPx1fZGWbDN8va81rIDHj/64BOOuuxqnm4MKc/uvnxw3ZG5vee9LU0DNyrNoD0Xj8mIwBNXVEnjkfeoNI2E/qf3nXHYeFp5Gsz8Ct8OCpRUFOl9BQZDwxKY9Fy3z1NzzU+w0oVKDJGJETtkT5wciJZPTNw3dPHbT5JTMizFRwGmfucdCQVYGSscMwPK1HymdSQ0+LUM68Tk423MxeUQ/BQwh10TGyuk4gLak3IvKidlgaRq+gF7GjkiVEhCxV4YLHEsbPqfq784yNNa+8Q/TDnAiaUbC47KixR9RwB2ybrgdPCiWAUfL8q6XWjBmJBmGknd433LQJooDNE0BgrrQIj9bhLigHmPt4Zghmclp5+Im8FowwywncTs7ijHquXR+aS5CUQFJjo6OLbX/5hU9kmRZMxOgx5Mk+9oSH7YkB497p+YqXdrkdYQd/ZtX/mFYUCTAeIrbqkjIqMGWnTXHsLSiABRFyV3XlARIuGTB5wsZ5yovxTA0BAAPzyrCicYAqrcfVog0jS0yqSOnb5pGIYAUeY3WZiLP3RwIG87RQHwsO3is/nEJPvrsBPKv6Y4nNskEj1219aZd/CcbAygd018BJIz8NNdU78W9pXkYntcL4WgMPMtg2cxCxfPnxS0dnQZTRmeC42i0+6IaSdEt732pFAdlzzi52/HGwitM5h7oCoeLyvLhiHvhqu//pV7sNiMxtgQihnNTe7jDH0qChGAwpuxdiCy+Wo1ifmku6s+0n1MebOVZrF6/G/fcdi1sKVyn/k1Gxy/r5gLDUFi89n1TeTqOo/H+J8dxw5BexmO6qQ3JTisye3tQd8xn+rw4bTyq5hYrJMHysYPwq3gXTCLoF4rE0BKIgGdpQ6Jpz24OleSnYFr86go5OohwJWhsCWoIX0dOtKLq7hLcOuzqOFFgkKIckdiptKTcC1GSwLIUxl0newh21vG3cdtBPDyrCIIoof5MG557fb9Ghr9ifDYAfeFYlCRFsk6dOwPx4vr6T7BiVhGOnfKDYYxzjcbmIAJB47GYSG4we26IdG6Dz5goYaR4QCTOj9cHFMIr+dvFWBD5T4YQx66a/RH89K4h4FS4zqo5xcZ5rmp8kD0ZsW8g8vdG76s/04bla3dhaUWBoTT8ytlFqBifDVHUdusfO+XXjFdyvjOtYaS4rcprJ4/op/GWJq9bObsINE0hEIxi3HVXIxaTNHuoBVPzML80FzRFIRSJycRfGOMmPEejYnw2trz3JaaOGQBQUHAFkkNYOAYUAIoGFj+pzYdXrdutKWKTeG7JKNAMrVkDza7hYiANfZ/CaC33uKwAKJxqagNFASxDgRIkxChKyRtIvmvlWd3vJJngbpGogGBY331aOqa/TjVg9frdGjImibJbsgDI+znytycfGAGbhQVFGc/dMVV+n5g7bHnvS/zo1kFYXlkIKV6IJ68jCmREUp88TzaL/juTdeC7zGnPeS3IzMycDuAuAJcB+AbAxrq6uhcv1IV1RVd0hXGYes4xFJJdvOa1yS7eFLQSJQk2nsHwBL9A0or/wLQh8LdFOgyOHTKzY8l0L3ytHcbHniQrGIYy6cgzKRpSFAqyMjTyBjtrjkIQgQNfNeKROcWQJAkURWF37UkMze6pY8mtXl+DqrtL4LKysGQkKf5cPAOIgqRjOHGczHDqrOPPGKiEYWdf+DwlRy8lltK3HVr5AwASBYqScCYQwSMv7jYFDyhA2UAC2oLFzpqjui7YpTOHwmphdGbGas16AvIKgmwcrGatLS73onr7IeXz5Y5bCanJVmz74CtDY+GN2w4q50x2WdBwph1OG6ck+itmFaGpJYiHZxUhEIzCyrOIRGMyo67VWHYrFIkhLdmG5kAYgfYISsf01wF9raquKRJqMKTBJ7OfiK466SpmaRqVkwbhjEqiTA1cvrh1v+LDeDEWuc09P2lA+Df81ERJYR9PHtEfJxsDus8pyMpAN48Ny2YWotkfRlKqDdXbDxt2XdhtHKaPHaRIv6lBDjVDOlEiiXikED894usDWupUntbt5BUQctP2Q5h2cxZoWoSvNaR0nqifPzIHW3kWp31B/OlvX+DhuGxOTJCwYVutrjshLdmGh2cXgedovexHuRd/33Ncc11GAAmR+lj7xj4sLvcizcVfcoVAhqbw8OxCCAJAU4AoAQyDuKfoBQhK7wOycFq+XMBiGLRFY1j23MdYWlGIdI/NkFnPMQx+/bJeKm71j0tw2heE08YiI8WBcCSGUCSGcEwwLKL95aMjWFFZBIahEI2JCEdiuLHwCtitHP7nlf/FT+8arHnuyPz36D3DEIuJoCjjzarDyineQB3+miWGz0pGih0V47MRCBqD9hkpDqyp3osHpg3G6rkliAqyf+fOmmMa/06zolRXfDtxLvJSRoXCRWVeBMMyCa9XhlMhtnV4XjnRHo4pEopGRbg5k3OQ7pF9TF5953NDifHnN+9H5YQc9O+TAoahlPOle2zYXXsSD88ugihIcR+rEHiWQXtYzg2WVhSgevthU/A5LdkG0BJWPal9fnbXnsS0m7Mw6YZ+SHVb8djGT9Erw4nJI/ornq87a44qBfu6Yz48u3gkXtlxGABQvf2wLr9aUu4FBeDTg6c0fnYvv12HKaMzFXWPNLcNLKRLeqx3ZlvQ1BIyJZqR/4dEaciLhDhHCrZuhwUOO4tIRNQVtY1Y7/72KBp8MkGntS2iIVmoX8eq8ibS+ep28qBpoC0kn8Oo83px3Pf4v4ZeiUAwappDE7m75Wt3Geb25H11x3zKPM2x8r612R+GKEma67VbObyyow7jr+sLl4PH8spCUOhQobltVH+wUlfR73xCEEQIFIWFv31fc9zjskKSAJuFjeeNcWKNy6rbx7MsjZZAGGvW79V06ql/b3XHX1qyjI28sGU/bh12tQawVY/nxEKi2r6hs06/Pt1doGhKp4AxvzQXHEfj1Tf1Y3rB1DzwPK2A4qVj+sPjsihzsrpI2dQSVP6foSkdSdpM8aC1LYzJI/ph7Rv7lMI9eQ7/nX3KpR6EXFZ3zIdQOIbHVGot6988gJ/cORj//TutL94fdhxWyb/blQIgIHucBoJRHclZPTbNuqSaWmSFqlH5vTTjYmfNUUMyvcPKgWGg7Pe6JVs1TQNEJampJYSdNccw8fp+6JbMIiZIWFyWj9PNIbz6zud4YtMepQD+6jufo3zsNWhs1qtxzC/NhSjKBe7KCTnYtP0Qrh/cS0P2XFTmhYWj45iaue2LOtI9NkiShMVPfahZA1Nd/HfulXcpRCLBkqynZFyne+RO6SS7BTQtYdXcYrQFo1gel0det3W/pjPU7eSR5rbpOkwJhvy7tw5p5tCCrAz0ynBhwdQ8zbg1GysMTemO1Z9pQ68MF1oCYVPsmrx2UVk+Nmyr1czt/mAEf9hxGJUTszWd5USBjDQBLCn34vnN+9HsjxhKhye7eFDCd5fTnqsn4IMApgH4JYCjAPoAeCAzM7NnXV3dIxfw+rqiK7oiISycPhEk3XKJZuiLyvLNC3E0hZgggWEpLJtZqICBgiSCoSjEYpIG5L/v9sHg4mbT6uOLy72gKMDCM4wTKY8AACAASURBVJpk3cIzEM3kOlkKpWMG6L4Dz9G45qo0PPjUB5rjgEkBTZDQ1KbfECe7eCx78mPddyaFPKNzgTLu+CMM+8TOPtNuChPWEWtSPOhKys8tBEEEy9DwxX9vtVyiGXhgxozu3d2Fkfl9sO2DI7jntmvRzWMHTVNoD0ax/Ln34XFZZX/ANKfMiGuPwOcP6UBeMkYqJ2YjGpXwl4+PYGR+H0WKgyQ5a9/Yp4zLVXOL0R6S2fUvbt0PQG+SvKgsH4/MKYLdwoJlZP9MCYDLweEhVeL70IwCHaC2qCwfTjuHM61B8CyD6u2HseB2vZxYork9oGV6p3tsSHVb8czikaAAnG6W/X8EQTLUbycSlrtq6zVGyRdbkdt0/uUodFZLOpusHEPLrMrSMf3R2hbWFfcIg3TJkx/o5jq1YXUoEkNbKBr3m+yQrFWDHGpvp+4pDlitjMbk+5sGv+JhqHzWdC88Tguigmg4bpIcFrywuVaRl0v0biPMT3WhOd1jQ0qSFTFBQrM/gp898yGWVhRiw7Za3Drsak13wpJyL9a+IfuhLK0owJ8/+icqxmfDk2SB3cIhKgi4peQqnGhsU5L1nmlO00J3g+/sMq4Xa8hznaCZNxaXeWGzcBemCCjJnUHk90qUhZV9TK14/d3PsagsH6GwoCMJiZKedCP79EQUzx0y1ghoXXV3MVbNLYbPH4bdwiESjWHglWl4YtMezJ6cg9PxsdC7u9zl98Bdg0FRsjztqaY25TwLy4agJRDGqhd3GxrTz5uSC5alseD2PI2PltkcS8cl+MzIK183BOISMTQEQQRNAb0zknDFTUlY+Jv3NfPuxUi0+L7EuRC3jAqFpDNq8oh+6NPdhRe27NeM/cbmEMLRGP780T9Rdot5Nz8gKsU/moIGsCPz6fRbRcRiIo6d8iPNY8OVPd1YNnMoWtuiiu8KecacdgbVb9dpSEsuu7HcoSRJkGJATt807Kg5jgaf3MW6vLII67bux7jrrgZFyUTD6wf30shD/2xGAdpDMVRMGBQHHmkMz7scx+vlce1ycFg2sxAcS4OmOvLqm4quVLrGSRw50Yo5k3PgsHKXfAEQ6JzEuLPmqKHvzYY3DyprqJFf+q7aeky6oR9iggiOp9DoC+mKtDRDIRIVNEUUsq6TvNFl5/DHnYd1Bbgl5V6ABhaXeVH99iFdR/fiMi8KsjKwq7ZeozyR7LLg73u+xkhvH1A00HBGBrhXzJLBxES5u4wUBx6bNwwelwXhSAxLKwoRisSQ5OAVydPM3h7MnDgI4YigyaV+cudgZPb2yPP9tHxs//gIxl13NS7PcOJUUxtWqoB92VuRVhQduuLcwwxAXqICkJdXFiHdYzPtVHp4VhEafEFl7JPzrJpbDFGSScCkIFeQlYEZ4wdhyuhMvPx2nWZsEUlXALrCMa+SaDTqLC3IykB7UIs9LJyWj9LRmTjdHMKGNw/ivtvzMO2WgfjrJ8extKIQMUGA3cqBogBRBB6aUQBRkAyLPz5/CIvLvHj6tc+UZ+3N97/CSG9vLJtZCH+7LJ/f2GysBEI83NTPv6x2EIODYy75efRcZL6NgqgSbdp+SGfFUHfMB4ahlK55QZDw2l8/x/H6ALxZct6tVpLJ7O3BXTcPxOr1NQrmcFk3GXN4bOOnyrxm1tlM9uqffdGIO24agIdnF4GCTKL84H+/UTAMf3sE7aEoRFFCssuCbh4b7r8jDxRF6dRXtrz3JURJwvWDe+n2cDtrjuKumwfKpIvWkOJ57bTyaG2PwOOy4N7SPFAUFAzw+c37MGX0AAiShM++aMTx+oBmrxqNxUCBhyAIppia26FV71hUlo/nN+83zIMNvUkv8bH+bUeiMleiJ6rHZUUoLOCVt/dp1vqCrAzMnJCNCcP7gjfAq9UdpkTJ7t6pufD5Qwpe0TvDBX97VLN2q4lAatl9MuepO7XJMbeTB0XJBfZnXtunU9C77448PLVwBI7XB7DtAxnXmzFuECQJaGwJ4oN/fIMZ4wah2R9BSpJN4zNfPjYLTz4wAgxNgeNoRflI7WXI0FB8c7/LOFdsrgLA9XV1dUfJgczMzL8A+DuAriLgRR6RqIBu3Vzn9Z5QOAZ/a/DsL+yK845IKIZubguq5pYoC52FoxAKi4bdcv89/zosrRiKBl+7UqBL99gVaZ9IVEBrIKj8LcnJQ7RIeP3dzzUT4+vvfo7KiTnGflh3l+APOw5rPP5klkQOnHZOUxx02jlIEnQebtXbD2GWyflX323cpcfQFDYlnGfT9kOYOSHbFLwxkwmDZNzxZ5ZAmElUmrGOaBUDS70Y0Qwgdu0lzynUAEiqW9ut0SHb5oIgAts/PoIxQ42lp2gKSvI7uqA3mlqCil8a2VwuX7sL6R7Zh+x3bx3Cw7OKIAEakJckn6vmFsvA8qRsNAdk1jXHUvjhqP547vX9yutIBxLx26uckAMJkpLQkHMSDxVBlBQjeDK+Vt9TgmhEVLzlGtqCchGfptDUEsTLb9dhbMlV+M0r/8CSci+uudIDGEgtGLGl1RvGRWX5CEdioCgaNE2BAgULxyAUEdDDhH1KvDjVhcSLTYrDbP6NhMx1FBPZ+0QChqIo0CpPwIwUOyiKUsBSdXHP7bQovk1Ax9gjsq7EsHpRWT6SHDwqxmdrAAJ1oZywj3ukOQFJLlasindMZfb2YN6UXGUDqHzWi7J0Z/X2w7p5bFFZPl59R2YwLyn3mprck2skm9r5pbl4/KU9SHbxuHdqHgLBiEIQIeuD28nD47LgrQ+PKF0LVp5VJHnuunkgHtv4iWYOnjUxR+4kh/FcT8anvCZ0LuN6MUY0JuqkVKrWy+v4hSgmsYBSVK4Yn638XuSz1TLEADDtlmt0G8Ql5R1AMYnSMf11+YJ6rLWFYrDxLDg2rgIQl9ZKdvFw2jnwLBNnfEpobA7BaeNwUlX8W1TmRTQWQ5LdohCTGnwdxvQZKQ583RDAlve+xI2FV+gY20bkFNLVVDW3BDQNXUcr+cyVc4rQEghrOhmXlHs1fm7kO19sRIvvS3Qmf0/WNLNCYVqyDUuf/UiR59xVW48l5V48tvETeFxW3Ds1F7tq6zG25CrDz+BYGgxDw2Fl8PDsIrA0jb/v/Rqv/+0rzetONgaUXGV+aS6cNg4cy+Dxlz7WPWNzJudgZH4fxfusat1u3H9Hnk71I8nJ4/GX9igFESIr1+ALgqaBGwuvgMdlwTs1cg6j7mzwuKxobYvoiuRb3/8K024ZCKuFwWMbP5Xlwqd74bF1dLFSlLF9wGXdXLDQXWAeYD7eRFHC1DEDlP2Q28kjJckKnqVx/x15GtlQo/GW5rbFpYUp3dqwat1u2UMwJmJFZREACSeb2hTgbVFZPl5+uw4j8/vgsy8aAUCxmBAlwGFjEY2JoGhZmisxj6lavxsrZhXhyIlW1B3zYWfNUcwYNwgAMDK/N3yBEE77OjpMz7SENP5t5Dv42yOICaKSTxdkZaB87CCIkoTpY8n5+sDfpiex/ffvPpV9qSQg0B7BkGu6QxQlRKKC0tlDXku8FRmG7hqT5xlnA5AbfEGs27ofS8q9ph6RRt34Pn8IoiihPRyDvy2CsluyMP3WQWhqCSIaFSCIEion5CAqiDjZGMALm2vxo3HXKOt4/Zk2bPvgiJJvu+y8QkTyJFkU8gPZi6lVNsh1PbqhRpPnnmgMAJDHMEVL8PujmvV8eWURlj6vt2QgXVZJTg4VEwbB1xrGxm0HMXlEP6xYuwsLpuZh8ZMfAJALSYld4gum5skKRi4rJEnC7EnZSmHS5w9d8qShc5X5NgqiSlQ5IQfH6lt1BW0atMZf977bB8NuY9HULM/RMUFS3qOW4lZjDisqi5TiNADsrj2JFZVFaIl3yO+sOYqJ1/eDIIp49MclSHJYsGPXMeRndcemv8gKLsNyLwfLUHjz/a8weGB3XSdqSpIVP3tGL0W/YlYRTvvasfX9rwz9t4lcvb89ipy+aaBAKech95HjaLhhAcfRGH9dXzjtHALtEUXalzwfMjGJB8cAUcEYU3twuhdWC61I+jb7w3A7eJ31C8mDKUnq1Ju0K84vEovlPEMhIkhwOTgFAxEELbFo8oh+yp6OjDtCDiZrs5HE7ROb9mj2gekem9Jhmuq2AXHZ2U3bD+nG7ZzJOeA5Bmw833E7rZBECSxL438PN8hd4W4bWJZGg68dSQ4e0aiMofn8IY2EaLrHBkkEfrWpo7D32ReNuOe2a2Vp0hQbhuf10tmckHwIoLDsOe3f3v1U9p218ixo+vtj33Cu+0YHgNMJx5oA2L7dy+mK72PwHINb73/jvN6z5Zfj4b9A19MVMhBNAegRN4WNCIBI0aabQ397VNfV57RxkACEwoJO1g8uGPrvmHXkiaKEidf3w+Mvfar5DIamQFEUMlIc8U5DC2KiCEGSDM8fE4zPHxMlXcFiUVk+LDxteB4znWeyETb1CzyPUEtUnkvRMBIVDT0b778jrwvAO8dQAyCJ/ghEtu2ROcVY+qycaBw44sP9dwzWdIosnJaPtz7s2OylJNnw4FMfYNnMQsOxl+q2wecPQZKAFhMJzZggItnFIxTRPkuLyvLRK8OJumM+eFxW9O4uSxiEIjGMLugtJ1AmsrLEX23B1DyFSbRqnQyW/PwZ2S9j9qQcjVY/8VtjGVoBb1bOLkLNgVO652fqmAFKou9JssBl48GyFO6/YzAACW99eES3eSByp2Z+Q6FITMc8vxilOIzm385CXbwmybA6gSQbUAfHQKAoDaOfbJhWxNnP6mjwyd41JMHlOBrV2+sw8XoitUXh4dlFaI53+H168BSq7i6G36B72uOyKv5UZjKFVp7VMNoyUhxoagmCZWkU/6AnRub3RrLTYvhe4mW4ZLrcfTJnco4id3PrsKs1nSRLyr2YdENfiCLQHorCYeNw4EiHNCgpIhr5WZExb+NoiIKkm+vVXoPymnDpdWInbtqAjs76C7EWJa6VZuMDAHbUHEfpmEwdoYn8ruru0B6ddHoWZGVAFCSsfYOwUbXjPRoTsHztx/C4rLpObDJGVq+XwW8pfl4Sdcd8WL52F6rmFmPtG/sU0C3VbdOtSVve+xLLZhaiJRBGKBKD1cKgal0NfP4QHppeAKedxb2leUhJsuBkUxueee0z+PwhrJxdpACG6ntAJO/UknoMTYPp6pL61uNsRC+GoSGZEA1ONbWhwaf18yMdyA2+oELQoGljKf2MVDtO+4KaNVtWxQBe/9tXGtIO0AEgPzZ/GCSDrlkyf1t57TGeZXR5y4KpecrfE4FtCoDdysJp55F1VZoufyEAUCJIUzE+G2uq9+Lh2UWYPKIfXn3nc6x6UdvFauR5Jc/R3w/A5PsQ5v7riIPT2dr9SCSmAUR5ntGN6UVl+fh4/wlc2z8DHGtciBVECQt+9XcAHZL49985WC70icCMcYPAMDT+e/4wnGkJa7pIiIzsfxVeCV9ryLSws6KyCKFoDIIgafKj+aW5+OB/T+DWYVdjy3tfYsa4bMNnxpNkxUNxsgbJs9R5BSFInY4/g4nXEI2KON4QwKvvfI67bh6I37zyDyyYqlfRaPAFZWUMjoHLwXV5sp5HaK0dKMNcZFdtPWZNyoEkyj7pLYGIIvmW7rHB5w/rxjDZ15Vce7miEEPW8kAwivZQTCFgTB7RD+VjrwHHMvC4WPjbI4pHKvFyGpXfS6dWtKTciztvGgiGphGMxAzHxeXpTqUw8+o7hzEyvzee2LQHVXNL8OuXtcQMM0sGX2sIO2uO4vL0LDhtHEJhmWBIpJsTVT3e+vCIUiSKRAXwPK2R1Zs3JVe5fwAuedLQuch8dxZE1jZRrcXIp+z1dz/H1DEDlPW1ICtD2YubycyGojGlsOtxWXHDkF5KwZmMQ5eDw+L/USlmlclKLWTfJAgS2oJRDLmmOyJRQSOd+MSmPaZ4B0NT6JHmMMTVHFaZ5NY91YFX3/kcPxzVX1EbUN/H5ZVFePWdwyj+QU/07OZEoy+IZBeP9/Z+rdmr+vxhbHjzAConZMtSywn7BJamEYrG8MBv3td8d8rETuhiIxx/12FULCcYECFDrJxdhOMNWusSMq7V4ztxr24mcUvkPNXFs+sH91LI92qci8xnDb4OC4Uf3/YDsAyjef3Cafl49nVZCaBqbjE+OXAKdmsvVK0zVnZZUu6FIGpVDxZOy4eVpwHQYFlKN+5JIdLjsmL7x0c0OO+nB0/hpqIrNUSN74uNw7muA38G8PvMzMxFAI5BlgN9BMBfLtSFdUVXdIV5cDyDiACcbGwDwzDgGQCiMcMzJkhKcQ6QJ6zHX/oUq+IyaIkb9jXVe1F1d4lhN0eVSUceTVGGHX8MTcGfwAqeX5oLp5UzPP8qM1+++PnVsqUsSyESFY2vc26JKXgDwNAvkGYoNPkj58UOM5IJNQuGpgwZJ5d64nI+shxqAKSpxViDnlWBGHXHfHhhcy3uv2Mwkp0W0DSFtlAUr//tK4VR/+QDI9DgMzZdT/fYwHMM7i3NQ0QQNLIU6tewDK1jxDfEAbzllbLP2Q1DtInMyjlFgCSBNilYE68VNTuqwRdES0DeOFaMz9ZtONQsPXLM5w8jNzMDkiTKbG5RgihKsFtZRZ5D7qbSgi55AzJ0z1ZVvJPHqMNFLiRZIEHSMM+/6yTnQoTR/NuZr5y68GFWuKqaWwxKEMEwtI7Rn+SwoCVg7P9os7AQRBFLn/sI80tzccMQWcaFFDd+llBs9LWG8YuETixSXIjGJAWw7ayDTl0E+d1bhzD91ixlk7u0osDwvZ4kK1bOLgLPMWhqCSmgi1HnIJH7/dkzHymA472luQpAR3wMSbFbHWTMw2WBhaaQbOdQdXcJIlEBDE3h+c37FTBpyTnIuF6MYSplzVAyQnIBgqyVZp8disSU/xdNCoX+9qhmc3XGxAMrFIlhxrhBeOjpDzVsVHIeMt7JPGpWtFi1bjckSfYXNvqcbh4bllYUIhKNwWbl8Ob7X+nyjqljBsDfHobbaYHkl0lX5WOvgb89it//5SDGX9cXgWBU19ni8xsDhN1THSjIytCBNN+XTeXFFJ0RvQhAsmn7If1aON2Lp/74GQBtN6gavCU+JyxD44XNtRpp40g0hkhEr+xRFe/I8mb1QIrbisd/v0fjm+pxWeFrCSu+gEbPRTSm9T7jORZVCZ/zxKY9yvxLwBwChDy/eT8qJ2bD1yp3YyWuFWbA5uXpTiyYmgdJgkZWTA1In6+6xqUYZveIMPSNxqkACjFRBENTiIgS/rbnuKbL/vNjPgy8MhXL136k+LLqc9yOPNXnD8Fm4QBJgr8tpruWRKb+E5v24JE5xfi6wa+cT7f20BSOn/aD5xjDPWnF+Gxs3HYQC27PgyiaEyo7y7NWr6/BspmFcTUcg+/I0thZc1TzXjMpvpZARJF0JnL/XfPvuQXJBbp1c6HhTJvu/hZkZaDFH9FI0hKpwjtvGohwRIDdyipr7+nmEKw8jVHeK3QKFgQPQLKcU5D1H5CfI7eTQ7LTqruG4h/01KkMECUDl51DkslesP5Mm6YzW5Tkz40aFDvN5MIlCZgyOlPTUTa/NBcpbvk6E/dfn33RiBuLrkAkKiDdYzfs8CLzeRfmcG4y32cLlqZ1ai0pbqvuvCPz+2gKjqSDrWpuCWIm1gpWjkUIMVSMz8aVPZMUFQpynavW7dYU8Rp8cjf1nMk5cDsteG/v18gbkIHLM5xobqWwprpD/eXeqblobA6a2gR93eBHusdhiKuRtaGxOYgbhvQyzdPbQ1Fd0WN+aS7GDb8ajc0hZR9HcpcZ4wYp912NqUmQsOy5j3Xf/b/nDzO2E2IoRLsShW8tjIrlBAMiahIxQcLOmqNKl2eDL6isr0YEOBJm66rTxuOJBcPhsnN47k/7lO5Ts/0ZeR+xUKApGo9u2KV5PSGyrX1jH0KRGG4puUrpSGzwdSi79OzmhBhXERs77Cql05GmgV37T+Kqy5IVYr7RuO/dPQmSJGHM0CtwqqkN67YeUNQ0XtlRZ4z5XLBf79ziXOe7HwP4LYDP4u+JAngFwLwLdF1d0RVdYRIcz6DRH9EtgCkuCx64a4gC8KZ7bHjgriGmC7UYl8YyS4bM3mPUkceyFDb+6YBGDnTjtgOonJhjuKFbObvY8PwsY8yK5lgK4aj+XnR2nWbgjcTQmsQCIH6BJf8WO+xs0QVw6EMUpfOS5VDfww1vHkTlpEGaYq7VwuDEae2msu6YD+2hKH75+0+R0zcNt43qLxcl4oxQkgybFRUpSsL6Nw/gzpsGoHuaw9DA+7GNn+JH44y9fVrbwph0Qz+NBJLHZUWgPap4ARh5TJFuJZJAAR3gAwB4kow7rrqnOvCrTXs1rxdFSZGPIfH8Q6OwuMyL5kBIl2Stqd5ryhR02bkE+dUksDQld6LEgfyLWYrDbP5Nc/GmhUB18doMJCUbUEVuZmIOIlEBp5ra8MLmWiQbmJ7Pm5KLF7fsx/jr+qJifDbSkm1K0c+ouLFq3W48PNu4o7B7qgOtbXIHoJF0aPdUBxqbZYY9KaL526MoHdNfQzIxkgsl1zlzQjbaQ1G0h8w3B+R6unnseGzeMFAUFF8YNRhk4Rk4rcZ+VrJ3URhpbqtyT5c++5HyzE8Y3hehSAyiJCEcFb/zRPw/HRxLY+nMoWg4o5IIT7GDY2nEYhf2qTWU/Cn3wu3i8ezikWBoypQY0dSiJdFk9vboPFEXl3uR5ODQ7I/A47Li8nTjbkHZc828aEEKH9+cDiDZZcWymUOVvIHkPdXb6xQD+GUzC1F87WWoOXBKkXJmaRqgJDhEDhxLoT1MK7KLRC46yWEBRenzMDOAsLE5iGk3Z+nler8nm8qLLcyIXmqApNkfUYoqaW4bLDytkfOSJAnLZxaC5xisnFOEbxoCss+vBHTzyEoDpPuISOU+vXCE6Tqx+MkP8D8/HaH5jMzeHswvzcWy5+R5Tg3KkDwl2WXBhjcPAIByrD0cNfyctGSb4pGWlmxDxfhs0JQMYs4YN0jpUk0EpM0KLGpwnMzhpWP6a6VVz1Nd41IMo3vEmxAYU108zvgjmk74+aW5KL72Mjz3egcZ5pE5xQrQTHxZjTpQ1bk2y1JobYvgF/HuKgKE+/whjLvuao1cGxm31dsPY+bEQYZ5NpGIXVRmLHlM8s5jp/zgWGNCJX0OeRbLUOiV4cJ9tw/WKNcsLvfis88b4rKhHftKI8Ibyc/Vn9M1//5rYaTMQwg8icDvytnFONMa0vxu86bkYnftSdxUdCVignF3XmOL3LU5cfhVGHhlmlI4Tk+x4ak/foaf3DVYNya7m1geuJ28rE5h50z9N8lriU96uscGQZB03UsHjzTqcqHF5V44rBweelpb+FlTvRf33zFY+cyN2w7i3tI8pLqtONEYwHOv74fPH9L4gKuvOy3ZhoKsDEwdM+CSxhyAc5P57vT9DI22aEwZM6RLftXcYt15SfemOnbV1qNivASKhn6uLfPCYWfBRWmsenE3Vpj8nnTCRENy2tXra7D6xyUIhQVEoyKaAyHk9E3D9YN7acbqsplDdd72ZPxWTBhk+JmhSEzpBJt+6yCcbAwY3kcrz+ry0zXVe7FqbjGcVg6+1vA53XczfC8SFY1tibrm3281zqbaAgCv/fVzxb6DdHlarQyWVQ5Fc2tE8VcXJUkzVgiRV9OBN92LDdtqsau2Hnf8Vyamjhlgeg2JHYNb3vsSi8ryEROMJaTdThk/aQtFdYpzhNT89MIRWPrsR6gYn41oVMTPn+0gYTw8q0ghV5h5xPrbIjp8ZuO2g0oR0ignSizC/atepf9qnFMRsK6urhXAtMzMzHIAaQAa6+rqurLyruiK7yAiAgwXwF/MGwaXQ9stxzAwZfywDAUJ5qxMo+M0TSnm2iSRffntOlROzMGE4X01oMOCqXmAAbjV4DPvupIA2K3ajkK7VZZbOR1PJNQJy2XdnKbXTzOIZ7oSAPnfgtAZC8xEIu1bks7oAjj00dIWPqfCK1kYw6KEZBeP1XNLEBNF8BwNj8OKmCjhm9N+PPvafgDQbc56xmXjdtQcx8ArPFg2cyh8/rDCkLvv9sF4/V1Z3kI99jwuCwAK02/NUjaeBVkZWDlb1qc/1dSGDW8eRN0xnylo2xKQDbPVQEmSw6IkyQ0+rccUQ1N4/KUOhr+aUUW8VzJ7e+C0GRdAfK1hBdwhyVHZLVmomlusyIH4/CEcO+VXCjbn84yqu8HWvrFPZtkKwiWzqTSbf6vmmvupqQsfZgy4RDAUDI11W2sxMr+P0jnE87Rm7t24TR575WOz8KtqLUPNDAQzK7A0NgeRkiTLGZIi7/zSXNgtnCEje8roAXA5OMRi2nmTyIWumluMppYQWgIRbHnvS9w67Gq0h2NY+fwuLCrLVzaTZozqk3E/FZ5jFFCQgEFLKwqxYVstbhvd37Aob7UwcNksEEQJoGm89eFXivca2bDPm5KLZ17bd0nKMYuiLBWklgD8yZ2D4bByZ3/zvxmm62BEAAuAAQWJBh6c7tX64U33YtNfDmnO5fOH0B7Wdge2h6KoWrcb80tzMe2Wgag36DRI93R0Hpo9j6FITOPzcM9t18ps0TQnWJbG1ve+xPH6AJZWFKBHqgNnWsNIdvEYVdAbHEvj6Em/Jl9ZNnMoYjFJc88Xl3lh5Rkkuyw62bOdNUcNSUObth/ChOF9L2iu0hXGod6gQ5VHEqluAHh28Ui47B2/VWLH5qIyL/780T8VOaWqu4uxsGwIbDwHf3tE6bQXTJQ9WEaWwrLwtDKnka5vf3tEmSfXbT2Ae267FqluG3iOhgSApijcWHgFJgzvi5ggwsIz8LcZ5y2nmtpQOqY/OJZBoL2j6yndY9Pk0GpCUO8MJ5paQ4YFSDU4TpjcPdOcOkD6fNQ1LtVIvEeR/8/el4dHUaXrv1XVVd1JdyfpBAiogA5CAyFoEpNIEsUBZGYQAWUGNT74VQAAIABJREFUgihEDRGCgtsIic6wCATH8To688MNFbcBvReVRb0XBRcWBRQcNgmrEAUSknSS7vReVb8/qs9JVVcVgoKi5nueecY03dXVVae+8y3v975gTeLoItpcIa8TbcgJ1/VBayAKZyIPhgGlA8/L6AIrzyo0yDLAMIAgsPjzE+t1Ra9br+9HKcQ1tPET8yhYCABtgni8QTz31i5MuK4P5t5RAIYBjp300RgaABa+1EZ5TEztr9duPYIJ1/XVFRAfvDUP/lAUD08uAGRANtDB7uRKwNfHvVi8Yif+Wpqv0XUGA1zWsxMqn9qomW4l65tMChw57qVxlzoebve/389EUUKyU6B5V2qyzRzcK+tZjUg8OGfxp6YMFmRqc97kAh0Nf99LXJAkGQLPaSYL1Xra6mO5nFYkJljAsxxWrT9IYw9Xkg2P/1s7mV3nCUDgOUwbm4VNO77V6RxXlOQh0cZpck5JlkxlJ5LsAiKihAXlhYhEJNQ3B/C/nx7GHwouwf3jc8CwCjuJmT8vG9Uflnba8B8MyI4CmP3cZ5qcPhiOgudYHbjALL850dAKjmWx4pMDmvh12ft7MfLqSyEILGZOzIVk4sekuJ4Z8UUupw0tPm0zwmgSafZzn2F6cRamjO6PzmlK3eGxGLOAp8WYdcZu4yFKIgbndgfLQEeHSq5jxKQR09AcxIx/baDntOHLbzAwu6vpdTerQ5oNNrT737NrZtef7HmAopU3/KpLMGFYhkLbLgGb/nMM/Xp00EjVVJTk4S+35+Ph55UpPY83CJuVw11jLo/l+FY4EywYN7Q3AKB7l2QIAgsLa8zC4kgQ8Nj0q5HsEBCJSpg0KhOSJAEm9PzORAECr8RJZmwHotTWMDweo/MHlNeafG0+2QgYVDK8H6UeJ59RTyySpqX6++Kb3z9Eq/T7Gns6b3K73RPcbnf/6upqqbq6uq66ulpyu92Xud3uW87JWbVbu7WbqZk1qyAD9U1BzH7uU0x+ZB1mP/cp6puCEHgWFSV56ORSJDyJQ7ZYWHAsg3tvytH827035cAS0ylRvz69OAssy2Dz7losWLIFFYs2YsGSLdi8uxaSJNOkn5zP40u3gYkFMGrr5EoAzxkfH5Dx9PIdlLIoElX+DkUlrPxECbirygtROjITKz85CFGSDY/DcQxaglEcrW1BQ3MQR2tb0BKMghc4urHFnxMX22z0r589bJEoSmBECRZZBiNKv/pgPBI1b7wSIxtjxaKNKKtaiwf+uQEt/jCsLAMpLMb0vGTMWbwZ1Uc9mqLUsxVDUFVeCN6i3Ft3NxfS0xzgWJYWEKqPevDiqt34/YCL4XJa0SXNAVeSDQDwxLIvcfREiybx3Ly7Fg89vQksw9DvBJTAYMaEXM1anDY2C2u3HgEYBhOu64PFK3aiYtFGneYaQSJ5/WHIMjRc5JUleehxURKmF2fh3Y2HMfba3ige2gtLVu/CtLHatT9zYi5kyHjkziLMKh0QQ9v3xkvv7EbFoo1YvGInJlzXB38tvRIb/3MMowf1pM0+tSmJc1B3/IqSPOX3qP4WuLOyFH42Zg4WMH+W1Y2PHhcloTLOHxM6L7VZANw0tDddM2u3HoGFZenfC5ZsoYWoeP0pAJr/JtbJlYDGloDuvlaW5GHb3lo8+fp2zJyYSxuB4YhoSDlbMjwDz7y5Aw8u2ghJ0vt4jzeIusYAmn1hOBN5DM7tjlXrD+JEvR91ngAcCQI+2VaDMUPcePnd3Zg5MU/33Cxbsw82wYInlm3H6EE9NdeaYxWanWff3IWla/Zi9qQBdF94+Z2v8MhLn6O+KYCyqrWoWLQBOX06Q4aEKaP70/eR5s7Z9O8/FxNFGX9/VVtM+/urX0D8kSiizPZB4usf+OcGLPqfHZgyuj+emTkYC8uLkOYQMG5ob806mTEhFys/OaiJRywcC5fThrSkBDyxbDstVKg/d8+4bDjtCoiCIFK1fjQPAs/RYm+dJwALx2LO4s34yzObAABXZnbB5NH98dTyHZj8yDr8Y9k2tLRGUNfohz8Q1U3h1jb6dQXMZe/vRX1TALOe/RQz/rUBi1fsxC3D+lDEfppTQFV5IZ6tGIyq8kKkOZVrQKat1Ha2Y5V201p8HPLtSZ9pHOnxBpFkFzBpVH8DSsItGJzbnf7t9UcQDkuY/Zx2DWza8S31xeTYFSV5+M++OowZ4kbloo145k3lGfnzLTl4Ytl2CkQClJjiL898itnPfYqoKKPZF8Kzb+0Ab+Hw+NJtePW9vQiHJXTr7NTtR8T/pqfasWr9QTT5QrhnXDbWbj2iMH9w2liZAIKON7Tiwac24e2PD2BOWQEev2cg5k8p1DR5yO9OdgiwWS2/+hj4bJhZ0yQSNS7GpjisSLDyNJaoXLQRt16fgUk39MPiFTsx9dGP8OBTG+EPRpR9olGroefu5sKEYRk4Xu9D8dBeetr4l7ageGgvAG1x6VsfKYUzjzeIB5/ahL8+swmyDE0MTT7fOc2ui08uuSAZzz80BBOGZWDTf44BAObeUYBFDwzCwqlFsFhYzHt+M+6oWou/PLMJraGw4bpevm4/6jwBzF28GUdrW1BW9YFC49/oB8Mq30+KfOSzHm8QKU4bLByDZIeA0YN6Ij8jnR6PHL/d/34/C0ckzFm8GRWLNiIabZNIUNupiv9ExzL+vsXfczXFdp0ngKVr9mJgdlf85elN+POT6zFn8adoDUaxfN1+rI5Re8fHG6GoCBtvwYurd+H6q3rQZ+h4vU8zmU0+40gQ8Mq7X6F7l2RDeudvT7bS3z5n8WY88tLnsNt4w99/oqEV3tYwHn3lC8x67lMwDIM9hz1KPsoCDBis3nBIdw1mTMjFsjX7IErtNQdAm4+R2OpMCu3E3xLwD7l3MmQ47QqIfdEDgzBldH8sX2ccf7763l4wDNDkDWuO3eQNw5Vkxd9e/hwMgKgkGdfvOMZwnRcP7aUDhDzy8lYacxAjgNA5izdj1rPKWiLr1+g5uvemHAQjUTT7Ili8YidqG/0aOtSq8kJMGd0fkiwj0WoxqSmENef0h4JLkOYUTK87adbG7wWWH6FW127G1z++BvRgSR4EC4c5i5W685zFnyK3b2ednnnVki3wtkYwb3IBnp4xCHcXZyMYEmHhWDT7wti65wROeIL4OFYXWLxiJ76pbcXzK3fp6mozJuQiIoqwCRYsW1ONxpYgKhdtxNfHfXh+pb4uVlmSB483iGVrqlFZkocPtnxtGFv7QxE8cmcR0pJtOFDTpLkW8bE1AQY9WzEYpSMzdTU98rsJo0xqkk2/juOut5lW6TlS6ABw+nSgDwO4PO61GgArAbxyVs+o3dqt3U5pnAkyIipKhtSbC8qL8PmeEzFkpwyGYfDRFzUYmN0ViTYOqclWvdZe1Fhz4f6bcwy/m2WNxeSjkqxDRt17Uw4YloHNyumoHBkY07zwFtZQqBiA6Xk2eUMa1P304izYbTwskDF70pWoVVGhpacmwsozOnqEdp7xc2ukORe/njRTUWC+c1qQNHYJ3R9B5gm80iiULSymjc1CJCriyde348+3XGHYhCPTGItX7KT/biZgzDBahF71UQ82fPkN5k0ugMcb0kxAeVqCmmfTbGow2WFFazCMeZMLIMvAsXofnlq+g9IkXZvfDSwr44KODmzeXUtpyMjaJxqflSV5sFmVqbF3Nx7C4NzuGDXwUnj9Eaz85CAmjcrEb6/oSich41HV94zLBgB06WBHVXkRmnwhNDQH8fmeE5gwLAO3Xt8PPMeeUgvvx6Y1+LHMzP9yLBtrSBsbQe8DQJpT0KyTpWv20qI/0fVhOQa80KZdmuyw0gA3HnX/9Jt6/Skjuo3pxVl4YeUedE13YE5ZAVpa275/zBA3rs66CAwLem5Jdj2VDflb0eaRYRX06NcHb81TNGGbGDT7wli79QiKr+0NewKPf9w7ECwLZPdOp5oRI67uQX8nmXD0eINUF1NNP9LJlYCjtT7NHjFq4KU6uluGUYqVowf1BG9hkWjlIctaatFfKx2zKJsj7X+Mnr6Zb1AnQXWeAKUPrCovRCQsoUOyFVXlRYiIEkRRxqYd3+JPQ3rh9wMuhiNRgN3GwyqwuGdcNlqDEXoctW5LR1cC3tlwCHsOeygK2heIYP6Uwhj6PxHPvr1DQ93SyRU38SFKSLbb8Nc45Od//fsL+uzEX1+jfWRwbnddYZBqGDEywqKCbGZkGRBlRETAlcgbUgNXluSB51lEVfpfvxSfez5YfIJuRHtcUZKH1RsOIqdPZzz5+nZz7ZB0BypL8rB83X7wHIcFS/VAi9KRmVi//ZvYtJIEjmVhFVhY+Q6U8nP0oJ6wCRaIogyX02as1XurMm3y12cUv9fkDesmvB+5s9DQ/9Y2tqL42t4IR6OwJwiYNCoTz729ExOv66uj4b1nXDYSbBwWPzgEkaiE+iY/Xn1vL0YP6mlYHHc5rZBlGTLHtq/TH2hqxD7Z85Idgqn+qlWwaOjpie8iOqnkGKGIiOnFWRqNSXc3F24Z1ge+QBjL1uzD3eOMmSTSU+2oKi9EMByFKMn4YGsNnIk81aUWeBayybQrxzIKpbIoa2LgypI8hCJRfP5VHV77v2r6/gXlhZgTpx31yEuf477x2TTv/Vo1wUfeQyihCWi1KqZJr2ZC6JCcAIZhAMh49q2ddIK3siQPH2+r0egL/xpjibNhAs9iVmk+Upw22AQOFl4vCzJtbBYamgM6Ss21W49AlGTNfZtVOgC+QBjNvrBmapM0IYC2RjbRz1ZPdN02oi+svAWrNxzSsLe8/O5uNHnDuG98Ns2/7hpzOTqkJKClNaSbgK4oUejtqo96DGkh1WtQ/ZpVYHX+Vc1KQKZL1JMmdZ4A3v74AMZe66ZsTURPfPm6fW2At1+xFqDazmTiXB+vGk8biSKoHyJ+cseBetTU+misWd+kTOkDQFpKAiZc10eXoyVYLSgdmQlrbG18uPVrzCodQCetPtjyNUYM7IG7i7ORmmTF8YZWujYI65HaCOhGbfExbZMvRGOH6qMerFp/EPMmFwAAGIZBOCpClmV0iJ3jyk8O0vVO2FUqSpS8zxobPFDX0tQSJ+Q7Pd4QuGSbKYOOGWsIILdL6/wIZkY9XjYqE7eP6Ke6H6DvsVktCIWMaZlTnFZwHIPWQAS+QIT6cBK3kmnuNz6opswSm3fXwpkoaOoVG778Br+78hJ4A2Hc+NueeOmd3bROYFQXk2UZDz61CZ1cCRj3Ozd+d+UlsFlZVE0tUiSiZMAfjFAqfgJc+vyrOhovxDOzeLxBCDwHnmdxUSeHrh4ItDEYKJJWbCyvAyDLhjHv2dAqPVM73eMmAWiJe60ZQMrZPZ12a7d2+y4TOGMqA1mGJpglzlWWZVzZvwsiUSnW6FP+tvIswAD+YBQL4mi3kuyCYTOOY401+ziWMQzOWYbBi6t2a87pxVW78eebc2ATLGhBW1BuEyxgTY4vS7KhOGzV1CJjbQiGMWmIFoIHEIlImgbhgyV5CEdkLFuzV0vNsGYvykZltvOMnyNLtltPGcxxHIuggaC6sjECYBhwLAMrr2hcNcUoPtXNiJQEHiIYrFp/EGU3ZKJ0ZCbsNh6zSvOxbM0+DWVRapINKU6t1h6ZqIpvMFp5TpeoXdG3M974YB8KL7sAXdMdGF70G7zy7lcoGd5Xc8wtu4/rtAAqS/KQYOPg9QNRUdYUaYA2miQrb0EoLNKkVy2OXFVehAXlhQhFRIQjCl3q0CsvwZLVu2jxYtpYZVKWXKc6TxsdaZc0O47W+vD2xwcw4uoeCIWjsAoc7nviE3oer/2fQkf651tyEIjI4AyKeD8FrcGPZWb+V+Bw2mCBsChrNE8A4PCxFg1d0azSfOqjACXIJgHu3DsK4GlRmmT+UIQWWeOpq+qbArhrzOXKdJSKrmj0oJ4a6gry/Q9PLkA0KoPjGCTZBQgWzjCwZcDgr8+00SrNmnQlqqYWobFFKTQk2njUNwU1DcDPvzqBS7umKForjQF07tCmufLyO8ozEk8nvWT1HhpIk+++/+YcPL9it+Z8yL+rX4uKEibd0A/eVkUT80RDK1IcVtw3PhspDht4jlV0LH/m6/H7mOVUmijn+HIY+QaiCRiOSJQOUV2sFSUZCQKHk80hTWHhr6VXIhLV7uWErrZkeD/6G4mf7ORKwJTR/TEwuysG5nRFXWMA/1iqFD0WPTAIb398AJNG9UfJ8Az8fsDFWLZGKZ6pCxik4S9KxlM2gDHIQ62VRors3dKNCzb1zQFKmxTvN0VRAmLNwIWxhuixeh9WbzhEgR2/NJ97Plh8gq6mPZYkGRzL4tm3d9Cp59KRmXDF0L/xz9nRWh8Wr9iJaWOzTDVMOqUmoFvnSyBKEmQA3kAI3oASiZLC84mGVixZvQceb5BSbpKGd7JDgCNBwMvv7sa4ob3R/9IOqKn1YfSgnnA5bahtbKU0yy+s3IMJ1/XRFbBTnELMj0fw5ocKbVmTN4zZz32G+8ZnY05ZAY2FrFYOTS0hVC7aqHkWP/qiRq/9UpKH9zYdxlsfH2pfp2fBCGI/nn42PyPdUPeJ47SgTeKPuqTZMX9KAViGweNLt1E94C4d7Jg/pRCLV+zE4NzutPng8ZrTJn5T58PydfuVmDmBx4IphUh2CnjwqY2UvnblJwcN6eRYloEkyVSDh9iCJVsolemHn9eg8LILcEEHBwAY6ggKFg4Mo8TTvEWbxamL4OT9rcEIvV7E/qKKc6aNzUKTN0z3k6ryIgwr+A1YFu2N7NM0dUPF4w2CFzg0esOaPfyecdlIcigUoY5EAc4EAS+u3gVnooCx1/bGwljOlZ+RjttH9IMMZSr0xVVKnvPBlq8xMLsrBXOS6ZE3PlAax+pGthGdbWVJHjqk2PCnwb0gSjJagxH4AmGUDM/AiYZWAKD5VyAkYlZMO8rdzUV9b2qSDR99UYNJozJx4297IsVpTAtpFLsePeFFkkOgwCQ19T8ACoojhW+ylglw6dbh/SBDpnripIHe3iQ5czOKV2dPupLqWBIfeUEHxylpsllWaX78840vqf+r9wRM61Rk7T5yZxHe+vgQ3vr4kOa8fnflxZAhQ5SVpu7kG5WmIWci/+NSrb/4plwnVwKS7QJa2QjmlhWA5xmEwhKaW8Pw+cNIT0uEhWPR5A1BsHCwWTncen0/sCyDOWUD4AtE4HJaUdvox7I1ezFpVCaWrdlLm/GpSTYsXrFTM/FNmvIup/WUjQizZm27tM6PY/HXPyLC8H6Q11xOG05G/IZrsLaxFRd2ckIG6PrOz0jH3eOywbFA6chMdO6QSGMYQu/8wdYaAMAN1/REWrISUxMApnpfNmI/4i0MojGQiKIJGEWzN0QpSAWeRWtARFUcGPP196sxvTgLzb4QguEokhyC4cBMXaMfL6zcgxSnoIu1Zk7MQyCkDKbcNz4HgNIklSOi4Vr9oVql38dOtwm4B8BoAG+oXrsBwFfGb2+3dmu3c2WSqKAo1VN0As+Ct7AmqCIOXn8ED7/0qcY5sXZlo18QN7a94MUteHTaVYZTcWDMJ+9uGdYHJ2PH4S0MbhnWB1aeNWzSMSyDDzYfwZC8i2PoJis+2Pw1Rg68FGkpNs3UoihL5hoBMTrQ+N9spkUoSUCUhU4nY/6SLZg3uQCbd9dqJgAA4PYR/dp5xs+RsSxzymAuCmUazmhj/Pakl06KVJbkGU7Czn9xCxaWFyEYjmLMtb3gbY1oEkNSOCOF3sUrdmLSKK2uxPJ1+zFj4hUIhkQd6j812Uqfw2jsnK/N744OyQmaaRK17pS7mws3XNMTEVHErNIB8IciCEdE+INKw41MsxitX0eioBQfphbivvE5eOy1LzS/JRiJ4uV39mgafmQakRQvnnx9O+ZPKdQVNOcs3oxnKgajW7oDE4Zl4K2P9mPHgXqKjibvd3dzYcJ1fTTFvvginhmtwS9BuNvM/0pnEKSZ+TP1BFH85BBZQ9VHPTh6wkvX8ZDcrhqU3NqtR8BbODQ0aadPCSUGYK4X2BJL+jqn2eFpCSHZweua1TMn5uLF1bs093bOc59h/hSFdhcy8OBT2kLwJ9trcFXWRZrjzJ50JZ6ZORgt/jCCoSjsiVotWJ5nkeIUUDK8LyRZplMFack2LV3urXkQVBPF5FmwWTkEQ6JuGvzCjo4YBeWvR8cy3jiO0QEYKibmgeMYiOeSewTGvmH+kjYdKHWBgiD4LSxrqMV50uPXNMoJOKh0ZCaWrFZoZMi0aTyaft7kAvAWBiXD+yIYjsKeaMHYa92oXLRB49cciTyee3snAGBWaT46p9lR2+hHl46JhvsSyzKGen5JDgEP3pqHf//fXl2SG38MNW2Smd8URQkyx9IidWVJnm7/+6X43PPBjBJ0jzeoFD9kGVFJwubdtSi+1k3vr9GUPVmDdZ6AAkwa1V+nB5mfkQ7I0AAtZk7MxfrtNSi6/CL8840vdccjWm9zFm+mDUaC6j98rAULygtx0hPQNPrUz9nL73xFwSUWCwu7zUIpndduPYJRAy9FazCCW4b1wSvvfgVJAmY9u4lOoix+cAh91oC2Z3HK6P5wOa144JYrkGjjwVtYNLYEsOdwW5O/fZ3+MCOI/bJR/RVqS08bdT0ALJyqaN8xjELBL0ky8jPSsXl3LYbkdsUfCi6h946Aj4yaIzMn5lJ2AEJ//8YH1bpGHmn+xn9+enEWBdORZ4Kg9tOSbUhxWBGKRhVKSNY4h7MJFrz98QGMG9pbpwWspp3Nz0iHDGjiVHW8P3NiLt7deJgeu5MrARaOxYefK1M3VoGlnyXfHT95Vd8cgJXn2hvYp2lGDZV5kwt0+fjjS7fhrjEK+Viy3QqOBSaNygRkoDIWW7q7uXD9VT10Gn9T/ngZGpuDWBoD9CY7BKXYK3AYN7Q3Dh9rwehBPem9NKKzXbBkCxaUF+KFVUrj8Y+DeqG5NYSaWh/Wbj2CshsyqV9Xx9JqUOYjdxah72/SAAA79p/EgP5dDHUs+bjYlTw7vx9wMWRZRjAcxZbdxzUAVCnW+CFNRHVDZ/PuWowaeCmWrN6DP9+Sg/vGZ7c3SX6AGcWrs5/7DH+7qwh/u6sITd42/b1ZpfmaGIHQZCvrUGnczptcAJZlULlooylTQCQqUVCDGWsQb+GQlqQ0Q1xOG0qG90XVS1tNY473Nh3GvCmFilgqFOkgciwy7T3jXxuRn5Gu066cOTEXr7/fVleYXpyFZ9/cRusmq9YfxIRhGXhimSInwTAMmrxhcJwC5pBlGbcM64PDx1o0jf63Pz6AslGZgCifMXNQu3bw+WPxwA4Lz+jYgUhO7mkJ0rrVkNyuGD2ol6Z2MWlUJubFdAPJhOCq9QdxTU5XOimoZupS78vL1+3HAxOuQCisrdVV3pqH+8ZnU6Dk6g2HKD2uy2mFJGsHDcjeQoD4pN7n8YYw/wUt+8WW3cdx97hs+AJhhCNRLCgvQkOz8tw+8+YOmsPWNwVQ+dTGU4LefqhW6fex061tzwDwrtvtHgvgIIBLAQwGMOwcnVe7tVu7mVgUwEvv7MHg3O6wCYpu3kvv7EHZDf0NUUVVU4soco68vvClLXQU2igICYUlfLKtRkdBcP1VPUwnBJt9YV3B1Zkg4KHb82FhWYqeUKiqgJw+nTFn8aeaQIXlGAS8IqqWaJ1vqtNiGAhZWAYdXQk6dAZrMprNcwwiJr+Z/QlQGO126mBOlGRD8Wk1BSJJ2mZPGmB4X6OSjNnPfYa7xlxOi2fk355Yth0P31GAIyfaqILG/a635vs83iAcCQIeeUlL/Va1RHmG5izerPlOdzcX7r85B7dd349OkxAtnfXbv8FVWRdp1n3FxFxEY8hr9cZPijTEOrkSkJpkxbzJBRBFGempibi7OBsMA9qMJ1Qxm3fXaoIjdfHC5bSBYaArPHZyJeDYSZ+mEF9T64Mkaak3iof2+s5i809Ba/Bjman/PYOJYTPEl5quSN04BqCh91y+bj/+WpqPFl8EriQrTjT46NRSZWyqKhKVNQ28tVuPUGBH/LHJ97ucVvj8Yfxj6XY6XdI5zY6H7ygAwzBgGMDnD+uAEnWeABpbgjoqXbIG55YVaKgTXU4bPHFTu9OLs3STuVVTiyDLQDRG/7h261Fc0KEX5sVAIjyrTPMBbXQkFpZFMBIFx7K6Z5agbNlfeTFEFGUsez9u6v39vbjjhv7n/rtNfIOamu3J17fjrjGXIypK6JxmV2Cm0H9O3Sgn0yzORB6uJBuavGGwDDRTs2o0vSRDE6/Mm1xAn5UhuV1xwzU9wcV0dqb88TJ4mkO6pumMiVfgkZc+16xhBkDx0N446WnVxE9vfbQft/yhD8pu6I/6pgBKR2Ziy+7jhgX0eNokM7+pvpZmjf1fgs89H8wCGNLIU9aCmE8XeAtFFdd5Avjw8xrMLSsAGOCoKs4ghYYKVdOZFDxuH9FPMymuxOxbMat0AI0dyOvqvT091Y6nZw7WfA95HwCdbrf6sx5vEJIkY9fBeuT27awprk8bm4W3Pz6A20f0QygcxZ9vzoEoy7hrzOVwJCiTKOGIcVzdOdUOeyKPQEjUFFXUDcg6TwBgAJlj25H939NEUYLE6GUZNu+uRdmNQG2jP66hl4cLOtgx9MpLNKwAKQ4rSkdm4qJODs20KFmDc8oGUDASyyiUxnabBbNKByAcVXR6lqzeRScG4/dfsueoC+XL1+3HLcP64I0Pqr8TIOH1RzA4tztt8pD9a+UnB1E8tBeNX28d3k9H10z2f0kCWlpDGD2oJwZmX4TVGw5hxNU9IPAs9hz2oM8lHUyntMkUFonXFq/Y2d7APk0zaqgYUWfXeRQN3r888ykeubMIM/61AY/cWaSRHSGNPH0eUkT3cRKndnIplLGchcHcsgKwsakT0lwzYlBqaA7iT0N6gWUY3dTJ6vWHcG1+dwXgYDLtTYratw7vh99e0RWVizbqaEeTHVZ4vCF8DskjAAAgAElEQVQ8fEcBGmMxykdf1OCanK742yta2vpQJAoiO55otVDd4FAkihdW7tHEzV5/RANQaW+SfH8zi1fDEYVy/bsowqeNzcKR4824uEtneP0RiBLg9Sv6YWZ52ImGVowe1BMLlmwxpPiumKhMfV+b3x0upw13j8ui06h1njZmn85pdtTU+mg8OTBb24CvmJgHp53Hh58rIM2q8kIk2a26GGPhS1s1dQUywd3SqkxJTRqVCYYBZpUOwFsf7cfYoW5MvrG/BsRUUaI0YiRJYcUQBBYTr+urxKa/YOagX7qZsT59sOUIHp5cAEmUwbAMLByDR1/5AiXD+9JGtd3G09iDPCuBUFTjJ6OihFuH98OLq3dhenEW0lMTMXvSADQ0B/Dqe3tp/JjsEFB91INwWD8MsODFLSgdmYmB2Rdh5ScHddJS8fU2o72lKgZUVb9GwEjq30AocdUA/YqSPPj8YVSVF8Lrj2CpCcOcGf3tuXwGTis3rK6u3uB2u/sBuAlAVwBbAEyvrq6uOWdndp6aMykBNmt7St1uP6ExMNTHMy28m9EpxtA3ho0vjkHh5ReiztNKCx6Fl18Ii4U1nAxhWWP6zUenX41oVMa8uClESYIpvWc82r9qyRYsnFpkTENqYdDSEqUitARdZ0uymmgRApxsXIC3cEw7z/h5ZhzLUPHp6cVZSEtOgCzJMY2ONqvzBEwbKwRRbLMaa/s1tmib2nWNSsNEnRT6Ytpk6kKz1x8BZFkTPJApOfUkVMXEPCQnCbCwDIYV/ob+G/n+Jl9IN82yIDaZqkbOPTDhCpz0BDVretrYLLyiQj8DbVQx5Fik4OJM5A2n+EjhccTVPfDyO1/RzxEUP8tqqTfIv8dfR3Wx+aegNfjRzMT/nkkVyAzxtXTNXvqe5ev24/6bc/D3V5X7neIUcGFHOxaUFyqN39YI/rFMP9VBKLPmLN6M/Ix0zC0riAEjGMiQsaC8EDD4/unFWXj0lS809IekSX7HwrXo5FIolQgVrVHRw5VkNVwbDKul61JPApD3kAIheRbrPAoiNn4aJhCOgOcs8AXC6JCsUH9ogQQi7DyHkGQ+Dc6e/q36RVpUlI2n3kd+v4bRmaB4zXxDPDVbR1ei5t6rEzXSpOMtDGaV5mPjf47hmpyuuqkTfyiq86/k+47X+wyLkUNyu2JY4SVaoEZJHj7ZXqONS17agvlTCnW6xhzHIsHGITFB0BxjxsQr0OQLaWKVe8ZlY+3Wo5QySpRANYTU52rhWMiyrLu+6mtpVlD6Rfjc88SMaOTBKyqaxKcHw1o9lIHZF+GvsYk5NUDCqNDw5OtKk6LZFzb0XZzJdBShhPumzocLOzo03wMo60CST/3ZaWOz8P7mr/GHgkt0DUjSlOE4BlFRptM45Lmsmqo0VsxyiVBYNJwSvGvM5fjLM58iPyMd3tb2IuAPNTMgIwzyLQIErW8K0Nfd3VyQZGjYMuKbtQzD0Anrk01B3VrLz0jHpFH9IUnGeSeJndXnqZ7MIudpVPyeOTEPz7y5A1P+2N8wBktPS8RTDwwCz7Om651oBMU3WcAoPpKw6ZyqCam+LvGxb7uZm1GNwmzSKSpKGmBcsy9MAQfqvEZtyr0wXne+QASQgYeXaIvOEVEyZFCKihL8QdGUaSAclRCJKpN68bE0mea7/qoe+Ouzm+jEF8ntiD1bMQRVS7Zo9obKkjzD5qaaKWF6cRamjL4MLAt4mqFhxiD5XHvt4uzYqXLZU1GEswwDWVbkdzq5EvD8yjZJjjllBejkSsDydfsxc2IeBemr/UrJ8L70mKvWH8SC8kI0NCtAy2XvK2wSLMtgwnV90NIa1p3HnMWb8dSMQXS9zSrN1zXgq17agruLs5HTJ53G2o/cWWTqt4G2Kan4+NgfjFDdtTHXutHkC+Kecdm0qU7Webx8CSCfFeagM50kbLezY0b3bumavZh4XQZkSUaNihbc4w1CkmVMuK4PwhFRVwMggOF4fzy/vBB/GtILobCoqV0RyRAC1F/0wCAwMN73XUlWOBMFQ3BSfL3tdPVbB+d21z9TsXo1qZfxPIsT9X4de4fZwv6xJ1xPK25xu91WAMerq6sXql7j3W63tbq6OnTOzu48NJvVguvvW3FGn1n12MhzdDbt9qs0mTEsHiycWmQcrJg1+ljGVOOPt7AIhfVUapGoREWnSTL3+vvVuH1EpqHTjEYkwynEeZMLDd9v1rCMijI+/LxGh6wfN7Q3LaqR985/UQkejLQI7xufDStr3OxjRLmdZ/w8Mo5jIYLBw3cUoMkXhCRp6bHUxYn8jHQIBmLqD5bkwRLjyE+08obPQbwmw9qtRzBmiFtDIzdvcgHyM9J1hYeZE/NQOiqTBg9GU3JVMS2/LmkOyPKpp1mIKX8zmvUbCEZ1k4xqJD/5PUl2K0Ucrd16hBYtvP6I4fk9+fp2VJUX4W+vfK4pPtd5Arigg4M+AyQwkU/hT0ix+aegNfjRzMT/KgnN6ZmZ4DahK1KaEkGkOJXJT38oCo5l8O3JVlqgMqPEWLBkCw1WSZOn+NremudienEWXEnWGO0y8O1Jr4ZKS30sdeP3kZe3YuFdRZhbVoBmFYXH9Vf1wCvvfoVpY7MM14YoyhSlD5hPLakb2EaNGjKJ0NIaNtVMI2Ym1G1hGUD8dSeNZsViljnzeYYz1f808g2Epk19LvH3niRqF3Sw66ap4ydN6zxKU3nhVEWIXUdtrpokJ0aKkTdc01OHhK5askVBOat0WZS4RPl9KU4reEsiwpEo/MEImrxhrPzkoFacXgLmx8VChPbsn298iSmj+2PZmn062qTpxVmQIaPCgH4ZqmupnhT+xfnc88CiMKaRJ4Uq4tOjaHu23N1c6JCSoGlqrFp/EINzu5tOGpFCn6HvshjvvWpKuMk3ZuqaJw9MuMKUHaNjSgJKR2bilXe/ihVqjCdzkh0CZBmGLABTRveH0y7ogHeVt+bBZrXgeH2r4TE7pCRQXa/4xmM7ReiZm1ncJRnEnSTfUq+10YN6GjZrSSxAAAkbvvwG86cUAgxiVHHVGJzbnVIvAjK+PWlM4x8MR3W+ihTd4icE1ZpaxxtaEYjpHzsSeJ2MxZOvb8es0gGY+ug6WmA2+n5nIq+j+VywZAvmlBVoNMWNmpCVMUkM8rwQBo12oMXpmVFD5avD9YbxgM3K4YEJV+C5t3YBUHTUR13Tg/oYM9BLVJQNX7fyHJ2WAtrWzJyyAYaAtLvGXG6am3Xt5AC520/9zw6kOBX9PsKGQXwpWTumAB2OQf9LO2j2hos6Ge8LaqYEMtHa0BiAK8mGheUK3S/LMuA4BmWjMn9V8ey5tFPlsjChCLdwjIYmlNQriCSHmqo+EIpQIBlhq/B4gxod9HFDe+O5t3eiyRvG6EE9MWrgpVRj+qGnN5kCFngLS/+7SwfjdZXitNIJfcC8KU9AemZTUveNz6Fgt1Z/RKfT/cq7X+kAyoRO2Wn/YSwWZ5qDtNvZs/hGuBGVZmVJHpKcAtXPfujpTaZUuICs88eRiARva1gHyHh86Tb67DT5glTnz2j9Jlp5SJJs2uBrbg3T6VmWMdPVtMHdzUXrJGbHEiUZnCTBAkASjRk4Fk4tOi+YL04XvPQ+gAcAfKZ6LQfAQgDX/NCTcLvdXwMIxv4HADOqq6v/z+12XwngGQAJAL4GcHN1dXXdD/2+dmu3n7OZISxlAPeMy9bQCt4zLhsCbzy9Z7EwCEck7DnUoNHg++iLGnROteuKWCs/OYjbR2QaThCUjepvXPw1OVezIqTFpMFg4Rj89oqumsLf9OIs8+RWgilt6XeNXLfzjP/0Fh/UEZ2S+I1UoV9jkJpsw9fHWrDxP8cwZXR/XNDBAd7CgotRoVSW5CEiirqk/p5x2UiyC3TNdXIl4PqremDDl99g3uQCyLKiSbjzwElMGpWJhuYg5R6vPurBwtg0iFp7wiyBY1gABlOoJJjXJYgso2n0VJUbN86THQL9TEVJHl5+dzdFHBIK0oqSPDgTlSCIHEM91ShDRopT0BybJBFiVPsUnE6D76egNfixzMz/SpIM7gyOYyS4rb5mAs9CFIH6lgAcCQLqPK30GThVE02dsAEKWq0qrvlA9KMA4IKODh2lrfpYDc1t3+Ny2tASl9xWluRh96F6jB7UE1aBNdyDQuEoLujgoOvcbM2rE9/Kkjw8tXyH7rwYhvlOzbQolGmG+HN58NY8hT70V540Wjhj8I+FYyCd4aZ3uihe0nQNSYqvWVhehKgkUfpWNZrdqElX5wmgNRjF8KIeGt0rJYEzblyEIiJmPfspXE4bTfBaAxGkJAn0+wDFFyY7eFSW5JlOW3Gcth1B4pVIVMY/lm7H/TfnwB6bCrOmJegAIw9PLjBuhCS3aQORqXdyrvVNCrXTbSMyjK9vnJ8VeJZe11+Szz0f7HQorkVRgoVj8dBt+Zj3wmaMHtQTJxpa0cml0Cd+9EUNBRidSg/SeAoqFzzPovLWPNoAIc9KkoPH6vWHUH3UgyZfCDv2n9QA5gKhCHyBiCH1rNcfpnGyM5E3LQIm262IivqCz+hBPdElzY7jDa1IcgiYMro/LuzoAMeyCEWiOF7fanrMEw2tKDvF1Fj7hNWZmVncFYXJlCbLYu3WI3RdfFdcce9NObBwDH535cVgWQYsC6QmWTHud701a/LhOwqMafxL8pCUyOPe8dmwsAzuGnM5LBwLR4JA4xb1eRJNLUVX0gbE6J29MWaO+PP0hyL0v2XIuu+fMSEXvoDxZzmOgSvJqsl5P/qiRmlCdnaCZYBEK4f65hCNy0kDW5JksBzb7m+/w+Jzh/yMdFxX9BtEoxJmTxqAUDiKk01BKm1wd3E2LbjmZXTB3MWb4XLacNeYy5GeZtflITMn5uHND40nSAGTfZ3VU+jWeRQ6UrM4tcUfQrMvgmSHgLvHZWP5un1gGMDCsXRtqAvEZv589fqDGFZ4Cd7deJjuDbWNradswpDzi0Qk+INRRMUALuxoVxy9CKSlOnHypLe9dnGW7FS5rIVj8WBJHgUHdXIpwGNJ1MfEajAF0Q4uHZmJJLsAUZQ16/jem3IgShIF84IBmrxhncbqw3coMaXR+rpnXDY4jsEzFYMV1q9TTDSqXzOkHy3Jw7IYS41Z48PltGLh1CKcbArgsdf0v33K6P6aNUxiHYVO2RiwYWFZyNAzYMTb2ZgkbLfvZ/HryoymeU5ZAZas3oWS4UouYwaMsFhY3fpqDUZMARkXdnTCGwhRWYb8jHTD+pTAs/D5w0iyGzcJPS0hLF+3H8VDe6FbZ6euZj5tbBZeXL0Lk2/sD39IqYebNRwtLAM5tkzNYttIVKJ67j9l/eF04+tMAJvjXtsC4LKzeC5/rK6u3kX+cLvdLIBXAZTE6EgfgtJ0vO0sfme7tdvPzswaaLIMvP3xAU0S8/bHB1B2Q3+s3/6NTt9v+FU9YBM45PRJ19AXzpyYa0p5J/DmTboHbsnF315pc5oP3JJrOoVoVoQ0m0zkTOhGq0ynH78fteeveTrkfLL4oM4oAHA5bbDbeB3ajiDpqsoL6b0jCP2l/7dX93z8cXAvLCgvhMcbQqKVRzgSRZ9LOqC2URnhdzltuGWYnkKTIIElWaZFtKdnDjZtbnAsg4bmgG59JzkEXWFvenEWmlqDmveaJaRpyTbK5U8agEDb5NSC8kI0+UJYtqYafxzUiyKa4hOKmRNzASjJRvHQXriggwMAIFs4yLIMjmOUgPo0J2Z/qcLdplNULH7wyA25ZlaOhUfVbHvkziLNM2AWQAfDUd1U1amoLWwCBwbGBcJgOIp7b8rBi6t209eLh/YyTLbmTS7AQ09voghuNUWiILAIR0X4PBEsKC9CJCqipTVk2CyUZBlV5YVITbKBYRhNo4acl4VjsHzdfs1vkWRoGrCipNBdNnnDmufdkchDjEqQOfZXnTSyLIPkWMGe3KdkhwCWZXCmu93pNEdOjdRV6FvVmo4sZ3zvT3oCSDCgdT5Vk6HOo9BwESqteZML8PTyHbTQ4XLaMOG6PnjstW1wOW24/+Yc05hFDRaZXpyFOo+fTsiwDINQrPlmNC0M2USnmOeQyCuI7aryItTHROX/sXQ7nTRRa4XGX1+1n5XCigP6pfnc88FOl+JaFCV075xE1/Njr22jay0vowudtDKbNFq6Zq9mCirZISAt2Ybn3t6Jzbtr8cidhZrJgaff3AGPN4hZpQNQcNmF6ORKQIrTpp2UvaMAR094dTTnq9YfxO8HXEzPnbAHGJ1XRBJhtXD0Gri7uQxiiDwsW7MP947PBscBsxd9htKRmTFNZGPas/vGZ/+y6cN/BFPnLTKU559RaYFZONa4MMYBNw3tjX/H9PVSTfTNUpNtmFtWgKgk4YF/rtf4QEmSdQwVx+p9FNCg1kBzJPI40ejXsRkMye1KJwqN1l5iAo/nVyjrv7IkD7zFeL14WkL0v0NhCavWH1QaRqmJ+PakDywD1DUGDD9rtbDw+EIaKtSZE/MQiUbR0BzLN8sLaeyLGCW7Wjvz1wQk+j6mbqiAYdDsC+nyKgKwBID01ATMKs2HTbAgxWGle/lfnvkUgAJCWFBeiJOxonIgFMGOA/WoqfVp1l0gFEGizWJ4380mB4PhKDqkJOhYBGZMvALhsBS3TnJR2+jHy+8o6/3iLk5NnkD8OQFIRKIy3vpoPz7YWoONO45j9qQB+KbOh0de3gqX06Z7BoyYEuqblRj+8aXbFImAWBMaaK9jnG0zymUJU5HNZqEN7CZfCDzPmoLf1XqihEp5blkB1m09jLl3FKDZF0Kyw4rH/71Nw8pTWZKH4qG9dDHlsXofBRip44VkuxWtoTBm/LNNb/ivpfkaeQmyrixxvlRNPxqJSjjR0Ip3NhzC7wdcjJLhGeBN2Ai+Pu7F4hU7MadsgOFv79LBgRdX7aLvV9MpRyXJsJkajEQx+7nPvtO/nk4O0m7nxuKBHWb1hpbWEAbndqegODNghBGDj8+v5D9mdRfSAASU+pUoS5rcNipJaGwJwB+MYsf2b+gUrnrf+eiLGk08m5+RjnmTFcBRQ3OQ1vsOH2vBlNH9MWaIG1v3nNAd6/6bcxAIRykgVIZxrHLMgOnmp6g/nO7z0QwgHcAJ1WvpAFrP+hm1WQ6AYHV19YbY309DmQZsbwK226/azCZxeI7BiKt76BpogGyo7wcA4ahE0Q5AW+OgamqRKeWokdaeDMBpV4IhloFSlOWUiQOjKURApgEzKUi8/M5XuG98jiHt55ghbsONBYBJ0xCmjQqzgmSaU0CDwZRLe1L341t8UGfU9DBqSMTTGMYj9McN7a25v/eMywbDADW1Xp1uFOHGV+uUqL/nrjGXIxpLDipL8rB26xE0NAd0zY3pxVno6FKmRpyJAgLhqCZAYRmWFuTTU+2obWylCd+kG/rR97KsntZuenEWahv9ePCpTagqL9RN6NZ5FAHzv738OUpHZuLxpUpBMhIVDTRitmJumUI9GV+sI5qBNiuHBMECO8+BEaVfZbH5x6A6jW+CN/vCmsKXmVh8kp1HMCJqpqqSTZBvpMixeMVOU9qrqChpjtU5zW7ohwmFnCTJmoCcfO7hyQX4r9e24Z5xWfjH0u0YPagnLuyYiKryIkRECaLYVhQhjZr3Nh3S7R0VJXnYvOu4TjNNuTEcojGdNCHWsKk+6onToFD0EH/tSWMkKuGVd7/C4NzusAlAJCrjlXe/QtkN/c84CTmdAv53IXXjNR0Z6IvWpGhg1KRbu/WI4TNpNEnq8YaweXctLr0ohTIgfHvSB5fThuqjHrz+frXhuvvw8xpdvFIyvC89NzBKwyfFKeD2Ef1066veAAAyvTgLHMdAJM07joWN5/CYqsAYrxVqdH3b7dzbmfh9lmXAkFhT1QxRU4Bq6A5jk0Y2K4frr+qBw8da6BTUjAm5tAEIAJIE3eQ2ALS0hlCxaCMevmOArilzvN5HaZvVRY7bR/SDJCt6QcvW7MParUcw9lo3pfwn7AbeQBhPvb4LKU6BPhtGiO+FMepznmURjkh0ryq7IRMsK9P8QAbgD0Vw24gMcCwLgfsF04efIyOFfkmSEZVkjeZUfN5iNs0SCYtIdgr4/YCLYRMsqDeIX+8bn6OAQ2QZcxd/prnfTyzbblj0XbZmH72fBCQxvTgLf3/lC5QM76uLYfIyulBKUbvNglmlAxAMR5FgtUDgWXhbwxic2x2jBl6KqCgh2WnV+dL7b87B8yt2K42aCbkAJIwb2hsebxDPr9yF66/qgSZfyLDJXTExD6GIqKMYJes52WFFxcRcSgHJiO1Aou9rZK+XOFZXe1Dnb/kZ6fCqaAVnlebr9n2PNwhRlOl6dXdz0XurXnfKNH1f3ZqZNjYLb35oTKPdGozgX2/8BwDovt/RlYDj9a06/0pyJ0BhoJhVOgBWQQtoTnEK6JLmgCgp8UZNrY9+3sIx6N7FSZuc6uZ5WrINrYGIJg6/Z1w23v74AAbndkedJ4CTHoUar7IkD0lJ0q+a5eLHMKMa0rSxWVi2Zl8MgGwMTid1jMpb8+BMbKNHzO7dpslXWZKnA8Ct3XoEt16vjymXrdlHAcQkXpg2NguNLUGqFw8oa2zu4s2omlqIu4uzkeK0KnJAHIN1W2t0Nb0RV/dQgPSL2mhCSW5WVV5oOCVFGnqelpBxs4YBJgzLwI2/7UkpcwnI7dhJH35zQbJmf+I4Bg/8c8Np+dd2ENFPZ/GxhRmbW7MvDGcijyWr91BAWDzryTNv7kSKU9DFgumpiQhHJUM/DYD6/tGDeuKiTg7UNrZi2Zp9tE7QyZWAu8ZcjiSHgCv6dsYbHyjxbddODpxobNXRNwOKlMrhYy0auR3yXTbBQtk8WAaUfYxlGdR5/PD6I0hM5dHsDeHfa/Ya1leMctOfov5wut+3HMC/3W73NACHAPQA8F8A3jiL5/Ka2+1mAGwAUAmgG4Aj5B+rq6vr3W4363a7U6urqxvP4ve2W7v9rCweTQdZcRwcxyDFadU0GJTNnkU0Kms4uu+9KQccyyAcEY2LoaJxkTQqyYZae/ffnAN/UESTN6j6bhusvMV0CtGQrtOE9tNsApGRAVfcb3Y5rWBE2XQSKQpg6RrtRNjSNXtRNqp/e1J3nlh8UEc0RFZ+cpBqj6Ql2+By2jRros7TRl2kDgB5gUNYBJx2HlVTi1DfpExbWCws/vby54boSzLq70oypvjskJJANSZIc/vdjYfh9YdRNbUIkYgIi4WFLxBGQ1MQjy/dhoqJuQiGRHTp4FCKN80Snl6+A/eNz0G39CSIkqQp8P3vpq9xwzU9wVsYcCwLMLJurb/0zh4A5tNhDc0Bel0Iyu82gyJ1nScASZZ1Gp4kMScUki0Io1u681f7TJj537OZYMc3qZav249JN/SjQTC5j3PKCuAPRpBo47F83T4Mzu2Gtz8+gNmTBqDZF0JHVwI+/LzGsHlsFTj4gxHDiTmnnUfF/9tIaRQv7OjA18e9qG8yRtGTSSULp6fyqPME0NKqaGEQmubl6/bjlmF9sGr9V/R5Hj2oF5yJPHL6dMZ7mw5jWOFvEJUkTaPwy321yPhNB3oOpCDS4m+jAyEo0tmTrtShSAWOQRgsWJjRo/06kkYyKRkPGigdeWaUtsBp0gOfYdNVm1gqmpWkaBCI6Z+pffX1V/UAb2nTUE1NssEqcIbThM2+MIbkdtUxIJBCxgdbawCAFmhESYY/FMH7W47q1osryYbSkZlYtV6hTp865jK0+iOGelgrPzmIW4b10fhvm1WZxFWvOJ5nNe9JTLDgJpVWaHuD5Kex70NxrX42FizZoitkk8LdgvJCNDQH0YlPpGvJlWSFI0FAKBLVPKdkn3c5bZTOOxiOQpJjerwGPnjZmn2YMro/jXs7pSYAMjRTTGTqKdFmwZ8G90KSXcDXx714YeVuDeiidGQmHp5cAAbG9HoXdHCAgwyZbQNibNtbi9y+nTU6MaQ47/EGKQjvl0gffi7MrAhNNKeM8hazfCgc0cac7m4ulI7MRPfOTjAMEI6KeHDRRlMNH6PJkBSnAKddwMOTC5R7ybEIx8BJZP2SJvhdYy5Hp9REw/3oqQcGweMNaajxyZ5vT7BgAaFBtrCIiDLuGZdFgaM7DtRjenEWLujooDHOhOv6YOy1vfH6+3tpkzvFYYUvGDbVwrQJFix8aStmlQ5AQ3MQLqcVCQKHQOS7KWzbJ7LMTTKJCUj+Fq8TumzNPl0RePakK8GyDOaUDcCJBqXwu2r9QcybXIDWYBSBYBTpqQm4e1wWWlpDSLRa8PAdBWhsCVL9teqjHtTU+iggiGUY1Hr8GuYh0lCsKi+CwHOG593cGsKE6/og2WHFk69vx20jMijQuWOKDZIMqlusjjc83iAiURl1njYaUPIMK79xAAAoAAqWwUmPH29/fIDqcJPmEqlXLJxa1F7HOEdGnucITk33CUbWxcQzJuSC4xgsKC9EIBxFxf9TGlyP3FlIdYMBYzrOMUPcho2uFKcAIRYvOhIF2G08eJ6FbPJshSMS0pJtYBigpTWM597eBY83iILLLkDV1JiuJAM0NAcQDBnXBqOSjHc3HsZ947ORmpQAWZZR2+gHoOwdgsDqntN7xmXDH4riqf/Zgck39tf48jZGgBxY5DZgcVgypuk1yhl+DGBuu5mbOrZIS04wBHASIHnxUCXPnz9Fya+OnPBS1hNiZTdkoiqmc/r18RYIPIeX3tmDPw7uRf20LAM2G4dWfxT5GekU4OZy2lA8tBfuHpeF+qYAXn1PYdawcCyefXMXKkpyMWlUJqJRCTzPIsHKw+MNmlKhE7kdYmp/m+xQ4hyBt+C/1+7D6EE96bpXSxiR+kqyQ0DHlASwLIPbRmRQ6v+fUlv4dJuADwJ4DAoFqBWKdt+LUJp1Z8Ouqq6urnG73VYA/wDwLwBvnY0Dp6U5vvM9HTs6z8ZXtVucfd/r2n4/2ux01rMGXqoAACAASURBVC+xJm8QdpuFNhM4lgXHKcE2QfkAimP7r39/gYVTi0zpOjnOHFlj1LzjLSz8Qa0Y8PTiLKQ6rbiib2d9U8+iDxSmF2fBYkL7uXBqEdVZIe9/6LZ8pKUoUyCORAGRqATewiLZbgXLmoe7Dc0BQ6pTM31BMMx5sSbPh3M4U/u+/leSZDx0Wz5e+9+2JkHntETc9LvemB9Hm/nyO19pED/BcBSVt+bBKnBwJiZCkmR8faKFNkFuGPgbXJ3dFWu3HqH85HUeLfqyoysBEVHCjIlXwG4VDJ8FQjUHtKFBp4zuD97CYfX6g/hDwSWoXLRRQ3tEKEDij/XtSS/mLN6sKRK6u7lwTY62Ia5ofHJgWQbpqXbIsoQxQ9w4fKyFNkrjg+8lq/doUIhjr3XDwho/9wKvaLp5/REanNR5AlQrpUuaHWAYcByLtFT7914XPxc7E/97Ns3jDeqKxf/9wT5MGX1ZHGXgNhpAEs2FzbtrcevwDDy+dBvmTS7E1VkX4YVVu3Df+By4nDbIsoz65gCee2sXpo3NMpyYm1tWgNKRmfjNhUmQJACQsXjFTsNmuXpSyawRTWi63vpoP2ZOzEWzL4RV6w/q/HBlSR5WbziED7bWoOCyC/HoK59j3pRCnPT4YeFYdHTZwXGMpmEpydrpwzpPAPOXbMGj067G36dfTfcFZ4KAmjov5r2g6MrEPysP3ZaPDimJpnvHL8n/nvT4Tff3jmln/jtTUmTNtY7fg+PXM/k+m9WiaD2dwiRJRjDcphnY0BTA/376tY7WcHBud1o4UxIuXodwnjkxD6+/vxcThmVQvwroizg7DtRj7LVuMCyDWU9vMqXoevzf2+DxBjFtbBYWr9iJcUN7g2WBjf85pnv/6EE9IfDaFqtNsCApUQCfnECv0+wYPZr6Ov3X3Vef8vr+Uu10/e/5+GySc0pJkVE1tQjhsIgWf0iHop9enIVHX/kCHm8QFSV5GHNtL42+yaRRWu3A5ev2o6IkD/5gROO/7r0pB+5uLkPacI83qJn4stt42gAH2qae5k8pxOIVOzH2Wjc83pBhrHL4WAsWLNmCh+8YYPhM8xYW4FggKtHjde+SrCuaEkpI0iD9+/Sr0Sn51L7g52bnav0qOdhGU/8Vn7dIkozm1pCh/zCKNRav2ImqqYWIRmXMXazkXKcCmakpsfIz0lE8tDctcpM1nuwQMH9KISwWhoKSqo968M83vsT8KYXGa4lnkWKx6tbq40u3Ye4dBXjubcXnijyrAfxML87CHwovxrNv7sLNf+hNY5wHn9oEdzcXiof2woUdnWBZoLbRj7QkG0TeGBhECn6+QBgz/rVBARndmoe0ZGPqVLKnKQXOFl3O2r1z0s/Kd5+rGLixxTgm6ORKxKPTrkY0qm+yAm3gHCvPodEb0gG9QpEoHntN2ZenF2fhb698AQC4+Q+90SElAZIEOjlIzOMN4ps6LwCFFcGMEpnhYKoDRfTN5pYVwOMNIslupbWSypI8jS8lz+uU0f2R4rQhGIngQE2TIViPgP7I9ywoL8SNv70U4YiE20ZkIMluxfJ1+wAoEhmSDMMc7nypY/wUdjZ+t/p5NgNEkAY2b+HQvXMC5k8pRGNLEM2+MN74oBrjhvaGLxDGghfbJmDDEYlSJJIGMJ2QSrWjps6HNz6oxi3D+uqmtEuG98OsZzfp1uKCcmN/WlProzHy/CmFMSpuFhFJRJMviGhUgj1BgD8Yhctp7N/qGv3w+sPgLRYNiI7klw89rYBH1XS8LAskJQq4/+Yc8BaWTiOyMYmUFKcAjmPQ0dV2n840Z/iuHERtP6fn4OfYw0hNtmFOWQFaWkNo9oWxav1B/GlIr9gwilajd+3WIzpmn4PftMSoZQuweMVOTC/Owoire+DRV9qAvjMn5sJiYZFos1DAiJHMDWlAev3KNHVUlPDoK19g9KCe6JhiQ2qyDQvKiwAY00Kr/b26ad3JpbAskdh9erECNCGfV8u3qOsrz1YMwfNv7aCsDeT8xv++zynrD+fKTqsJWF1dHQQw1e123wmgA4D66upqOabb94Oturq6Jvb/IbfbvQjASgBPAOhO3uN2uzsAkM50CrChwQdJMu+sduyoiOierp1vD9v5bGdyXYmd6f0423a+3d8zWb+yhcOzb+3A4NzutDhGNEDMEDUOG6cLPCtK8pAgGGtIWAUWsyZdibpGP0Wqd0pNhCjJhs27BeVFhrSf99+cg0Qbr0W823iEDQL/Ok8AUVFGss2im75paPDR9zEAolERDUFFfNgMhSlznCG9oxmFA2T5J12TwOk/Fz/n9RtvLjuvoe9UI2uAtjU2ZXR/qvdEksCn/mcHRZgn2QW6vgGgzyUdsGyNUgiOD75JgDyrdADCkSiS7TY89/aO0x7nT0+106Tt9wWX0MRAXcA7ld6DOhE0ott6fOk2ighlGEDgeUTEtikuSZZx3/gcJNkFnGhoxZLVe+h1AKOg+J95cye6pjsMKe8I7Vg8UjXZLmgCsMqSPDCidNZRzb+k9ftDjDMQnL9paG8wogRJkjHjXxs073c5beia7oTHG8Ks0nwIAoc/33IF/MEIrAKHJm8YkahC7an+rChLuvU4bWwWwqJIadxaWkP430+/pu8jCeoFHRxgGGDrnhMYe615I5po+jw67Sr4/GE4EixwJPAYnNvdUEC8dGQmdhyoh88fxr035SAqipR+qZNLoQpVF1SqygsN94xwWATLKv4fsoxmKUgLcnWeAF5+h2i0OMGx0O0navul+V/OajGlpvwh6zl+D6bfZ6JJJUfE0/o+sveLkgyBZ3HT0N6aZ4P4ULXfAoBpYy/XxBiORAtuuKYnONZ4iokUcaaNzVIoCwMRet5q6ppQRESKw0rRnPF6EdfkdMVHX7TRh7qSbHj839swbexlSE+1w8Kx4FhGoVr0BmmcwrKM4XS7PxiFRZZNr+/Zsp/L+lXbT50zxBvHsWB4DsFQlMadAscgCBmyzIBlFRDDRZ0caPKGIENGyfC+8PojWLZmL278bU+FOYNjYOEYbN51XOOjU5wCHAm8Jqap8yjAvgXlhbBwrK75XVmSB0kGBJ5TGigmuj2yLKN0ZCbCUdFQn43otgHAq+/paY7uGZeN1lAE9Z4AfeYmXtcXVgNNZ/K8kf8OhqI4Gfxh9/HXsn6jjPGUhFpziuQtp9ZjlQx98+xJV6KhOQhZbvOTy9ftN9R1fGHlHkz5Y3/MLStAIByFM5GnOm/kvEicHokqYKK/3J6Pu8ZcHpteseDdjYcMKTotHItgKGr4W1mGwaRRmeB5Foe+adY0Pp5Yth3zJivNmFff24uKiXmoip23J8ZW896mQxiY3ZXugfkZ6aY0d6TJAyixVmNLEAlWHvMmF+hoWMmeJnMsjTfIOc97YbMykWUSN59v6xc4vTX8fYzjWB2w98GSPFggQwxFwHB6/VF1vDJvcoHOBy5YsoU2N1iWwbI11ag+6oG7mwsMw+DZt3biT0N66fzj9OIspDisePL1LwEokyiEMi7ZISDJbsWazw5jSN7F+PpYkylVeZ0nACaWZy1f1za5aDZlckFHB/77g33YcaAec8sK8OLqXbqYQV0gV3w0YOUteOw17fcDwG+v6IrKRRs0r3/0RQ0KL7sAoiihrrH1nE6jno/rFzjzWqRR7SgK0LV6Kk124gM8wVYIHIvOqYlIS07AxV36geNYBEMRTT3MZrVg8du7NP7P4w1C4Dn8Q9UAPnysBfeNz6ZUyYk2Hv5gxHBdMQx0+n8EEEze09ii5IKEFtxuE3C83of3Nx9F4WUXgGEZw5gdDFAyPIOyIJHjPfbaF5g3uYDmV+pBgWdmDgYny4AkgWVZ2KychhVg5sRc8BZt7vF9c4bvipFPtd+ej+v3p6pBfJeZ1Vc7dnRCkiS0tAaR7LAh2WFF2aj+ECVJM9ld5wngkZe3Yt7kAspykp+RjpLh/eALKDWtbXtPoKIkD8vW7MX4P/ShtS8Lx+CdDYfw1seH6F5Q5zGX7plTVoAlq3ehoiQPza0hTVMOAJ6eMQiBkJ5hZtpYhYVOoXqWcbyhldbEZk7Mxf+sa6McfWKZIhFEzMxHfHvSiz8N6YXhRf+fvW8Pj6I827/nsLO72d0kCyFBLAdFCBBCJZBEkngoKLVytPSTIEoihAjxU1RaJWjLQQRtP3uwX9UCKtBa0BYVBP19iNYDooBgKyAGVARUSAhskt3seWZ+f8y+b2Z23gmhKiLsc11eFybZndnZ9/C893M/932xlt9E45g54YfgZMUSfzidON0xfFryo3V1dSqA47m5ufm5ublTAEwG0O20rpgUubm5LgBiXV1dc0IOtBzAvwDsBODMzc0tS/gCzgDw969zrVSk4twOldnhxnPsrj6e4xCMKvjos0baYs1xHLbvPQrvDy8EL3BG7zKBg6JozCV9RGMKJJHdRacoKjI9xnbqTI8EnuNgtxk5BHYbD9FC21sUOMhxGRzaNr322vzbO/hGLUybOS4lKXA2RVRWDexxhwWQlNPJhSU1pQhH44jE4rj3f9+hv1+8YjtNEIhmeI+uHowo7AlZ1XwC9VIypGAWk2UcbwojnpDNS5ZLzHBLTKm5LxoChtb+bK8TiqpSc3t/MIY3dh7BzAmD0KOrB6oK+PwRTBjeB2tfP4CSQRdi8/bPsaC6BBzYc8ofbGMk//ymIeic4TB4mQBAcV4OqscPouDihi2f4aoh3WnxxOcP49qSXrj9hkshCjwy3Has3LiXyjGR5In4oTy5fo/psJ2Sl/l2I1kW0JZYL/mkNTK3hxdTRvWnwFtxXg6qr8+H12NHLK5AEgVMHTsAjzyzS5OC071W4HgqP6fvqppyXR4mDO+Dh1ftwF2TCkxzQFFViCKPxqYQunhd2LmvngLXDknA4ppSxGUVJ5vDiCsK7tcxRu+aVIC4rMnSsMZ3hltCbUURovE4RJHTAG/iN8DzsNmMXeSszpdsrxMqVNQ+ttW4/usKLHWHfViwfBuW1o7Q5KPPxJd6lkQ0pliSc05XDrQj8Z9IKSa/nsjNKFEZmTo5Xk4FeIEzFeQ01rDx00giD1HkLBUQ9PKe1w7rhQXLt6E4L0c7BHIaG9wm8vC1aNJiycX4hkTx43erd9GuHNKZ6POH8eXxIBav2J4YcxoA3xSMMQuaevb/+SJT+32PtrzzHcO6k+mRkJFmg8thgyyrWLxuO2orCqGoqilf75ThwH2Ptb1+TkUhOqU7sLimFBynGerF4mypruZAFOkuCe40EXeWa37HnTMcAMeh4USQznkxIeGYLClqtwn48ngrbDYeU67LA6Bg/vRhEAUeXx734+V3Dho8C4n0ngqg4WQQksSjJRA1KYJc2MVm2WVF/i3wHARwKfnEDoSVBxL1nNKdW07lxwpoZzKtw0o7h8VlFf5gDG6nhOK8HGzbW69JMUdiWveS2w7JJiIYiWnyXk4bjp0MonOGEyeaw8yx6ZBEOCTt3w88uQ1V4/Lxyz+/idweXsypHAqAw+KZpYjJClpao5BVBff88W1TzkI+6+dHW/DajkOYNLKfYbyRgkxrOE4l63gemFc1DMFIDL6WCFZs+AgThvcxPBeS+y6sLoEKFcd0gB95T1KMSiYEVl+fD6gwjNfz3XdYH1aAcc+u6ZY5AS+A5nksQqSVfCspbpC150h9AFNG9Uc0JmP8lZcgFI7jBzluum4BQGNTCE2BCHz+cKLADEqmbg5EqSTyyGG9cGG2h8oqkwLh2gQYnO11oimgnQuvKe6JrEwHFs0oMeXsQFtXFZEfb26NGCRxWd5wBLdhSVHOnz6MFlbIz196+1NMvCbXUNhO+QO2H1bYkcvRhj+wyLxknydWNCR8/ghWb/qYKhp5PXbsO9hICxgPziw1+AYTOfvfMgrAmW4HnnpJ8zd9bnMdplyXxz77qNo50SA9LwmYMqo/eI5DOBpHXFaQ28OLMZf3NsiC68m/S2pKqTR5mt0GRVWQZrdBtlLNAnuc2wQesqydsOIx1eQF+tDKHXiopszwfl/3zJCKby/aw1cVRUWzP4pHnmnrWNUsndgyyqFIHEtqygBORZM/SjtbSafgW7uOYPr4fPiDMSx68l3DOP3ooA91h32Iy1oXnxXZQuA1whDHA0uf3234fXFeDiRJgCjwcKdJWFA9DIFQDOFIHDaRQySm4OiJAN7591coyrsAlaMHWM5PvdILixBNSBk/GtrdQGwmz+67iA7nIrm5uV0A3AigAsAPoXn3zfoG7iEHwNrc3FwBgADgIwA1dXV1Sm5u7s0A/pybm+sA8DmAm76B66UiFedmqBy7w+22MibDATwAVUXPCzJM3jiKomLRk9tMm/mvb7+cKfuZ4WJLJooCZ0pCtTZuDpIgwq6TLpUEQOU5ZmeiKHKIx9kfm/i96d8nuYCkP/haJeSqqqaSjrMokg/RVsyaLxoCWL5ut2V3Hs/zBs1wUiiZNLKfYSMmnjiRWBxPrf8IU8cOQKeEJEayXOKiGaUmVnRtRRGeeP5DOoc2bvkMv5xWjNZQ3CCBMKt8MLp4nTjZHMFv/vq+4fppTgEF/XIwb+lWS/CDMJIbfCH8z1934p6bh5oYzOXX9EMkFseLb36CbXvrkdvDC1VVsWD6MDgdImRFRZM/glBExms7PsPUMQNNfiwNvhC6dnIhHI0zf3c+ghlnKlSBQ319kBaO175+gB7Kkv0Pykf2pYlmbg8v/uvqvjh2ImjqxvN6HKbDa0yWmcSRaCxOvTD1Hj6LV2ynRUe93Bd5z7rDPlqQf3zth5oU7hqjDNLvVu/CvRVD4XGygeGsDK1wznMSZFVBLKZCSRQAeQEIR+LI9qZh9uQCKArA82zyBqtwTbqG9dc7HwssVrLeAs8B39J2Z+VJ9XVDhQqe56GqMHiN3H3jENhEAT1yPBo9WOUQjamIRhWEpThTeovIe+q7s4lB/KIZJTh6shWZbs2HtWLUAOb4VRLdVD1y3JhXVQyPS8LfN+83dJXwPAcVHJoCEVoABKy721NEpO9HWBVcZk4YBK/HgaxMBwKhaMKTVcSSJDCMgLnJANmS28oQjMThb41SGU3W2DvRHIbLacOLb3yKcVf1ho3XQAkO2jpJ5vzVhd3xq6rL0ByImPaJdW99QjubSPfA7MkFWLB8W6KjBlh4awmV5Nuw5VP8+LKL8Ms/v4sn5ozAwyu3msbz4hrzGUTfvUv8Wk/4o5Yda6loCxHA/OmXoV6nyJLTKQ12SaA5QkeKUfYkMI/kxfrvYE5FIQBtHVz/1qeYMmoAmvwROnbJOFm1cR8lnVkB04FQjF6fdC1meiQ0J33vi2aUUGCaBbiTtTS5kEfm0J3lBXA5RDgkkcrxvbbjEMZc3ptKJGa4JdNz2ba3HtPG5kMQONhtImZMGIT0NAn+UBQThveByymaFG9oQVVWDGu0VaH2fMs32gOMeZ4DJyvMnIAQlYhnZPJ31RyIWp6PCOFTFHjMvmkIWoNG6eR7pxTiuc11hnNNcV4OlbVl2TYU5+VA0BXgyGsJyccfjKL6+nz4W2NYvm6XYay+sfOIScqR+HLn9vCi7rDP9HmsVDXAseezKJi7g0cU9jQVXFIEzvbDmjTRphSll+wkSiKSwCEqax7SgsDT7sHVmz42nbHmVBRi6ICu4DkOqqriV1XFWLh8Gxav2E4lwFmeYbzAYURhT7gcIqZclwdZVQxSzGSMCAKHX6963zQ39HnlnIpCzJ5cYOrOevTZDzCvahhaWiNQAew72Igh/bsalIAWzSixJF4mj9n7bikCLwBRhYPI85YFxLiimPCEb+vMkIqvF+0Ri5pb2WeaeVVs+fg0h007nqkcHcfkdQ+v2oGqcfmIRBVT17de/vz5f2oS+U0WErKiwEFVgWAojmljB6Jblgv9L8pCdicnJJHH0cZWw5idPXkI0pwileUn6/U/39e6qjkOKB/ZF2s27TeQNfXSoT5/GHZJwAMzSnCyuc2DVu8bmPzsvos1uV0MLzc31wZgLIBKAD8G8AmA1dBkOv+rrq6u4eveQF1d3WcABlv8biuA/K97jVSk4nwIK087ngN27jumdWrwgKwAm7d/jrFdekMBx+wEmTaWLSEalxWm7Oevb7+cCcRKNh5vf/CF6dqjL++NSDTOOMSKWJNg2ZH7WbPpY0wfnw+W9rBNEtDoj5qKhhkuNiNEVlTYLWQOyME5lXScHUEO0YSt7k23U8kUvfyOx2VL+KQFmcxJm8CZTOaJf5QRbNuOhdUl+Mfr+zH9+oGIRGUsZUiB1lYW4ZWtn2HogK544NYSarLtctpQOXoAFFUrWhTlXQCnXcQDumJ6gy+E9W99iurxg2gBUH99Ijd3KvCDRIMvBJfTBo7jMH/6MESicTQFIrDZeKzc+BFuGa0lPCMvuwgtrRGc9IchtPImqTCbyO6MOdIQoP8+38GMMxWCwMPnjzLZ7Vo3HIf0NIkyjDkO1IPhB9maf4D+AEiSzMU1pYhEFWz98EvKYvY4Jaz+P2297ZLpgGTT1mKn3QZB1OZf8jicOnYAguG4QX6LJOTL1+2GPxijjDwrZp7LIeHJ9XuYbNqlL7bp5RP5OfL/BDgmHbselw1QtQO4nrwBDszCdbcsNx3LHSmwEAZ7gy8IJA725wIgzfFgyoFyPIfvekZbdQ3of28CFW8pQppTNDCfRZFDLC5DBNDUauy2u/vGIdj9yfFElxNH5TnvvrEAAJgszxPNYQqg3H7DpVi58SNTIfGeKUMRiynUP1PrkpEwdcxA/P21/bTASHwkHri1xDQ/NGnfdCytHUFJTbFoKhP5PoRVwSXTrflDpTlEtIa0TpRIlK1I0ZqQsSJAtifNBlVVEdJ5ALJyAwJsjyjsiZxOaZDjKh5YYeyEvrdiKB5e+T6O1AdgtwlMMGJe1TCMv/IS+IMxvPjmJ6gYNQDgtC4tb7odx0600mI5ATMJeqFYfH5FUeG0i1RmPRCKQRQ4zL5pCAROy7fbI+6lAGtzxGKKIUe4r7IILpsA+TSKUclgHjsv3oGF1SWYNnZg4v14rH/rI8PfkM5nRVWR4baZ1sW7bxyCLl4HnK0i5lYW4bUdh2ixsGpcvsnzT9/lRQD3qnH56HWBB58f9dNub6v8Qut+haGrgHjvTBjeB6/tOITOGQ48/N9lJqBd4DnU/mkL9RdaYiiIFjHlmlmEuGSy1vlK6GgPMG4vCFEpFJHx1fGAaQy/tuMQU5bzjZ1HcPN1/alPcKbbTqVgyfUfXqWNaSJDl+11YuwVvfHK1oOYOWEQenVLN43haWMHwuePGPzOSP7bs6sHk0b2w+FjfoNlhdfjQCwu46c/0gqSpDubKC/4/GEKZL+245CBzJnpkZDtTcOiGaXgeUAUOHCyirjadh4je0SGW4LA8HlnFbqtxmsqtLDaw8GphvHm84fh9ThgTwBTLAKLyyEybQ8eWrnDUJC7+8YhmFU+GC6ndp6Z+9g7pnVrzOW90XAyyCxOky5nj1PC0xv24Gcj+jI/g0PSvnWvx4HmQAQup80wjsiYjskyah97x0TIIO/z5Po9TG/jxqYQ/vn+EZpXCzwPQQDu+WMbYXRhtbmAWJyXA4HnEVeUFPn+exDtEYtgYekUjZnlNufeUgSbyCEYlsHzbInzDLcE2UK9jRCJPvykEZOu7QeX02baE+6+cQhC0ThWbfzIgBuS7lybyJlshh55ZifuLC8w/IxIjOu7ZpPxCKeNx0M1ZYjJCr5qDGDZC3tQPrKv4f2tcpbvak0+1TXrofGCVwCYV1dXtwsAcnNza77l+0pFKlJxmmEl+ykIPC4f/AMsWG7U4BYEHqqsYPJP+mtdFhyQ4bZj8k/6w2ZjFwUUhe2lE4srUAEDCKcCUAFcUdDdcO3aBFPJ54+YOgq7dhYNkhgkpo3Nh40ByEZlmBgiS5JYW4ZnwXPtygycCoBMxZkLwnb2+c1sdYP8TlxBnOPw1PqPmEUFyAq4JA8Vq43YH4qiKO8C+FvbijBEBjHDLSErw4kNWz7FkP5dTfe046Oj6NE1HVmZThw70Yo3d32BCT/qY7gO6dSKxthyXg5JpD6FevAjwy2hU7oDy9ftNpkoHzvRSg8Td0wcjDWb9iPTI2HKdXngeeAnJRdR3xLNV9EM/P2C0U1IQMUmf5T5XM83MONMBQs0IdKsko1HaziJPNE5DdU/HUhZa4/MuoI5to4nwLq5lUXY+1kjsr1OfPz5CdxwdS62/OsLdE8UiomJ/YThffBgTSlONocRjcmatFaGE63BGJX6KM7LwZ2TChAIRZGeJuGeKUOx7IU9mDC8D7K9TuuuAAuZXX3xjhyWq8blY9veepqIE9BEz8CPyTCQN1QLuUebwHe40/tUXkrf54jFVKYc6OybhpzRg0jyftuRbiAmqPj0dtxZXoBYXIVDAmJxFX/fvB/V4/MhgzMxU3/7t51YUlOGw/UtVAb6vsoiuN02KDKYZBIiXaiBzE74/GFEY3HcfsOl6OJNw9HGAELhOP743L+YBvW1FUW44ZpcPPLXnXQN/6rRCG62SftuOefG3PkQrIJLcV4OFBWGXPfeKYVwOgSDTDjp9uYAPDizBE67zaA0MH/6ZVS+m8iKV43Lp7Lim97TpDrf2HkEN1zdF/c/sRVejwOzygejc4a25kqSJvsIwFK2MRyNUwDwjomD0a1LGupPhvD7Ncbulr+8vA8PrdyBB24tgSBon/tEc4i57n553G/IUTZs+QzlI/uhS4YTPl8rZACyhc9dCrA2RxwwrWkPWhRM2ytGRZLAPKu8mONgkoxr8kfpOtbgC6FXt3S0hmJYsmI7vB4HJbS1tEYhCBxq/9QGbNdWFkESOcyvLgbHgUqE8zwHnuMMMqSAVghcvm43Fs0owWs7DtHrWuUXJ5rDiMTihudDiEp9e2Sg1wUDcaK5rUOQFI0mjexHO61Y/kKEqNcRNYGUnJ0W7QLG7QQZt5GYjKfW7zWdQSZeo31Xd5YXFe06GAAAIABJREFUwJtuh6oCKzfuxYjCnrRo8uizH+CuSQXM6/M88MCMEgRDMYQiMrIynRhR2AP+YAzhiIw1mz6mayfx5BJFDtU/HYiWhBqLLfH/ksTjl3/ebriWXjqWEIK6dnahsSlEi84AqA/x9Vf1QWa6HYtmaOtpSyBmUGi6r7IImWk2+lySO8yK83JMhUt9ZwqJ5PGawjyMYUWagArL+awKvGX3oJXtgUMSafGN44BMtwNNgbCJmEM68x599gNkeiRT59/YK7QxUD6yL+3WG1HYk1kodjslTP5xLsou/QFaWiOIx1Vcf+XFGNK/q+l8/7s7r8DxpjCCDE/WbXvrUTU+34D32SUB/2/r57hqSHfMX/YuHfMXZLlx+w2X4q+vfIy6wz48vWGP4TMU5+WgfGQ/1KZy3u9NtEcssiKUNwUiWLNpP2ZOGIRuWW7wPIeX3/mMjj0rdYtO6Q6oqsr8nV7+HAnE2eOyUalnDgDHc3h5y2eYcl0ezVlWb/oYlaPzwAFQVDam7U230y5tMocURU34vWrr9x/WfKBJmULLUQlZ0yHw6JHjwezJBZBsPO6rLKL5mpV9yXdFqj9Vbv0hgDIAxQAO5ObmHqyrq/Od4jWpSEUqvovgVNwzZSj8rVG6MXtcEqIxmanBvbimDJKNRzyuYNHKNnPuORWFEHmO2SlgEy38BXnOUIwjP19yWxmzs+/W6wcxOwqX1JShOC+H6vH7gzG8tuMQ7DYePn/EdJBNc7B94mRVbZeFyer4O5dB3+9jyLICh03EH9a8x0iujfI7hDWq19UPR+PI9EiQozL4pKKAFXgQiymUXUR+p5cCfeLe4eh/UZYJHFi96WOmjFJLMGK4zpRR/RGJyvC3sqVsCBhIxi4BP+ZWFuHZV+uoH4/+Ghy0QyHpyJpVPhiqCkPhnYA2Vr6K8biCf33aiMU1pRQceWXrQXrIJNIn3bLcsAk8BKipOfEthRVo0i3LDQ5s8gSRAsrt4UWagy2zSfzOVm/6GNPGDkQwEkOPC9KR7pJwZUF3E2t+7esHqC8aGWtN/gg9aBI/Cf3r7pqkdVIRKaP1b33KLCDbJYEWuvUyu1Xj8k2fm8zH5P/XA8SsYhJr/SfjtiOd3h3xUvq+hk1ky4HaBA7qGZrWVvvt6k0ft/vMreZH5wyHoVDRBnSzWaSyoiAW1w5dgy7Jgs0mQI6rUFWgtqKQyt3pzepJF4vAc7ivsgg2G49Xtx3CiKIeAIAumU5LAHnJyu24/YZLDSSONZv2o7aiiHYq6KV9rT5/Ks7eYBVcKkcPpGskoH2nz22uw6Qf9zOt4w67gEy3HYeP+Q3jwOtxwOePGOTLSYfA9PH5CEbi+PFlF+HpDXsworAnghGtON01ywV/axS/+nPbGn33jZqPsJWcXprDRsGPR5/VcvJHntnJBCZbWiMQBA5Cwj+8U7rd9Pn10rrktUtqyiAJgCi26Xuk5BM7HqfrN6f3/BN5ju6Dyd6oVnnxV40BZkFNv3fH422SXQ2+EM0b5lUNo7koef2SFdvxYE0pWoMxzF/6jmGsrNjwEXz+MGoriwCAsvfvmDgYT67fg1tGD6Q58L6DjZh7SxH1xCbv4bQLyO7kxJKaUppT1x32IbuTE01JJBMyj6ZclweHnQcSnVZWBdHTURNIKcu0P68VRSNssQpQpIgah8Q824UiGnHprhsL8Ks/b6XEG5vI00Kg5mfmYF7/86N+LF+3G3dNKsDKjR9h6tg81D6m+ck/ce9wNPmj4DnOsHYurilF/cmgad1WE0Cyfv4QH0MWIUjvu9bFq8nPCQKHOYmOqcUzS2kuA7QV+R+qKQNkGd40G6rHD6KFE6CNPLfktjLIsqYYYhPa70ZNYR7maLeD12I+69djfVcdxwHpFlY5cVkxjQurTuOWVs2v8ubr+tNu1ZxOLviDUXg9DsyeXED/FmjzLNQXw/UFN/2ZzSrnJsoucyuLDIQMcv/HGlsRi6tIc3DI6eQyeHe2N+a37a1H+TW5uP2GS5GVqclEJ3tZpnLeszvamyMZLnMOeNekAiiqisrRA2jBmPj9kjFipW7B88DSF9iqQR6XDQurSxCKxNDsjwLg8OyrZvnde6cUIibLuPm6/nhj5xFcNaQ75i3Vxty8qmLm/Dx2ohUThvfB2tcPWI7lusO+hLqckTjB9rAvQ2OzpqaXLA39XZLq2y0C1tXVXZWbm9sTwBQAPwfwaG5u7iYALgDfjYthKlKRCmYIPI94XDUkqHffOASqCqaEhaqqiMUUZoFwSU0ZHHbBaCxsF8BxHO6+cYhBTvDuG4fQ1+qDHEpZnlMq2PfE8UD5yH4meU/g1DrtJLK9Tggcd9oszHMZ9P2+RtwSwG0DOwSBhwwOD9xagq8aA7SzY25lEbgEeJSctLCkZGaVD0a624bWUAzBMJutIytsiRUrGaXbb7jUsOFnZTjxy8SBlZXwLH1hN3x+jcW8uKYUcVkbu4LA4cNPGnGkPkCNujNcEu3wI/PqjZ1HkNMpjcqJkHshoI0VyOMPxtDzggwse3E3xlzem0qO+INRLJpRAg4cBJ7TtP1jClRek9A7Xw+K32ZYgSY2gUfMQo554a0lAIAJw/tgxQZzwqz3O7tj4mAEI3FEogrichyNTSGTHAYZL0Q+hoznhTr5QnLg0wPV4Wgcs8oHo/5kKzwuCeOuuATZnTTwJBbXpF4kSUAgGGX6naze9LHhWZCxyfp/AiQJ4JhARmeP9LVY+KcLtH6fguPYJB+OO3NyoFb7Len8JGFa79uZH6zvO5kAQv6egIC1FYUAx1EgguQci2tKwfEc/AGjWf2cikI4HSIcgpYVjC7rTcG4uZVF7QLIeuN4QOs4THfb8MCtJTjZEkaG237OjrnzIQhw/Zs7rkA4EsdXjQEEQlF2vvC02Tfl9hsuBVTORNZh+Yg8+uwHCQAkDockwtcSwuiyi3FBlgvNgSj++Ny/KJCnf91v/7YTv779cmS4zdJJd0wcjBUb9hi81qykmAKhKO0YnFNRhEu6Z+JEUxirE6S/DLeEzhkO/OYvO03SurKiIJZU2EvJJ3Y8OlowbQ/kB9h5scmTPeF1rY8GnybTRa47q3wwWsMx5jgRePZZT2HIv+o7/ZckSdMS0K1qXD4W3lqCcDQORVERicbpOVUvs6iX2yOAuNtps8yNA6EoHJKDPhOfhb/Q6agJpMJ6XksCh0PHWrDoqW2msakvBNodIh2Ti1dsp/vz+x8dQ91hH/xBbX1t8IXwl5f34Y6JmtcewR1IN7Q+1yEgboNPU8cg3cyk2KGoQMWoAfTcBiTWLVll5t+La0qR7TVK55McgEUIIsoeXo8Dko2H2ylh1ct76d9keth5QDyRB8iywuyc3ra3HuOvDFLFD2+arV0cJIV5mOM/6eDVW5ckFwzurRhqAv3nVBQiFImbxpJVp3EXrxPzpw/D8/88gA8/acRVQ7rj+X8ewFVDuuP+J94xFTOIitCs8sGGAtuIwp4m5SyrnJuM38UrtmNBknSuvggCAE/MGQGfP0xfc/sNlzLHPMlHjjdpShvzlr5r2ambynnP3mhvjvC8EXv9/KgfKzZ8ZMgBl9aOMIwxwCj73bt7BlRFRXMgilhcRZM/aiCBENWgT79oAaB5wS+8tQS/+vNW5nr78KodiW7a7SZSUjIRUz++p47NM+EcyWP5y+N+eD2OdokTZL1+av1eam1EpPE9Lgk2fHc5xCnnWF1d3SEADwB4IDc3twxaQVAB8O/c3Nyn6urq7vmW7zEVqUhFB0JRVFqcA4wH/imj+jO6+nhLWUJZUbH0eQ0MIPJaS5/fg9mTC/D0S3sNi/HTL+3FL24eiuuvvBhXF/UyeP8JHMdcQJfcVsa8J57jLOQ9S5n3yfEwyRhqUqeAHD09Fua5DPp+X+NUYIcVyJHpkWgBUBV4RBQVnTLsWFJTBllRIPA8xAR7PRk8WFhdAk+awjw4vvDGAUwY3td0T1beC6LAQ1FVeh0k/NsmDO8DuyTQRMCdJiEQjNIizVPrP8JdN2oyi3/fvB9jr+hNDxLb9x7FxGtywXFA1bh8lI/sh+O+EHbuO4bRZRdbynx50mxYseEjywNx5egBTIlGQeAgqoAv2L5MXyq+mbACTQSoiFusUWpCrsiTZjN8hz/IdqP+ZCtWbWw7sD367AdYNKMULocNLa1RZGU6me+Z4ZbQnJA9Ij/juTY/En0Cr5c+0t9zl05OLHtxN7btrUdxXg6mjRuIaFTGwuXbDMAg6dr9r6v74tphvSjxpIvXib+8vA+5PbwGOaXivBxMGtkPIk4BZHSw648V53JnSjQm45/vHzF49b7wxgHccHXuGdvrrPZbAi6TSH7m7c0PVpenKJgLnnoQsCkQMRXBl6zYjvnTh4HnOCa546HbyrSHBqOMIQEBrSRfOum6ErK9Ttw/tRgSzwECR321ztUxdy6HvhNZBWDngade2pNQtDB3AljlC2kOG9IcNgTDRrKOJ83GLKT4Q1H85i/vY0H1MIgij0ee2YVFM0rR0hrBXZMKmEVlr8eBJn8Ez//zE4wuuxgLpg+DLaG0sfzFPag77MP4Ky8BQMYeu4hO9gYCXi6pKaNzhQCK86qKmdK6Is8DsnFFTskndjw6WjA9FcjPeuaCwKFqXD6657gh8ByON4WY36EnTcIT9w6HKPL4zV92Ugnw5HHC8zzzrGflYe9Js9FuGoGHsZMv0UEzb+m7FIS7a1IB1mzaT7tvCHtfT2DSzpyliMWMBW1ynR45bthEARynea519kjI9EhfW00gFdbzOiqrtAAIWBegIjGVqSY05bo8vL+vAS6d8gXpXv7FzUMoyaLBF8KqjZqSyYVd3Kg/GQTHAZWjB8AfjGH73qNQockjTxs7ELeMyYNDEiAKZhlHq5xFUVQsqC7Big17qGpKF6+zXULQhV08eGXrZ+h/URaWr9ttkNjlLXJPnged4Fb5qT8YM+XAVjhICvNgh1XHHyEcxxWt2EF8GoE24oCpALHyfcwqH2wYv+kuCTEL77QLkjqN9R7ScyqKMPkn/bD+zU9x/VV9EAhFqTzhmk37aZ5LpDjFxFpO1k+rscjKufXy9+Q6hNizZlMdPU9me53gOeAXNw9FJ48Dv7/7SkvFoQy3RJUBpo7NQ4MvZElMTuW8Z3e01+VOficIPF7bccjgN6kpqfB0jOm/+7rDPry24xCyvUZVLTJm9MoDi2tKEUtXkJ4mwevRJEOTcQkSDT5N/tnrcVDVJBJ1h32IK4oBCyRd2p3SHchwsXP1DLcmzfvK1oP48JPGUxInJBs7D/Km2yF/h57vp7XO19XVbQGwJTc39w4A10MrCKYiFak4C0KWrbummNKbt5WZ5GAA4iPIlgsTeJ75c6edZ3r/2e386d2TRbFPVsC8T1UB3v7gCwOYuXn75xhddvFpM9nOZdD3+xqnAjvaAzlEgBYIvR6HaQN+4NYSA+OuLVSkOUTYJQEP3FoCWVHBcxye3rCHglvJuvxW3gv+YAyeNBu9zm/uYBfkVQBpDhuqxuXTBERRVESjCsZc3pvKad1bMRQ8x2P5ut1MyQNidsy6l3SXHVPH5qFTugNzbylCKKwVWZa9qPkMEuA6WaJxSU1pijF6hoKAyR6XJh+hKCp4HhQMFQWB+d2KojGpJt/hkppS0xj3ehxoDcco2cJKDiPDZcdT6/caflZ/MkgPmizpo+TxcfsNl2JEYU9MGzsQPM8hHlfR3Bqh4Ix+H3ny/mtMnexzbynCbf91KU42h01Su50y7IiH49+al9S53Jlitwn40VDjfj2rfDDsNh5y9MyA7lb7rX4tZT1zPagIjgNUtd1iASer8HrsmDlhEC7o7MLh+oCBxWwFWPAcALBBsjgBgQFDkYSwWaeOHcDsNJdEYxdJVmYaTpwIQBR42gmb8l/9fgWTiHRLEZUdzO3hNX2nVvmCJ82GV7YeRMkPLzS8hufBzBsIia+lNQrJJuBXVcUIhmN0DWWt7eUj+5r8pEj+QO6DrO13TBwMgSGtTIroJLT11nz+YLGsZ5UPBi8ACmNAp+QTOxYdLZh2BOQ3P3MNuPuvq/si023H+rc+NXWyzCofjFA0hqXPa6oDPn+YKec1p6IQHAfmWW9B9TDmHFBUlSm/RTz7IokxT8A+RVUti4wkvB4HWgIxNAXauvtYxCX9dU7VRZWKjgdrXnc0b5MVBdv21hs6lQDgljEDMWVUf6zcaPQL9PnDkGXjuK877MOC5dvw5P1Xg+c5OlaK83Iw8Zpcg+Tn3MoiKKoCSRRN47PJH2GO2fqTQfzxuX9p8nUccLwpDI7n8PObhqA1xC5yKIqK0ksvxLIX9tBCNemCPdEcYio1iAJH101WfqpflzuSA6cwj46HIPBoCsaotxcF8T12uGwCvGk2S3saQiYDtOf70G1lyMpwMp99IBjFguoSCDzw5fGAgcD50MrtePj2Mlwx2Ji73zWpACs2fIRVG/fhof8uQ7M/yuxssiq4Jefc+nGU7XXC1xKh9//wf5eh9IfdsHnHEYrzOewCAiEOtY9tQdW4fEvboKwMJxqbg6gcPYCSo1j7RirnPTdCEjimspvdxmH+9MvgD0Yxp6LI4H3Nks//w5oPDJ39tZVFlFxM5iFZy6zG+LETrbhlTB7EpLGZ28MLngckm2DIce6aVKCpG5wMMd+PdG+Pubw3jtQHTrnWKjI7D3qopuybf/CnEf8RRlJXVxcGsDrxXypSkYqzIKzYY8kJMdCWIDokwbQIz6kogiTyzCRUsvHMvw9HFXYH323Wcp2nU+wTBM6UKNwxcTA4Drgyqfj4nyYQ5zLo+32NU4Ed7ZrO821dHFXj8k0b8FeNAeZYO3qiFRd1ywAPgOO0a0biMiZek4uDX7Vg844j8CcS9UAoCl9LBG/u+oKOHcLC65blBgC0BNu8d8KROPX1IffxhzUf4MGZpVi+brdB2jMSjcPrseP3az6ghwCnZMP8Ze9aSh4Q1l/yXKmtLMKql/fS9793SiG27z2KMWW9UT0+H9PGDjQZGOvHf6Sd5ywIfAoc+QaiI/4cAlTcd0sRHnzamFS/sVPr6rJLvGEN03ckEdZ7z64e/PLPbUm2nkGqv66syJQNn+GWkO6yY+3r+3GkPoD504chGpdpMdyKfScKPJav+xeW3FYGVdUKgFZeVFBh6mRf/LTWkcXqxlpSUwZR4MELHOZVFVMW39rXD8DnD39tION0i03fp7Ai4Tx025k7kFjtt07bqeXWCKjYpYsHx4/7292fZVmBxyHCnpMOFTDIIwKwNmoXeMiyyvQoFnSdTJIAg4Sezx+G3SZiw5bPDOzvVRv3YfbkAoiJcQRZBa9VGulYqx6fD3BgEgBScXYGkyDzdJusFykMa50oHgg8YLfxTAD3yfV7MLrsYng9dgQjccyfPgyiwEEQeNT+aYtpvi6sLkFxXg6aA1EsX7cbi2tKMXf5O+2u7RdkuTGisCczf5g5YRDSXXbwCZWBl97+FKPLLsZfX2mT+OyU7sDydbsN8k6EIJjsHeTzhxGMxNjz4Ax9P+dqdKRgygL5i/NyNOsIRWGuryKA6uvzcfiYH+lpEipHD8Sm9w5i9uQhyPTYtdcIPP76igZOv/DGAarG8peXte+2c4YTsbiCxqYQOLCtIsKROPOMqSiqKUcmHpQOu4BYTDGAfay/p9K6iSgf2RdLVm43yPC3J/PVkS6qVHy90I9NkptmuCUIvNFznNWJXJyXA5vAQxR4jC67GE6HgEUzSqCowNHGAJoC7GIdwBnynhGFPU12KItXbMfCW0sMhDcyPu123iSXO6eiEC+/c9BwBlu+bjfmVQ1Dp3StQyUZM7l3SiGe3rAHlaPzaEfi2tcP0I6s9W99iqlj8xJqBICiAqLY1nUGJJ+NgS+P+w3kJn0xL9kzm5IKkcI8OhpxgJ6NAWNxokeOBxzDYxXQvodwNE7/PbeyCILa1j2Y/OzTHDY8t7kOP074seujwRdCPK7SAh/52e9W78LtN1yKDVs+g6KYf0/Wtdd2HGIqZ7256wvq0SfwHJ5cv4d2XicXBJsDUXTPceOxe4aD5zk0B8IIR0T6nkRxiOkFb+PQJSMNMVlBUyBMySUkP+qW5YZNMM7/VHx/IyqrTFz4oZoyxGIKHnlmF7weB/3uG3xBpnx+gy+Erp1c+HPtCKgKDIR8Mg+X1JRi9uQheP6fZvxL78F6Z3mB4fflI/vi4ZXvm5SJeF4jiL624xBzLDcFwmjyR6m086nwBitro7iifKe5cCoPT0UqzpEQAROIf19lkSUrxyZwCEfiePmdz8yyYNfkYtXGfabDe+0thXA5RUNyKgicdReirOLnNw3B//y1zUPw5zdpJtisexIFzuxJUVkEkeeo0Te5n5fe/hTV4/O/MbZmSo7o7Iz2wI72mIz6AiGrSLFm035mt0YXrxPNgYih0EL89vQSi79fvQt1h33I7eHFlFH9sXrTx/jFzUNhE3hTYj+v6jIsWP4eRIHdGRuOyhhR2JP6n7z09qf46Y8ugcclYerYPDQHonhtxyEqZdCerIdeWz3DLSErw4mlL35oSJoeXrUDi2aUwCYAsaiSZGBsHv9Whxviq5WSBv36wQKTV2/6GNXjB0HmNE9GAOjklrBoRgl8/gjcTgmBUASvbj+MZ/6vDoDGbCPSR4FQjHYYkc6PZA+GusM+rNq4DwtvLYGvJQxvugNPv7QHk3/SHxOvyTUcGEky/Yc1H+DWnw7Cc5vrUDUu3yBzSIIcfudWFkHgOYTCMh3HpqT6liJLeTCeY4OIjc3aPIiFFEP3IGHnfhNAxukUm75PEbfYr+PymZOBkmUl4dvYJtEsCUAsKn9t4FUPeok8D384jvnL3sOgS7JMIEhOpzRmQWb5ut2Ycl1/SyYrUXCJRWVkJX0OMeHhunnHEXpPp2LYk7FGPwMAyCkw7mwPKyJSN52sl88fhtfjgJ3XvueorCDdZTPkswTArRydR33L2ggZ7Gs0t0Yw8ZpcCkLHGR0wqzbuo/7CAHCyOWwpR3phF7fBZ3j25CF4av1egzrA7+++EpNG9jN5BC198UOUj+wHAPT1ZO/RFwZTnSZnLpJB/uK8HJSP7Ec9TFlEIwDwt8YMe+ovpxUjLqv45RNbDfvskfoAXePmT9dISM2BqGH83jul0FQczvY60RSIoIs3DQ/MKAEHoP5kEADQxUKePBCKwi458I/X9+PeKYV4bnMd7pio2Vqw/p74r2Z7nQmAsc03rmpcPnrkuJmvI7n1+S6J+G2HCOD+qcV45v/tM3Ul68dkMsmGNYZnlQ/G0ud3IdMjJQiNAlMCvKXVCDBbnaM4DnA5bZBEnsrEhaNx8OBglziafzcHonj21TraDVJ32IcMt4Q5FYVY9fJejL/yEtQ+9g5ye3gNaz3PaWvkT3/Uh/qqatJwDjx2z3D4gxE0+aN45Jk23OS+W4pgd9oM90pyBrvAw+txUNlefTEPpyAXpjCPjoXVHuxOk+hawSqq3ldZhAyPhKW1I0zP15tm0woisoKvGgN4fO2H1BKEkB2Sz1RW56QuXifKR/aztAPpdYEH1w7rhZffOUiLLrzA4X+SPHuvLuyO6ePz8bMRfeF2Slixoa0gOKeiCM+++jEqRw/E/GVte4HeK94fjMHnD+ONnUewoLoELQni5+pNH9MOa0HgkJXhtCC8yamc9xwJqzkTV1SKUzf4QrTDb+aEQfC1WBA4OA5Prd+DSSP7ockfNb0nOI0oce2wXnA5RCyeWYrjTSFDbg1ofN6/6LBtIplPCCAkHr9nOFrDUYy5vDfFnjPcEjJcdvzj9f348JNGiod0y3Jb4g3kLMrDosHlO86FUzlOKlJxDoUzqUAnihw4jsPdNw6hXRbZXifuvnEIOE5jGLPAqkkj+zFlP+V420FQ//MlNRYdfzyHTI/ddE82hsTQ3Moi8DyY+v8zJwzCpJH92Iy1b5CtmZIj+n5Fe0xG6AqELIkAnz+MTI8di2vKoKiJAxDPIRpXaAEQMDLp1r5+ABWjBuCCLDfKR/bFmk37UT6yLz1sTrkuz2A6TBKLJTVlmFc1zCRFABA5XQH7DjbihTc/04CThOynHkzRpJXalzxId2myHnWHfbQ4B041SelowGQEQobDADxbjf/2pGfaPmNKGvTrRHLCnNvDizGX92YCdnaeQ1aGA+AAjpMMgAfRsn9l60FcXdQLMVlG1bh83Pf4O+3OhcPHtIIuMYm/dlgvk1ea3hA7FInh2mG90C3LDbskMMkbmR4JNp5DJK6CFzj0usCDqvH52L7nqEY8ETRfDYeNR8Ti0GvVHd4ciBqk78g9EokNWU6t3lbRngw44mfuHk74T89nNJnRrijmwxOro1YrDDsMgLUo8BB4DpIAwKGBEY3NITQHovTQyJoDSxhrnWI4xKngkWLYny9hRUSyCe13tKqquSs126vJFiXnD/Oq2NKJpANw4a0lKP1hN3Ccea30+cNoOBlCIBTD8nW74fU4cOekAotOGeDaYb0w/spLEI7GIYrGHT3b64TDJuLtf32BB2eW4mRL2DBfDn7VgiU1ZZg2Vusys9t4TBs7ED/9UR9KACFerql58O2HGeTnaT4BmGXdtfXV7IPa2BRi7rOk29UfjEIUOACcqbPq4VU7sKC6xFA0rq0ogsdlwz/fP4LB/bKRZrfRbr65lUXMsZnpseNEcwgfftKII/UBTBjeBy6HCDfDczPb60TnDAeWzb0aAscBunlBCtpWMugkP/quwblzPWRZQc+u6ageP6jdMcki2ST//R/WtHVwHvyqBQ/OLDUQ2wgQnOxbaXWO+up4AAuWb8P1V16Mn5RcBJ8/glhcxZpX6zB1zEDcn4SBHPyqhebFpFN62956as2QbLFAvH8z3HbMrSzC2tcPUCUGMeFHqL9Ggy+EB5+2PmO1p1qh6kiprOebwjw6Flb7vMcpQbLxUKKydVE1Klt6p0EQDMosgObb/mBNqQnc44z6AAAgAElEQVS3m1tZBA7s85BNEPCrFe9a+ko3NoURi6sYUdgD/mAMT720B9PH55v8Xkt/2A1H6v14fO2H8HocmDC8D80HYvE4Jl6TixUb9tD393ocUBSVXpMoEcXiskHWEUAiP2jrsKbPFkgR3s6BEATNLiqeIC2LnBVJn03svbCLG69sPWgiCd87pRBrX9+PbXvrcfCrFpp36N8zGlPw8Mr36fvOrSxi5tfhaNywHlvlAUcaAshwS/jLy/tQMWoAsjKd+PK4n5LiANAuQJvAM/EG/VnU63EwFZe+61w4VQRMRSrOkVAFDsePB03yKtneNDz90l5DYe3pl/Zi9k1DIFrJbPKa/1hLIEpZcOluyZKFJIgcU2ZAFDn4W+ImMMxlF5nJUiTG1v+fNvbclWZLxX8e7TEZic/S4hXbsfb1A6YNeE5FIR5f+2/KWieMvZMtbCadN92OKaP64/drduneo8jgA2CV3MRkBbf95nUU5+Uwi2nLXtyNST/uh/FXXYJYXIGiqPjyeABej4OylJ59tQ7Txg/EnIpCPPtqHXPebnrvIBbNKEFzaxSBYBSZHjsUWWUmOc2BqNadIPCnnEfJz/nzo0bpmQZfijn9dSP5kKmXqyJySZGYjDgkiFDBJb4zl01AWhcXFteUQlG05VEUOQzp35UWpB/+7zL6viy5WOKFo5d+ac/cXSsua6z9V7YexEcHfaj+6UADaxqqCh4cTjRHTD4ag3OzEY3L+PJ4CK/tOIQbR/ZDp4T0aPLceOEN89wl91k5eoAF0/C7ldg428NuM8taaR1uPKLxM3MkOV2fUVZx7/6pxchwiIb1i/W+epBw844j2LzjCJbWjgAnK4glPq7Mcbj3f7cYrmk1BxRFAy4EgYcqcPAxiplal2OKYX+uB4sgc//UYippZQWuWhGYHl/7oeHvtPxBtpQ4avCF4GsJ4/G1H2Le9MtM83puZRFsIg8hoSKgAXX7Tb7GtZVF2PHRMXTxuuCQgFhcxXOv7jeQnbpluSEIHD75ogkDe2eh9rF3TPcqKwpEVYUADo3NEea8iEVTcN+ZCj3IbyVJJSsq7In1lcXet1oHczq58Ls7r4CiAvc/sRW1lUXMvwuGY1g0owTgOO1eRA4PrXgfU0b1RyQq4++b99McxOUUmT6SwVAMr247THOBxSu2I9vrxLzpl5nAcpJTl4/sh3S3DVBhek+PSzJ5HZJ7OBvAufMheJ5jeokmnyf06gBWY9iTpnXJDbokC6qqGoht+txXn0u+tuMQU96TA/DArcPA8xyCkTiyMpyQbAKmjR0IRWWf8TLc2nhCosuPXM8q155VPhi/+9su+Pxh3DNlKELhOOKyRp7g/gOfayvVio74gqbi1GFFhH16wx7cOn4Q/bvTLapajWdFViErCmZPHoJ0l4RjJ1qxYctnKB+Zy5RRFgRNwrtLpsO0t5O8Qt/xBwA/G9HX9F7dstz47d920XFL1tm5lRpxA2rb+Ca+qnpfzrrDPrz09qeYOnZgatydR9F2Pmsjrs9n7M3aWGWTUL88HsCVBd1hEzmNNC9wOHTMj6Uv7DZgTV07u+jryXsGQjHD+7HW3rsmFSDDLRlem90pzXSPsycPAaDC63HgnilDEYnG4fOHmfK83bLcWq7PeCb6s2iDL4RVG422AGfDmTA1F1ORinMkZJnt87OkpozZ1SdwHKCCKbM546eDIHC8QRKGyLoxuzVkFW9/8IVBVnTz9s8x+vLeWJ3U2Uck7iSBS5yyVAAceEED1ixbpi3CSu8+FedHWCXdyYUrycbjoZoyxBUVcVnByo17DRKZD67YnpDNUpgeY16PnXbmkdc8tHK7oQvWqmvJJvB4fM5wQAUkG495VcMQCEVNLPqF1SX41dKthkMG+f2Iwp64/7GtGHRJFqZclweHnU8UflTEZRWRaByD+nRBJCbjH6/tx9gremuH2HDMlOjfNakAL775Ca4d1gtej6NDUp7kOQsCz2RYpZjTXy+SD5lEso0ctKzkkmRZAWRNboITeCx9cTeqxw+CTeRp96reh0/vUfWDbE/Cl0zFtcN6GQq7Vl5pmR47xEThuKU1iqEDuuKa4l6oP9mKNZv2GzxJltxWZumjEYurWL5uN+6ZMhSt4TicDhGZHgkP3VaGWFyTxyE6/j8p7YWF1SXUU5Dcp6WfW2osthuRmIKWQNjArv+ioQXpLumMdfOeLjjFKu4temqbqWho9b4EJATYY4SV21iNL57nIEA79Pr8Yfy/dz9n5Dj54NopAqXi3AgWESkrMw0nTgRO+dpMj4Qlt5UhnljvWsMxEzM/2+tEml3E6v/7mJk3kO6lBl8IC5a9h9mTC6i/DwEOhw/tgaxMB+ZVFWPNpv3YvOMIjtQHMHPCIOR0cuGLhgDe2nUEZZf+AA+v2kF9jStH58FuEzBr0qWYv/Q9uv/cd0uRZQcWmVenW+RPxbcf7cnnxwGs3qRJvXV0HTzRHEKndCcCoSiqxuXDIQnMv8twS1j24m5UjMrDH9Z8gDsmDobPH0bnDCd+leiC8aRJVH6c+AR17exCY5MGnvn8Ydw/rRhpdhGLZpRA4HnYJR5/fO5faPJHLXPqRTNKcP8TW7UO2PICdM5w4KvGAJa9sAeZHk1aneM48BwHcCqqx+enzpBnMNobk2R/Np7x2QBypwwH/vSL4XBIAjZs+RQLqksQDMewoLoEKxIeUuQcd/sNl0IUePiDMWxMePf2ukDLhQOhGBpOagXCsVf0xuP/0CQa51QUQhR4HDrWwry+2ynh0Wc/wE0/6cfMtS/IckPgOfC8Jlm3auM+aicRicq0Ezbb68SiGSXMa4g6L+Jv8vmm4tQhywo8FhLe08YaSYeng0nxFt/PoWN+bN97FBOvyYUsK8j2ugAAazbVYfxVvQ2Ey3S3hJMtEXouL87LoeM/02MHx4GZV/haIlj7+gFtre3kSiizaN1cRDaZeKR50mz49aqdmD25TUVAT1Rt8kepZKLbKaHhZLDdcWf1jFJ43vczWPne/GXv4Rc3DzXZTU36ca6lspTPH8bimlJEYwoUVbXEmuZVDQM4FXaboJEyk+YRKUbPqxqGltYI/MEYXnzzE0wfn0/nTobbjpUb99Kx60mzQVUBl1PEwuXbDMQQq3lqE3lAVaEKfELWlgc4lcDahr+vO+zDguXbEgTUs+NMmCoCpuJbiWhMRpcung7/fTgSh78ldOo/TIVlyAqboQZOtez2EwTe5Ps0p6IQgoWExK9vv9zS40/feUKuAQ4mvf87Jg6GIHJobImYOhG6ZNiZ7GhJ4JjSYZ090mlLiqXi/Al9gVAh7HOOQ3MgwpTIVFXNiP7xtR/QZPquSQXgeIDjOK1glpDI8KTZNCarqtAi2wtvHDB1xOr9ct7adQT9L8pChlsydZ00+DSPH/2cI/KLi1dsp0Uh0smS28OLOycVmCQ3sr1OzKsahkef/QD33DwU85e9xzQ9HnN5b5p0nQ4wlzKT/3aCJd2V7XUaDlrAKcDUxHqrlxAlfpb6PYBIhkbjMhYsew/XFPVA4YCuBk+RrEwnU0Y6FpdN3kB/WPMB9bIgB+MGX8jSK9YhiXBImpRMJCqbJDI6eyT0yEnH1LF5iMZkqKpmBj7m8t70UJDt1fzc7rulyODfeV9qLJ4yHBKPdLfDME5qK4vgkHhEzlAn4OmCUx0tGlq9bzgap/9mrVesdS2ncxqzU0AQgLisHXprKwqZOU6q0nH+RDIRiW+HtAaYu1qL83Jwy+iBkFXFNAbnVBSC4zmN+CPxiMUFwxqo795u8GldqqGIjHlL34XX48DN1xnVC2aVD6ZFFZso4A9rNPb+3MoiWgBMJp0QOV3CaH7w6e14ZNYV7eYBqQ6Usy/ay90iiooRhT2xYsMe03kx3W3umptbWQRZVbBg+bu0aCzZeFMXyh0TB0MF0OSPQhA4TBnVH6te1jpHVN0YKcq7gObNDb42n6CqcfmoO+zD1YXdIcuqQSK/trIIl/wgE8/8Xx1aWiPMzlSfP0Lfc+7j76A4LwcVo/JQOXoAwlGt+8plEwxyXqnc4dTxTYH1pzpPsNbK5D15Vvlg/PYZravuwZpSDOnflZ6LyPvd+tNBUBQVigJs2PKZ4fznSbMh2+s0gdLr3/oUE4b3weIV2/HQyh14YEYJ1mzab5ofcyqKaBfUX1/52JRrZ7jt+N3fNA/5J+4dbugomTC8j4m8/eT6PZhTUYSHkrpheQFQTnNwps5r32BYSHgnF6zb82BMDlHgmCpF+w6ewHWlF1EbB/Lzl985iD8++2/84uYhiMYUhE/G0dQSoUVkAFQ2cUF1CZa+8CFuuKYvs+uZnNVIYcLnDyOnk4tiGKQLcFb5YBw7GcSE4X3w5Pq2/UHvqamXWFxSU2qaB/pxZ+VTmemRmKoaKTzv7A9Lz0ynDfOWvmtYxwSegzfNhsU1pTjuM/v2nWgO497/3YLrr7zYtHbVVhahuTUKhyRAjqtYsOw9y31h4jW5WPXyXqr2NaeiEMte3E3X/iU1pfTfZOzOrSyi+TL5DGTtT55D91UWwcYDJ/wx097x0tufYtLIfkw/5LOJgJHKxVPxrYRkEzBm9roO//1Lj4yD/1u8n/MhBIENfgEcs9uvevwgxBQFz75aZ/jds6/WYfr4fOaCHosrTI8/VVEtr2H1c5b3X/X4QUx5x6isWrCKy1Js41QA6PihVOA56w4PjqNFD+LJpu/Mu/vGIRBFDr9e9b4BvEtz2PDgzFK0hmOQFYXpL3XwqxZanLPy5GkORKn0I5kXXTIdyPZqHifJHYrBcIw5T1taI/D5wzQxI/OCxJ9rR+Dvm9u6tqyAueRnKgkcorIKj8vGMPROJelfN/RgsgAVcyuLEInJHQdTVc5UMHz02Q8wq3wwOHBYNKMUPA+0tEaR6bbjF398G16PA/0v6kw7tjPcEtJddsRkmcpIe9PtSLPbYJd4UzesXmpRX7TWkl02a1vzl1CZAAhZv2VFpYVyMidcDtEw7iSBgz8cN7BibTb+2/hqzqkIRxTL/fdM7ZunC05ZFfdEnocK1bBGJb/v/OmXwSGJWFo7AgLPQxJgkiRkdXQJAo+VG/5tyo+qxw+ia6tkE7EkyQfr0Wc1BYZUpIIVyaxpAtpVjcvHoaPNeHBmKVRVk3b+8EADenXLxMOrdqBqXD5e23EIVePy8YNsN+pPthrAE7K2EnCualy+aT8g6iCH61sMryUkI6vXkHUd0MgbJ5rDhj3D67HDYRfAKSri4AFFpZ2H+vs7mwCQ8y3ak88XBB4Zbgnb9tbDkyZhYXWJ5jkM4Ld/2wUAhnXQ45JQ+6ctpqIx6UIJhKLwtUTw0tuf4tphvTCrfDAkG0/3+yZ/FHfp8mA9oEyiwRfCD7LdyO3hxU9/1Afzl7X5bXs9DjT5w7iy4AfoeUEGFNVa9l4f2/bWY/yVl9CCYbbXmTovnmacbqGjvWhvTALstRJAQsVAxZfH/bSrDgBiMYVJmiM+UgRIJu+V7XViVNnFpryW5LJEQaDBF4LAc8wuqVhcIxjNrSxKkEO1+4vGZIgij5ZgBABwdWF32EQBD/93GZoDUax9/QBz3G/bW49p4/IN823Vxn2YPbngtEHbUz3fVHQ8OpKzsjqiiPqVTLzS0Pb8OVmF12M3nGHcaTZcNrCbyfvyoZU7MK9qGBYsfxeqCviDUSxYrqlhsNbOQChKc4tZ5YNNeQMSnyfDLSEWV/He7qO4oqA7xQQz3BIyXHZEZRl/eu7fuO2GH2JEYU/YJQHzqobBLrHPd/5gzNAFmyx/aOVTOa9qWArP+56G1fks2eN6/Vuf0vOTwPO0qKZ/DcHBSi+9EJFYHAtvLYGqqBBFHsFIDP/YtB9TrstDMBalakf6fSEua8oaL79zENcO64XK0XkQeA6toZihIMfyhCV5sD60fCUCRVXpvMjKcEKEysSm9TjIohlGP+T7pxafVQSM874IeLoda6lIxdkcyUyFuyYVQBQ4i24/IBpT2R58FubCNpHHl8cDJj3y7jkeTPpxPyzWdWTMvaUIosixOwEFdocgOBVy3CzvKFtq5J/aTyAV536czqFUBJDTKc3Evruvsgjg2thMrA6s3/5tJ2ZOGGRZJCTsursmDWZ2+gm8xphjefLMqSjE2x98wZR+XHJbGU42hw3yvLPKB1MGK6vIcsfEwWhsCjF//9XxAK4a0h1H6gPw+cNMYI71TGsri7Bm08f08Jxi6X17QQ7vcbQvu0ZCEHhEGGw8r8eBNLvN4IdTW1mEeOJv9aAv2QdIN6nPH8ba1w/g5uv64zd/eR93TSpgrrd6oISMyTsmDsaGLZ8yWdt2ScCyF/agajzbNyJZ3oOwTAlgJ6iaBGoUPOYnmID6Z5M6NJ4iOPU77147XXDKynstHIvTMaDvJNX8g7XO7iZ/BPOXbbHcGwjZIZK4DzvPQZYVxBL+J8n5UdU4lY7PYIRNxFAUlfoGpuSNUqEPxYI13cXrRJpDNLD/9RLhnjQbHY9EJlrfvX3XpALYbDyiUQXZXqdlYUVWVKS77IbXZrjt7b5GL6dbPrIvnYf6PWP25AKtKJ603pPOw1QHyncfVvL5IgCvx47ivBxcNaQ7jp4I4PG1H6JqXD58/rCBSEb2WFbRWF/QXr5uN82Jp47NQyQm0I7SusM+/G71LsyePASPPLOTCchle52oP9mKKaP6QxTazoAsifS7JhXg3oqheHjl+4ac+tlX6wyfn4DUJMh8EAQ+tTZ3ML5pqV8yJu36fTjxfbBy2m176zFtrPY9Jfs0tVoQIx2SSP+9JFFwGH/lJfAHY4jL7PU4wy0hmjANzvY6oSgweVLefeMQZLglTBnVn2m5MP7KS/Dim5/gtht+iHhcNal08DzbQkJVVLOFy39IoDhdn7pUsKMjOWtyRxTBCfTfe7Kdg8smoEeOx/CeEQtsSxA4zKko1GRAXdqeHZcVNg4QidPX8RyHxSu2I7eHF1NG9UemRzKdAe6dUkjP9/p9/c7yAnTPcUORVYMKwanWXKI4Y+e1Z3Qqn0qBZ6uZpfC8sz9Y5MvaiiI88Xybx3XyXGB175F8gfgFJ3fIvv3BFxhzeW+T6txfXt6HbXvrMXWMgt/+bRcqRg3Az0b0xdHGAH6/+gOUj+wLwLjWJnvEZnuddE6xCpOeNBuWr9uN2sqiBJFUscSmSR7NgfuPrALOVJz38+o/6VhLRSrOxuAAvPjmJwb22ItvfoLq6wcxu/2qxw+CaNE9aEskGsmFQ1lh+w4urimDTeSNHRkij7isMjtTltxWxv65BXveWjqMzUQSeA4CuBT4dp7E6R5K0xwinA4RixNdRSLPQYCKuNqWJFiBYeQwySoSEgaQlTcgGX6bdxyBP9jmY5KV4UQwGsOPL7uIAn76zzF/+jD85q/vm+bdr2+/nIIo+gNGmsOG5zbX4Uh9wJTk6LXXZ04YBK/HwQTmWM90yYrtqBqXj21767/2wT8Vpw5ZViAKPJN9KggcOPCUxU/8yZLHXfnIvrQACLR9j8TL0mqcx2QZtZVFaPKH6Ti3AuoIqJbtdaJTuiY9S7pMvmpsxcLqEqiqCpuNB8eBGn27HOwiNs9zEBOdkO2xblOSc/9psDtGl9x2ZrvXWOCUVdGMBcDYJQF3//4t5rovAvC1anOCkCeS/4Z4nFoRSFSw8w6eaxufyXMut4cX5SP7QoUKCAJaGUVKj8sGqFoxKBXnX/AWeasnTaKACGCWCNevv4RpP3/6MPiDmhfaig0fAQBm3zQEs8oHt+NpCfAcsGhGCQAOPAfwIoe7bxyCUIS9xuvldLt2dlmA5g7q8UZ+pp0PSuk8T+XgZ2fIsgKnJGDa2IG4/4mtlPCz9vUDTFk3QeDbzR965LhpHuDzh9EciGL5ut144NYSHDrmx9rXDwDQlGTuLC9AdicnU0q/TbK+zXublXv/bvUuLKkpw53lBcj02FF/shUvv3MQN1yda2Dik6I0iWyvE58f9WP5ut0pUlsH49vIu6z24fR2vEc5xv4cCEbbzVHJverlY//0i+HM12S47DjR0jYWn9tch3FXGv3YRJFDIBgz4SK/W70LVePy8bvVuzCvahhEgcOiJ41rI8m5ki1WiOIMuSdW7puK7yZOVVBNxqo6YufAzoPZ/qoCz+Hldw7i+qv6YNN7B3HvlELEZdl0zp9VPtjwOrJ/+/xh2CUBU8cMxC+T9mqiNqAnvTX4Quic4TB1YpMxvmhGCR66rQw+fwTpLgmiyGHqmIGoGJWHJn9E80w7xTMi92iFm6TUA87uEAQeJ/xRkzKEKPLI9Ei0QzrdZceql/fS75eMs/nTh9H3WrlxL+oO+wx+wYC5E5aFuy1ftxs8z2ny9gnp7+rxg/DzyUNgs3EIRuIGixOfPwyHXcCDM0sBaMobPG8uZhJ5z6ljBmJBdQk2vXcQo8su1s6PFmOZ5Oo8D4M3/KmsAs50pHCSVKTiHAkVKsZfeYmpExBon82eXESYPXkI+ATAppf9VKFCtmDMKWqbNjOJbK8TD85kyxRYeUUpqsaeTw4rGQZJsPo5h5P+KB7U/fy+yiJkpg5452R02C/qFB2D+oKLVcGDJNNW4EeGW2J6A9ZWFmHz9s/p+xAWXt1hH4rzcnDTT/oD0D5HsiSoZOOZ1wqF47BLPB6cWQpFUfFVo8be1vuzrdq4z1J7/cIuHsrS6+gz1XcEpAou336Q4sdDNWWIJWQuyHdMxi4p2Ho9DhNgZwXYKqraLlDsdtpQ9/lJ9OnRif6OBQjOKh+Mf75/BPOqinFBlhsNviDWvn6AjrFte+vxsxF98YtH38Zj9wzHyo17MaKwJ8ZfeQlEkWf61ZKO8FOxbk/XVy4VWlh1IpHute8qTrU+J4MlkZiVQoD6/9m7/3g56vpe/K+Z2V9nz9mcs0lOglYkauOCCF8D5MSG+AuU1lJ+Nb0mUA1Hfil4Sa7aCkm4V+0lCWKxF9tbtf4KYCW0RS9G+d4ioF+xrUnE9FFBGClKoBVykpNNcn7tr5n5/jE7c2Z2Z3ZndmfPzuy+no8HD3J2Z2c/s/Oe93zm85n5fABRv/PZ7elVI281Gr5J1TTc/uG1+Op3nrLNKyFJgFLS49O4yDWOv9onAmrnU9tZvZHiKw/9HLddswbDqRjrJP1G0OpG7diycRVK5YoZK4YT0/ON2tb8a8zDJooChoeS+NYP9JxrPEVy7/eewZ9+4Ny6uaU2b1gFVQN23XPANkTebdeuwWg2BWgpxzp1sVzBrpvO1xu+JedOzEZ5JabxCZSwK5cUCNU72436r9HZXDsMVkVVcevVYzgxXX/j0bLsAF6enDGfljLqohP5OZycLZlPCMZjgvkUifGEiltdVZRgPjXgVvc+NlWAoqqQJMH2hNinb1iLkzNFlMoKFg0lsPGiN5qdOJnBBL787accG+fJWSfqXW43ct558zrXm8E0CXWdH0tGBhzn06vt+LV2Cj66/4W677hl02r8w+O/xPve/Ubs+sg6fPeJ5/HogZfwulcvqs6fXUS5ouHvH/0lxv/gTNdrpYm8Pizj8FDScZmTMyWkUzFbx2JmMI5kTOQQnhFU21blNrxgs+vmhCXfWeO+VFFwxTtXAlCx7i2vwY//9T/we2tfh8/97c/qho8d/4M36Z/74BhUVcMXbrkAr0zO4MvffgrXXOocs8NDCdtry7IDiEkCBMH5Kb0TMyUMDyYweaKAkzNF2w13xudrc6pTe97mDavw7R8633DCzu9ws+Zu6xOkd215OzZedHrdk37Hp0q29oHL3/Hb2P3dX+D6K96MDe/Rb9qprUsabWLxmPM13/BQAps3rMJctX3OWPe1l6r6yC4lBYsG4kjGJdz+4bXQoD84I4oCyoqKV47OmPn3tadk8D8/tBbHp4s4MV3C3ieex+Xv+G187pv6vLP6jf768esWy3ufeD4Sscu2O6Jeobk8CXj52a53s2sa8K0fPGf7zLd+8BxuuEIfszlmuWtBUTWkky7z8kjOiVkQ3O7scW5EcLtJwvoUAAQB0PQEXC4pjg3FZQVmB6BRlh279+MOztPTk7xelDZ7YtAeZ/Und2O4LeudPrXfuXR4AO97Tw6phIRdH1kHRTGeWhFx9spR/O5bV+DFw9O2Bo59Tx/GxvfkIAoi1py5vG6Yjm3jY44TDL9ybAbZTAqSKJhDiBmsd0cJEBzHXpdcOgAb/abWi2d2uCwMYx4F652bAGzz5xmdDNb5SkazA3j56IzzsSEIyGaSmJot1TWaGHMRvv41WduQsvXzPAiACFx8/uttw41aJ51flh1AOhk3Y8V6Q8q28TFzjiv7nLFnmdvd6K5bv/PKka5hvuxiO5PfJ7rjMfeRAIxjwi1PG3nLy/BNt2xajY3vyeHI8YI5ioJxvoAC85yhAQ3nzDReMxoHb//aPjY69yMNEEWYDb9Go53xdL61A+OxA4fMHCe/mMfeJ57HZ25eh+MnS7acu218DNdffhZ+9Z8nMXmigPxUAb/6z5OO+fUP1r0eE/n5UQ2ymRSmZkq4/av7MJHXh2naedP5mDyhP8F14BevYO3ZvwVJ0uc00jTV8eaNyRPOw4+zjhAdYvXcYO1wll/Mm0/KxaDpeU8SUa7oDWZO5+DhTMKc+6y2LjCR1+/e32m5SVR+MY/tX/hn/O8/vcCxrqooGhYN6nNvu11XFooVCIKA3xyZNt9/9MBLeOnwNDZe9Ea89pRFmJ4t2YbVN26UBaqN3AKgSSI7XxroRL3L7abDUtn9ZrCKKuDe7z1jzls9PJjEbKGMB74//0TKyFASGjTb0MfWIQuXZQfwjnNOxaKhhC0f/823f478VAHn/z+vBgC845xT8Z63noa5goKvfucpXLj6NAwPJXDtpW9GfqrY8GmQE9MlzBac6yHJuGR7wsp4fddN59ueIGF9NhrqR6xwr6M2OieWSwqWZhL63JeaBmia7Wa0zRtW4clnXsHF616Plw5PIT9VqBs+NpVw9xAAACAASURBVLsohRvXn43BVBx//o0n8f73no7liwfx0avOATTnHJrNzA+HuCyrD+l4531PYuNFb3Rcfnq2hMWZFIaHEq43AdV2eFp/I1XVHzAwtm1qtoTbP7wWAgSIIkcPaMdCTUXglruLZaVuZAvrfHnA/I318ot5fPnbT+HW8fOqI1TMx6d1+O/rXKaqGhpI4N6Hn8am3z8TuddmzfrGfx6ZxmuXZyAAKJZVbP3rf6r77J/dsNZWJ7jl6vOQjEuYLZSRScfxe7+zAvG4aG7D8FBi/vqxJpZFUQAEDTdcflYkYpedgEQ9Igbg6ovfhMPHZgEA8ZiAqy9+EwQR+MSm8zA1U7Ld/ai3PGn44/eegZgoQhSA4aEk/vi9Z0ASBUzNlOruaB9OJxyHHZAEAWvOXI4LV59mNjY8duAQYpLouHw8Xv/IdbOLCKNBeHQ0gyNHpuaHhHNoKK64jNNc4VNLPcntotS4oDeHlPNQSTXiSZL0oQx2Vk/ukijguz9+Hue96RT82YfWIh6vH6Zxy8ZVKJQrGE7HUSwrlsqXBqWkIJtJ4eXJGXzloZ8jm0mZwyQUShWUKgq++K2f46NXnuM4JOif3WCfYNg6VNLtH17rendUoydmGx1vTr+pMScgAHa4LLBGsWt06mQzKfMJUuOJje/++FeOd1dqgoZUPIb04hgUVcOum9Zheq6ERDyG3d+dv9j8xKbzbE+t5KcKGB5K4sRMEZ+976dmR7NTRd+4479cHa7GOsQRoD9ZaH1yas2Zy3HtpW+GqmoQPczR43deOdJJkmAbFmVZVh+CSpIEKJXmn+8Uv8OMDQ8mXfMaHBqzrcskJAEliICq4ZPXrcGeR34J+cW84/BNxjBJxoXrdZfan5g0zhmN5ocwWG+k8HJHOIVPu40rMQAjQync8leP1b336qVDtka4Ky863Zzj0mhULJfVuiGed+7ej9s/vBYPPv4cTl0+ZM6f8r5352xzD3/0ynOw+7u/sMXh+gtW2oaz2/f0Ybx66SDeu/Z1AIDTXjWMex9+GldedDruf+RZXLj6NDx24BBuft9bsHRkAK9MzuC+h5/BSCbh+PQC6wjRYa33GTf8vHrpEOKSCAl6o7UmiVBVDYsXDQCaYA4DZlz73f/Is7j2srNQrqi2OaQ2b9CfdgXmRyOwNvStv2AlKopS9/T11vEx7HvqZbx6dAif/so+5F6brc/pHxzDyFASJ6aLODmj4RObzsOd9/7UrLNkMykIGrDj6/bjxhi2cefu/Vhz5nJMzXibW7yfdaLe1ejGJLebwSRRsHV+bBsfM+Otdk4zo1NwyXAKJ2dKuPays3D95WehUlERrzb4ZjOpuic67ntYf6LKGB1gSinjwtWnmaNdLMvqc6E63RSx94nn8dErz4GqaUgnY451laTLKC+sF0SXNV4laNg+PlY3KpWXc2K5pJhtEVu/YG8T2PvE89j0+2eiUtFHMNp69Wrsqhl56MR0AacsGQQE4IOXnGmr799y9XnYevWY7UaiWzatxoFfvIJP37AWogD85ug0ZotlyC/mseeRXzq25WUzSSQkfT7Z/FQBn/mv52N4KAVV1TB5Yg7f/fGvHDs8zXaW6vbdcPlZuPbSN9tzicJ6Q6uajaoS6He55G63TmHjaVOjPjqU1m8Sll/M44XfnMT//ZcXcOnb32DWJa3XZE7Xc5s3rMK9Dz+NS972Btz78NP4wO+fgb1PPI9L3vYG3PfwM/j4H5+jx5SqIZtJ2eoqDz7+nG3I/Yn8HE5OlxyfaNVH61CQzSQhQIAkibYRaiTAFrBRiN3Qn2NyudwbAdwDYAmASQCbZFl+rrulIgqnclm13dGwfXwMg2kB2UVJZIdS+lApogiIGkQBkEQJilLG7V/9F1vlQRQFx7n/bv/w+eadd9ZhB/70/efWPfa9dXwMyZj+tIl1qItsJgmtwd19Qdy9IrqclEQR0cjM5EujO8uslR8N3sact1agjGG3fmt0CO9d+zp89TtP4fhUCZsuPgPf+dHzekPY8ADicRH5qSIe3XcI7zjn1LrK15JMAovSCaQH4vjs5rchf7JY18H2px8417XiJIjA//zwWhw7UagfKskl3o2hm9yemPXbwZKQBOfKOgXGLf/VVrSNuccAQICA229ciyPVPG3m/w+O4drL3oz8yQL+7ENrAWgQBaHu2Fg0qB8bQwMJ8wkoQI+7O+/9KbZsXIVbrj4Pw4NJqKoGTQMqimJ7qslqIj8/J9DeJ57H9Zfr54tkTLRdEBvzU9z8vrdgaEAvw21f/GdfFy3NnhakeoqqIRYT6ua2Ubo8R53fYcZEUXDNa9ahna2N2elUDKWKirmyioqi4Vs/eA7/9u9HzSHD9Pks6i8UjY68RvWImMtd39b51IyGxWbbRuEUROOKoqiIV+f8qb1xI52K6Xf/V+vqCWm+MdBoVFTgPDRXfqqITRefAU0Dnjj4H1j3ltfg7x6VzQbw4cEknvjX/8DVF78JS0dS0ADsuHEtRoYS5tM06WQcgIpCSa3LxUuHk2ZHoDFagVE/+uhV5yARExEXwZsyIs5685sxX7aiKBATEubKKvJT+jBZjx04hGsueTOOT5Vsnz8+VYKqatj7xPPYsnEVlgzrDYLHp4qYLc7nQk0D/vu1a/CN//cZx9Evrr/8LBRLKh7d/wLGznwVEgl9pIxL3/4GnLI4jV0fWYdKRcXkiQIqiopP/OUTts7uLRtXQRQEjGYHEAdQVJ2nocguSuKT163BqcszdU9yc4hQZ0HXu1p5utD6mWwmhVOXDTnu38WLkpieK+P7+w7hXeediu/86Pm6eNt69Vjd04DGTZaqptWNDrB5wyr88MmX8I5zXoNsZgDxuIDP3vw2VKqjCwAa/ujCN0IQYHZGrzlzuf6UiyBAEgQ9tyv+50FbqCd8yJ2ffRCPi7a6tvFUkdfvKbqMVmHMjWYcK9s+uBpzBQWFUgXJuIg9j/w79j19GJ+8bk3dvNifueen+MzN67DrI+twfKqIwVQcsZiAVbnlyJ8sQJKAeEzCD376knmzsqpp5uhGoiggLgmAoqJcUpBOxVBREshPFc253Kw33JUbJAhewwXP76gq7XDN3S7XQ0uHB/DV294NVa1ei0oCbrn6PJRKKlRNw5W/ezryJwsYGTLqIfPxbx2efMWrMhBFAdNz+o0ZRpvYr39zEp+87nfw+QcOIj9VgCQKkCAAgoD/duUqvDI5g93f/QXyUwVs2bgKS0f0G/KNGztSiVjdeSSbSSGdjNvaV3rhBqHQdwIC+CKA/y3L8jdyudz7AXwJwAVdLhNR6FTgPATmX3z07Th2olzXQbcsm0KxpNY9rr1r937c8ZF1jpVpsebOO0BP6oIgOK5n103rMBiX8NrlGcfKUv1kyMHcvRKTBMe7lmKSAJU1jJ5kVCRFScTWv65/km7XTed7vtC0zrFmDENgvfjTNA1379EbwARBwCe/PF8Zv2XTatz/yLO277//kWdx5UWnm+v86JXn1FXQdu3ejxvXn41yRXOsOGkaMHliztY5b7wXk9yerNXM46aVinbtZ8pK/TFLwWmU/wB7g0ft3GO3f3ht3Y0bO76uPx1y19/qT/E5XQxa5yj79A2/45j3R4YSKJZVs4HMOIdc8Y7Xuw63+OLhaXzloZ/jlk2r8dn7nkR+qoBdN52PEaPTRgMURTOfOnQrGxvggqdp8w1ThmXZAez6yLqu/tatNAS65TWnmxiScRFHThRsdaFbr14NALh7z0HsvOl8xCTRcV4/46mVRuURHeYo+thV5+KUJWl8eeu7zZtTjCcIbrtmDZ+SipigGlckaPjU9W9FfqpoixfjafvaG5is5/FYTHLMuSemS3jtKRn8jy/9M6677CzzCUDjqZg1Zy436yHW+IQg2J7Y+vQNa/GZe/c5bmM2HceHLj8bmqCZcxErqgZRBCRNQ7nEBr2oalT/kCQRk1Oluiel5kplx3w5Uyjhv7z7jSiWFFuj8EevPMcc8v5re5/C5e/4bVxz6Zvx37/oUGf/iD46wRmvW4rd3/0FTl0+hA/8/hk4MV3C1r/+J7Pect1lZ+Ere+yjERhP+H3loZ/r54DqDaZOx83wYAKfve+nTeePpc5p5elC4zN33rwOx6dKeOWY89D3Rl300zesxSf/Rs+NtU/777pnP/7bxnMwPJS0zeW+ecMqqKqGv/y7f7Utv/eJ57HhPTlz2TVnLrf9bdQtHvi+bH5u39OH8evfnKwO9amgrOjHnJ86z0I+4UPO/OyDCoBPffkn9XVtD/UF43vyU/Z5V51Gq9i5ez8+fcNafOEffm7WL6+77Czse/qwY6fGRH4OE8fmIEkCYpKIyZP1N5D++0tH8c5zT627OUPfTgWKJUCLZRWHj83yGi4k/I6q0tZ3uUzXBGguTz8LOHKiVHcd9tiBF/HS4Wl86A/Pwv/9lxeqN2rsqxsC1Bie3LhRc+tf/1Pddp6cKSI/VcBt16xBQhIc6y73PfwM7t5zEDe/7y3mqEX3PfwMCqVK3Xlk40VvdBx9I+qx7f12hC7I5XLLAJwD4P7qS/cDOCeXy412r1RE4eSW9AtF546+QlG/E97pMxVFb/CyWpYdQLza2WC8ZyR1CG4nnOqj0oqKmKZBqD467catgcXvCGWCoplPIO666XzcuP5s/RFu3nHf8xpVfqyVlb/ZeqHZqFUbk8Y6nCrbn3/gIJYMD7i+/5l7D+DC1afZ1nfh6tPMuF5/wUrb8APWMqYSMTz4+HO4ZdNq2zG2ecMqfH3vUxAgYPOGVXXHn6BonraLwq1R/rPG7p9+4Ny6Dr/8lHNMWV93uxg0nuZ7ZXLGMe8n4jGzccP4zK7d+3HxutfjsQOH6mLylk2rMTqiP00lCnql3XoMCooKSQB2f1efW2XXTedj+eJB1+OWguWWI9Uu/9Ze87Of9VnrHsWyVlcXuuOeA7jinSvN7VcVzXEUhFOWDDYtT6msmiMl7LrpfFx32Vn4+t6nUSorkDR9gvobLj/L3LbTTlnEHB0xjeoXvtajqEjFY3Wxtmv3frP+4Fb/lTStrh6+ecMqPHbgkHnXtNMT2tZ6iLH+z33zSUwcm7W9dtKlfmLkbygKYhog6jdXIxkXkRQExnLENap/OL33+QcOIukQw3fvOYhiUcVcoVL33l/c/zNs+v0zcd/Dz2Df04fxF/f/DKrLdaiiqLjlr35szoc5duarbKMdGDHuNhqBMRy+0ehp3GRSW3/+6neewkR+fv5YK/OpLOo4P20F1s8oioadu/djzyO/rKuLbt6wCg8+/pwtr7nFiyAAD3xfxqdv+B186dYLceP6s3Hfw88gEZccc6m1Tlz7t1G3qL0WrD1X+K3zBNVGQq3zsw/aqS8Y31Mb18NDCcd1npwpYv0FK82/jdEr3PLaiekS7rz3pxhI1OfwHV/fjzVvfpVjZ6PbdrpdX/IabuEZo6pYdfJcZuTuZdm0mbvdcpvbddgfvmsl1l+w0sybtUOA1p63H3z8OdfYHq12tJ92yiKUqueH2rrL+gv0676YJJqvbbzojVi+OI3tNfWEU5b0ZvtE2G9uOhXAf8qyrACALMtKLpf7TfX1I15WsGTJUAeLR0EaHc3Y/k/e4tf4vWrvFgKqE6q7DIGi373rNmymgNuuWYPbv7bPvHPitmvWYCSTwkgmhT/f8naUKyriMRHDg0lMnphzXE9MEjGaTXve3on8rGNZIQi2uPASIyOqhqF0wlZOMcIXc1E8LvzEb1DcjoNUMoZsJuVrHW4Xi8Zx06jxwcpaac+k4zgxXXIs49SsPv6+KMA2HJ0xzMF1l52FeEzEHR9ZBw3oibgOs4WOX6/5z2k5t5g6MT0/VJfbU3vG3FB7Hvklbr16DHfUzBOhaW6dRsBNf/QWaNVhYo5PFTF5ooC/+bb9blTje6zHoKpq+OPfO8M8x3zyujVtH7ed1Ev59/CxWcffWqiJsyjwU96Xj844xrEkzsdaueJcXwKAZYsHG64/P1VwHCmhUQxH7fdeSF6v3xbyN/Rav/BSJrd8b51D0in/A8CiRSp23Hg+jp0s4MR0CXufeB5X/u7piEmCmdNry+nWeJhK2JsC3M4lncjFvRz/YYzfRhrVP8x/17wnuMyDOlodvcKtsdoYyn4iP4d43PkJvVjNk3vGcWH8bcS4W71mdGQAS4YHbPXjkRHNdv2qqpr5pKzTfEO3XbMGS0fSfVvH7nQbWhCxb8TtRH7OHCouk44juyiFv/jmz8xYM/Jao3rwvqcP4w/ftRK/NTqIN7xmBJ/YdB4E1A/ZWZtLvV4LtptHvVwjhCWfhEXQv4fX6zSgvfYIt7heOjzgeq1nHbbeuK578PHn6kaoMJ560svtnKdV1fl1t+10eoIqTNdwhigdH622Qaiq5tiGuxDnsma/r9t1WEwSseJVi+pu1LAOAfra5UN4eXIGQ+k45BfzjrF92zVrMGrdzgZztVuPk4n8HF6zLINl1TbrOz6yDkeOz+HEdAlHjzu3cbcS22GKv7B3ArZtcnK64d3NYdoZ/e7IkSmMjmZw5MhU18oQtnjwEr/G7+U2rITbECiSKLgOmxmXBAynYnVDc0xOTpvrEABUKgomC2XEE5I5iauxnq3jY4iL8Lc/XcoKTTPX4zdGrOWMKq/bHOX4DYrbcaCVFc/fZazDrQI/eWIOWzaucq30ZjNJ8/Xav6dmy+bTU9bGhluvXo0vfevnAIAjxwvm8FzW9YoCoFbnl+qFuK7V9/HrIf+5LffYgUOOcX//I8+ayzS6GAT0C7m5Yhk3rj8bpywexEsT0/i7R2Vcf/lZrucQpajHX0wSIYn2YeWMedbcjsH5cwyQn5rDR688B39x/89aPm47pdfybzwRcz3vd/u39sPv8SdJzsMoqhrMWDNea3oMOq7f37mn2/XdWlGJX6uF/g297GPPZXLJ90ajhPG3W+wlJBFLh1PIZpK44fKzIEkCvvDgv2HzhlXY+8TzdXUMaz3Eun5jzkrDYwcO4ZZNq83hRDuVi4Ped4zfNjWofxj/ru+oc7mRVAAgOH+mNr7jLsdUXLQPD22dW9X6hIBTrG8bH4OkabZrViuj/qxZttlobLxx/dn4rdEMJBF1172dFLb4BbzFcKsCi/2afbhz934syw7gxvVnmx2AQLWO/MEx3P+Pz9bFi1EPNvKkUlLMocaXLBmqi8/aXOrWsVh7Ldh2Hm1yjdDNfBLG+AV8tkF54fU6DW22R7jE9Z03r6tbp5EHL1x9Wt21X36qgMWLUth10zocPaF3ahg3Fi/L6vO1ul3f+dnO5YvTddcV20NyDWdodHyEMX7baYNo1obbCV7yj9t1mP6UouZ4o4YxBOiN689GIi5h8oT+en6qgGwmiTtuWoeKqtZt5+hoBtCc47tQqtTN0S4K85+VJBHJuISvPPRzZDOputhuJZd3Oj/7jWFB08L7KGN1ONBfAlhSfQpQAjAJYKUsy82eBFwB4NdeDqBLPv6Q5zLtvesyX8u38pmoL9/qd3S7AgMAo6OZsNzutwIe49f6ezlNVhxLSJg4XqifE3AkBU3TcHK2jMPHZs1Ji5cvTmNROo5Swd8AE/GEhJICKKoKSRT1Sa9L/mYE8TLWerdjpBt8NEJHOn6DEsTE6ZIkQpMEHK8ZS3zb+Bgyg3FIogABAvJTRdv7W8fHMDqcRLGsmt9vHZPcmM/tOz/SK+zDQwlkM0lAAG77gj4vypozl2PjRafbjtl+mPeh3+PX61wTbsstySRQUjTHuDOW+9T1b0UqHoOiauYcZcb8U8aF5FUXnY7hTAKlsmqZS61Ydw5ZmknYcrz1uIuJIkQJ5joaHYPG9tz/yLO2Y2IgLvo+h3RCr+XfVDqO49OluvP+yFAChdno3FTg9/iLJyQcnSrVxfGS4SS0smrOG9XOnDt+zj1hq8tEJX6tuvEbNtvHXsvkFGvN5gT0sj4jjy5bPIChgTg0DUgmJAiqimPTJez4un1OwMxgHJ+uzltknAeefOYVvHft6yAIAkQBLdWhmulAJyDjtw3N5iR2em/pcBJHTxQ9f8YtvgFAiEsoFCu2Y8p6rCXiImYLFds8mmvOXI5rL30zpJgIqICqab7iNUxzrIUofgEfMdyqoGLfaR9+7KpzEYsJ5tzHtXVkQRCgAagoKn5zZBp7Hvkl8lMFcxlrvXN0NINjx2ZsOT8hCTg2VcKO6nc6zQnoVCdvN482i9cudwKGLn6B4DsB/eaMVtsjGn2PKAmYK6vITxVxYrqExw4cwvvenYMkCUgnY0gnJVsbxNKRNI4fn61bn3Guf/s5p9a1NyzJJOquH5ttpyYJqCgaVBWIiQIkaKFqs2jSCRi6+O1WG1qrvJTH7TpsaSYBVdHMOqw+J6D1RvkxlCsVZNIJpFMxT20LRu52qocMpeP4yv/5ecO6dm39Q1Vg62z0G9sL0AnoK4ZD3QkIALlc7ocAviLL8jdyudz7AVwry/K7PHx0BdgJ2JXlW/0OdgLarECAJ4DkQByFkmp20KUSIopzemNfIhVDsayZ7yXjgu8OwCAF1cDSS3qtEdoQhX3ZLB69dn5b15OMS1BUDRVl/jOqopnvp5IxCKoa6MVjFDB+vV8wBrGc8Z6qQh86Q9AAzbkBzYxzTYMkCC3d5BHEdndDL+bfVDqOueJ8I8FAUoxUByDQ2vHnJV8vVCyG7fwXpfg1hO03BPyVqTbWEpLQ1nnfLXaNMkmSCEXQG+pEUX+COyYCxbLq6TwQJHYChi9+vdQXat/z85lG8e3tKYLgG5rDUvcIUfwCEeoEBJxvQFNUDdAEqGo116F+v3rZ927llCQRCgRUqutPxEVUylpbjcR+t7WVY6hTwhi/QAeeBMTC5Yygrt+s53+nz7jl5bDkxqCwE7CzvJan0XWYEXMQoOdvTYMotFYvrY17v+eHoIWtEzAKw4F+GMA9uVzufwDIA9jU5fJQB5TKiq/xzAvFCqZOzjVdjnTFuTIEVA94RUFxbr7Rq1So2N7r9oMXxhAcenk0dP85EOpnzeKxXFJsx0/ZJWCt61GqwxrVfsZ4P5tJ4ciRKR4Hfchr/gtiOeM9CYD1Dad1GXH+qmol1i3OW8W8v7AKs2Xb/izM9scv7iVfMxZpodTGWllBW7HXLHaNRg79fUBVVJQAz+cB6m1e6gu17/n5TBDxDQUQof8Hpf04Zb6PPvs+VKBW4wyYz2tO+7WdfV+bSyu2vzsXR4zX7luofRDU9Vuzz7jlZcYadUKj6zAj5gxSzWdbicFWzw+9LvSdgLIsPwtgTbfLQZ2ViEu+nxwMz70PRERERERERERERERE4SJ2uwBEREREREREREREREREFCx2AhIRERERERERERERERH1mNAPB9oGCahOfEo9xzqHoBeFYgUz0wUvi64A8B+APi9pF3mO336McW6zqxVg/PaEPv1dVoDxG3rcZlcrELH49bNcGEW57EDoyr8CjN9AsEzeBFymFWD8Rlqf/xYrEI74BRaoDS0q+zsq5QS6WtYVCFn8AtHad53E30HX4HdYgZDFbxTbIFiexjpcnhXwEcOCpmmdLEw3rQPwRLcLQZH0OgAvdLkMjF9qFeOXoozxS1HG+KUoY/xSlDF+KcrCEL8AY5haw/ilKGP8UtR5juFe7gRMAlgN4GUASpfLQtEShjtBGL/UKsYvRRnjl6KM8UtRxvilKGP8UpSFIX4BxjC1hvFLUcb4pajjk4BERERERERERERERERE/UrsdgGIiIiIiIiIiIiIiIiIKFjsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuox7AQkIiIiIiIiIiIiIiIi6jHsBCQiIiIiIiIiIiIiIiLqMewEJCIiIiIiIiIiIiIiIuoxvdwJGAOwovp/oqhh/FKUMX4pyhi/FGWMX4oyxi9FGeOXoo4xTFHG+KUoY/xSx/VycL0GwK8nJ6ehqprrQtlsGvn87MKVqkO4HcEYHc0IXftyu76KXz+4ze4Yv72hX38Xxm/4cZvdRS1+gWjvzyiXHQhf+Rm/wWCZvAm6TIzfaOv33yJE8Qv4iOFWRWV/R6WcQHfLGsb4rVSUyOy7TopSDHdSo98hjPEbtTYIlqexTpfHbwz38pOAnsRiUreLEAhuR3/qx9+L29w7enW72sXfJRr6cT9xm3tLlLctymUHol/+MAjjb8gyeRPGMi00/gbz+Fv0l6js76iUE4hWWRcCfw8dfwddr/0OYdselqexsJWn7zsBiYiIiIiIiIiIiIiIiHoNOwGJiIiIiIiIiIiIiIiIegw7AYmIiIiIiIiIiIiIiIh6DDsBiYiIiIiIiIiIiIiIiHoMOwGJiIiIiIiIiIiIiIiIekys2wXI5XJLANwH4A0ASgCeA/AhWZaP5HK5twL4EoABAC8AeL8syxPdKmuUSZKICgBF1SCJAmIAV+i2rQAAIABJREFUFEXtdrFogWUWDSCV9HfYF4oVTJ2c61CJiHof8y9RYzxGqB2MH6Lg8HiisGAsUqcxxiiMGJdE4dMrx2XXOwEBaADulGX5hwCQy+U+C+COXC53PYBvABiXZfnHuVzuNgB3ALimayWNKEkSkZ8tY+fu/ZjIz2FZdgDbxseQTccjGbTUulQyhks+/pCvz+y96zJMdag8RL2O+ZeoMR4j1A7GD1FweDxRWDAWqdMYYxRGjEui8Oml47Lrw4HKsnzM6ACs+gmA0wCcC6Agy/KPq69/EcD7Frh4PaECmMEKABP5OezcvR+V7haLiKjnMf8SNcZjhNrB+CEKDo8nCgvGInUaY4zCiHFJFD69dFyG4UlAUy6XEwHcCOA7AF4L4JDxnizLR3O5nJjL5RbLsnzM6zqXLBlquszoaKaF0oaP23ZM5GfNYJ1/bQ4QhFBuexjL1C1hid+w7ZOwlWchRHGbwxK/3dRq/u313yUKGL/Ogt7mKNRRwlIOP7zELxDNbTOMjmYiET9uwl6+bopy/Ea5TAt5PIXxdwpKlOO3W2p/iyjn9l7gNYZbFYZ96CXGwlBOr6JU1oUQ1d8j6NwX1d8haFH6HaLYBtHr5Wn3uAzT7xOqTkAAfwlgGsBfAbgiiBVOTk5DVTXX90dHMzhyJPqDHTbcDknEsuyALWiXZQcATQvdtnd7f4Tp4ASCj99Wty9McdLtGOkGr9vc6/EbSS3k3774XRwwfsOvI9sc8jpKr+ZfINoxbJY95PHjJmy/PeM3GJEv0wIdT0H/TozfaHP8LSKa21sRtvgFvMVwq0IT+01iLDTl9KCbZQ1j/ALhar/yJcDcF6UY7qRGv0MY4zdqbRB9UZ42jstO/z5+Y7jrw4EacrncnwNYCWCDLMsqgBehDwtqvL8UgOrnKUDSxQBsGx/TgxQwx68NWw8wEVGvYf4laozHCLWD8UMUHB5PFBaMReo0xhiFEeOSKHx66bgMRZlzudxO6HMAXizLcrH68pMABnK53LrqvIAfBvD33SpjlCmKimw6jl03nQ9F1SCJgrnjNUm0vRa1SS2JiMLMKf8mJAElRYMiCMy9FFqSJKIC1NUbguZWR+Ex0TnGvp3IzwKSGOnfm/FD1BqnHM/jKfrc9mvUMBbJL7+xzxijMPITl72S74naZT0W8lMFSJIY6LHQS+eLrncC5nK5MwFsBfBLAP+cy+UA4NeyLF+Ry+U+AOBLuVwuBeAFAO/vWkEjTlFUCKjucEUDJBH52bI5uaXRk51NxyMZyEREYWXNvxIETE6VmHsp1CSXOsLISGeGhqqtoygd+RYC3PdtlHMQ44fIn2Z5gMdTNPVafmcskletxj5jjMLIS1z2Wr4natVCHQu9cr7o+nCgsiw/LcuyIMtyTpblt1T/u6L63j/LsnyWLMsrZVl+jyzLh7td3l5RAcyDBNAntdy5ez8q3S0WhVCprGB0NOP5v8yigW4XmSi0mHspCtzi9MRMsfEHKfSYg4iIeaA3cb9Sv2LsU79hzBPpeCz40/UnAak7FFWzTWoJ6AeLomoMCrJJxCVc8vGHPC+/967LEJ5pYYnChbmXosAtTssV/Q44ii7mICJiHuhN3K/Urxj71G8Y80Q6Hgv+dP1JQOoOSRTMSS0Ny7IDkEQ27xERdQpzL0WBW5zGY6w2Rh1zEBExD/Qm7lfqV4x96jeMeSIdjwV/2JrTp2IAto2PmQeLMW4ue8qJiDqHuZeiwC1OhweT3S0YtY05iIiYB3oT9yv1K8Y+9RvGPJGOx4I//F1CSJJEVKA/1pqIi1AVoKKqkEQBMcDT5JbWdTh9TlFUZNNx7LrpfNdliIh6RbOcuFDf5SX3qqoGTRKZm6llfuO9dnkAjnEqBnBH3UIei1RPUVQsHU5i103roKgqJFFEMi6gVODMCV4wfimMvMSldRkNwJJMoul1IOM9Whby+r5TsRHUehm7/cHYz0VVw6J0AnfevA6lsr92Mz/fpUkCKooGVdUQE0VI0BhXFAi/OctPvndaNwDmSOoJ1mMBAiBAgKKoqACISfpzb+32r/QSdgKGjCSJyM+WsXP3fmQzKWy6+AzcvecgJvJzZo92Nh1v2phnrKPR5xRFn9snBgCKBqXjW0dEtPC85sSF+q5GuVeSRBx65SRu/9q+jpeVepPfeG+0vKCogdYRFvJYJGfxhIQjJ4rYZdkHW8fHsDSTQLnEmmAjjF8KIy9x2TDPa5pjjme8R9NCXN93KjaCWi9jtz80289Bxr4kiZgpK8gfK9ra5raPj2GEcUVtajVnecn3TuvePj6GeFzEp778E+ZI6gmKoiImicjPuMd6q/0rvYbDgYZMBTCDdv0FK80ABfTJLXfu3o9m92pb1+Hnc0REvWghc2K731UBzA7ATpeVepPfGIzS8UHtKykwOwABfR/s2r0f7P9rjvFLYeQlLluJXcY7uelUbAS1XsZuf1jo+uvhY7N1bXM7GFcUgE7GstO6d+zej8PHZpkjqac0i/VW+1d6DTsBQ0ZRNTMoM+m4+W/DRH4Oiqp5XoefzxER9aKFzIntfhfzN7XLbwxF6fig9imq6rIP+ucOyFYxfimMvMRlK7HLeCc3nYqNoNbL2O0PC11/TSVijCvqiE7Gstu6U4lY3WuMZYqyZrHeav9Kr2EnYMhIomBOaDk1Wzb/bViWHTDn6/GyDj+fIyLqRQuZE9v9LuZvapffGIrS8UHtk0TRZR/wkqAZxi+FkZe4bCV2Ge/kplOxEdR6Gbv9YaHrr4VShXFFHdHJWHZbd6FUqXuNsUxR1izWW+1f6TW84g+ZGIBt42NYlh3Ag48/hy0bV5mBaoxZ22wiR+s6/HyOiKgXLWRObPe7YgBuu2YN8ze1zG8MRun4oPYlJGBrzT7YOj6GhNTlgkUA45fCyEtcthK7jHdy06nYCGq9jN3+sND11+WL03Vtc9sZVxSATsay07q3j49h+eI0cyT1lGax3mr/Sq8RNK1nH31cAeDXk5PTUBs83jk6msGRI1MLVigvJElEBfrjrIm4CFUBKqoKSRTMADXeN15bvHjQth3WdRjLRGGyy27vj9HRTFhuA1iBDsTv6GgGl3z8IV8F2XvXZb4+s/euyzq6D7sdI93gdZt7PX7bsZA50c93OS07MpLG0eOzkcvf7WL8BsdvvHtdPohtblbHCVus92L+TaRiKJY1KKoKSRSRjAsoFaI1I0K3jr+gziVhyx9Ril9D2H5DYOHLZMSjqmoQRREQNECDLS6NMrUSu52qOwX9OzF+vQlyfwa5LutvEdR6o9QWEqL4BXzEcKuCjP1O7ufackqSCCEuomypPyUkoByCSZW7eT4MY/wCCF39oJlOxLLb+T8hCShXr79EUUBMEiAoWmhzZLsaHR9hjN+otUGEqTx6npZQKFYcYz0RF1Epawva9tDp38dvDPdbp2ckKIoKAfrOUauVihgAKBogicjPls0JL43e65ERzXUdUDR0v2pCRNQ9C5kTvX6X5JrPAUFRmb+pZX7jvRvHR1ISkZ8q1cV/Nh3v2YvQMJAkEUdPFPm7t4j1awoLtzqE27HcSuwy3nuH33hpplOxEdR6Gbv9YaH38zHWn6hDOhnL1nVLEDDpcv1FFHWKomJ08SCOFKYax7qm9W3dgMOBRkwFMIMY0Cey3Ll7P07MFLtbMCIi8oX5nPqZW/xH63m06OHvTtQbeCyTH4wXovbwGKJewDimfsFYd8ZOwIhRVM0MYsNEfg7lCu8+IiKKEuZz6mdu8a90aPgp0vF3J+oNPJbJD8YLUXt4DFEvYBxTv2CsO2MnYMRIomBOZGlYlh1APMZdSUQUJczn1M/c4l8SwzQ1Q+/h707UG3gskx+MF6L28BiiXsA4pn7BWHfGlsaIiQHYNj5mBrMxru3wYLK7BSMiIl+Yz6mfucU/J6vuLP7uRL2BxzL5wXghag+PIeoFjGPqF4x1Z/2+/ZGjKCqy6Th23XQ+FFWDJAqIARD7vDebiChqmM+pn7nFv6JwONxOsv7uEARA0/i7E0UQcyj5wXghag+PIeoFjGPqF4x1Z213AuZyuZUA8rIsH83lcmkAWwCoAO6WZbnQ7vr7nSSJqAC2oCUiIv+c8mm3KwGKokJA9WSsaFAAqKoGTRJDVU6KvqjEfzNh3A7qX4xH6qZWcqhVkPHLYyH82o2XoBkxM5GfBSTRMWYYVxQW1liMiSJECSiWVUgusUsUlKDzYFDnAuZnWmh+Y84t1vs5doPoU/o7AFcBOArgTgBvAVAC8EYA1waw/r4lSSLys2Xs3L0fE/k5LMsOYPv4GOJxEZ/68k/M17aNj2FkpL8ntyQiasQpn24bH0M2HQ/VCV+SRBx65SRu/9q+UJeToiUq8d9Mr2xHN/E3DA5/S4qyIOOXxwL55SVmGFcUFk6xuGXjKtz7vWeQnyowLqljwpoHw1ou6l1BxVy/x24QcwK+TpblZ3K5nADgjwD8IYDLAPxBAOvuaxXADEwAmMjPYcfu/Th8bNb22s7d+3FiptjFkhIRhZtTPt25ez8q3S1WnQpgdgAC4S0nRUtU4r+ZXtmObuJvGBz+lhRlQcYvjwXyy0vMMK4oLJxi8e49B7H+gpWMS+qosObBsJaLeldQMdfvsRtEJ2Apl8sNAjgPwG9kWZ4AMAtgIIB19zVF1czANEzk55BKxOpeK1d6v8eaiKhVbvlUUcP1FHVUyknR0itx1Svb0U38DYPD35KiLMj45bFAfnmJGcYVhYVbLGbScfPfjEvqhLDmwbCWi3pXUDHX77EbRCfg3wN4BMDXANxbfe0tAA4FsO6+JokClmXtfanLsgMolCp1r8VjQexKIqLe5JZPJVHoUomcRaWcFC29Ele9sh3dxN8wOPwtKcqCjF8eC+SXl5hhXFFYuMXi1GzZ/DfjkjohrHkwrOWi3hVUzPV77AbRc3QzgM8A+IQsy/+r+loMwJ8EsO6+FgOwbXzMDFBjTsDli9O217aNj2F4MNnFkhIRhZtTPt02PhbIxLhBigG47Zo1oS8nRUtU4r+ZXtmObuJvGBz+lhRlQcYvjwXyy0vMMK4oLJxiccvGVXjw8ecYl9RRYc2DYS0X9a6gYq7fY1fQtO4/8pjL5f4cwHoAKwCcJcvyU9XXXwBQqP4HALfIsvyPHle7AsCvJyenoTZ4rHN0NIMjR6ZaKvdCkCQRFeiPrMZEEaIElMsq4nEJiqpBUVTEJBGJuIjZQgWSKCAG1E1oKUkiFAioqCpEUUBMEiAomm3ibeN7JFFAQhJQUjTzb6d1dkK398foaCYs3f8r0IH4HR3N4JKPP+SrIHvvuszXZ/bedVlH92G3Y6QbvG5zr8dvu2rzXFB5zcivmqABmgBV0yAJAiTYc6wmCagoGgQB+nJGPq4px5IlQzh6fLYj5ezE9geF8essqP0WT0goKYCiqpBEEQkJKJcU1+804lWt1j8kaBgZSSM/NVf3eifiyG27FzqOezH/DqTjmC2qZiykkyLmqnezR0W36gJB1ZdHRzM4dmwmNDk5SvFrCGN90G+Z/OYzax6PSSIkUUCxrDT8bKNYM74fLvUSP+Xzs2zQ+47xuzCCPP9KkgghLqJc1vRzkSRCEgFVgVmvsNdFgJgotF3nCGNdOETxC/iI4VYFHfvz7VwaRBGQJAECAEHRy9/q/q4tZ7P86/Rd7Xy/H93MJ2GMXwChza+t5CDjM6pajW8R+vFpOW871UdHRtJmm0IiLkJVgIqqBhaLYcynThodH2GM37C0QXjVTnmcYghA3bWWAqCiaNA0QBQEQNAADY4xZy1Pq+0JXsrl55qvw+3jvmK4pc7OXC73fQBNawWyLF/kcZX/B8DdAJ5weO+PjE7BfqQoKgQASUlEfraEnbv3I5tJYdPFZ+DuPQcxkZ8z70S693vPID9VwLbxMWTTcVvj8/HZMnZUJ780ls9mkhiMSwCA/GzZnBxzzZnLsfGi07HLsnztOomIosbIpzEAUDQ4d3/4Y+TXbz7yLC552xvw+Qfm8/L28TGMVOeKmCkryB8r4js/er5uudr8KooCBEUNvJzWPM+8Hg1B7TdJEjE5VfK0HkkSzXi11jM+df1bMXt0GsdOFmyvG3EeZBw12+6gj+N+kkrH8Uq+YKvjbR0fw/JsCoWIdQQutEZxKWiar3hUVY05uc/5ze/xhISjUyXbsdvo+s/QLNZikoj8TP37SzIJz+cNoDN1LAqPIOuR8/WMWVt9YvOGVdj7xPO46qLTsbhB/IVhGygc3Nq5UkkJmXQCc3MV23vtxGzDfOgQW5+6/q0ol9VAvp96Q6s5yDhXzygKJo47tydsHR/Dnkeexb6nD5vXZ7OVaXzyb/7Fsf04iFjkeZ/a4XQ8bB8fQzwu4lNf/onZN7Hp4jfh+FTRd/tDq+0JXsoV5Xze6nCg/wDgQQ//eSLL8o9lWX6pxbL0hQpgBuH6C1aaBwCgT2J5956DWH/BSkzk57Bz935Uaj5rVD6syx8+NotKzboB4MLVp5kXmMbyteskIqL5/Hrh6tPMijig580d1bxZAXC42tDhtNxC5NfaPM+8Hg1B7Tc/67HGq3X5w8dm8crkTN3rOzoQR4zXzpkrqnV1vF2792OuGK0LmG4IMi5PzBQZ433ObzyVFNQdu42u/wzNYs2tHCWFeZjmBZn/3OoZn39Aryfv6FD8sW7Re9zauU5Ol1CpaHXvtROzfvPo4WOzgX0/9YZ2clCz9oRd1fYI4+8du/fjlckZ1/ZjxiJ1m9PxsGP3fhw+Nmvrm5hwqC94aX9o9XjzUq4oH0MtPQkoy/KXgi5IA3+by+UEAD8GsE2W5eN+PrxkyVDTZUZHMy0WbeFM5OcDLpOOm/+ef38OmeqdcRP5OUAQzO2yfta6fCoRgz4uHWzvu63fus5OisL+WChRjd9OlymM29xpUdzmqMavH0Z+bZQ3ASCViDVdzvpbBP27uJ0HFiqvR1EY4jeo/eZnPRP5WTNerVKJ2Pzn2ixPkOVdCFE8Rtzi9+Wj046/raJqeFXEtnOh90uQcRm2GA8bL/kXCOex6bVMfmPg5aMzvq7/vH6P2/uKpnU0RsO474IS5fh1E3T+c6pnWOvJnYg/5l3vvMZwq4L6vRu1c4lC+3XWZu1pjfKoW4x3Kt4Yw3Zh/D3ayUHWvNmsPdj427h263b7bhhEaTvD0AbhVyvladhPUWWr49Ys1yh+G9Vvm8W9l3J5XZe1PGHR6nCgV3lZTpblb7ayfou3ybL8Ui6XSwL4XwD+CsD7/awgauPpupJELMsOYCI/h6nZsvlvw7LsAKaqwzgtyw4Amja/XZbPWpcvlCpAdU5I6/tu67ets0O6vT/CdHACwcfvQm0f5wQMlo85qRagNN71TP5tpJpfG+VNACiUKk2XM36LjvwuLueBhcjrXjF+HQS13/ysRxLNeLUuXyhVzM91PI5CFK+9ln8lSXL8bSVRCE0u8KIr548A4zKeiocmxoHoxK9VGOsQvsrkM57cjl3X67+qprHmUg5JEDoWox2YEzCwdQUhqvHbUJDnZZd6hrWe3JH4C1Hdwips8Qt4i+FWBRr7Ddq5VC3Z1v62lbNZ7Di87xbjnYi3Ls8J2JXvbSaU+bWdHGTJm83ag42/jWu3brbvhkGTOQEXuDTNhaINwoeWy9Oon6JqaraMeMxffcAsT6vHm4dyeV4XOr+//MZwq8OB3uzhv//a4rpNxhChsiwXAfw1gPPbXWdUxQBsGx/DsuwAHnz8OWzZuEoPOsAc+/zBx58zx6aN1Xx2e/Wz1uWXL04jVrNuAHjswCFsrVm+dp1ERDSfXx87cAibN9jz8vZq3owBWL44jS0bVzkutxD5tTbPM69HQ1D7zc96rPFqXX754jROWTJY9/r2DsQR47VzBpJiXR1v6/gYBpKtXhL0jyDjcngwyRjvc37jKSGh7thtdP1naBZrbuVISMzDNC/I/OdWz9i8Qa8nb+9Q/LFu0Xvc2rkWDSUQiwl177UTs37z6PLF6cC+n3pDOzmoWXvC1mp7hPH39vExnLJk0LX9mLFI3eZ0PGwfH8PyxWlb38Qyh/qCl/aHVo83L+WK8jEkaFpn7vBpRS6XewHAH8iy/FQulxsEEJNl+UR1ONDbAbxJluUrPK5uBYBfd6oXXZJEVAAoqgZJFBAD6iaEbLRM7XsJSUBJ0Rouq6oaRFEEBH0ZVQEqqj5JrCQKKJYVSKKIhASUS0pdWRQIqKgaRBGISQIERWupPJ3U7bsaRkczQte+3G4FOhC/o6MZXPLxh3wVZO9dl/n6zN67LuOTgAHz8SRKT8fvQvCS250+o0CAJgDQAFXTIAkCJNhzrCYJqCgaBEGAJApQFA2KqiImirZlrb9LK+Vx2yYIADShei6BuS637wjiu/1g/NoZcaVoGkRBAARA0GCLFb/rqqgqRFGoqwM4LW/Eq6ah+v0aknEJiqKhrKi216Ghrdj0Uk9aqHpIrV7Mv+l0HDNFFYqqQhJFDCZFzFruHo4Ct/0SZNw4rQtAIOsfHc3g2LGZUMR4tTyRiV9DmOoQ8+dZAdA0z/vSbw4UJQElBVA0/TUAEEVAU/XPiQ7ncAgCBA0QJaBUVpGIi+Y1ZLO47lQe7sCTgIzfBdBKTrTWJ1RVM+u8AKBJAhRFrzeL1Xg26jkAHOst5jFQPX85tXv43YZu5V1DiOIX8BHDrWo39p3arcoKqu1cAiRJv+QRFL38tcsqQF081saskTslEWa+dMqdTp/rRJ2hmSVLhnD0+GxX4jqM8QuE9ElAOF2X6znOaH+1tvnWXl/VtidAg3ldXxfbkoh4XMRcoQJRFJBKSCiW1JZzZ5Q1eRIwdPHb7TYIv7yWx2uOrD3PxyRAg9F2piEmCg3bQ6zXWI2OJ0M8IdXVK1RFCyyfL8CTgL5iOBQdl7lc7vMA/hDAKQAezeVykwAuAfBgLpeTAEgAfgHgpu6Vcp4kicjPls3JIo1e4Gw6bkvQbssAsL235szl2HjR6eZk742Wtb2nqEhKIvIzpYZlAeaDMwYAil6ZsVIUFYL5voayAtvf/XF6IKJ+5iW3OzHeM86+kvF67TKK/vi9JInITzXP262Wx882ub2/JJPApIcyUmdIkojjs2XssPz+WzauQjaTxGBcar6CmnU1qo84MeI1LonIz3UmPprFZm29hPWQYCQH4ng5X7DVObeOj2HZSArFuWh1BNYKImd6WZegqIHEJWO8N7QTd24x0GidMQBT1byczaSw6eIzcPeeg55ydKPc7RTXjFGyqo0HeKhjzpQV5I8VbTG6fXwMI+k41JICAcAptQ1kLutdOpzEkRPFuvPX0kzCc2M2YzraGtZpNb3uqimAtfnc2N8SBEwVKshPOcdj7XWRW34166k1ZXOLrU7HmySJOPTKSdz+tX28ZosARdEf4sjPNG4P3rxhFfY+8TyuvOh027WR0Z5gkAC9fRf6tePOmmvHe7/3DEYyCdf2ZsYILQSv11WQxLp6av2xoDTMpaqqea6XxxMSjk6VHOsVQklZ8Hy+ENoe+yeXy52Sy+W+mcvl/jOXyxVzuVzJ+M/rOmRZ3izL8mtkWY7JsnyKLMtnyrL8K1mWV8myfHb17/8iy/LL7ZY3CBXADChAnxBy5+79qHhcpva9C1efZgZds2Vrv8tLWYiIqLmFyqdevyeI8rR6DikpPLd0UwUwOwAB/fe/e89BHD4263sftBNHnYwP1l+6o1BS6+qcu3bvR6EU/UaAIGOK8UledSJWvF5Hrr9gpdlAbV3OLUfz3E5B8lLHPHxsti5GdzSJObf1Fsua4/mrTx5mIbRfp20Wj17ya9jyZQUwOwCB8JaT5nlpD/78Awdx4erT2rpmu3vPQay/YGXD9maihdBO+5ffY+HETNHzeaKkoO/qFUFMAPJFAAMA1gMoAHgbgH8EsDmAdYeSomq2SSIBPVgUyyO7jZapfS+Tjntetva7vJSFiIiaW6h86vV7gihP6+cQleeWLnLbL6lEzPc+aCeOOhkfrL90h/u+i34nYJAxxfgkrzoRK16vI92vId2Pc8Y1BcVLHTOViPmOOf91j+ifv8ibduu0zeLRW34NV75kfSV6vLYHG6+3c82WSccjE8vUu9pt//JzLJQr3uu6/VivCKITcB2ATbIs/wSAJsvyPgAfRA93AkqiYE4IaViWHTDnZmi2TO17U7Nlz8vWfpeXshARUXMLlU+9fk8Q5Wn9HCLy3NJFbvulUKr43gftxFEn44P1l+5w33dBXBJ0V5AxxfgkrzoRK16vI92vId2Pc8Y1BcVLHbNQqviOOf91j+ifv8ibduu0zeLRW34NV75kfSV6vLYHG6+3c802NVuOTCxT72q3/cvPsRCPea/r9mO9IogtUwEUq/8+kcvllgI4CeDUANYdSjEA28bHzGAxxpiNeVym9r3HDhzS52PxsGztd3kpCxERNbdQ+dTr9wRRnlbPIQmJ55ZuigHYXvP7b9m4CssXp33vg3biqJPxwfpLd6QSYl2dc+v4GFKJ6F/sBBlTjE/yqhOx4vU68sHHn8OWjas852ie2ylIXuqYyxen62J0e5OYc1tvMi44nr8S/qZKpghrt07bLB695New5csYgNuuWRP6ctI8L+3BmzeswmMHDrV1zbZl4yo8+PhzDdubiRZCO+1ffo+F4cGk5/NEQkLf1SsETWvvEeBcLvcwgC/Isrw3l8t9FcBvAZgFMCrL8tsCKGOrVgD49eTkNNQGj4yO1k5E7ZEkiahAf1xVEgXEAHMy4Qr0ySjjcUkftkXREBMFSNDMiSjjCQklRX/8VBJFpBIiCiXV/DshAaqi6ePWCgA0AaqqQRRhfpe1LEJcQqFYsZXF/r6Iclmzrb92Am1JEqFJAiqKBkHQv1hV9X9LkgABgKDMb4Pbb9COVvdHUEZHM2G5HWYFOhC/o6MZXPLxh3wVZO9dl/n6zN67LuvoPux2jHSD123u9fi18pp//OapZrl9Ph/rKgVcAAAgAElEQVSrEKvvA7B9JiEJKClaw++sPQfE4wIKRQWSKGDpSBrHj89CgYCKqkEUBUgSIEBAXBJQKCmO625WdrfytPq5oPVT/HohSaIlBoCYJNjOwX7XVRujmijU1QsA1MVlsaRCFARA0BATRSiqhkp1UntRFFCqqEjExGp9R0VMFCFB/92M+pAoioCgARps8WXUOVQVdfWksOjF/JtOxzFTnK9zDiZFzM6WF7SQ7XLbL0asC4IATUPDeq91ea85FUDTvOgld4atLhOl+DWE6TecryMIgKb5Ol9arx2NXCloAmJxAaWyCk2DmYOhAQlJQFkBKtV6SCohoVhW9bxazdvlsoqEJEABoKgwc3Ncgu2zxnkFsMd1Mi6i2OS60c+21R4LQe87xu/Cse7TmCiacaqqej1Fg76vBQgoK3r8xs06goaYJEAUgVJZNWNi8eJBHDs2U1dPUYBqHUH/rkRcQKmioaJoZvxK0FAuKXX16mRc0I+LLtdtvQhR/AI+YrhV7cZ+O9d21pjVNP2pEw0a4jEJlYqKiqJCFEXEJaFak9XrqBVFgyTq6wI0KAp8Xwtal0nERajVXBxEHC5ZMoSjx2e7EtdhjF8Aoc+vTtdmpeo1kSgKtusm/QMiVOh51Mi3iZhgO1db814yLkHRNFQqet7Tz+sqlGosxyQRGjQzF1vrFpIkQhIFlMuKGePdzpPtaJRzwhi/3W6D8Mtreawx3ygHmvViW/1XsPWR1NdBtGrbBKC3mgFlo/5gHiuqY052+h63Oq+XvpXaY3vpSBqTk9Ot/rxN+Y3hIDr/N2H+icItAG4FkKn+u2cpigoB1R9Q0aBA39n52bI5CaXRa733iedx5UWnI5uOA9XlJqdKtuW2jo9hzyPPYt/Th7EsO4BPXf9WlMsqdliW2TY+hmw6XpeAFUXF6OJBHClMmWUxSJKIoqZh+ngBd9xzwPZ9SzMJM1glScRMWUH+WBHf+dHzuORtb8DnHzhoLr9l4yqkkhIGEjEMxvWWwtptdSsfEVGQnHKtU/7xupxVo9x+/yPP1uXG7eNjiMdFfOrLP8FEfg5rzlyOjRedbk4w7PSdTueALRtX4d7vPYP8VAGfvuF3UCor2PF1+/uLhhJQKprj9gCNc3LtNjXb5kav08Iw4kX//QG1jR1g3ZcSBMxVVEzPlm31gttvXIuZuYotdm+9ejUe/qdf49/+/Sg+sek8VCoaPvfNJ833P3bVuUgPxHAkX8LdeyzHxQfHEI/NHxe19aElmUTdMWCNZeqcdDqOl/MF237eOj6GV2VTkesIdKIoKhIJCUenSnXbaK33As3PEbU5EB7OKa2cdyj6jFgxGkK8putG146Xvv0N+MFPX8K7zjvVll9rrxlr/7716tV44uB/4D1rTrNdS7rVT2rzsdNyTsdPK9vGPB9tTvvUWn/dsnEVfvDTl/De81egUFTs9YLxMSx2OfcvWqTWrdepLcQp9pdmEoi75Pwf/ewlfPv/+5VrrDM/R5Of6xO3PDQ6ksLEcb0udPZvL8XF615vi58tG1dh8aIUhpISjs/YY+bWq1fjge/LDdvtGrXtZTMpbLr4DNvx0W4ciqIAQVF5zRYhtXFcVvR7jSUA9gZdvZ12bqZoy6uNztUqgONTRVtMfuyqcxGLCbjz3p/W5e+RTKJuXdbczjxJQTBiPimJyDc4FyvVm43zc83rBbX5+E/efy7ikohdljaOLRtXYSSTxL3f+4Wn/pZyyTnOvfStOJ1zbrtmDYZTsdAcP22P/SPL8lFZlieq/56WZfk2WZa3yLL8UvvFi5YKYO5sQJ9Q8vMPHMSFq0/Dzt379TtEXZbbtXs/Llx9mvn34WOzZkAar1nX4adMlYpmBqn1+6zXcRUAh4/N4u49enmNRm5j+bv3HMTJ6RIOH5vV1+mwDa2Uj4jIL6/5J6g8ZazHKTfu2L0fh4/Nmq9duPo0swLt9p1O5bp7z0Gsv2AlJvJzeGVyxuwAtL4vCaLr9jAnk1du9YJKRauL3TvuOYAr3qnH5dRMyewANN7/3DefREwUzQtS4/UdX7cfF7X1oZLCeO2WmaJat5937d6PmWI4LkyCUFLguI21/Rd+86aX5ZmLyY9G14537zmIK965si6/1l4z1v59xz0H8O6xFXXXkm71k9p87LSc0/HTyrbxWIi2ZvVXI2ZPTpfq6wUNzv35qULd605tIU6xX1Lcc/67x1bYvod1j/7jlocKpfm60BXvXFkXP3fvOYhXJmccY+aOew40bbdr1La3/oL6vM44JDdGO21tXm10rq4AdTH5uW8+iamZkmP+dlqXNbczPilIrV5POeXa2nz85994Eseni3WxPHFstu3+Fi99K07lvv1r+0J1/LTdCZjL5e7P5XLral57Wy6X+9t21x01iqqZO9swkZ9DJh3HRH4OSvWR3kbLGVKJmOMyis9hGRRVgyjAZV2qbTnjO43y1i6fSsSQSsT04TxctsFv+YiI/PKaf4LKU8Z6GuVGg9sy1u9sdg5wy//uuZw5mbxzqxe4xZdUrSn6jUvrcWG8Nl8fUhmvXeL+2/dOJ6DXbfSbN70sz1xMfjS7dpRE5/xqvWZ0+lsS63O2e/1E9bxcENvGYyG6mtVf3WLPeM8tN1cc1uu2jtpYV1TVdb2SiLplGZP9xT0PzceCW57V272cY8ZLu53bMl6uFYkMRjutn3O6W9y7XZu5rcua2xmfFJRWr6e81guc4jyViLXd3+KlbyUKdd+2OwEBXATgJzWv7QPwuwGsO1IkUTAnlDQsyw5garaMZdkBSKLQdDlDoVRxXMZYh58yqRpc1iXaljO+0yhv7fKFUgWFkj7voNs2+C0fEZFfXvNPUHnKWE+j3GhwW8b6nc3OAW753z2XMyeTd271Arf4Mkau8BuX1uPCeG2+PiQyXrvE/bcP4pIgHLxuo9+86WV55mLyo9m1o6I651frNaPT34pan7Pd6yei5+WC2DYeC9HVrP7qFnvGe265OeawXrd11Ma6JIqu67WOvMW6R39yz0PzseCWZ/V2L+eY8dJu57aMl2tFIoPRTuvnnO4W927XZm7rsuZ2xicFpdXrKa/1Aqc4L5Qqbfe3eOlbiULdN4gr/hKAgZrXUkD/DUUdA7BtfMzc6cuy+rwOjx04hG3jY+YEjE7LbR0fw2MHDpl/L1+cxvaaZazr8FOmWEzArVevrvu+hGRfbvniNLZs1Mu7ecMq2/LGfFTLF6f1dTpsQyvlIyLyy2v+CSpPGetxyo3bx8ewfHHafO2xA4ewtcl3OpVry8ZVePDx57AsO4BTlgxi+wfr31c01XV7mJPJK7d6QSwm1MXurVevxrd/qMdlZjCBj111ru39j111Liqqii0ba46LD9qPi9r6UEJivHbLYFKs289bx8cwmOydTsCEBMdttNZ7Af9508vyzMXkR6Nrxy0bV+HbP3yuLr/WXjPW/n3r1avx6P4X6q4l3eontfnYaTmn46eVbeOxEG3N6q9GzC4aStTXCxqc+7OZVN3rTm0hTrGfkNxz/qP7X7B9D+se/cctD6US83Whb//wubr42bJxFU5ZMugYM7devbppu12jtr0HH6/P64xDcmO009bm1Ubn6hhQF5Mfu+pcZAYTjvnbaV3W3M74pCC1ej3llGtr8/GfvP9cjAwl62J52eJ02/0tXvpWnMp92zVrQnX8CJrW3mOJuVzunuo/b5RleTaXy6UB/BWAhCzL72+3gG1YAeDXk5PTUBs8evn/s/f2QXZU95nwc87p7ntnRiNpBCPZWRPJxngAbfzuSMwVSRaMEVC78GLCUikNMmYGx2SzVe+LCuzY+vAmeGMhkhhccu3WbmJnkRwHRFIOsSl7q/hw+Hi9a0YIvUmZj2uZgF68wZLQjKSruXNvd59z3j9On779cbrvvaMZaUb0r0qlud3n/M7pPs95fs/59dfgYD8mJ6chGYHPJYSQsCgFg+z6w42MUXAQ+EKoOzAYUY+FSgUGzgUYo/ABMEYgBOBzCUoBO3hfRdPjYJTAYQQcCPoEWJTAZoDL1WvfGCWhz+hx+ID6oqwkEEKABr4kJfA8qfrEJXjQR4ch/Mg7YxRgFB6XIMGFaiEkCCFgTLklXJ3LeDvqGKL9ma0NDvbj2LHaGfk4w/YXyiX6NegQv92cr8HBftz8+e911ZEnH7qlqzpPPnTLvI7hucbIubBOj/l8x2/UNJea+HAuyjmMhHzr2DTgawHbppAC4AF3O4zA44Af8K3FCCxK0PRaPGvbBI0mj7Wv2wt5VEpQQgCiYhChBJ6vXufBCIFlE3BfAgSQAhBSbdexijEaiWMqZkTjWKfn4Vza+wm/s7FOxtB2GFyOEHuMAYIDlAGuJ8J6lBEIEHhcQEqAUgICCcui8BLYbTYVtkGCO+CEBOdKT1BK4Hocjs3Ua2m5DLEHKK0gJEJsM0ohhITPBSxKY/3Sc05pChqUJxDB/NJ9137PFpbPR/7t7bUx3RThOPeVKOqRuyMXsrW4kwBShniO4l4vxJLbPMNHzZJcTIiEjGjoJL5S3B0pp/sBIiO8btbHC03LLCb8alto5xDovE9RPtdc6Hky4FoJIknIj45NIXjwGiKq1mScqzUaowSMEfj6kxNcbaMMoAg42g+wJ9HCt8Ymowq3ngh0DYHNlJZpuC2OKNkEbqP7L5pkxa25HrsCv2Y7U61s0sY6p8CF2u9YFDNNDsYIbIvC8wUIUXE/zK0wAial0acFYMWKvjCPIQTCeRDVAFaQW2l6Lb3NKAGEgOdyOGUrpr3LDkXD5W2PaSHo4QWEX6ALDM/WzhT7nYxhFA+OzSCFhMdFrHy0TLlkwfdFmJuzGMXSXgcnak1wGfAqDXJ7IGGOzGYE+pHTKH411yo9gJR+ba0tJRgN8oiJvOHZPKdnYgsRvwC6Ph/dckMn5ePrc4mekqXibUSbCi47Wt8JEAioOC+kDGI1RdMTIc9aFoHnidYFB4uh6Sp+1ms4SnU+WNWRUBjVOQ0uAy0CABIqDxzJAS8k7uzU8ubHQsTvQstBtLNkf9rNDVPeynStA0hed1AxXOcfGKMo2RQNV82Bkk3Dz+RoDEsZaBAo/yqfQVuv55TpvJrJ9DEJIVEqWSpPx7U2iq8xo8fv2BSEUDSDNyrOx7zpFsNzcUHyPgB/DWByaGjoKICVAF4AcPsc+J53E0Ji2uOYmmyGH1rVd6st77U7HiDGKKbqXvgRyPDOtsAHj5R57Kk38Fuf+Ci+/tgrYdkto8NY3l/C0rIFwSWO19yYr/vvvhK1ugg/Xpn0L4QMfd981cX4xuMHU+UcRvBerRl+9FVftb6w3wlBO1Vrmo/BFZAdHGdhhRVW2NkwzgUIgiDGZeaj552UM/HatvEK9j31Bk7UXNx502Wx+KA5D4CRqz0vztVbRofx7R+8jqlaI8aXFqOYmo63e8+mYTz54pv41NUXh3W+NHYFXFekYsZAfwl9Nsvl5azjK3h7cVknY2g7DO/V3FiM3zo2ghcP/gJXXP6BEE/3330lvEBPDPSXM/HNOYfPAYdRTNXTOK83sjQJD+eZxSimZlS/89oC0nPp3tvXwXEo/njvy7nzq8Byd9bba+PdqUZKC35woLzgLwSa5sFX/8NvYLrmGbUt4Tzgfg4vI0hEuThPQ2t8ZXH3jrsqsCyKr3zzJ5kau7DCgHZ83sKK4Eq7CFclOk41PCMPP5qxriyX1NXw//Hjt/HJKy6Kca/WJcv7HYzecGlq/vT1WPjyf/2fZ8yznWq1wubeOtV+WeUu6HdScXn7eAVcilhc3j5ewWOBXr7r5rV44rlDKR7VeRUgGxMhtzbMfZmsuSltXS4xlB0Ly3ptvHfSnMMgUqbaKTC5eK0TXDtlC8dOpnNeg8tKcBt+OOZuww+xID2OUxG/G9auSnHjfZvXw7II/uTbLxvjfBZ+o33T7REuY+1F14C333BpoWvPsnW7Vu6kPGM0lmf++EcvxI2/+WE8uHd/WOcP774Svi+w85F8P0ku/uKdV0AIia9950CME0sOw98887MQQyuWltXFGR8Qut8GXh/oteFprTHTeTwocFpY0trNDdP+HeMV2DbF/ZE1lK5jAak1VzS3FtWzm64fis2v1rUMDgGVl5h2OU5NN/Dwo/G5o/Nq3R9Teo2pdUYpZ76dy3lzxu/+qVarx6vV6kYAlwL4NIBLq9XqDdVq9fgZ9+4s2MnpJo5M1sOFEaA+3LhzzwS6ud/RB8LB1T4eSPjQZTaOrA4Xarrs7n0HcXSyDpebfR2ZrIfC1+T/5HQz9K1Fd7KcyxEKGb1v154J6NxEN8eQV6awwgorbDGZidd2BXx627WXpOKD5rxOuXr3voO47dpLUnxpqv+Nxw9i48jqWJ1Tp11jzDgyWc/sR7t2Ct5eXNbJGJpi/IN79+O6ypoYnqIYzcN3XtvtNImpbrdz6euPvYJTp91ZtVtYtk03hVELTjcX/iLehBPfl7nathu/eRq6XT92PjKBo5P1M+pHYe8Pm01MzuPhrHXlqdMuTp12ces1ae7VMWHjyGrj/PF9WfDsIrdOcZZVzuXm7cm4rLnztmsvwcOPHjDyaKd5lby+mLT1qdMujk7W0fRkoXPfJ9YJrpueWRc0vewna5J+Tdz48KMHUJt2U367yaXlHYdeAxbYPfvWbVzuNG8azTPfes0l4QUKXefoZD28AJjnJ9lWbdoNLwDqbbv3HURt2s3F0GxzBlnxoMBpYUmbDcZ27pnAkcQaKi9HEM1rRPVscn5lzUl9ATDqT+fVZnNMsz0X58rm7NWk1Wr1bQBvz5W/s2WeL1B2rHBgtB2dmlGPSHfohwvZ1ocu099rG8uWHSt4xQBS+9v10fNFrm/1uGtWH9Uj490cQ16ZwgorrLDFZFm81h/ctZzNqZ1zddSX5su8dqN1snyqmGHuRyftFLy9eKyz+CyMZRhFJp7yNENe3O9UN0Xr5usTM4bLThyhc6HX3u+WhROtBReymbBISRb/dX487fR5Hq6j5ZJ4XSzntbCza7OJyXk8nLeuBBDGgOT+PI1DEy8WKnh28VmnOMsuZ44VJp6LYqlTHu2uz/l9yY5rBWbPNzsTPZwXj5N+2/GqyW833N5uDVhg9+xat3G507xpdN1iisWdrGu6WYeVHQtlJ76+6qbf3XJwgdPCkjZbjJm5NTtHkNQdWeXy5mSy/TOZ7yZbqDm4M34ScLGbbVE0XD/8cKO2lQM96j3zHRqjpK0PXaZW94xlG66vvuFj8NWuj7ZFc30zSsAozdhHuz6GvDKFFVZYYYvJsnitVvfacGrnXF0LXrMX5cu8dqN1snw2gneLt+PlgrcXv3UWn80xngtk4ikP33ltd6qbonW7nUsa47Npt7Bsa6cFF7KZcCIkzvh42unzPFxHyyXxuljOa2Fn12YTk/N4OG9d2XD9MAYk9+dpnGTusODZxWed4iy7nDlWmHguiqVOebS7Pmf3RedPCm3w/rAz0cN58TjpN49Xs/x2w+3t1oAFds+udRuXO82bRtctpljcybqmm3VYw/VzMTT7nEHBsYV1ZrPFmJlbs3ME0dxau5xdtG/t8mqzOaYsW6g5uPf9ynRZXwmrVvRiy+hwOEArB9R7abu5OmsB2D5eifnYnvChyzy7/zDuvX1drOyW0WGsXNELh5l9rVrRix05/pf1lULf92waNpZzGLAt4WPbeAUO6/4Y8soUVlhhhS0mM/HatoBPv/ujQ6n4oDmvU67eMjqM7/7oUIovTfXv2TSMZ/cfjtVZusQxxoxVK3oz+9GunYK3F5d1MoamGL91bATPTLwdw1MUo3n4zmu7nSYx1e12Lt17+zosXeLMqt3Csq2vRI1asK+08JcEJpxYFsnVtt34zdPQ7fqx464KVq7oPaN+FPb+sNnE5DwezlpXLl3iYOkSB088l+ZeHROe3X/YOH8sixQ8u8itU5xllXOYeXsyLmvu/O6PDuG+zeuNPNppXiWvLyZtvXSJg5UrelGySaFz3yfWCa5LtlkXlOzsxGvSr4kb79u8Hv19TspvN7m0vOPQa8ACu2ffuo3LneZNo3nmJ547hK1jI7E6K1f0Kv3Y5Tqsv8/BF+5Yn+LE/j4nF0OzzRlkxYMCp4UlbTYY2zFewarEGiovRxDNa0T1bHJ+Zc3J+zan547Oq83mmGZ7Ls6VESmz3429yG0NgLeOHz8NYXgUWtvgYD8mJ6chGYHPJYQALErAILv+WCNjFD7UY5+MEvW4a8KHLgMCEBD4QoIS9ZFKBgkveKm4yReATP/6OLRvSAIhJChFrJztMLhcvSaBUQqHIWyz22PIKzNbGxzsVx+vPUc2ONi/UG5nWYMO8dvN+Roc7MfNn/9eVx158qFbuqrz5EO3zOsYnmuMnAvr9JjPd/zOpyV5zWEELpfgQsKxKQQHfCFSnNeOqy1KQRngeum60fpCAJQSgEhYjEFKCddTH5lnlMAXQvG6lCAEsBgB4TK3H6Z25oO358oK/OZbJ2PYivGqDGOA4EhhEGhhNA/fybZBCCBlW02S1e9O5lJ0LjBKUuU7bXeu7Hzk395eG9NNEZ7DvhJFPbijcqGbCYuUkVxt251fZGpoU/koDrvpx0KKf8Diwq+2hXYOgc77NJuYnKc39LqSCwlCFPdTqCH1hQSjCLlU6xLPk2CMwLYpXFdE9A8guJxXnp3rsSvwa7ZOcZZVzqSNORDLmdgMcb0sAAkAEhBSgpH2eZXoucjrCweBLyVogHECEuZPFoPOzbIFhF+gCwzP1s4U+52MtVO20PRkGI9LNoHbyP8SU9LviqU9mDrVgC8kLEZAqeJYjW1KyKxyacmyUd0Lma078uxcxsOFiF8AXZ+Pbjmk07xpNM/cU2JwPRHTiZ3E28w1klB8rNZ8RH1aKoIhEy5mmzNY5BybiYeFiN+FloNoZ8n+zAZjQPb6Plo+mluzGAWlBJ4vAl1AwLk+bzJ3TnIBcN7Kl0TzaiabLf4ZoyA2Q6Ppz9u86RbDs7oIOTQ09CudlKtWq/88G/9n2zgXAFePRVIA4AAYhWS0LXBB1CsHOBdoBqAsUVU2uuzXibmmJnyqCJ9aKii4HkfJZgBj4EJAokXuUeJljEBCgY9QCsYoKCM4NlUPFnkUJUuB2oMS5IRRWGULjSYHVV0OTAIgkIwGyQ4Kn0gQSdBTYmh6XB2TxWAH/eUgaAoBSglKNoOERNNTfet2YVFYYYUVdi4si5MIgqDIJTyubs4AJeHFkxIliruC+MAYgc8RcDWFkBI+UdxNKQEharEoOYHFCKQkimMZg2UTeL5EMxAftq2FOwGlQTKPU/hcQIKiZFH4QgJcvU6EE4AxAgY6Kz6ljMBHdowrbOFZEqMc6QsXDS+IzxTgnIOAQgJwvVZyrskFbJuCCIBAhhcJERl+22Eq2cYFCCGQlABcqOsuIGjyIKniKIwqvxI0mBcUBBISricggz4TKSGCRIkFgIGoNgiBY9OWGGfq1R+e11rIMkrgA2hG8EqkDM9DYbM1pQMXk+l5oBecavxbTzESKBnvE9JVEscNdLA6JxKOQcubyjMWVIFKBLbmKIc3T+AsdPXCsuh4TNUa6mJFmxt0NI5Lwf6mkKm1VLIuABAuQv5M3lzBhVAYJorXLZvC9XVbar2I4AKNxSi4EPC5BKEClCptISHBQUFsCktIOEzC5VLhnbGuk9QFVufeujmnebrBpIGTeISuG1z8cIPflIswZyLQyk+4nrrIbAUX/VikPUEIKKWwbQIvuDijb3Q7OlUHYQy2TdH0OISQsBgN8w9W2QrqKI0ASAhO0FsiqDclOCEAIXAspWt9LtEUIsxhdHtTSLfnubC5s07OexTXUS1ZLjF4vsKe9GRw4U+CQaoLgoQofAZrMCHUhTwEoZ8TwGE0vEgzWWuAMcBh6oY01+OtPgnVp07ivL6ALYkMb+hklKh55XHQIAnNQOAF+ULKaOyGz/SNSkrvF7icOzPxZbflTXFbuDzMM3sNYdSJFqMglAIUkIRAQF3wsxgBiz2009LthBJIIcNtlKqbe3ROt9Rj4+hUXeE+zFtI+MFFbJtREAo0PQEryE24nlAXvQ057eTxglGAMfgai4kblLUVXHp+Wp72bTeXsvZbjMIpWXA9oa6bWAwlm4K7XN2ExCgkpMq/Qa3zOJeQUqqb4bhEyaZo+lJdDGQUTtmG53PIgDcBAhr0QedGuJAAIRCUqpgQhAVmKe3DuYQf3Pik50W760XRYx1c0YdjjdqCyVvM9knEX6B95kACWJQvwWGMYqru4YE9Ezg6NRM+tjnQa4dBeKru4bGn3sBvX/cxNF2O3fsOhmV3jFewPCgLqGTaezUXuxL+enssHDtWx+59BzHQX8adN10W83PPpmE8+eKbuP2GS3FBv4Naw8fUZDPe1l0VWBbFV775ExydmsGGtaswdtPlmKrFy20br+Dl136Jyz9yQWr7vqfewEuvHom1uen6ITz+dDXcfv/dV8LzBXY+0jqGLaPDKJcY/vxvf4qpWiN2jjo9l4UVVlhhZ9M65aSschf0Ozhec/H8K+/gquEP4fGnq7j5qovxjcdbvPrFO6+A70s8/OiBFJ/ffNXFOPD6L3H1uotiMWHL6DBKDsPfPPMz3HnT5fA8EWtbv57rj771Urjtvs3rsbTPRn/ZwvGa2zZm6f0b1q7C6A2XpmJSwcuLy6JaJInBKFYf2DOBgf4y7rp5LR5+9IBRb2wZHca3f/A6pmoN3H/3lYAHTNWa+P4Lb4Y65/svvJlqZ9t4BX09Fr78X/9nShsAyNQHjFGcqHvYGfStXX88T2Bngdc5sd5eG+9ONWLzf9t4BR8cKC+apwGjZuLqKH7ysGKqG9XencSFvPJn63iL+XDuLG88AHS0nuy2bnRf1vrxwOu/xFXDH8KDe/en5sXyfifUAFn8u2yJA8uiaDT4rPHe7vgK697OdP53i7l28ZcximmPp/MTQS4k6dOkP6N8vXVsJJSnOzEAACAASURBVJZ/2D5eQf8SGydPNGJY1jgcveHSWB5j23gFQgr88d6XU3qomwuBBc+eG+v2vEe15Mc/eiFu/M0Px3CybbyClcvLOHaikYtBjadP/9vL4PsSD+6N6yMAHa2ZTP3fMV6BbVPs/cFrKQ0dxb561agd5vT0/oH+UrjOy9L7BacuDJstb2gcP5qVW76rAtuiuD+CjW1jIwAhMVxuHRvBD3/8Fv7x5+/hK//+13Fq2ovtv2/zelgWwZ98+2WjLth0/VBs/iRz2qY+70xw+UB/CX02i633Ci49/2w+xpUxiqaUOH1iJsXjFywv4dS0p74D3OSZ10/u/90r4Sb6tW28AkiJXQk9/Pcvv4NPXnGRMb+hY8Jnbrwcp+teLKe3Y7yCFZEcy2LE9Ww/ANIDoDf4P+tf71x08FyYD4QDCgBHp2bwwJ4J+In9G0dWozbthsDTZXdGygKAy1vCIebPl2Hd2669JOXnG48fxMaR1XhgzwRcDhyZrKfbemQCRyfr4baNI6uN5XbtmcA16y8ybt84sjrV5oN798e2H5mshxcA9bbd+w7i1GkXt117SeocdXouCyussMLOpnXKSVnlXK62X1dZE/KkFg26XG3aDcWC3qa59RuPH8R1lTWpmLB730HUpl1sHFmNo5P1VNu79kyAERrb9vCjB3Bksh72qV3MisYJY0ya43Nd2PxaVIskMRjFqtYYGpMmvbF738Ewlh+ZrIc6IqpzTO3sCrSMSRvk6QMfCBeOnfRnZ4HXObPppkjN/117JjDdXPiLFpOZuDqKnzysmOpGtXcncSGv/HxYoasXluWNR6fryW7rRvdlrR+1RjHNi6gGyOLfY1MzYISeEd4LrM69nek57RZz7eKvj4z8RIZPk/6M8nUy//DAngkIjhSWNQ6TeYxdeyZw6rRr1ENn8zwXNjvr9rxHteSt11ySwsmuPRNouKItBjWeLErDC4BRHydqjY76ZOr/zj0TODJZN2roKPYffvRALKen90fXeVl6v8DlwrDZ8obGcWZu+RGFoei2E6ebKRw/uHc/br1G4cmiNLX/4UcPoDbtGjGo878mHs/rswmvyfVewaXnn83HuPpAcBNGmsc9V+LoZB2nTru510+OHDfn0E6cbqaweus1l2TmN3RMODZVT+X0diZyLHN1/GfTZvUkYLVabeq/h4aG/rRarf5+sszQ0NCDALaeQd/OmXHRSmhpOzo1o95BG9nfH9x1k1dW+RPGMpS06vb32sYyejsXAmXHMpYpO61hzOuTlObj6o/cPRRtM7o9r+2yYz5uoP25LKywwgo7m9YpJ2WXU3zOaJwvo5bFl7qsrpvcn+TT5H6aePZe18mKMcmYpS0r3hS8vLgsqkXysArExzxPbwAINUVS52TVy8Kl8hXtT1QXya76U+B17iybL8SiPJ9ZXB3FbhZW8up2Exeyys+HFbp6YVneeOi/TftMsbnTutF9WfyZpTOS68Ss+mXHyl03doK5dsdXWPd2pvO/W8y1i79cyNwySZ/t4r0pL9EOh8ny0bxIqy/dxbeCZ8+NdXveo+WzOC+pefIwGM3NRfeZMZXuU1b/9fquHfZN7UTXeXnrt8LOvc2WNzrJLSexkcW7wVucM3nThLFOc9qmPpv8Z633OvFb2OKw+RhXLmQmB+trIfo3YObyTq6X6G15ubz28yI/97bQbbZPAkbt32dsv3sOfJ8TY5Rg5UBPbNvKgZ7wvc56f62uHknNK6vKU2MZIRFur9U9Yxm9nVGa2VbDbV1zzusTIebjqkVe/xRtM7o9r21dLnnc0XOVd34KK6ywws6WdcpJ2eUUn3MR58uoZfGlLqvrJvdrPs2qn1zj6TpZMSYZs7RlxZuClxeXRbVIHlaB+Jjn6Q1A4VdjMIrHrHpZuMzTB1FMdtKfAq9zZ9l8MRdLgrNvWVydp007qdtNXMgqPx9W6OqFZXnj0el6stu6nfBnls6o1b2O4kHD9XPXjZ1grsDq3NuZntNuMdcu/jJKcst0qj+jfJ3MS7TDYbJ8NC/S6kt38a3A7rmxbs97tHwW5yU1Tx4Go7m56D4zptJ9yuq/1sPtsG9qJ7rOK9ZvC9tmyxud5JaT2Mgqp99EmMWbJowldUEnfc/DetZ6rxO/hS0Om49xZZRkcrC+FhLFfTc5OBPu83J57eZju9zbQrdZr/iHhoY2Dw0NbQZgDQ0N3a5/B/++DGBy7rp5ds0CsH28Eg7sygH1jlcrsf/Z/YfR3+dgy+hwrOyOSFkAcBjUO8mT/iwS1v3ujw6l/NyzaRjP7j+M7eMVOAxYtaI33dZdFaxc0Rtue3b/YWO5beMVPHfgHeP2Z/cfTrW5dWwktn3Vil7VVqTultFhLF3i4Ls/OpQ6R52ey8IKK6yws2mdclJWOYep7c9MvB3y5D2b4rza3+fgvs3rjXx+z6ZhPDPxdiombBkdRn+fg2f3H8bKFb2ptreNV8CliG27b/N6rFrRG/apXcyKxgljTJrjc13Y/FpUiyQxGMWq1hgakya9sWV0OIzlq1b0hjoiqnNM7ehvVZq0QZ4+sADsiPStXX92FHidM+sr0dT83zZeQV9pcV4ENHF1FD95WDHVjWrvTuJCXvn5sEJXLyzLG49O15Pd1o3uy1o/ao1imhdRDZDFv4MDPeBSnBHeC6zOvZ3pOe0Wc+3ir4WM/ESGT5P+jPJ1Mv+wfbwCypDCssZhMo+xbbyCpUscox46m+e5sNlZt+c9qiWfeO5QCifbxisoO7QtBjWefCGwdSytj5b3lzvqk6n/O8YrWLWi16iho9i/b/P6WE5P74+u87L0foHLhWGz5Q2N48zc8l0KQ9Fty5eUUjjeOjaCJ55TePKFSO1X3510jBjU+V8Tj+f12YTX5Hqv4NLzz+ZjXC0AlkWMPG47BCtX9GLpEif3+smqC8w5tOVLSimsPvHcocz8ho4JgwO9qZzejkSOZa6O/2wakXJ2j48PDQ39r+DPEQD7I7skgCMAvl6tVl/owM/XANwGYA2AX6tWqz8Ntn8MwF4AFwA4DuDOarV6qIsurgHw1vHjpyFyHpEfHOzHsWO11HbbYXC5em0SoxQOQ/hBacYoOAgkkQAICAGkAISUYISAQcY+CMkYBRiFzyW4kGCMwLEofF/AFxI0cbeE5wswSuDYFE1XqD4wCtuiaLgcjBCAAJBA2VF+PS4hhITFCEp2fFvJoeBcwucCFqNglMD1BSghsCwCKQE/Ul9C+WaMQEgJSMCxCFxfwucSlAIWo6CUoOlyEELAmOq776t2bJtCCoAHfxMQeFyoNihNnaN243G2bHCwf6Fcvl+DM8BvXvmbP/+9rjry5EO3dFXnyYdumdcxPNcYORfW6TGf7/idC7MdBjfgJs2rPheKw4UApQQWAMoIPAH4XG2zNed5HEIAFlN3OHMpISXAuQSjBCWHwvVEyKNCqu0I4gShACVqmLgIYgIhYKw1dJxLcClhUQJKCbhQ/Kzvqm56Ao5NIXR9SkAo4Hky7Je+FdAP2rEoBWWqDKUEtk3g+TLst20TECHBEYkHAVdH/bDg/MzHR48L/CpjjBrPt9YSYSxlFI5F4AsJCYBSAt+TMd0CINQyFqOwrAA3ET3SumtNQgjAFxKORUEAeFyCUICRQBtA4VME+LRtGvoKXMQwTwmBEBJ+gHNC1TywGCAk4PlKUzjBR+Q9rtoWQmkJfRyCyxSWXU/E/p4vbJ6P/Nvba2O6KUKs9JUo6pGnKRaDRcclOmeSmCjZFM3EvDDhSXMjYwDnKh4wA74AxYdCBlxOJCA1tmUMg9F+OTaF4IAvlK8Ll/fi+PHTqXKd4Ljb8h2ez0WDX20LRQ9Gx6NcsiA9Ho5H3noSAEo9NhquAJdxjnQYQKhae2n9AKLWWppzLaZ41rb0Oi/QCgGv2xZRvrmAY7MWrzKKskPhBes6EqxnhZAgRK3xLEYw0+QoOwyuL8IY5FgUM00fJZsF/RCglAbzAHAYgctb2iT5W2N1rsfufMRvnhbI265yBCSmaZN5iSz+MO0DABnkBzhXOsGirXxHqceGF4yx1pSMEXAhAEkieQjZ4sKILmCMhJoXEoHGUTpb4xRQekRKxdMkyIPYQc6DB9i3LQLGKCQA32vlUErRnAqlKNkEbiP/6z3zwbNZtoDwC3SB4dlaHvZNnBmN2ZpXvEg8tYIxBxDTt06QO9O5NiklHJuBEgR4UOUsRgIuBEo2DXmTMQrHppAS8Hyh8M0ILIui2eRgjAT8K0I9W7IZPF8E+TAGGVmrWZZaI2oNzShB0xegAe/aTHG21hXR3CKAxPwO9HPAsSohKecVp1m2EPEL4KzpgzwNGuVnTkgsl8ogQz0Q4j7ABqEEnidgMwIqJSgj6tumrTQwCBDzZzEC1xPhthCLAf7syPpKSMWxlKjcs2NR8MjaS3M4oxRSyhDfKgcMSE9hjIME+Wzlj/B03qCFUWTGpvnm2zzOWYj4XYg5tKRFNQcBAefxcU2OqcaB0qkqr+Z6AuUSC/i1pU8bTdHSC1LFeBpwJmMkvLYhhOJsP9AfFiOhX12W0iAXEclfJNtmQb6tlRdT12ocmyp9E9EfQFzvCqFyMFoHm7A73+PVLYZnfbGyWq3+OqAu4lWr1S/M1g+AvwOwG8CLie3/DcB/qVar3xkaGroDwJ8BuPYM2unYGKM4XnPDjz3qK7sDwXthp+oeHnvqDdx81cXhRySjZZJCe6ruxXx98c4r4Psy/Mikvtr85Itv4lNXX4zBgR74QuLEVDP8MKa+u+OHP34L//jz98Lyd950OWrTXszXjrsquGBpCZM1F6/903u4/CMXhh+G1X76eix863uv4kTNxZ03XRZ+VDPZl+X9JSzrtXH8ZBM7Iz62jA6jXGL487/9KaZqjdgxDfSXYz43rF2F0RsujfXBdK4KK6ywwubTbIfhvZqb4EP1JNNX/+KlcNtX/8NvoF6L8/Z9m9djSa+F//StVrkvjV0B1xX4+mOvhFx3+w2XxuptGR3GsiUObIvi5deO4P/42CBORj5qrDm7p2yhVnfRaPJc7tw2XsGqgTKOTTVSnPztH7yOqVoD28cruHBZCe+dbMb6smO8Atum2Pv91/Bbn/ho2G99fCuWlXBsaibVN9uiuP+bPyn4+yyYSTNsH6/ggn4HtYaPqcl6bHy2j1fg2BRPv3QYVw1/KKYZ/vDuK+H7Ajsfafn6g89didP1uGbYNl6JaYVkDDdhfeVAD75wx3pQSvAn337ZWOfe29fBcSj+eO/LMX1x4PVf4urhi7Brbxy/Wm8kcavxRrhAiVFM1d3UHItiv8BmvvX22nh3qpHilQ8OlBfdhUBtnAsQBIsaziG4+ttmFMdONmPHapoXLY0vMZXgfhO+HEZSsURr59tvuDS2XnggY059+bMbsKxsxcp1yrHx41U3bxR27iw6HgP95djF6az1JOcCTtnC0RMN7MtYUzo2xd4fvIabr7oYT774Jn77uo+h6fIYjn7/jiuwdImN9040Ytu3jVdAgEz8feGO9egtxzVNFOtbRofx9y+/g09ecVGsXtb2kNvXXWRc7xEpC6x2YVlaQGMnOf91+U7yE3n8keW73vAxVWvG9eF4BRcuL+PEtJvKRWwZHUbJYfibZ36Gz9x4GXwu8UDAuRvWrsKm64diemXbeAUlm6ba2D5egQRimNoyOoyB/hJAgD974rXU8d7/u1ei6YpUjHvhlXfwxPP/FP4eXFbKvRBY8OzZNxNn3n/3lfA8EVvzbBuvYN9Tb+ClV48Y81J67fXnT7weltEc9W9+Yw2mZ/xEjq2C1996DyNrP4ATtWT+rQLbJvijDK7cNlbBvqdVX6LrNhPvRuslNbKumxUPtA4OzxUAhvwYU9j8Wzuu1hx6YsaL6U697llatiC4TI1jVFNe0O/geM3FY0+9gd/6xEfxd8//3LiOX9Jr4z996yexNgaX92Cm6eO5A++k1onbxip44eA7uLbyq6jVJR5MrMuyNMCyJQ4cm6FECMB5wJGA4Pnr2Hb59QLHi8t48IDR1HT78TbltLaMDuO1fzqO9ZetSumBnjLDI99/NcWFX7hjPZYtcULN245nv/iZK8Aowa7Af1R/6Lrff+HNVDtbx0bw4sFf4Op1F2HfU28Yr5mEx1lffBx8xu/+0RcAh4aGlg4NDf1K9F+H9f+farX6TnTb0NDQSgDrADwWbHoMwLqhoaHBM+1vJ+YD4UAC6iOPD+yZgB/Zt3FkdQiUZJl2vmrTbiiU9bZvPH4QG0dWY/e+g+ppOl+Gk0GXeXDvftx6zSWx8kcn6ylfOx+ZQNOT2LVnApW1HwwnW9QP58DGkdW47dpLQjCb+nJ0so6mJ0Phpcvs3ncQp067uO3aS1LHlPS5cWR1qg+mc1VYYYUVNp/mchj4cAIWpbFtvi9TvP3wowdwbGomtu3UaTcU4IDiumS93fsO4tjUDDgHKms/GLvIpsvsfGQCvi9xKnJxUPtL9nfXngnMNIWRkzUfP7BHxYBkX3bumcCRyTo2jqyO9Vsfn+9LY9+OTNYL/j5LlqU/XA4ciVwAjO47MlnHdZU1Kc1wdLIeLjj1tmNTac2Q1AomXZDE+tGpGXztOwdQm3Yz63z9sVdw6rSb0hfXVdaEFwD19qjeyNJfWecnif0Cm/k23RRGXpluLtzFymzNxPmmeZHU+O3wZfKrtbPJl2l+fPW/v5TZZoHj88Paja1eq2WtKXW81tiqTbspHP3pd14G50htP1Fr5OLva99Ja5oo1nfvO4hbr0nXy9oecnuB5Tmxbnmh2/xEt30x6Y+deybQcIUxF7F730HUpl1sHFmNY1Mz4QVAQGnbpF45UWtkapwTtUbK95HJOo4crxuPF5IYY9x1lTWx301vfp5yK2z2ZsL9kcl6as2jeVP/Tual9NorWkZzFOcw5NiUDjbn3ybACE1hULe1a2+rL9F1m4l3o/WSGlnX7WYOF/rh3FsnY+ADKd2p1z0uN/uIakqXt/j964+9krmOPzZVT7Xhc4mdj0wY14m79qrtjNDwAmC0bpYGODalciXdYFIfQ6fau8Dx4rBOx9uU09q97yCuWX9RGpd7FOeauPBr3zkQ07ztePZP/vJlnDjdNOoPXdfUzoN794eaNuuaSTtcL2Q749eWDg0NXQvgLwD8KtRDvtok1E0qs7GLAPzvarXKAaBarfKhoaF/DrYf68bRBRcsaVtmcLA/9vtohEBb22bUY/bB3/29dmaZqD+Tr7JjGetqn/rNXKYyjMbLZ5XjQuLo1AyklMb9lCC3vu5L2bHAhTCWKTsWyk76mJLnptNzpc207f1qs8HvQrD57tNCPOb5tsV4zAsNv+++N53Jh1GjxMyLZSceMpNcnsV1ZccCJeo1NFn8T0nn/rI4OcrpOgaY+lJ2zMfX6XHn8ff5ZOcCv1n6g+dgp+xYYDQ9dqbyWT6iWsGEu7y2s+pkYcfU13Z6Q+Mt6/zE9Mw8YHMxYj0Lv+++dzpTN35wkR1nu3ExcX4WlqMaP7kviS/OzfwazoOErzwdnNXm+4Fj86wT/gUW5tzUfcpbTw4O9odzMY8/dbzOW7OZYnfeuizqP7kt2k4WV3e7/f243jtT/LbDTlb5btfcndjRqXomb3IhcvWBzhO007Yai53iVG8z6dksLcto/PdijHln0zrF8GwtD8dRy8ubRX8n8Rb9rbdF82hJf1LKTOwk14lJrtR/R7GdNRej9aLY1uW7mcPd8sT7zc7GOehkDLLKlB1LvQqcklxNqdf07TBi4kqN6by4HfbZsC+rHUrQFSaz8hJnUwcvpjmx0HJoWdbpeGdhNutahZQys06Up2fLs9G/s3xo/HdyzSW53YTdhTBe2ubi24V/BvU6z70A6nPgb05tVu/TZRQrB3piA7pyoAcIvp+4cqAHtbqXWSbmz+Cr4frGutqn7q6pjH6qVJe3LWIsx6jaToh5v5BALXjtU15fGq4PRs3no+H64Xv6o8eUPDcdn6us8TiLtpAmJzBL/ObY2Tq+4puAc2tdfJPqLPSmc1to7zNnjGXyYdSENPNiw43f15Pk8iyua7g+hCyBEZLJ/0J27i+Lk6OcrmNAFm9n9aGT487i7zO1Ar/I1B952Gm4PrgodaQ1snxEtYIJd3ltA/nYj5rWMVlls7Ad4i3j/ESxP9fYPN/4N4sHGSWLKrZ2Mi6mY83CclTjt8NXFr/qeZD0laeDs9qcD47Ns8WC36gtRD0Y61POevLYsVqIzzz+1PE6b81nit1567Ko/6glsZ7F1d1uPxvrvfMOv22wk1W+mzV3x8ZoJm8ymr1P4zeJ2yyNoet1glO9zaRns7Rs9M1cCy3mLTT8Ap1heLaWif0u82bR39G8VPK33saFuuaQpYOFzF4fRS3JlfrvKLaz5mK0XhTbunxXc7hbnpgnW4j4Bc7SNwE7GYOMMg3XBwu+5ZinKbXmbIcRE1dqPsyL21lzIm+9JmSpK0xm6eazpYPbfBNwTtqYS1toObRM63C8szCbda2CEJJZJxrjZ8uz0bpZPjT+866Z5OE6Oj5n4ZuAXZU/49eBArgQwO5qtTpVrVab0X9n4PMdAP9iaGiIAUDw/68E2+fdLADbxytqAKEGcvt4BVZk37P7D+OeTcPGMu189fc5uG/z+ti2ezYN49n9h7FldBiWRWBZBFvHRmJlto6N4InnDsXKr1zRm/K1464KSjbBtvEKJl59F9sS7W8dGwFjwLP7D+O7PzqELaPDmX1ZuaIXJZtgR8LHltFhLF3i4Ls/OpQ6pqTPZ/cfTvXBdK4KK6ywwubTHAYDH1bgCxHbZlkkxdv3bV6PwYGe2LalSxzce/u6GNcl620ZHcbgQA8YAyZefReDwbYkZ1sWwdIlTlvu3DZeQU+JGjlZ8/H2cRUDkn3ZMV7BqhW9eHb/4Vi/9fFZFjH2bdWK3oK/z5Jl6Q+HAatW9KbGZ3swps9MvJ3SDCtX9GLHXXFfgwNpzZDUCiZdkMT6ygH1Xv7+Piezzr23r8PSJU5KXzwz8Ta2jaXxq/VGlv7KOj9J7BfYzLe+EjXySl9pLpYEC8tMnG+aF0mN3w5fJr9aO5t8mebHlz+7IbPNAsfnh7UbW71Wy1pT6nitsdXf56Rw9Pt3XAHGkNq+vL+ci78v3JHWNFGsbxkdxhPPpetlbQ+5vcDynFi3vNBtfqLbvpj0x47xCsoONeYitowOo7/PwbP7D2NwoAfbI5z77P7DKb2yvL+cqXGW95dTvlet6MWqC3qNxwsijTHumYm3Y79LduLxrsLOuZlwv2pFb2rNo3lT/07mpfTaK1pGcxRjMOTYlA42598q4FKkMKjb2jbW6kt03Wbi3Wi9pEbWdbuZw4V+OPfWyRhYQEp36nWPw8w+oprSYS1+v/f2dZnr+MGB3lQbFiPYcVfFuE7cNqa2cymw1bAuy9IAgwMqV9INJvUxdKq9CxwvDut0vE05rS2jw3juwDtpXI4rzjVx4RfuWB/TvO149oufuQLLl5SM+kPXNbWzdWwk1LRZ10za4XohG5HyzO7wGRoa2g1golqt/tUZ+nkbwP9ZrVZ/Gvx+DsC3qtXqd4aGhu4A8DvVavWTXbhcA+Ct7DuhqXpXa3D3hQXEPt6o93Oh7vh1GIHLJbiQcGwKAgJfSHCu9luMQAJwPQ5GKUo2getJcClBI+8QsC0KzxMQwfageVBK4HkCJVslYTwuIYRUfgkACZQciqYrwLmqazF1sdB1BSgl4EKCcwFGKWztxxcQIugDASAQvrS1ZCt/jBEIIeFzCUoBK3gunAAQMthOSFiPUhK82g7gQjnUbdgWhRAy6Evr3FDI8PwxSlLnW1vWVfLkeGTVP1MbHOxfKCuCNcjBr7bZPAl48+e/11VHnnzolq7qPPnQLcWTgHNsXTyJsmjxOxdzXPtQfEQBIgGpBAplBBxRrgu4iZKQszQfi6APmlcR+CSEgDECRgl8X4R9ZZSg6YkgNgA+lyAUgESsLW0EmuPVB5Udh6I+w2HbBBQkxv+WReEGMcOiBLZN4boCtk3h+SL8KLPuA6UENiPQtzyr8wHYNk0do44LUkhYjMJmyofPBSilKT9ZY2MaOwDgIPCFCI+fcJk7posZv3NpTtlC05PgQsfzlj7wBeBzASEBiyo8cq4wzfRvKQEBEApQQiClhMfVa44cm6nffhRjBCIYFj+qPQBAAo5DIbjyISOaRkoEuJbgHCiXlKbQmLetYG64InzdjXpTPIFjUbi+alRrhZKjsM2l0hyMKfiJALcWo6BU6RpGKXigKVRfW3OdcwHGKCQjreOhFAz5+Muy85F/e3ttTDdFiLG+EkU9clf9bGy2HG47DC5H2BeHAZ7LM/1qPQ5CwCgggromzo/6ZQxgVOFOiLiutS1F2F5i3vm+xrvCeHRf0xXKB0U436RQFwgFl6lYxChRfZWttlkQfxR+tZbGvGncPFtM+NW2EPXg4GA/Tpysh9jrLVtoeiJcn5UcCtfjABQ/WRYBJQFvywDnhKBcovB9GdMDlAKA4nwhW9gECEo2RcPlIJQAER1DgvVlb5m1+sEoSjaFCGJBXEeodaxjq7liW4HWEJH1rkSMfy2LQggBztW6kRJVzuet+WQxwOcI5+iFy3tx/PjpuTzv5x1+u+XUVm4DHfOJjpUSakyFUHGdBePsJ+I+JJTOCMZV6+eSwwJNqvpq21RpFSGV7gi0t9YCPQ7FjCsieQsCTcieJ0JuTnJ12O9Ao3u+gGURECiccyHBWEujMEZAoI6p6Sq/FiUxDW5TFXOi59uxKUSgtyglcGwK35PwhUjlheYiL7GA8At0geHZ2uBgPyYnp8N1gtKvFFxozlG85NgsHiMD/JRsCj/QvnrMNT+5ntKhtq3wqfmTEBLoR6U3G1oDBXxYb/hwbAZGlY9QtxJFW5QSUEYguUyt0wDA91W7ui9ewJ9CSPgBr7fWbqouiNLpOm9mBXUlAbjf4ljVJ4VRQtUc1ubmEQAAIABJREFU0bo21DpStZHUUGfDFiJ+gdk9CTgbLdtJHcYoOFH5Y0oUBtX6TYAQAouSkCMtFuiCRJ4VUHpV5yCiOWYWyStH87CUtfK2YZ7aoaEesBgNOF31S8d2teaUYduMtrQHo3qNqPIHKgcu4NiKi/2EPnA9rnIRjIBQVTaaL8jK3cylDm7zJOCCw+9CeBKw07nAGAWxGRpNP1aOMRpwvNIiJYcpbk1oTrW+D3JuEiHGLIuCEKjcQMDVtqVybV6Eb/U1jSife1wqngx4FhKBHubo67HQCPRHyWbgmqN1HsWPamxV17ZpjOOtIO9m2wRStPJ9jAE0ECxNj4c5sIH+Hpw4UZ+36xndYnguLlKuBfB7Q0NDXwLwy+iOarV6Q7vKQ0ND3wDw7wB8AMAzQ0NDx6vV6loAvwdg79DQ0B8AmAJw5xz0FYAC6lTdCz/iqK/YDvTa4UBwLpRoBMBAcLzmhuU3rF2F0RsuDT9uqa9Y73vqDbz06hFsWLsKt99wacz/PZuGceD1X+Kq4Q+FH6PU7XIp8Md7X8ZAfxm/d9vHUW944Ycnte/X/uk9XP6RC2Nt/sfPbYDvS7zwyju4avii8IOuuo5jU3zlmz8x9lH/fuGVd3Dlr/0KHvqrA2G5LaPDuHB5GbVpD3/yly/HjuHJF9/E7TdcCsui+Msfvoabr7o4/JDmyoEe/MHnrsTpuhd+IFwf4wX9DggXCnBcohtp0sl4FVZYYYvX5mKOm3xozhq76XLAA05Nx7lpy+gwyiX16VrHZuC+jNW/b/N6lGyKXRHO/tLYFaCExrh4y+gw/v7ld/DJKy7C7n0H8fGPXoib/vVHUmWW9NroKVk4NjUT4/jt4xXsf+2X+PkvTmDT9UN4cO9+DPSXcedNl8XK3bd5PcolhucPvIOr112U8v/tH7yOqVoDW0aHMdBfQp/NYAGY5hxHT9Tx/RfeTHG2rre830nFtegY6HiY5G/Teb//7ivh+SL8CLpuR/ep4O1ssx2GYyebsXHYOjaC1986ntIAJnxuGR3GsiUl/OUPX8NLrx7B3besTdXbNl7B0iU26nUPjz31Bn77uo+BEKDR5DG83Xv7Oky8+i6u27AaJ2rN2D49tz519cVYtsTBP/zsGP7V0MpYuQ1rV2H0+kuxa296Tn7mxsswPePHtEdSo2wdG8HjT1fD3+rYHPyvf3wXl3/kgtQc0lhljGLa45iajPd5x3gFywvdgN5eG+9ONVKY+OBAedYXAmfL4bbD8F7NTfXlwn4nTMom/WqcnKi5KY7U+PqdW/4lpmteym/Jprg/oot1+bs+tRYzDW6cJ997/s2Udt86NoIf/vgt/OPP3wt9bLp+CC8e/AWu37Aaniew03AubEZwMuiXieMLbbv4zfdFiOmPf/RC3PibH45h5w8+twEzDY4//c7LMS5f2meH2NywdhXuvOnyFO/ee/s6/N3zP8edN14G15epOHHg9SMpbrxn0zAOv3syFQf+8HNXwvVFpo7YOjaCJT1Kr0R107bxCkSwbo3iVkoZxiLTOnnr2AhePPgLrL/sA3jyxTfx6X9zGZaVrQLrOZanvfLKa2MAwJFZT8fKmWk/Fv83rF2Fz9x4OU6ezo77/X0O6jM+Hn70QKZefeTJV0MsRWP5V3/v13Fkyk3go4LeHoYTp1w88dyhlFbVbf/WJz6Kv3v+56H2YIyi4Uo0XR6bZ9G+DvSXMOP6+Ounf4bR64dQb/op3r1wWQnvnWzigQxuTh5DUq8U3N2dCSFxou7F4qR+erTR9PG175hxtXVsRCVjBcNMw4+tM6I8mofJyz88kFpDJfnTVH/HZyuwGcVUgpe3j1dgJ3JuW0aH0ddjo1xiobYw+fz8p9VbWKJ8GuVYU50oT99/95Xw6ma9UWCxe5utlu2Eq/X65NSMh+dfeQcbK7+Kk6ddfP8FxWtff+wVHJ2awa2f+AiuXncR9j31RooHv3jnFYBELD977+3r4DgUf/30z/Db130Mvi8zcx1//rc/Na73k3kME+62jVWw7+kW50U5tlxi+B8/fhv/9jfXpNaSSa7ccVcFy3uC81nkeBesdTMXOBcYXNGHY41aCv+1uoudAf/ddfPaFDY1l+24qwLbojEd/NlPrcX0jJ/S0PWGihHZerMC2yb4z4//A6ZqjRhWf/neaXzkQwNGTt6wdlWYh0tqiU3XD6VyEtE5Y9Ie0bycxyXqiZh3LrE+F+/++RsA9wD4LwC+m/jX1qrV6j3VavVD1WrVqlarHwguAKJarb5RrVY3VKvVjwX/V+egrwDU3QYa0ID6eOMDeybgd1h+48jqEGi6/q49E9g4sjrcn/T/jccP4rrKmhBU0XZPnXZxdGoGt117CU7UGiGQor4raz+YapMFiWjlN92fo5P1zD7q39dV1oRJOL19976D4LwVYKLHoI/t2FQdG0dWh4FJlzk2VQ8nd/QYz+SGpG7Hq7DCCltcNhdz3ORDc9aRyTqOTKa5afe+gzh12sWp0y4Yoan6Dz96ACdON2PbTp12U1y8e99B3HrNJSF333rNJcYykycb8H2Z4vgH9kzgmvUXYePI6jBG3HbtJalyDz96AFOnGriussbo/7ZrLwn/PjKp7jbyARyZrGP3voNGztb1THGtkzEwnfcjk/VwYR5tR/epsGxzOVLj8ODe/UYNYMLn7n0Hw/gMwFhv154JQBA8EGiC2rSaA0m8ff2xV3BdZQ2OBvgxzS3V3gwqaz+YKrdxZHV4ATBZ79jUTEp7JDXKg3v3x37rtq5Zf5FxDmlsRTEfLbOz0A0AgOmmMGJiujn7RchsOdyE910RzWjyq3Fi4kiNLz9ygSRa70hCF+vyLHJjR7S84DBq9wf37set11wS8/Hg3v24rrJG8V/GuYger6n/hbZd/DZVa11gv/WaS1LYOTY1E14A1NsefvRADJsbR1Ybeffrj72CjSOrQQx4fXDvfiM3fuPxg8Y4cHSqnqsjHty7H4TQlG7aFVm36m0P7JmIxSKTntDzQ8+Xr/73lwqsn2PTsTIZ/1WMzo/7Fm1hI0uvRrEUjeVm/E6ABXgzaVXdtp4DWg9YVL2dKTnPon3Vx7hxZDVORC5s6rIP7JlA02vdBGg6nuQxJPVKwd3d2cnpZipOalzp5G7WODCinpJOrjOiPJqHSdMaKsmfpvqTJxtGbfmAIee2e99BTJ1qxLSFyedDf3UgxadRjjXVifJ0nt4orHub75yj9n9dZU14Q7DmNd2mxqeJB2vTbio/+/XHXgn5rTbt5uY6stb7yTyGCXe79sY5L8qxp067uPWaS4xrySRX7nwkvl4rcrwL0+YqN7czwn8mbGou2/nIREoHcw6jhtYxQpfL0hPadxSr/+pjqzI5OZqH076ia7xkTiI6Z5Llk3m56M2hsz2fc2ln/CRgtVr9s7noyNk0LmQ4ANqOTs2ox5Y7KN/faxvr9/faufsZhXF72bHCenpbsoyU6T5T0plfUx/b9Un7NtXXvstOukzZsTLOrZg12Lodr8LOrbke7+rjpI2mj9qpmfYFCztvbS7meJaPJOcl92uezOK8JI9mcVyUS/M4OasdKWUsdmTFkbJjZfqPxpCyY4WvMtV9bhe7ZjMGpvOedY50nwrezjYuRMcaICvO6/gMILOebqfd/GA0ezyjekBKmSo3G7wl+5P8rdvKwyoX6b4ky7yfLQtj50KntetLO17P2tcpn+vyWZiSUmbybfDm/NhcyJsvio9b7WTNjwKji9t83hpjE3by4qO22eI7D8ed9iPadrdxJ9r/PJ2k9xdYP7emYyUQx1M7/CXzBJ3E+mgsb4fTPH8m7dFJzgJAqIvyNFEnx5P1u8Bz5+b55tjfCa70G9ryuClvDLNiehSXpvqmuZJsN7mtnc92fNoOi4XWnVub75yj9h/ViskxTsbJqOXphzx+64QDo/OiGw7U/tutF6O/o+u1Ar8L0+Y6N9eJTkjqSFNs7zTXQAlSGqRdrO9Ee0S35+XjkseWpVPOFdZn9STg0NDQb0f+3pz1b+66ObfGKAk/3qht5UBP8L2a9uVrdc9Yvxa8RilrPxcwbm+4fliv4frGMoSk+yxkZ35NfWzXJ+3bVF/7Nh1nVv8Znf1Dp92OV2Hn1hyb4ebPf6/jf+VSEebf7zYXczzLh+bVLG7S+7I4L8mjWX6iXJrHyVntEEJinJoVRxqun+lf87sup79XqPucF7uy9rUbA9N5zzvXBW/nG6O0Yw2QFed1fAaQWU+3025+cJE9nlE9QAhJlcvDW57PvN+6rTysRjGfVeb9bFkYOxc6rV1f8ng9D1+d8rkun4UpQkgm3+q3t0TnQt58UXzcOt7Zcm5hC9ss1sKSCTt58VFbO47M0xGdbm/HwbOJO9H+5+kkvb/A+rk1HStNsbtd3I9isF1eJBnL2+E0z59Je3SSs9C6KC9P0enxZP0u8Ny52ZY59neCKyHRNsbnjWFWTI/iMivH1Ql/R7e189mOT9thsdC6c2vznXPU/qNaMTnGyTgZtTz85fFblAM7yVN3w4Haf7v1YvR3dL1W4Hdh2lzn5jrRCUkdaeL5TnMNQiKlQdrF+k60R3R7Xj4ueWxZMetcYX22K/7fi/z9f2f8+7/OrGvzZxaA7eOVcCBWDqh3smZdikiWf3b/YWxL1N82XsGz+w+H+5P+79k0jGcm3sbWsZFUu0uXOFg50IPv/ugQlveXsWV0OOV74tV3U21yKbBtvBL4Tfdn5YrezD7q389MvI3Pf3p9rNyW0WEwBnzxM1ekjkEf2+BAL57dfxj3bIr3dXCgF/dtXp86RoedvfEqrLDCFpfNxRw3+dCctWpFL1atSHPTltFhLF3iYOkSB1yKVP37Nq/H8iWl2LalS5wUF28ZHcYTzx0KufuJ5w4Zy6xYVoZlkRTHbx+v4LkD7+DZ/YfDGPHdHx1Klbtv83oMLC3jmYm3jf6/+6ND4d+rVvTCCs7LqhW92DI6bORsXc8U1zoZA9N5X7WiFzvuSvdP96mwbHMYUuOwdWzEqAFM+NwyOhzGZwDGetvGKwCV2B5ogv4+NQeSeLv39nV4ZuJtrAzwY5pbqr0eTLz6bqrcs/sPY9uYeU4ODvSktEdSo2wdG4n91m09d+Ad4xzS2IpiPlpmR6EbAAB9JWrERF9p9hcBZ8vhJrxvi2hGk1+NExNHanxZFjH6XZXQxbq81tPJ8pTBqN23jo3giecOxXxsHRvBMxNvK/7LOBfR4zX1v9C2i98G+svhGD/x3KEUdgYHevD7d8TXV/dtXh/D5rP7Dxt5997b1+HZ/YchDXjdOjZi5MZ7Ng0b48DKgd5cHbF1bARSipRu2hZZt+pt28crsVhk0hN6fuj58uXPbiiwfo5Nx8pk/FcxOj/u+6KFjSy9GsVSNJab8VsBD/Bm0qq6bT0HtB7whYCETM2zaF/1MT67/zCWLykZebdkkzDWmI4neQxJvVJwd3e2rK+UipMaV1+4IxtXW8dGwKWAZZHUOiPKo3mYNK2hkvxpqr9iWdmoLbcbcm5bRocxsLQc0xYmn5//9PoUn0Y51lQnytN5eqOw7m2+c47a/zMTb2MwGEvNa7pNjU8TD/b3Oan87L23rwv5rb/Pyc11ZK33k3kME+62jcU5L8qxS5c4eOK5Q8a1ZJIrd9wVX68VOd6FaXOVm9sR4T8TNjWX7birktLBjMGooXWM0OWy9IT2HcXq//uzI5mcHM3DaV/RNV4yJxGdM8nyybycbdMFxdVESnmOmp53WwPgrePHT0OI9DEyRtU7WAkBZPBIcvDBVh/qDiNKCEAkIAlKNkHTE+BCwrEphFCvfKGUgDGABJ/idn0BRlR5z5fwhYRtUXAhwblEyVZ/+0FbJZuqO5qEhO4lgfIthITFCGybwvUEGIVqV0gwSmBbFFJKeL4EoaqrvpCghMBmBIQSeL6ACMqXHIqGK9RxUoqeEoXnq3Y5l+BShk+O6H5IKeFxGZwL9co8SglcT4AGPptNAS7U8fQ4FK4v4XMJElzY1u1TiuA4SOp886DMhct7cfz46dgYcSFhUQrK0vXn2gYH+xfKrSdrkINfbYOD/Th2rNax08HBftz8+e911ZEnH7qlqzqzKd/tMXRT/nywTo95MeM3yQXt5niLwwFIAiEEHJu1+JVSMKa4zWEEvgC0NyEkCCFgTDG3xQhcP9gb8CgL9uvymlsZI7CoKs+5hG0RUELQ9AUci4Zlyw6D74swTliMgFLVHhct3hVhTFG8yahqQ0jVRymkijsWjR1byaHwfAEJFat8LtVrkfQdRRKwLAIpJbgALErgcQkR8DwhqkzJoWgEHO7YLOhHPs8mx8phBBytuFUKxyEaIwHCZe6YLmb8zqXZDoPLW+eXMQKfCzi2+g4KF5Fxhhrbpi+UJgjworHKhUDZYWi4AoDSM4QCUrZicNnRWkBCcIRagFICStT88IK4HmKMAFKofYwR+L6A50tQCliMAgSBhqFwPQ4pEfRZglEKQgDPV3hhTOkOiwU6i6ttJYeiGdEstk3hc6Vp7OBdjFqLKK2GmLaQjASYVOeIIR9/WXY+8m9vr41prd0oRV+Joh65w3E2NlsOVzKcKNwRAocBXuRD0ia+cbkEiOJiHnAuIyTwpTQ9ZUTNo8AviJoXhKi2INQ+iykOByQ4B4SULf0PglKAO67nJFP62+Mi9MEIgW0TCKHmkGUTeJ5Ut1qK1pyyLYpG01fxySJAqP9lGMfoPGrcPFtM+NW2EPXg4GA/Tpysg0PxtsVaa0AWxENKVfzmAW4ti4TxU2kTwGYUPle8KyI4dT0e4+3oepFZBNxvzQfHpoFWEegtWwG/Kn50LArX5wBIsM5SPOwLxaUisiZsBmsvrYmAYN0YtGtZitNdt8Up5ZLib60DbEYgoT4ZwCjFiqVlTE1Nd80bOef9fYlfxqjCmuYORmJaizEKMMVXIojZgISUrW/CyGBsNP9Zeqwl4Bm0pdIWgZaEKhNd87f0ajD2VoAjV4S8KhI6RoV0Vc71BSxGwLnMxE9PSeU0/AC7jk3R9JQ+oIQo7pUItYznCQipcjK6z1p/lGwKN+iblCTUwzrHQql6y43S9CIWh84Ut9oWEH6BLjA8Wxsc7Mfk5HSAXYlyiSlODDiT0hbGgNb6QsdfmwJNX4Y8pHVk2SKoB7xj2xFNrPNbpLX2YlRxFxcqvsdwDjVXfM1zAcakVFwV5XStg0P8RXyEeAv4vuTo41R9tiwKP+BhEeQMo+tBQgFGSNim1tFSqjmhX0lgymmeTVuI+AUwK34Nc8ECxvVFtEy7+a/XczqfrPOlapwFCNTanFDAIi286XyxhOKwKM5V7I5jSONc5wt0LkJpU7Xfj2I20J9NT4RzxwvWkSLwE81f6zjvehxCqjWVDPLCUiDEJiEqJ6H7YlECZtGQf0sWVbwu1JpWcPVZAEqp8TzPpeXF24WI33OVg4haN/pM90evv1t5LsWlEgClAEUL54yRII8HOLbCic8lSiUVbzkXsZwSYy0ejubYSrbS1MkybsDJVpBTUJpZxHISJKI7bEvlFkLdTUk4B1t6IOBeqPkTizPB2pHIVkxQeRGCgf4enDhRnxO9m3H+u8Lw+/ZCO+cCBC3AciigT9W98COY+mruky++idEbLsWF/Q4EgKmaayzzqasvxkB/CSWbQQrgxLSLfU+9gZuvuhjfePwgBvrLuPOmy8IPSOq7klYsK6FWd9Fo8ti+aNv7nnoDL716BBvWrsKdN12OmuuDEop6w8PufWbf0X719zk4OuWHH+RcOdCDP/jcBvi+jB3LltFhfPsHr2Oq1sAX7liPvh4bX/nmT3B0agYb1q7C6A2Xtj54/4mP4Op1F4W/9d0eL7/2S/z8Fyew6fqh8OOaSd/bxyu4oN/B8cS5/PJnN2BZWcEyORbbxysY6LXBuQDPG9zCCits0ZnmZAsAuMyd45qrH4vwax7PTNbc8GO8uky5xPDnf/tTLO93Ql4z8eiX7hxBucTwlW/+xLh/y+gwViwtQ0qJY1P12L4/vPtKnK57eOivDmCgv4zf/Xf/Eo0mx/dfeDMWF+66eW2Mm6P9m6o18B8/twGn6xK79raOYetYBSuWOZiuezgZ+RB3kvt7yzYYI6hNu6nYM7C0hFNTcQ7eNl7Bhf0OPJcbx8AUJ3eMV2DbFPdnnKModxfW3jyXgwAoMYqpenx87r/7ytjHpfWYvfDKO6is/WD4cXmNo/4+B8emGnjiuUO4+aqL8eSLb6bmjK7/2ltTqbHbOlbB0iU2atMeXnjlHVw1/CE8/nQ1ht/fuWVt+JFu3e6yJSUIKfHVv3gp4msEb/3vE7j0wxfgRK0Za2fbeAWWRfBH33rJqDe035LD8DfP/CzUWwBw/3/7iRlrXOUBKQBwFLohsN5eG+9ONVLa7YMD5TO6EDgbDjdpPM+N80TSr8fVmvGCC5bgrX8+ma0TOeA4DO/VvNixfmnsCriuSM2Vb//gdVw9/Cu4/CMXGnXt0xP/n3qKFohp+yQPPv3SYVw1/CG8ePAXuGr4QzEdvHVsBD/88Vv4x5+/F3ItkTJX7xa2+ExwiRMR7o7ymY6RWgeYODlc/10/BEIIng+4N4qlP/zclWi4HH/87Ti+Hn+6ipdePRJid99Tb+BEzTVqF62Vto5V8OLBd/Cvh/9F5tyYqjVif+u48cTz/2Tk6/s2r0d/X2sNmfT15c9uwECfnVoHFtjv3BijOFH3Uvp2oL+EPls9Tj3tcUxNxrXpvbevw989/3PcfsOlGOi1IYKbLijUxedp18eM66f0ahKfn7nxMpyux3MLX/6dDZiekSldSQCjZt86VsHjT7dyHJuuH8KLB3+BjZVfTWnb+zavxyNPvprCXxav37NpGAde/2Vq7uj+b7p+CAdeP4LLP3KB8Tj1/NHzKYpNHYc6iXeFmU3P8d6yhWMn05oEMMfabeMVlII1R3RMD7970hi/ozwVzU1tWLsKn7nxssw1VJSfD7z+S3xi3UV4IGOtuGV0GH//8jv45BUXpbYPDvSgPuO3XbPef/eVOD3jGftv0udRPCZzmoXN3vSF6KmGWZcB+TlKbbbD8F7NNWJ469gIDrx+BL/20UE8/OgBI6a2jo3gxYO/MK7tojkCE/dtG6tg39NvoL/Xwa2f/Chq014qz7C8v4Rv/+C1kN+iOkB9d62emWPePl7B86+8g/WXfcAYGz519cVYtqSEv/hhy/+Xxq5AndDMOVTE/oVn3azrAKVJpj2OmWk/dV1jx3gFK/odTNaaKc2yfImD2rTSDR//6IW48Tc/bFw71eou7rzxcjS8tH+tD5b3Oyle19dPkrmHKNebtMJ9m9fjmYnDKV7fOjYC26b4z4//Q5hvVNhtnSHO9XkDBFcXHbs9n/Nps3/3z3loPhASOqA+1viNxw/+/+y9a3Qc13Uu+J1Tj240AYqgBNBKrCEthYYkZjKBIDQ9cSQzIqU7tpfiMJpEFC0LsK+ddTM/xCVa1yYpJ04mNkl7bHrkNeveWdeZmPSDouKl0ImWnRmJVGjp+k4EiGKSiR4QLZsMncgEJQAkgEZ3ddU58+PUrj5Vdaq6AYHiq/daXOyuOq869e1vf3tXoxvrB1di194ReEF+m0cPHMPpCfWE1wuAXXtHsH5wZUSO99yxOgIQ9d2z/yh8X+KcBlTT3OsHVwIA1g+uxPhEBedmPExNV6M+prH1ddmcR+RP589MzqWu5dEDx3DPHasxPjmninoTleg8rYXebyivir0fn5zDrr0jWDdwHdYProycyDT2znA/k/N/4S+eh59xL3buHUH8W9Pb1ra2XYlG/KDzK5DNM1808Ny5GQ/33LE6xmsmHv3St0YjHjSdf/TAMUgJnNaKLHRufKKCr373aNSXeD4ZF5LcrK9vfHIOFuPRA0Bqs3vfCAIfOBO2z+L+qekqbM6NsScIZIpnKdY123u9zxf3juB0zh61uXthZtrr0xOVFJ537R3BhvKqKAGk43rsJ8yZfIb6m+7d7n0jYJJFbXbvG03hlx4A6vOemazA5jwx1ih+/b0rMG7wlV17R2CxRvuk3qBxp2e9mN46rWmUNtZas9maMGq32do7l3gvhsY7O1trOgZpcb3NuRnP6Cv33LEa5TXXZupaej01XTX6EfEg+Qn9n/SBjetWx7i2rXcvP0veU5POyONkOj41ozBuwtL4ZCV6AEjHiJ/pPeWPWdqFNMbufWqOPN9IvqaYkLw+6rdnfzyHTPb/wl88b8wD29hv3XyY9S3VInyYtenXHnsR6wdXGvea+pj0KvUnfJ6h+6yde2sqXVuYmq5mavbd++I1DuJOk7bds/+oEX9ZvP71x48ZfYfWv3vfKNYNXJd5nXr9pY3N82e1ujTG3axYu0vLOejY1x8/lhm/dZ7SsUAYzsqh9P83lFdFuM7i043rzMfpA/fNctbTE5XM9bdzq3fW8nRZq5rNVAum9sQ9xJ/m/Gs0M7fTawQm7tsV8urGdasxPlEx1hnGJyoxftN1gJ6nZWFvQ3lVZmygPFAf/9yMl+tDbTxf+pbUD8kcKasmx8KHw+OTc9i4bnVm7rR+cCXGJ83jkz4w8To9P8njepNW2LP/qJHXd+8bhcV4rN54qWH3iv1LQJMFQkY3mGx8cg5dJQfjk3MIhIiOZbUpujYCIQHI2HEAsdd6X84QfuIie9yu8JMn9L/eJm9sOs5Zet15c9LrotuASHIOi5v3QkqZux56HQhhbBOEf/qcda4N2ra17co24uq3wzNF10bRbbwHsnmUeHC+HK4f09fUSlzQ12fib7q2ZrGj6NqZ/fPGzeLZrDjZbI/a3D1/M+111v3Oisd0j3UtkdU/+96J2Byt4jf5W9fNMKu3b+YXSX0Sn6ONtTzL1l7Zfr/4azDzyHzuXd3P1pA0hula8zhTSvO66KcTkhhMtiu6duQnWT4ZfpNt07yijeNL15L4NnFmM07WNYMJS81yONM4zeZoJS9M9kmbtAsIAAAgAElEQVRen94vydHzyQPb2G9ueXqMculmGjG514GQ0X1rFZ+65WnhZmPR+VaxaGkfZZ+vNqK5iPObrY3et7G5+NYsT2uVW7LidxZPNeNG/X8dR3k6uhUdbpoLyMdwO7d6Zy1Po9Jr07k4l4rc+67jdb6Y0msEeVyZx6X6GPp8Ov8vZG16/UEfP1kTaeP58rOkftAtT/Pp9ai83CkZj5Ntss63wvV582atOa5nLy3stv8SUDOLs+jHGsl6uzswXamjt7tD/YZHkzZVzw9/Q4HHjgOIvdb7CglUPT933OnwK5qmK3VUPT/612xsOi4kUufz5qTXVa/xXDs5RyDSY/Z2d4Axlrseek17lGxDv0GRda5tbWvblW3ED2+HZ6qej+lKvSWOJh6cL4cnOZretzonXYeJv+namsWOqudn9s8bN8uyuLnZHrW5e/5m2uus+50Vj+ke61oiq3/2veOxOVrFb/KnDJphVm/fzC/ota5RGnO0sZZn2drrnUsJFkPjOXa2hmzMk26Tx5mMmdfFwh+8SmIw2a7q+ZGfZPkkfdNRs7yijeNL15L31MSZzThZ1wwmLDXL4fT3rWilQLSWFyb7JK9P72fi51bzwLY1tzw9Rrl0M42Y3GvqY9Kryf7NdG/yWDMc0vlWsah/a9x8tRHNRZzfbG30vo3NxbdmeVqr3JIVv7N4KgvDJn7WcZSno1vR4aa5gHwMt3Ord9bydFmrms1UC9bb63idL6b0GkEehvO4VB9Dn6/VGnMzbk2O38qYbTxf2pbUD7rlaT69HpWXO+nPQbKwZ+L1Vrg+b96sNcf17KWF3QVl/H19fb/Uyr/FXuz5NhvAjuFydKN7u9V3xR4ePYntw2W4Vn6bLZv6sWJ5CTYA1wK2D5dxePQkHry3H73dHXjimePYsqk/1nfr5gHYNsPSTjd1Tp/78OhJAMDh0ZPoXV7C0k4Xy7qKUR/T2Pq6fCGwdfNA7HxPd0fqWrZs6scTzxxHb3cHHr5/AL3LS9F5Wgu9PzRyIva+t1t9d/mRo6dwePQktg0NZo69I9zP5Pyf+8Ra2Bn3Ysdw+ZJ6wt62trXt/Bjxg86vQDbPPGLguaWdLp545niM10w8+tkHBiMeNJ3fsqkfjAErlpdS53qXl/Dpjw5EfYnnk3Ehyc36+nq7OxBIge1D8WvYNlSGZQM9Yfss7l/WVYQvhDH2WBZL8SzFumZ7r/d5ZLiMFTl71ObuhZlpr1csL6XwvH24jEMjJ/DQfbekcESxnzBn8hnqb7p324bKkExGbbYNDabw+/D9afz2dJfgC5EYaxD/8Npp9Bp8ZftwGYFstE/qDRq3a4kb01srNI3SxlprtqTAjdptSeGdewi4GBrvqiWFpmOQFtfbLO10jb7yxDPHMfLSG5m6ll4v6yoa/Yh4kPyE/k/6wMEjx2Nc29a7l58l76lJZ+RxMh1f1qkwbsJSb3dJ6ZMEvihfJIwdHj2ZqV1IY2wbUnPk+UbyNcWE5PVRv62b4zlksv/nPrHWmAe2sd+62TDrW6pF2DBr04fuuwWHR08a95r6mPQq9Sd89tB91s5dvSxdW1jWVczU7NuG4jUO4k6Ttt26ecCIvyxef/DefqPv0Pq3DQ3iyNFTmdep11/a2Dx/VnCYMe5mxdrtWs5Bxx68tz8zfus8pWOBMJyVQ+n/Hxo5EeE6i08PHjEft23WUs66Ynkpc/3t3OqdtTxd1qpmM9WCqT1xD/GnOf8azMzt9BqBifu2h7x68Mhx9C4vGesMvctLMX7TdYCep2Vh79DIiczYQHmgPv7STjfXh9p4vvQtqR+SOVJWTU5KEWHj4JHjmbnT4dGT6O02j0/6wMTr9Pwkj+tNWmHr5gEjr28bGkQgRazeeKlhl9FX3MzH+vr6BAAJ9ZvIWSbHxsZySonn3VYB+Nlbb81AJD+Orhn9iC6gfsySORz1ukQgJCxLfdojCCQsS/3AY6nAURfqa4iCQKhP8VpM/QkoZ/DDuSzOUPcFXJtDfTlow4JAQkjVnoftCq4FKSTq2jnJAIsxMAb4vlqTbak+QkpICQghwTkDwrZChGvnDLbN4dUFRNiv4Kr39UCCMxaNVa+L6Hodm0NKGc4nYFkcjs1R9QI1psXgBzKat+Bw1LxG/6LLUfcl/EBCynBtUEDhnMGrC3QULHi0f+H4tVoAzoFrlpXw1lszANQP6nqB+nN6i3O4FlDP+7GqRbCenq6L5TH+KswTv61YT08X7v70X89rIU9+9SPz6rOQ9vO9hvm0vxys1Wu+nPBrWRw+iOM4wCQgAddi8AIJxgApWXhccaLFGRgH6nXFea7NUKsLgAEMip/VV3daqNUbHFR0OXxfwhchtzEWRbc4JyqeKziKS/1AcZxthTwdravBzTZnqPkCnLEoptR9EWtn0xwaNwNqPHptWSoW+YGAEGjwty/g2ByBkLF4IMM2ylS4DgKJgPYpnN+xeSyeuQ5H3Q8gJYvingg5mFvqqx5ovxlTc7BA3eMg3GPHYZBCxTrOlShs5Ye+Lyf8Jo3wHMVnANxisRhXcBReAyHhOlxhNhAIBFBw1D32A4GCYyEIYz2neykAcKUDAiERhNhxXQ4GoFprxGmK44GQsBhDsaDiuB8I2GFM9urx9hZnqHlC+RtYhDWLswh7jDV0BuHJcTj8QEBKdQ31QIBzDilkpCUIy4DyBz/cI8tigFQ4ivSPxeD7ap2cM9S8IL4HIU+0irlW7HLk31LJwWxNRNhbUuCoaJ/YXWwz4R9A5jHFd4jp0LofRNxDfMrC/hKKlwKh+JAxBq8ewOIcHQWOufBaXceKdLLieQmLc4W7oLEX0d5YHCWXY3rOD/U+wHnImaH/gAMQgOMwCIEYlxcLPPQ9NVbB4ajV1XVIKEyLAABX+A2kGrPgMAR1kdqfxcK0yS4l/JKdbz24kDykp6cLU2crjX4Wh20p/uRcxVWLN3gREmBcxWPClO0o3iae1PM/ivkMgIBUMVo28r4gxKZtq0HrvuJq1+HwfQE/HMtiKt6QLiG/kmjkqU44Z80TkfZROV/junxfAgyNHDiUZaSPLIsBkOChqPKFAGRDm5CmUxfMIITap/ni/UrFr2XxSHs18KU0mB8IiFDnkWag2oPnCxTshq6wOUchwVekDx1bcaSEitGqLhLG/5Cz9DhdcElTqDU5ttIR1ZqItDVpCNfh8DwBcMBmajwpEX2qPtD42iKtoPmFjl/qR2sGENMZYIBtaZqXahy1AK6jdLjyF9VOsTRpKrVHjgV4gUzxsinGXaL4BeaB4YWYqrdZqNb8aK8sh6Pmh9jiDJYd5k/1RslRCAlXw6y6fwx1X8ZyrkAqHahzkGM19HUUtyXQ4XJUvXg+BwaIkJOkQKPOZyu+CwKl0SMtEWJVSqDo8jC/VNh0tRoa1zEdanSLM9RCrWLbDIyxEJ9qHxyHw+FApSZi+S5nLBWPenq6MDExC6nV6mzOYUGeV+0Qzn3R4RfA2+LXPJ+mc1lxy3EtBCHZBYGM8CbCsQoh7vRaAPGsH95722JgXOlaESDK323OICDBKKaG95r4kUFpAsV7PJbzNbgUUX/ynbovIh+QElqNWPmaxZU+kFqtmVsMImj4AfWJ10rCHNflUR0jmddm6au3UwtO3j+9xpy0ixG/i10DPh+m73GxYEPWw3tjcbAEfkouR6Um4DiKs4Ow5mCFekTV0tRrNxG/Cw6HL+I1NuJUzxeaXyDas6iex5U+BdQ3awX6M4pQr9KzFYobFmkTg1aww+clc7Ug8heb4kuIZTusg9kcqIXPYiyLg/NGXW0hOjfP5ovhhT607Gje5NIyy+KYrQeY1H40kp4QP/nc67j7thvw5HOv44EP34zZuTq+8p2jqTYb161GweE48PQYfvv2G/B3L5zCb916Hf7m2dfxexvei5oXxMbePlzGgadexdS0h4/fvSb60VZ6Kt61xIWUEjOVeqzfZ4duheeJ6Edgqf23fvAKJqer6tPFDsfUW7VYvx3DZZQ6bHzuP/+36Ni2oUE8/vQYnn/pNHq7O/D5T70PXl1EP0xMnwyxbYYv/F/Px+aj66M51q5ZgQc+fDOmpuPzPnTfLfj+j36Cu2+7ASffOIubr78mMf4gOksObDQEkmVxvDXd+AFZWn93yTnvQqZtbWvbhTXL4pis1GP+Tzy76a4b8eyLpzBw07uiH6TW+ZS4bOvmAXQtcfCn3/h7dHcV8cCHb8KjB47h137lGnzo/e+Jfvy3t7sDn//k++AHAl/8pnk+ABFnrV2zApvuujHGYVs29eOqTheHR/4ltS6dm7ds6kexYIFDCfgv7Xshxm8/evEUDv7op7H5f/v2G9C1xEUQSFSq8Vigj03Xe+j5k6k16Ndi2wx/9ufPR9dy7519sb3YsqkfBdfCj//hX3Fb/7tj50yxh3gZAM5V2pxtMhOev/CHv4HZ6XoMR9uHy3j2xVN4+WeT+IPf/VVUa0oz6Piltn/8ybWoVP2YFvnMA7fC92VMS/xJGNN3JuYhXzFhYPtQGQeebvjS9uEyGIDHnnoVd992QwxbuobY+IHrcfst16Xiu21zfPdvX4nwp/+gN/nqN598CZPT1RjGTNett03qHt3/29jLtlLJwRuT1RT2ru0unpcHgSb80/1hQfg7hIEEwnY/evFUintMOpTwfvDI8RQuCRvLutyIr014eui+W+C6PMbFSSxtHy7jhZd/gadH/gWf+/dr4fsSu/fFMf7csZ/jtv7r4Dhxfk3Gimb4Jq6+764b4Tocf/KNv2/z6QUyx7Xw5rSX8pNrutzcIpTvi1Q/kw4oODa+/cOXjTngZ4duRYdrYzKRT23dPICe7iJmq/VUrrVjuIzuqwqYmvHw+NNprtbX8PD9AygVbXz7h69E+a0pT90R5pP7fvAyPvrBm3B2RqT88rljSo/RGELIWFyi6wWArpKLuTkfX0xwwdVdbjvnW6BRgepcVXFsd1cxph90rht56Y2IW5P8Y+KrbUNldBQtTM1UAagP2CVrFclaAPnJD/7rT9FVcnD7Ldfh5Z++mcr9ievuvbMPzx37OX6z/5dhMR7TGd1dxZRv/PEn12Km4seO0bcqfe/Qa7jvrhtR6rDx1rm51B6Y9NC2oUEcfeU0br7+6pS+7lriojIXn8ukNdr4bd0aeuDHKQ6Ynm3soUlP/tl/+B8xYdDNydyv4HDs0njqjz6p4nYSfyffOIs1118Tu29bNvWjVHTg2Azf/uEr0bifeeBWQAJf/vYLMZ62GE/gqYzHNf2czNNsm+HL33rByMt6rqZr9LRfKs3xgVuui2FMCBnWMuOx4ZHhMpa1sThvCwIBBkQaNUicsy2Oydm0tr3mqgLOVtIxWq8Xd5YcfPuHL8fidDIfM+VnO4bLeOypV9FVcvE7627AWUM+5TgM/+Wv/jnSG1d1uvj+kdcjPjZh6dab3xVp5o996CZIAPW6SOWGtqZxyf+EFPjLp1/LzQ+ztHVe3rZQDQaY847PfWItrirabT9YJMvK7a7ucjHnB5ip1OP4GS7j5Z++iZvec7VRgyRzN8I+tfubZ19PYYzqJlQ7M9WpHr5/AEs6VD3QxLu6jtV90aSHnjt2Crfe/C4UC1bkY6b4sm1oEKUOG9VqkNIbuk9cSJ2woO/+GRsbq7Xyb7EXez7NB3BaewAIqB95/Prjx7B+cGX0//hEJUpukm327D+KqZka1g+uxKMHjmHjutV49IA6Nz3rpcbetXcE6wdX4p47VkcCk849euAYbM4xcbaa6nduxovArbe/547V0bgMLNVv594R+L6MHdu9bxTrB1dG7xlYBOJGmxHYnKfmo+uj47Q/yXm/9tiL0R6W11xrGH9U/RVO4n7sTLTbuXcE8W+Ab1vb2nY5msn/iWd37R3BhvKqKFDTeeJTer9n/1GMT1QwPjmHe+5ocNXGdasjUUJtxycr0QPA5HxT09UYZ9Eaknx4ZnLOuC6dmx89cAznZjxMzdRwbsZL8duG8qrU/BQLpqbTsUAfm67XtAZ97yzGY9eS3ItHDxzD9KyHDeVVqXOm2EO83ObsbDPtjS4Y6Rhh+547VscelOn4pbZnJudSWmR61ktpidMTldTcuq+YMLBrX9yXpqar2Bn2SWJL1xAbyquM8d3mPIa/5LXs2X80wrGOMdN1622Tukdfcxt72TZbE0bszdbOTxLSKjdQOxP3mHQo4d2ES8KGztcmPH3tsRdTXJzE0q69I1g3cB3GJ+dgcx49AGysbTRcc5pfk/vcDN/E1Tv3juB0GL/y9qxt58+8AEY/afYh9MmEZsjSAWcmK5k54LkZz5iT7tl/FELAmGvt3DsCv64eUOf5xHgYO85MzsXyW1OeSjhcP7gyxH7aL0lz0BjJuETXe27Gg+/L6AGgPocXtPXD2zGdY5P6AWhwnc6tSf4x8RXxLt0/U60iWQsgP9m4bnWkCUy5P2GG+PPcjJfSGSbfOEN6N+EX07NexJ2+L417YNJDu/eNYt3AdUZ9bXOemsukNdr4bd2y9EByD016Msjg5GTuNzVTi7WxGDfir7zm2tRaHj1wDFPT1Ygf6fj0rBc9AKRjhNmkz+j9knna9KyXmo/O67martFNunpDeVUKY2dna8a48cU2Fs+LZWG5VpfGGK3Xiyn+63E6mY+Z8jPiyI3rVuNMiJ8kF56b8WJ648zkXIyPTVjSNfOZ0GdMuaGuccn/zs14TfPDLG2dl7ctVINl3Zsv/MXzbT9YRMvjcvVhydHUvSuvuTZTg+h8qGOf2pkwRnUTem+qU33lO416YHKepI5tpofIV3QfM8WX3ftGYx8Q0Y9fLLWKt/31pX19fRzAJwF8AMA10L4idGxs7K63O/47ZYGQKLp2dKPIxifn0FVyov/pWFabomuj6Iaig6Olflnn1NfWpdeUt069b9aYWf0AzKsfXR9ZK/sjpcwcP9D+5DkQ5naBkJfcd+62rW1tm59l+T/xSJJ79PP6+6Kr2IL6ATD2bYVTyfSxknM1W5e+pqTRdZmuNysWmMbOW0OSy+d7LVlrIO5uc7bZTHjOirUWT8dS031qVRs0w00WBnRfojGatc3CHmeI4S9vDH29zebLek3v29gzWyBEhq+K87Jfreo5apeHI91axWUzPCU52YQl+umEPL9thV9bxXfWutqYfudsoX7iB9n6hV7TvS26jfO60XnTOFJm56u05lZ8Qs9X8/I3atcM+83GALLHyN7rNt5bMZ1j8+6Dzq1JjGRhhvDWypjJ4/oYzTS9ic9b1T5JPM+3fpJXm2imj9r4nZ9l64H4Hppw1er9SMbOrH5Z9z3Jz+r1/PV18n0zvaHjv5lGp/3RMVb3RW6e1sbi4loelpvVlHW+ImuVk7tKTowzk+dpbP29zsfJ9skYDsyvHmy6Fn2t83mv4/Tt5CrtOvL5tzz8t8K5883d8riQLM8n8uYxPdPI8xXdx+YbXy6WWsWC/hIwYV8B8DCAfwLwfgCHAVwPYGQRxn7HzOIMVc+PfvSRrLe7A9OVevR/szZVz49eBwIt9aP2yXNCwtgvbyy9b9aYWf0AzKsfXR9ZK/vDGMsc39Iii8XN7axk9Glb29p22VmW/ye51XRef1/11OdrdI419c3jreS5LL6uen7TdVE7+pdsp38bgH69WbHANHbeGpJcPt9ryVqDFf42XJuzzWbam6xYG4h0LDXdp1a1QTPcZGFA9yUao1nbLOwJiRj+8sZodt1JzJte0/s29sxmcZ7hq4uREpjma40bqF0ejnRrBZf6uTy+M/XV3zPW+D2JLL9thV9bxXfWutqYfudsoX5iW9n6hV6TBsjKAem8aRzGsvNVWnMr3Knnq3n5G7Vrhv1mY1Q9P3OM7L1u470V0zk27z7o3JrESBZmCG+tjJk8Tueycn9d05v4vFXtk8TzfOsnebWJZvqojd/5WbYeiO+hCVet3o9k7Mzql3XfdX4mW4i+Tr5vpjd0/DfT6LQ/OsYcm+fmaW1bXMvD8nzqxWStcvJ0pR7jzOR5Hbv0XufjZHs9hlP8mE892HQt+lrn8z5eC154rtKuSZx/y8N/K5w739wtjwvJ8nzCNI8+Riu+R+10H5tvfLlYahWLkfH/PoB/NzY29iUAQfj/RwD8xiKM/Y6ZDWDF8hK2bOqPblhvt/r+5sOjJ6P/e5eX8PD9A8Y2WzcPYFlnAYdHT2LLpn4cPHIcWzapc11L3NTY24fLODx6Ek88cxxbN8fH3LKpH74QWH5VMdVvaaeLh+67JdX+iWeOR+NKyFS/HcPqN1X0Y9uGBnF49GT0XkJi+3A50aYMX4jUfHR9dJz2JznvQ/fdEu3hyEtvGMYfhG2z2FNwG8CORLsdw+X2pzfa1rYrwEz+Tzy7fbiMQyMn8OC9Zj6l91s3D6B3eQm93R144pkGVx08chzbhgZjfXu7S3jk4+b5lnUVY5xFa0jyYU93h3FdOjdv2dSPpZ0ulnUWsLTTTfHboZETqfkpFizrSscCfWy6XtMa9L0LpIhdS3Iv6HdQDo2cSJ0zxR7i5TZnZ5tpb2ybpXBE2H7imeNY2tnQDDp+qW1Pd0dKi3QtcVNaYsXyUmpu3VdMGNg+FPelZV1F7Aj7JLGla4hDIyeM8d0XIoa/5LVs3TwQ4VjHmOm69bZJ3aOvuY29bFtS4EbsLSmcn4eArXIDtTNxj0mHEt5NuCRs6HxtwtND992S4uIklrYPl3Hk6Cn0dnfAFwLbhtIYV2tO82tyn5vhm7h6x3AZK8L4lbdnbTt/5low+olr5ffrTmiGLB3Q013KzAGXdrrGnHTr5gFwDmOutWNY/V7PtiEzV+trePj+AfR0d8TyW1OeSjg8PHoyxH7aL0lz0BjJuETXu7TThW0zPGLgAtdq64e3YzrHJvUD0OA6nVuT/GPiK+Jdun+mWkWyFkB+cvDI8UgTmHJ/wgzx59JON6UzTL7RQ3o34RddS9yIO22bGffApIe2DQ3iyNFTRn3tC5Gay6Q12vht3bL0QHIPTXrSyuDkZO63rLMQaxNIYcTfyEtvpNayZVM/lnUVI36k411LXHzmY7emeDqNp/h6knla1xI3NR+d13M1XaObdPWhkRMpjF21pGCMG4+0sXheLAvLBYcZY7ReL6b4r8fpZD5mys+IIw8eOY6eED9JLlza6cb0Rk93R4yPTVjSNXNP6DOm3FDXuOR/SzvdpvlhlrbOy9sWqsGy7s3nPrG27QeLaHlcbtssjZ9QC2RpEJ0PdexTOxPGqG5C7011qofvb9QDk/MkdWwzPUS+ovuYKb5sGxpEIIVRb1wstQpGX3GzUOvr65scGxvrDl//AsB7xsbG5vr6+s6NjY0tXYxFLtBWAfjZW2/NQCQ/tqBZT08XzpyZBqB+4FJaDH4gISXAOQNjgBRQX3IqgVKBo+ZLBEJCCAnOWPQFqDZn8MO5LM5Q9wVcm8eOBYGEkBIWZ+BW+F5IODaHEBK+kLAYg2UxCKnGZ0x9t24gJWzOwLk6J6X6EWAe/hVG3RfgjMFxeHTNfji+banjkOrrAnyh1mBZDIGv1kTjFG2GWU8gCCQsi8GxOeq+ACQaa+cMXl3AdeLrLrgcQSBRDwSEUJ+IBQApATAJSKDgcNTqag8tzuA4DLIuEAQidT98IGpnA+f9hzN7eroulo+IrMI88duK9fR04e5P//W8FvLkVz8yrz4LaT/fa5hP+8vBWr3mywm/5P9CKC4m/nAtBi+QISezkAPV8XoA+OF722KwAHiB4hrX4RAB4AuBYsFC3ZcxjmNM/Qh2kOB1i8c52OKK5+p1gXqg2tpW2CaQKmZo3GxzBs8XYCGvMyDi9kCoNdgWg+twVD0BGXKxagjF0SEHMgbUfQkZroOFvG+F81gWQ80T0dqlkOovWML3BYfDD2QY4xqcr8czy1J7KiVgWUAQqGtRMSv82mZt33VefrucfTnhN2mmveEWgxeorzyxOEfBYaiFGHQdDgYWxdKCwxEICT/8MXrH5vCFOqdrETvUFX6I7YKjHuzUPDWuFWLNq4uon+Nw+L6AH2KRYr4fKIw4tsKJji1de+i6xnW5miug+M7hBwIs3AP66ljqQ5qm7ovGeFL5uhQSts1jfmJxhlqIeUvDf8HhqHrBedELlyP/lkoOZmsi2q8lBY6K9unExbZWuYHaWRZDECDCrMJkoLhHNrgKkBABgJB3AynhWAyMKY1qcYZCgaNWExBS+VUQNDQrOGAxBimVz3DGUCpwVGoNfym4HJVqELV3LOUfhHEr9DmL9K6QDd9xGOqh3rUthfVACEAySKhjIlDrVj6s+NW1ABHId1QDX0r4JTvfetBxrRhHuxZQb/KDND09XZg6W4n62RZXsbkuItxyBoAx1OsKl4WQ5/QckFsMIlDcR9ojwptQHKnneK7L4fuqneeHOEnmbSFfNrhbQEL5DmNQuiRo4NV2ODgQcb9j80gnWZbSPpHuCXNlO9QUvoj7KQPAgjA3hbp+SBnh+krTD8Di4lffP9IPvoYdukd0zwIp4VLtIRCwOUehwFHVuM+2lTagT6pLqNhP94hiMNUwouM2R60WRPxZ80SkK5K1E8ti8P0Qb7bibSkRYTfi7JBTSQsl/SIQCmMFlyMIdREQ+kioNVyHAwwNjRLGlqoXwLU5pJCo67wu1LVT7mBxFuUgSZxeRvgF5oHhhZhlcTDHQrXmZ+6hzbnSk/VGrHUdlavpejaGqzAXIg2pc5BjNfS1xRgYh8opncYcnCtOkwhrekFDByPkR0gZy/1sS2GW7jsP62pUs7PC81yr30E28qpI04ZrkuGaPK8xZkyTcBbmZjKFsZ6eLkxMzEa1TCHUdViQV1L9DAjxC+C814uy/N5xLQRQGKKaMqDuL+Uyvi8gEzUDvS6r8MUb+ApzOsJrsWCF/N3IwYir62HdgeaaqwXgnKFYiOdolPOTboh8QAKMM/iJeC+lulY9BgAKj7H8kCl/pVyS8sE65Z4cmVyq20I0WPyBo00AACAASURBVNa9uWZZCW+9NWNsezHid7FrwOfD9D0uFmzIehBxOXN4lP9YnKGjwDFXE1FtKarNaXjSdaod8Zh6ThKIRo2NeLzgNvyDdK3+jIQxxf2ceDqM+1Sb0+teCDVSVM9weCzWkG9SjKD+FAf0WGU7TOktDtTqqlZjWQycN+pqyRra27X5YngxPvY71tfXNxC+fhHAjr6+vocBvLEIY59Xc1wLZyYr8BmDtCw4BatxYxhDwWZwmLppkADjQM1XZBvdZFsVdenBl2NzcAZ4daGACvW95gh9uOBy8FBYBIFEIRQ0Xj0sonKVF1mcgTMWFWwti8FiDWdwbXXrKKhQUURIqYoTUq2x6PLQiUS0Rip02Danuroi+3BfamGdzw0fGgqh1hYIFRwKbmNur64SSNdWyYNXF6jVAzAo55FQjlhwlFADGCphYmCHAtv3JbjFYFkck9NV+IwBlgWpFb9NTqIe2vLw/nFYVhrOrbRpW9vadnGY7q8+VHBUdKP4jIEhQJjcS1Uw5Tx8QAIGGZIYA4PFOXxBRWQOzrniY4tDivCDCQifJUqJuWoAxhmKrhVxXBA0gj8PC3dBIFGvK3ENqQSJbasiBiDDgrIak0E96HFsDsdWxTWEnOjVlYgtFBoFQiGUoCiEhTfGEX1QhES3mo9HxRj6oIXnC9Q8ERXcAKBYsFAs8FBYAVVPhIkBwLmKM1LqH2hpiE0hJYIA0R5bkBBeAOYLsCCAJdV38kuLQVgcAefwoRLld+pDGxermeJOEAiwQMAOi54+gLm6ACBR4AwsCCCFBEXiIAA4JBwoXVCrB5BStXW4SvDqdYUlx+ZwHK60iJDh3VfB3WKqLRg9WJHwvEYhmHOFBbrzQjbEaalohQWXICr0Kj8ECuFHMb16ADClAQIhUfMEii6PCmj0kE6EH0BiUA9JZFiAlED0sCZKBnx1XbbNI83h2Er/kM6i+ciP64FEIACbcxRdCz5YpO3cDicW+1vVBdRufLJyGeuHxS/wvR0jPxFeABYEsKUA8wP41TqKjsIcfRNQ3Q+fOrDGb0pbvPGwuRj+ZWNlTn0NDH1ITX84HoRFDyEBx1a+Nx227yhacG2VBBLQGKAVxdUxO+zn1VWRRXl148N/yhr9yaRUfu5YgMtUG2o/VxfwoQrOtpRggYgS67amfeesHuFQggVBrPiUvBeOaym+mKigHib8AKKCciHM2+r1IHzIEfpeWNAgHgVTWJJR7BfqAYat8DtXDaIiMn2A03XUA0A/kNEDQMbQ+EAQ1BxOWBBkCGOIrwqUFlfFD/rAVbFgQQKoVn14dRFhnB5aUhGFHh5SUQZQ/qByXxbpKyHUB5jqAGBx2Abc6jGS8H652vmILfr+CS9A4PkKu0JxqBU+JKvVA0hIuLZ6OMA54DoWmMWiB4CFUE/Uan7joZtQxVvSi3akIcKiGxR+bJvDD7UNoPiSeK/xoKShJUSoa9WH0cgtNC0aFccV1qqhHrEdtQZakxXWVqqewigVBRkQFe0qtQDVmvqAtutwSAlUa0HEu1QzCQQ9cOHwfAkBoOBYyjcDwHG4+sozIcMPrfArCr9vx6hoHH2AEdpDk7AgW3AsSAZUQq5TD28b3GZbDKWi0gPVmg9IRLkbPSCWEugoWOqDNkKiUg1UbcvmCITivQ43XuRlvIFRCYAx9YGiIFB6mgPglsqpRDhPPVAfVlP4k1GR2LV59ACI1hCG+ehDbQVXfTATUsIJ8RgEAp4nFMbCv3iqC7UHpKvVh+w5fAZIy0LAOYTFcXamCgAQXgAeCNhSAEGwoPrZlW7JPXKLNqRlqfe2BbvowGeND62pPE7ts7Q46mHOnnwAWAwx6vlBg+VkQw7QhxXoj0C8sKZrhR82Vg+gVVuqHRdcHn2oR80jIx61rfChSsif1Cf8hnvwEOu1euMDxTVP1YoZwhpFODZ9UJTq1PoHNCk/lFI9sCkWODztw6UdBfoAEdWSLdTDD/AluVTf/2Se3OoDQCCtKeg+XOp2oXLTrNpGweFwHQtePVDPEBwLzFE1fyI9+hA71ZboOYBXFxBS1RRUPSCAzRmWaFxu2zzspzQCPSAEA/wQ8+oDy+EHhsI4UXQtCCFCjlV+YofPFgIR8rbNog9Yy1C308PxStWHTbwbCMXtjv5BPHVdjKtYRb4rIdUHTykvtTgYVx9IUnM0csQLaYvxF4hb0chrPw3gGwA6AfzhIox93sxxLbw57WHX3hGMT85Ff1J64KlX8fxLp9Hb3YHPf+p9YAyYPFfD3zz7On5vw3tR8wI8euCYsc/aNSuw6c4bsWtfY8wtm/pxVaeLwyP/gv/pN96DyWk/NeezL57CwR/9NPq6ju//6Cf42IduxkyljoNHjhvn3TFcxks/fRMrr70KTz73Ou6+7QZ8/fHG+Qfv7ceTz72OTXfdiGdfPIWXfzaJj9+9Bnv2H43a/PEn34eZSj12bMdwGaUOG3/745/htv5347ljP8dt/e/G7n2jUZvPf+p9mJ2r4yvfafR7+P4BLOlw8Kff+PvYtRdcCz/+h3/FB9//HsxU6ti9bxTdXUU88OGbUtfjOhx/8p9+HOv/rR+8gsnpKnYMl9FdcmJ/cTJZqWOntpcLadO2trXt4jCTv/7Jp96Hel3gi3tHjLzw4L39OPnGWfx6Xy+mZxtctnbNCtx7Z1+Mt7YNDeK5Yz/H7f3vRqXmx/hn6+YBHBo5iY+suwGlgo1zs/UYT+8Iv8bwS/teiI595mO34hvf/2fc/J5u3H7LdTjw1KvYdGdfauwdw2UsKTkYn6jghZd/keLT7UNllDos/NH/+f9Ga99014048NSrmbz++3e+F54n8P0f/STVhnj3e4dew6a7bsTSTgdT0zVUa/EY8tB9t8B1eeyaTMdozvvuujHFr7P1AJMTtdi4We2vFGsWd7LOX3NVAWfO1mK4+/yn3gffF/jiN+M+4VVErP/WzQPoKjn41g9fjuFh7ZoVuO+uG/HYU6/idz7wK/jaYy8a4/MHbrkuNt6WTf141zUlTE5VsWvviDFmJ/WS7pfJc+R77//1X4bvS+zZfzQ1JuF+V2IdPd0dqFR97NT24LNDt4IzHmu7dfMAvvnkS7i9/5dw8/XXpHTW0k4Hdvi5t1Z0weWsH0olB29MVlN7dG138bz8NeBi7GWhw8H4VDXGiyZcfvqjA1jSYeMfXztjxMHLP30Tv/beHtTrErv3jeDXfuUafOj97zFq3UiXarqW+O23b78hhvdnXzyF6UodH3r/e+L8nvCFrZsHYNsMX/7WC7E2SzTdnex/TZeLuhdc1pi81Cx5L7L461s/eAXLulw88OGbMTWtYmV3VxH/y+/9GmYq9RR2bZvhS/teMGJ729AgHn96DFPTnjGHCqTAXz79Gu6+7YbcvPCjH7wJQSBjayWOvq3/3eha4uLNqSp274vzaxK3WzcPYEnJxsxsPRZbtg+X8W/j07iqq2hcx5ZN/SgWLPyXv/pnY353uduF8GPL4piq1FNaururoB72en6kEXXs3Vn+73Drze8y6tGtmwfQWXLw7VB3ELZ8Xxi1923978YPf/wz/NNP3ozpBap7fPSDNyHwJQ48nZ7r4fsHwHkcf+QPJg2ybaiM546dwu23XIdnXzyFgZveFRvvjz+5FjMVP1b/ePj+AXR2ODG+18fcsqkfpYKNZ4/9HLffcl0qR7iSMLxQy9O/b56t4UcvnsKGtStj+Vwyr3ngwzej4FiYmK6n4nshEa9N9Sqdl5OcTRz5wIdvBoCIs02YJ9x9duhWWIynNHSxYOFvf3wCv3XrdSm/0q/9sadeRVfJTWkH9VM5HN/921cw9OGbUauL2Fo/O3QrPE+kdH13VwFLHCsTi20d0dySe7TxA9enfH7b0GCMz7q7Cugq2nhr2sNjOfk71WXLa6415vD0dcaHR/4lpQc/88CtEELG8Lx9uAwGYGdOrkYcmIzHplqJ7h9/8Lv/Pc6drafy0sqcj//tOy/E8swk/pd1FXDo+ZNRfZuwHtXLM+ocO4bLuLrLxVvTXhujBrtQ/ps1b8+yIqZmvRRXfuEPfwOzFT/1TCSLe5PxnHKnqWkv9fxCr1/c1n8dHn/6VTzwoZtwdiatPchH//iTa+H7Mrb+P/rk2igXTOqVtb/6S/j/fnIGAzetSIxZRmfJxuf+83+L9Tn6ymncclNvqtZmqh9SveJC69/FeHT8ytjY2AsAMDY29srY2Nhvjo2N/TrUXwVetOYFiMAHAOOTc9i1dwTrB1dG78cnKjj9VgWPHjiG9YMrMT3rRTfW1Gf94MoI7HT+0QPHcGZyDhvKq2JJl95/Q3lV9P5rj72I9YMrcWaygj37j2bOu3PvCMprrsXXH1drIwKl83Scxr/njtWRA1EbmiM5ru9LbCivwu59o9H/epvxiUoUgOjYV75zFOMTldS1T8962FBeBd+X0Tj33LHaeD2nDf3vuWN1Y13a/fOByJFja59nm7a1rW0Xh5n89fREJSpa0DGdF77++DGU11yL8Yk4l60fXJniLeKzqZlain/27D+KjetWw2IcQqRjw869Izg348WOffnbL+CeO1ZjQ3lVFAdMY+/cOwJI4NEDx4x8umvfCIIAsbXTeFm8fm7Gi2JFsg3xLo0jAuDcTDqGfO2xF1PXZDpGc5r49fREJTVuVvsrxZrFnazztXpaH4xPVKIHgHTs9EQl1V9hv5LCA92H9YMro0IB9dHjc3K8Rw8cg9A0kilmJ/WS7pfJc+R707Ne5KfJMQmvyXX4voweANLxczNequ2e/Udxzx2rUV5zrVFniUDtfau64HLWD7M1Ydyj2dr5SUIWYy+rnkjxogmXX/3uUZyZnMvEQXnNtbAYj5K+jetWZ2pdky4lfkvifUN5VTRWnp/s2X8U07Neqo2uu5Pn6IPPlzMmLzVL3oss/rrnjtVYP7gS41qsvOeO1Zg4WzVil+KvCdu7941i/eDKzBzq3IwX+Ueefnhrai61Vt0HOFjkH3TehNs9+4/CYjwVW3btHcGNq67OXMejB47h3IyXmd9d7nYh/NgHjFr69EQFDCymEXV8rRu4LlOP7tl/FGc03bF+cCVszjO19+59o9i4bnVKL5CWtTnHrn3mub7ynTT+yB/066Exd+8bibT5hvKq1HhnIt0UnyOvDvHogWOYmqlF47Z5eP6Wp393hvcqmc8l85rxiQqkNNfwkvfPVK/SeTk5BuF4fKIS42w6r2Oejp2b8Ywa+tyMh43rVhv9Sr/29YMrjdph975R2Jxj/eBKnJ6opNZKeaDJp/Ow2NYRzS25RyafT/LZ6Qn1FeA7M/gyWZfNyuF37h2J6sZJTEzPeik8T01Xo7Vm5WrEgaYcMTmH7h/CUCsfn6hEDwBpDBP+xycqsfo27Qv1yYorO0PN28ao2S6U/2bNW/WEkSt9XxqfiWRxbzKeEz5Mzy/0+sXuUDMwZtYe5KNnwvXq5/VcUO+zobwKe/YfxbqB6wxjqnwt2WfdwHXGWpupfkj1iguN68X4S8CTAEy//fdTAMsXYfzzYoEQ0Q0hG5+cQ1fJid4XXTt1PK9PV8kxni+6NugvdU3n9b/iTc6VN6+UMmqTtS4a39Sm6NrGfjz8Chnq22o/2q9m1563T6ZroNeBkBFgAyGNY8y3Tdva1raLw0z+msU1Oi9IKVPtsjjG4tljEk8RrybPZ/ETcWQrXG3i04hzE2vP43X9ddZai272/uRdU9Z1mvg17/5cqVzbLO5kn09rEtP+5t1LuudkzbBE8TkPs/o4yTa6XsrSLvQ+6XvJMbPm4Kx1DdJVcjL9V0qJ8OeoWtIFl7N+yNK/gRDn5doWYy9pzTpO8nCdhwMdU4T/LD/I40Md73kaP+kLpjF13Z08R/flcsbkpWbJe9EqR1Jb/b1+nrDRSvw39aUYsJD+5ANZ/JCF27x41kyjNNpfORi+EH6cNWfRtcFZdmxuVmdIYi4LDzrP0rGkXqC+C6kRmMbM4/b5aGJ9zDzNdCVheKHWTP/m5Wg6Z7Sap7WSQ2adyzqvryNvjiRW8jg5LzfMWmvevHlYbOuI5pbco6z7o/OZ2vf8uKfXZZtxXau8lZdX6es0zddMJ5j8rNV6i17/TY7bLDfNzlHaGL1Q/pvH3SZcZmmB+XBvM72r4zpPewBm32mmV7LiTPJbZantQnXFhcL1YvwlYOoLdvv6+pYAuKj/XtfiHL3dHbFjvd0dmNa+Cqnq+ah6fnScXmf1ma7Ujeernq9+pFrCeF7/C9DkXHnzMsaiNlnrovFNbbLGFRIIRGNtrfarer7xWPLa8/bJdA302tK8zuLMOMZ827StbW27OMzkr804l3gw2S6LYwKRPSbxFPFq8nwWPxFHtsLVJj4lzk2uPY/X9fiQtVY6Z9qfvGvKuk4Tv+bdnyuVa5vFnezzaU1i2t+8e5nEQzMsUXzOw6w+TrKNrpeSfpk8l/S9rLUm5zDppjzcZfkvYyz6EftWdMHlrB+y9K/FFyMlMM339veS1qzjJA/XeTjQMZWndZvxoY73QCBzjKQvmMbUdXfyHN2XyxmTl5ol70UeRya1QZ5WIGw0G69ZDGhFPyTPEf6y+CELt3nxrNlaG+2vHAxfCD/OmrPq+RAyOzY3qzMkMZdX56D/6VhSL1DfhdQITGMuVh1DHzNPM11JGF6oNdO/eTkaYS0vvifvX55WbMaRzdbRbI4kVvLmy8sNszg7b948LLZ1RHNL7lHW/dH5TO17ftzT67LNuK5V3srLq/R1muZrpjNMftZqvYWuITmu3ierb3aO0sbohfLfPO424TJLCzTj3lbb6j7STHsAZt9ppley4oxI/JwftV2orrhQuF5wxt/X13e8r6/vNQAdfX19r+n/APwrgB8u2irPg7kWsH24HN2s3m71/bOHR09G73uXl7Di6hK2bOrH4dGT6FriYsum/sw+h0dPYvtQfEz6TZtDIydgWcw456GRE9H7h+67BYdHT6Knu4Stmwcy590xXMbIS2/gwXvV2h68N36ejtP4TzxzHFs3D8Ta0BzJcW2b4dDICWwbGoz+19v0Li/h4fvj/R6+fwC9y0upa+9a4uLQyAnYNovGeeKZ48brWWHo/8Qzxxvr0u6fDWBHYi8X0qZtbWvbxWEmf12xvIRHhtOcSrzw4L39GHnpDfQuj3PZ4dGTKd4iPlvWWUjxz9bNAzh45DgCKcB5OjbsGC5jaacbO/aZj92KJ545jkMjJ6I4YBp7x3AZYMCWTf1GPt0+VIZlIbZ2Gi+L15d2ulGsSLYh3qVxuAUs7UzHkIfuuyV1TaZjNKeJX1csL6XGzWp/pVizuJN1vuCk9UHv8hIe+XjaJ5L9FfZLKTzQfTg8ehIP3XdLZnxOjrdlUz+4ppFMMTupl3S/TJ4j3+ta4kZ+mhyT8Jpch20z7EjswdJON9V26+YBPPHMcYy89IZRZ3FL7X2ruuBy1g9LCty4R0sK5+ch4GLsZdHlKV404fLTHx1AT3dHJg5GXnoDgRTYFmr1g0eOZ2pdky4lfkvi/dDIiWisPD/ZunkAXUvcVBtddyfPudbi7WPbFseS9yKLv5545jgOj55ErxYrn3jmOJZfVTRil+KvCdvbhgZxePRkZg61tNON/CNPP1y9rCO1Vt0HBGTkH3TehNutmwcQSJGKLduHy3j1xFuZ69iyqR9LO93M/O5ytwvhxzZg1NIrlpcgIWMaUcfXkaOnMvXo1s0D6NF0x+HRk/CFyNTe24YGcfDI8ZReIC3rC4HtQ+a5Hr4/jT/yB/16aMxtQ+VImx8aOZEaryfSTfE58uoQWzb1Y1lnIRq3zcPztzz9uyO8V8l8LpnX9C4vgTFzDS95/0z1Kp2Xk2MQjnuXl2KcTed1zNOxpZ2uUUMv7XRx8Mhxo1/p13549KRRO2wbGoQvBA6PnsSK5aXUWikPNPl0HhbbOqK5JffI5PNJPluxvATXQnRPm9Vls3L4HcPlqG6cxETXEjeF52VdxWitWbkacaApR0zOofsHN9TKe5eX8B/vvzU2hgn/vctLsfo27Qv1yYorO0LN28ao2S6U/2bNW3S5kSttmxmfiWRxbzKeEz5Mzy/0+sW2UDNIadYe5KM94Xr183ouqPc5NHICWzcP4MjRU4YxVb6W7HPk6Cljrc1UP6R6xYXGNZNSNm9lsL6+vn8H9VeAfwVgo3ZKAjg9Njb2j29/eUBfX98JANXwHwB8dmxs7P9poesqAD97660ZiOQj29Ac10JdABISkAxCSrg2RyAlfF+Cc8B1LEgp4QcSjAGcMfiBhJASNmfg4dPbIJAIhITrcAihXjPG4Docvi/UTkkADJAC6vGrBISQcGyOQEgIIcE5g20x+L6ag95L2ZjDtsJxw/cAwMJxhWyMFwQSjs3g2BxeXcAPJCxLfRKeMaj+gYDFOSyLQYTrr9VFtJZC+J7asXDdlsUQBBK+kLAYU++FaiPC/bM4HVd7CQnUfTUu7YsfqOvhXO2/Fe4vXSfnDHVfqDkgYz+caVkcPtSfKFucqa9LSvywZittdOvp6bpYPmayCk3wCwA9PV04c2a65UF7erpw96f/el4LefKrH5lXn4W0n+81zKf95WCtXvOlhF/L4mCOhWrNj3wTQMpf6ZgQAOcMlgUEASJ+tDjgWBwSiHFVwW3wnh3ynucLFMOKqlcXAFOkTJwbCAHL4ii6HFVPIAg503E4RKA4FVx10/mUeFjn8yCc17HV2igu2FYYQ4QEZyxaQ8Hl8LwGTzu2iiXJeENjBIE6RzFCSAkpVSwQArCtRtARQsbinIpbEjZXa9WvhTg3CARsi0f7ZnEGhwNeIAEGMKjrIJ4XUqrYxiQg0ZRrTXYp4RfI9ktT3AEa2C44VrjvCquuBYhAQjAGP8KFkg2WzVCvNzBgcQam3SOLczgOR90PAKiYXfVEFKsZA2SoNUivQAJOGIPp6giPlsUQSAkmofSAJ9RXvxascE6FlQKdkxKOxcAYg1dXOCkVOCpeuD5L+WKtJmBpMR0MsBiL6RrH5qjVAwihNIbrcNTrQukMziL9RFit+yJ2XVJK2BZHwWbh/KpfsaD2hNpaPOSQUJtkYZXuI23i5aQfSiUHszUR6bYlBY6K9inMxTa3aKNWb3CsayvMSuKkkAstzsM1S0jZ0JWOw8AZQ81r6GnSu8STVhgfOOdKN1oMgS8jP7QsAGCQUkJCYSkQIvLHQPeBkHd1TqQ1Mqg2NS+AxTlsW8UPcKBgxzVzscBRpX22OBybhzGPg3OFMd2XY+05R0eBI/AFvACxvajWgpSmna/eTdqlhF+yC6EHG7wAQLIGx8oGfohrvLqA63AVl8M8TkiJgs0BpnIiQfmOxaK8MNC1hFAca1s81C2IdIfCLGBbHI7NUK2JDL9o5H0sbE85GOV6fiBQD9R4js1DTg8iPcE5AwNQDxprdp2GhqDY4NoccyE+uQXYoT/SXI5F/iMa3B9qC8Kua7HY+1awfCnhd76xRe8XgMEXopFL1yV8IZrmwZbFwMDU/Qu5TdeSFlc6NOKvUP/ZlsKxBGK8G9O5jtKvYErfkDaguA0JuDZH1Qvi2NS0uaXVHMgaOlkNQz5FGrmu1VdEWHdJ6gOLM9i20hKBlCi6SssgoYkLLg/zgAaWaRzG1NoIx/o5zhamd5N2EeEXaILhJAeKEI+0D3mxKI59RDmdbbOoLuXYXLWLeANRPjLnBbCYjlV1P2yutKskoOp1PdLbFofrcMxVA9gWQ4fLMRfyEGcM4IDNGtyq622bNzCn516cM0jiQMI8Gnit+yLCvO5DFmcouRyzIWeruYB6XfmUbasPZSVx7NWF+lrzUMsCDR1dcLmqtQUiykeJxynXqHvB29YKJrsY8QtgwfrAsjiklq/H8jaLR7kWxUJAwnWsGH9GmBRAEOZwTpg7qTHTOsAK+TaG27BOCzT0gc6LbphjIYzd9brCNPGkHwhAIqzHNmquiOWGql5gcXXNbsh3pG+CsN5bcNV4VIchXPoGvyCdSnmlyt9UzYb2yXWsxjWFmGbhbzcQRl2Hq991D+Nclj5ohus8vXgx4rc5/85PP7xdM/G+4/DoG1ZI3zohf0mJqG5AmPDqQeQ/qVxJq7u5DkcQKM7kIN2p6lIFV2kJqm8Rvyb9wrE56oEIc730GkjfRHEk9E8pJYQE6nWBgstj9TbHVvib89KaiJ4XNWoSgGOpuOLXG/EhqhtKRJy8GDZfDC/44SM9iOvr63v32NjYxELHadH+57GxsX9e7EHrXoCrr+7Ez/7tLHbuHUF3VxEPfPim6Ecd6WlzT3cHpqZr0Y+xrl2zApvuuhG7wj4fv3tN9KOV1KfgWhg7MYGbr78GB556Fb/zgV+JfsB37ZoVuPfOPuzeN5qaUz9H420fLoMxYOc3R1JzfO/Qa9h014048NSr6Cq5+J11N2B80otdw/bhMg489Sqef+k0ers78EefXAu/3vjBTnqKXeqwcXbWi36s09T3wXv78eRzr+N3PvArcF2OL+17IWr7mQduhRAy2if9aX1lzk/t0bd+8AqWdbmZe6G3m5yuYsdwGd0lJyK6IBBgCEEcSJhcqJU2bWtb295ZsyyOyUodO/f+OPJ18m8WiJS/2hbHZLWOx556FXffdkP0Q9K93R14ZLiMzk4H52bqMe7SOfLeO/vw+NNjmJr28Ae/+6sIAoknnjmOu2+7AUdf+QVu6393jHO3DQ3ilZ+9hZvec3V0fOMHrseGtSsxPVuPcdnWzQOwbYYvf+uFWP/Hnx6LeHP7UBnPHjuF9//6L8P3JZ7/539Lzbl9uIxnXzyFgz/6qTEO0PX8+B/+Fbffcl3qWq/qdPHtH74SzbllUz9KBRsCMsbT24YG4Tgcf33kdfzWrdelYgWA1NjEwbTG8ppro3hGbbq7CljiWAj8kJ8vCLIuDkvGHUR4N+uMR4bLcByOP/nG30fHKNb+9u03xGLgSz99Ezdff00u1o++cho3X381XOSUtwAAIABJREFU/ubZ11P+QppmcqKK7x1+zXie5qPXN7+nGx+45broR7VNsflHIXY/9ZE1qfVtHy7jhZd/gZ/8fAr33tmH5479PIZhE953DJfhJvZk21AZjsPwZ3/+fEqLJX3BdSyUChbOVur4xUQttt+0t/fddWNMU2TdR0ocLxdMl0oO3pispu7Rtd3F8/Ig0HEtnDlbi81n4s2H7rsFrsvxl0+ncbltaBA//PHPMF3xjPecsPiZB26F78sYR5OOnZr28MCHb4r5hUmDJ8ff8fEyHJvjTzUs6nMSnj72oZsx7YuYnyRjgd7vC3/4G5ia8WJ+kJrb4Afbh8u4pstF3QsiTFoaxyRj6jtRHLhSLLnPpnv2uU+sxVVFG4EXoGBxTE3X8MUEJkYT+kLnpd+/873gjKf88+Wfvon/4b09ODvjpbg9mZcmY8zD9w+Ac4bvHXoNv7fhvWAMqNYCPHog7QPkn50lG/9ryLW93R34o3+/FkEgYxjbHuIzyzfUXxA6qfO6XjHtYTL3vNywvJDYYlkcU5V6Cks6vyT3ifD62FOv4qMfvAm+L7E7lvuX8fjTap83fuD6lLbcNjSI6dkalnYWI2z9h3t+DZVq3Vhn6Cq5+ND734PHnx4zaou/e+EUNpRXGusBk9NVbBsaxHPHfo7f7P9ldLg2JqdrKVweGjmJ37r1OvzNs69j0519qNT8WBuKI7ru1bWM6RpJk+gaXMek0ig3xvYupnsvE1y2Yjqmkvd4x3AZV3e5eGvay4xF9AHDs3N1fPf/fiUzD9s+VMaBp1+Nxc6rOl18/8jr+KefvIktm/pRLFj42x+fiPBw92034MnnzLo3ibG1v/pL6Oku4uyMF81r4qI8Xs7iN11DE+YPHjmeydnJuTbddSP+bXwav9TTFdXqTBjUsd7dVcQf/O6vRryelcORdmjXxprbVALHeu3SpBMh4xrs8596H2bn6rG6KHE21SOS90vnuGb5uZ4n/t0Lp/DB969Kjffw/QNwLI5dmm+ZdLJe3x156Y2UP27dPIBvPvlSQvPehJlKvL77+U+9D29O1TM53uQz5JPlNdfi+z/6SZSbsUCgYHFMJu6DSR80453LyS5UbkrcPTnbqGeYnoGUig4CIfC9Q68ZOTnrWYd+T7duHkCpQ/2++0wlrjd2DJfh2Ax/+ufPZ65hWVcBvuCYqdRTtTQ9XzQ9t1jWVcCh508a63HE2we0+Nfs+cWnP6ryXV2TtFqHOJ+2GN/9c7avr297X1/fy319fWfD/7f39fVdEn+1e3a2FhHGPXesjm4goH6s8dEDx+D7DYAAwPrBlREJ33PH6gh4ep/pWQ/lNddi194RrB9cGRVMqT+BKTmnfo7G27V3BJPnqsY5aC3rB1di47rVOBOeS/ZfP7gyem8xHokKOrZ736g6vnckt+/XHz8WXc+5GS/WdnrWi+0TrdPm3LhH99yxOncv9Hbjk3PYuXcE8W/VbVvb2nYpmg9EvAugqX9T+/WDKyMRQf2+uHcEIkCKu3SO3L1vFOsHV+KeO1bj3IziKRprQ3lVinN37xtFec21seMbyqswPlFJcdme/UcxPeul+uu8uWvfCDaUV2F61sOe/UeNc+7aq9oA5jhA17OhvMp4rWcm52JzPnrgGKZmaimeJq7fuC7Nt1PTVePYxMG0Rj2eUZvTE5U2P2eYjndTnPvi3hGcnqjEjlGsTcZA0hV5WF83oJJHk7+Qpvnyt1/IPE/z0esN5VUpf02ui7BrWt+uvSNYN3BdtL4khk1432nYk937RmAxbtRi+rrOTM7B9yVqdYnxiUpqv2lvr1RNMVsTxns0Wzs/SYhn4GcTb5KuNOFy975RbFy3OvOeExaJY006lnxPH9+kwZPj7/zmCMYTWNTnJDydmayk/CQZC/R+vi9TfpCa2+AHu/aOIPnB0fnG1LYtzJL7bLpnX/iL56N994HooQ2dN+kLHUfntAfDdG5XyP2U4yV9JJmXJjnvK985GsWI6VkP52YaHxY1td+z/yjOTM7Fjr05NZfC2K69+b6xZ/9R43ldr5j2MJl7trGcjaW8fdK1s8159ACh0b+xzyZtuXvfKN7duzSGranpamadYeO61dGaTNpi4zpzzYTwQvrg3IyH04bYvWf/0Ui7rh9ciamZWqqNqT6haxnTNdJxXYOnNUo67lyJujcvH9sZxqZmscgH8IW/eD43D9u1byQVO89MzmHjuoY+PTfjxfBAHJqna+l+79l/FEIgNq+Ji/J4OYvfdA1NmM/j7ORcu/aO4MZVV8dqdSYM6lin/DavjmbSDm0zm0lT6bXL5L2bPFdNtR+fqKTqosl6RB7HJTl2arpqxAvhzDTeV75zFFMztdgxk07W67smf9yz/6hB886lxjHVSZppAvLJrz32Yio3M90Hkz5ohXfa9vYtWc8w3eup6WqkN02cnPWsQ7+ne/Yfhc05Js6m9cbOvSNgYS0gaw3jExUwMGMtTc8XTc8txicqmfU4/blLVh6ZnOer3z2a0iQXQx1iMR7U7QKwDsA2ACcBrASwA8ByAP9xEcYHgO/29fUxAP8VwI6xsbGpVjtefXVn7vnxyQYRdZWc6HXj/Bw4Q+y43i6rT9FVT6/HJ+dSbfL6541nOlZ0Ec1hcaDo2sb+XSUnep+8HmpD683rq19Pck1Zc2fNR+M228tYO8bQ09OFK8Wa4RfAZbEf872Gy+Ga52uX4jVn4Vfn3caxbP+m9lkckcVdSY7Uz9FYFm+ND/P41cTPyfn0/llzWuHHcvLiQFZfuta8ddFxzgAYeLlZ/Mhbe9G1Lzt+Xiz+bUVnZGEouf+tYD1Le1BbismtxFzSFq3gAkDm+qSUmT43nz3Rfz87rx9n6us/8jA9H01xKeI6C79vvDlj3JNASFx7Hq7zjTdnF6Rrk+cs3lwntsJhi6XBk2PS66x2yfd5uUWzuQMZv1fzjamXgrXCv8A765vJfc66Z7TvWfelWb6V1YfwPZ+8kvqbNEKz9rotRP808/O8+ZN+c6lhebHxm4WlvH3StXOzXDwrzgdCxLASzWMYh8bIuqetagnCTN4YeWvJwmSe9s7T4Lk+conhcj5mwnCzfCzI4LYsXLaCC3pPOVDyfVIvt4IxEw+3VIdKnGvGf1k+kTdX0u/y2tP8rWgQk3a43G2hvtmMb5PnTPGxlZrsfHgyL0+cT42iWV7UCk/PZx9a0QQpP2mioZJ7GIjmvANcWrncxVgDnk89Q6+/zYdn6T1n+c8Wmq2hmc7O85dmWqAVzd0M8/OtQyy2LcZDwE0ABsbGxs6E7/+xr6/v7wG8iMV5CHjb2NjYqb6+vgKA/x3A/wHg/lY7N/tNCafooLe7A+OTc5iu1KPXZL3dHRASseN6u6w+Vc8HY+qHI5Nt8vrnjacbHav7Mppj6ZICqp5v7D+tfcVT8nqoDa03r69+Pck1Zc2dNR+N22wv9XaQ8rz+/sfFFhzOx28CXozW/k3AfJvHbwK+A6tp3TLxa3Gjr2f6d9g+iyOyuCvJkQDg2HFeDkRrfBiIbI4z8XOSN/X+WXPStwHkxYFAFHKvNW9ddFxI9V3lyXGaxY+8tVc9/23z8yWD39Ba5iIN7/OJ8dRW3/9WsJ6lPagtxeRWYi5pi1ZwASBzfYyxTJ+bz57otyOvn5AFOJzlYrpVTXG58a9lWcY9sTg7L7E1a75mutbEj80w2wqHLZYGT45JsaUVDQ2ktfh85rZY4l7NN6Ya7FLBr27vuB5M7HPWPYv2PeO+5OVbWThirMFn88krqT/5lmOz6Nh8YtJC9E8zP8/bw6TfNMPyZY/fDCzl7pOmna/qzI/jWdrO4nH9ksdzpBWy7mnWHEktQZjJGyNvLVmYzNIyNGaWBs/1kUWqS1xs+AUyMNwkH7MyuC0Ll63ggt5TDpR8n9TLrWDMxMN5feeLteRcrWKKjut+l9ee5m9Fgxi1wyLZxYhfYOG/CdiMb5PnTPGxmR7Nul+5ebZmSR9qNUY3y4ta4eksvLWiwU3XkfSTZhoqyQ0Wb847TX4T0Hj8Qtpi14AXxeZRz9Drb61yn/5eyPxnC3lj6c9h5psv6nEma+2taO5mmJ9PHaIVmy+GF+PrQG0g9ZeMPgBrEcbG2NjYqfD/GoD/BOD9izEu2VVLCtgxXEZvdweeeOY4tmzqVzcE6gZt2dQP22Z4+P6B6Pjh0ZPYrvXZunkg1adriYuRl97A9uEyDo+exEP33RLrv21o0Dinfo7G2z5cRvfSonEOWsvh0ZM4eOQ4esJzyf6HR09G7wMpsH2oHGuzbWhQHR8u5/Z98N7+6HqWdrqxtl1L3Ng+0Tp9IYx79MQzx3P3Qm/X262+A/iS+I7ZtrWtbblmAxHvAmjq39T+8OhJPHhvnCMeGS6DW0hxl86R24YGcXj0JJ545jiWdiqeorEOjZxIce62oUGMvPRG7PihkRPoXV5KcZn6fns31V/nze1DZRwaOYGuJW74ff/pObcPqzaAOQ7Q9RwaOWG81p7ujticWzb1Y1lnIcXTxPUHj6T5dllX0Tg2cTCtUY9n1GbF8lKbnzNMx7spzj0yXMaK5aXYMYq1yRhIuiIP60eOnsKWTf1GfyFN85mP3Zp5nuaj14dGTqT8Nbkuwq5pfduHyzhy9FS0viSGTXjfYdiTbUNlBFIYtZi+rp7uDtg2Q8Fh6F1eSu037e2VqimWFLjxHi0pLEZKkDbXwM8m3iRdacLltqFBHDxyPPOeExaJY006lnxPH9+kwZPj7/h4Gb0JLOpzEp56ukspP0nGAr2fbbOUH6TmNvjB9uEy3ESGNd+Y2raFWXKfTffsc59YG+27DeARAyaS+kLH0dJO1+ifIy+9EeV4SR9J5qVJznv4/oEoRnQtcbG0043amNpv3TyAnu6O2LFrlnWkMLZ9ON83tm4eMJ7X9YppD5O5ZxvL2VjK2yddO/tCYFsq92/ss0lbbhsaxM/Hz8WwtayrmFlnOHjkeLQmk7Y4eMRcMyG8kD5Y2ulihSF2b908EGnXw6MnsayzkGpjqk/oWsZ0jXRc1+BpjZKOO1ei7s3Lx3aEsalZLLIBfO4Ta3PzsO1D5VTs7OnuwMEjDX26tNON4YE4NE/X0v3eunkAnCM2r4mL8ng5i990DU2Yz+Ps5Fzbh8t49cRbsVqdCYM61im/zaujmbRD28xm0lR67TJ577qXFlPte5eXUnXRZD0ij+OSHLusq2jEC+HMNN7D9w9gWfgBEDpm0sl6fdfkj1s3Dxg0b0dqHFOdpJkmIJ986L5bUrmZ6T6Y9EErvNO2t2/JeobpXi/rKkZ608TJWc869Hu6dfMAfCGw/Kq03tgxXIYMawFZa+hdXoKENNbS9HzR9Nyid3kpsx6nP3fJyiOT83z6owMpTXIx1CGYlP8/e+8eZUdxn4t+VdXde8+eGaERSIIbQOIpkDA50mg25PqaYPG4N3iBg+0cJIGZwQ45OfeeZS7CsSWRG+OT8IiPHwufk5UbOycGbPOIDyYJC+fGIBubODEjhDgc8xjESwcnWCPQCI1mZu/urqr7R1X1ru6u7r23JEDSTC1Ymt1d7/p+3+/7/Xo/yt+l1q4sW7bszwCcA+CPAPxPqK8D/QKA58fGxv6vg+y7F4A3Njb2jv460D8BsHxsbOzKDpovBfBau6foxx7bh72TDURcQAig4lMIKRFxCUYJGCWIYoHAo+BCIuYSjBEEHkUYC3AhEXgUQkjEQoISAo8REErQbHL09jA0Q1WPEqIeu0rA9yjiWEACIABiIeHrfoSQoNZ3Xnm6LgBwLuExNa9mxFEJGDiXiLkAoxTVCgUXQKTnxigBIYAUAPMIOJfgXMD3KAghCCORrKcRcvh6nVxIeJSAUgDQ7YRaO6NqfTwWaj8oAWMEQkp4jKqx9Xx8nwIEiCNVl1K1P5QSCCHAOSCk3jePqD2OW/UAtRZCgIrPEEUCsRBglMADDvkPaS5c2E/a13pPylJ0gN8D+STg5Tf9bVcTefgrH+2qzYHUn/skYHnp4pMoRwR+GaOQjIALzWmUgEFxE2MUMZDwl7Fzcx0EgFR8U6syRLHiP0LU0oXFwwISQmiO1fxjOExongMARknCe5QQMAYQqDGkAPyAIooEhMXT3OJpAoBSgjDiYIzC9wiiSEJIVV9KiSi2uVuk/vZ9Cqn7ZJSk+mKMII4lAl9xq9A+BwAEpOJQi5u5EIAk8H2a7D0BEHGp56/6j2MJQtUnAls+R8KjxtepPTe+wWMEjFGEEQehBEQi8Xk+IwAXB83HRwp+TemGi/yAIeQAFwKBzxIMEQJ4jMCjBM1IAkSCgLQ0g/oPnqcwyIXCAiHqXXZStnDIKIGUEhIAJBK9wihBGCu/GXgUYSSU39Uah3OFVUYJPIMtkbcrQpT9UKJwYzBaCyimQ3X+1YqXtBUS8CiBJIAUEozRpC0XAoCyQ89T+LfnG8XKRhKdQhWmKQEaodIEAJS+0Rg2/bTsCDD6Bbq+wTqjANF6qF052vgXAGo1H1NNAS6UVuutUExb78I8kGI4Wu0xBYg6B8EBEAkpCbg02rLFVVIqbIFIBB5DqHmO6muMKg6NuaWjBQCq/lQY4WCUohIo/DYjAUACkiieE8qnGP3JpQQEwKXW8FJpT0YJeioUM3pvKj5TfCgkmB7bI6TFkZSCMYBzgFCAJfdUX5UKRVP35TGaaHdGabq+7p95BDyWiX1WfMW5Zu8YIQgYEOkf9rH9ZeBTCA5QPR9ztr5P0Gjytrr5SMJvSw8oAng34oGiMYXmMkKBKJI65kMSu4AAEFBcqa9z0eJbE3f5Hk14TsVxqo7PCCTUmQqNWd+jaGoNYnjR5lBKCSoBRRiKJJ6UVlzKGFG2orlVWPOBHotnYjRAx5I67qSMIGAEM2Er9jXtmG7j6f6ljm7NOIFPMdPkiV6QQGKzgU8BIRBxrSko4DMKCa3RpIoX6VGEX1O6jWcYo+AgyT4FPkUcScRCwKMUQUB1zqFl+3GssFWpUIVFKz6XUgLS6EOBnoqXcJsQSpuYs2SUIOZKvxAChGFLjwjNeUW61uBJSqAaKA0ScwnPI6Akr52rlVYdaniRy8TfJ/EBI4i53mOJJBdBCAFBC9emrvkOsVhrKcaU5iFAokHMdTMW0/Zp62SjsaOYAxK5OCUbv3RSDiP8Ah3EcNl4zPhrSJVLC2PDacqe7RiBMQp4DM2Qo+IrHjG61WhRw49S86nKMRGAEESRSNpx61x8j6XyXwYfRr8GvsqnGayCIMlbmUIIQEk672XmYmJAbu2JHXMqXhMJh0axSGJA0ySprz+RHVvYsvV4ip+5BBet3F0Ui2TNBC2N72v9Hus+/ERDa33kE4SN1uc2Dgav2XI44hdwfxKwbN05TSV0LEU1/woBAsUbSpup+J9YWDI+sRLQxP+bsQKPIhYSwoq7mI7vhNbHLKMJKppjoxQvq1LxlTbgXMDzaBLjGdvzGAWlKl5sxXGAR9VcY53v9UxsKCR6KkqHG19RCcwYMrE7kyOo+DTJczNGUA2ozsvI1nooQTMWoHqPGCPJWHa+W/G/yOHQjp8ZpagGFA3LzxlN3A7PbT4JeNjh97D8JCDSOiTwibIRzWuB38p52fkJqZ8REKL4jOlnG1zHPoHOs4Gk81KBr67bthDz1mtmP6eQrRyarUUJSEtfe0TnLxRPB9p+jF83NkkoQRRxeEzhrantx9g2o60coZAtPRxr7EE/e/GZ2idTqBWPmnyiiiUP/rlGtxg+FA8fbwLwHwF8F8DxAN4EcD/UQ8GDLYsBPLhs2TIG9cnC5wH8n4egXwAKxDt/tQ9/8ldPYnxiJnly298bgFGC/SFPfgzSvFPhgUfHsHcyxLUfORt33r8dA/1V/N7HzkGjyZMfhTRPsP9lfB9OO3Eg+QFN038lYPjeYy/hdy4+E3Es8dV7t2Ggv5r0aep+5qqVePiJV3DlhWfA8wi+dM9TqX5+/NQb+PDqk1Jt1DtFAnzjoWfx2795Or5239MYn5jBeSsW46pLlqXWU9SHGfeqS5Zh2wu7sPzUY9NjDA+hGYnkhzjNehccU8Gbb02l6t5y/fkII5Hbg1rVh+8RfPsHL+DJ53bhvBWLsfbSs5If2rXnccUFpznnuXmkjoGa/64H/nNlrsyVQ1cYo5iYjlKcYGy57B7nwuTx1UNEn2Dv/hB7J5spXvjsNYOY31/B7rebeOjxHSkedPHP5R86DQ8/8Qo+9uEz8JXvbstx9c+e+RdcsOqkFDd97trVCXfb9e955AVMTDacvsJVb9NIHT99+g0Mnn188gPDrr5sHjY+B4DT73gewfceewlXXHAa5vdX8MzYOJaccEzSv/IFZ+GBR1/E5R86LTXujetWIQgo/vTutK85/tga9u4PU3uwcXgIP/jZa3j25bewaaSO4/oD8LkfnHcWxij2TIa4NeMHqxWGb3z/F5jfH2DtpWfh/h++mMOr8YPmvvGXV11yFu64ezR3dn/96Eu5czW+/rc+uDSHmc9eMwhKlb4Y6K/iP1z16yAA3tkf4u9++oqzr/n9FdzzyPN48rldie64/4cvor8W4LIPnpLTTQYnZs0A8I3v/wITkw184frz8fY7jba2ZI/1/KtvpTBdZJN/9LvnY/90hIce35Fbx80jdcy3fn9gNpVazcebE42UPW8aqeOEgeoBPwh0cbeLT24eqcP3KW755s9TYxfhx3WuxibWXXoWfJ/ii1ZfX7j+fESxwH3/8GLC7dmzNzxpa+pNI3W8+ssJjD6/K9Gi555+XG4+m0fqIBS49a/yWtWMd8UFp+GeR17A/P7AqbsNtrPr+ENrHbb+NfWNL4xCUbjnf/Lv/1dMTcaFXH006OZ2OuG9GtM+yxvXrUKtx0vh4vPDq8EILWyzYf0gjukPsG+Kp87rhrUrUat4uP/RMay99CzM6/Pxy/GpQo40nLhs6YJUTHnd5StybY7pC5K4S3HkeZhuxPjyd7Y5x7cxveCYKvY2Oe774Yv4nYvPRDPM64/+Xh+PPbkzp2mM1pmcjnI2tWmkjkXzq9g32Ujt1S3Xn48oEim/eTTg92CKWbcHAByI9esKo2hKgb0TzdzeFu77cB3HDlTw9t4mbr9rtDCfceO6Vfibn7yMqy5Zhie2/xJr6ieDxxK36TZZjbth/SC+9fBzmJhs4PPDqyE48J++81RKf95xt5tfNw4P4Yntv8QFq07K6J1leEDj0caVqT949vEJTo/pC/DPz76JD5y+sC3+zdqu/chyTE5Fqfo3rluF0efexIdWnpiaYxE3H9sf4O3J8D3lpferZOOxiZk8H3Mpcr7faC7FpT9z4sfk4aZnYqd2K8pdubSC0RZZrWpe33T1IL7/4x345GVnY/90XJiPy8Z0RdrYaHrD74+N7sTF9SXOdWwaqeORf3wVz778Fv7w0+eBxwLTzTg17k1XK61i76NLvxjuvfnPf5bqH1Li9ox+MXh8P/zo4VDK1g0gdc+Vm7z5ujo8j+KLf/ZzXFI/GUPLj0/1ZcdsmEIqrjMcG0VpnLriHJv/rv3I8lyuw/CTnZ84b8VirLv0rJzmOKYvwJbR/4kPrTwxF4/9/c9eT8WGLl42fuT51yZytmHuPfSTV3Oc/PUHtpfmtw2v2+s+rj9I3uRmzsvmVdeZZPNEyj9KzKUk3r2ybzp9JkYfXHLeEkxksGr7zPn9FQgp8Z2HXkg40WAky6uuZxdZW8m+tv3D3/30FWcO0M69FGkLW2NvHqkjyMStN6xdiYH+Ch59cmdiFy6/YMdfto9y2cV7zb8H/N0/y5YtWwcAY2NjjbGxsc+NjY2dODY25o2NjZ00Njb2B2NjYzPt+mhXxsbGXh0bG1s5NjZ27tjY2IqxsbHfGRsbe/Ng+zUlBpIHgID6kcY7798Oj1IQkAR05t4dd2/FRUNL8PE1ZySH9vE1Z2Df/jB5bep+9d5t+DdnLk4MxO5/cirERUNLMDkVJk7A7tPU/foD23HR0BJ89d5tmJwKc/1ceWG+ze13jUJw4KKhJQnoAfU6u56iPsy4d9y9FRcOnpS7v3d/M5m3vd44lrm6u/ZMO/dg72QDuydmcNHQkmR+t2fqmXkUzfO2u0Zz30M7V+bKXDm8SwzkOMHYctm9bB88Bsb3TOd44cvf2ZY42SwPuvjH8Ix5AGjXm5wKcXF9aY6bbO626398zRmFvsJV7/a7RnFxfWkiGIr6snnY+Jwiv2P8y533b8f4nmnUV5yQ6l9x+2iydrv91+57Gvv2532NEMjtwR13b8WVF7bWEc6p7cISA0kiE2jt6779IT6+5ozE/7nwavBp7gOtM3SdnetcjQ91YebL32npi4+vOQOMUOzWbYr6Gt8znczFnP9FQ0tw5YVnOHWTwYlZs1n3+MQMxvdMd2RL9lhZTBfZ5O6J6YQHsvVvncX6YaopcvZ8+12jmGoeeODh4m4Xn9x61yh27ZnOjV2EH9e5Gpu47a5RjGf6Gt8zjdu+NZri9uzZuzT17XeN4t+cuTilRV3zue2uUex5p+Gcj61ZjV27dLfBdtk6sn25fKFrz+NYlnL10aCbO9UJ7/aY9tl87b6nc7jYtz8sbfPVe7fBozR3Xnfevx179zcTLAqOUo40nJiNKV1t7LhLceRM8gDQNb6NQynUHpj41aU/xvdMOzWN0Toum7r9rlE0QpHbq117pnN+82jA77tRYqhPnLr2tnDf7x5FFLb4oiif8bX7nk647OL60tSDbZfG/eq92xJs7tsfJg8AgbR2KdILRnOn9c5WJ5eb+jZOd0/M4MLBkzrCv1mbS4d87b6ncXF9aW6ORdwc8veelw6HUsTHLt+fjfOKYiSP0kLtVpQTcmkFG0eu11/5rhpjt+GvgjllY7qiedna9qv3bsOVF55RuI7b7xpN/PLbe1VuLTvuV767LbePLv1iuDfb/979zUI8vh9+9HAo3eQgXLnJW7/V0msXDp6U68uO2fZONlJxneHYLE5dcY5KsyRRAAAgAElEQVTNf65ch+Gn2zPzdWmO3RMzCZdl47FsbFjkoy+uL3Xahrlnr8XWAGX5bde6s7mETs5kNuD2cCquMzHnvsuBVdtnju+ZBiM0xYlFvOqKobKYyb62/UNRDtDOvRRpi6x/z8atd96/Hbu03i3zC7bNtXvu817j+GA+CfgXAO47VBN5PwoXMtl8U8YnZsy3RTjv9et3iph72dd2XS6E83o18FANkOunaDzTJnuP0eJxs/0V9V/Uh6kvZX6PqoFXuG+d1jXrMfvQbv3Fa5Vz3/c8V+bKEVSKeNd8vUondq7qyrZcVMQrNv+0q+finqJxbX9Q5hvse2UcbP62ebjf+vRSmX8xf2c53Ky13d7Y11x+wMzd/M2FmOPiglKE+awWaOcHzdmXnZ05++w9Rtv74/6aD0pa9dqNk51jEZZtnGR9fze2ZF53qkvarWO26ocibXowNlyG8U6uFeGnDB+uvrJn3g3PZbVzEZ6L5u+y1U6w3UmfrTm2MOvac5cOz3P1kY37Mg3xbq2raEz7bIqwWNamyLfaXF5UJ9uPPV6n3F3mE7L6yNZVpp6rXSd+IHvPxUlFczvS8ftuFPX14Qe37+04y9VXmWYB8mfYCb+a61m90y6PYXNpO7tyzbWs76L9SHNzkV89uvHaje/PxnlFZ9ouhjuQuKzd604x3ak2dmHTtQ4zd3sO2T4P5TWDx/fDjx4OpZscRDv9WOabs37U9Jcdw27jet0NP3WSy8jGY9nYsIyX2+HYNa9O41pzPRuHZM9rLp57/0vRmZTlGWyboCR9jkU+vh0XF71ux7vd5F7sNq5+bLvohOfb2cV7ieMD/iQgkl9YOXILoyT5kUZTFg30QEhASDjvTU5HmJyOknuT0xEaYeysyyh1Xm+Eca6d3Wd2PNMme48L9xwZpbn+ivov6sPUJyS/R0Xrde1ZUd1GGCf70Mn6i9d6xMNwrsyVWVWKeNf8Bmsndq7q0rZcVMQrNv+0q+finqJxDZ+5fEVRvTIONn/bPGx8Rxm32n4jy+GdrDl7zeUHzNzN34wejJw4uksRrs1ZtTsT+z5Q7C/ts8/e46LcH5t+hWzVazdOdo5FWLZxkvX9ndqSfa9TXdJuHbNVPxRp04Ox4TKMd3KtCD9l+HD1lT3zbnguq52L8Fw0/+y/nfB/mcbP2n0Ws649L4pd0lx9ZOO+U53wXoxpn00RFsvaFPlWm8uL6mT76SSmzHJ3JzrC/GvrqrJ2ZX6gLG7t1PaPdPy+G0X9Ps3B7XvZudqxuD1OO47L9tcJv5rrWb3TLo9RpntN3SLtUrTudjmSNDcX+dWjG6/d+P5snFd0pu1iuAOJy8ped5qP60RXuOK7snWYubfT54fqmsHj++FHD4fSTQ6inX4s881ZP2r66xan3fBTJ7mMbDyWjQ3LeLkdjl3z6jSuNdezcUinZ3K04/ZwKkVnUpZnsG1CSOTswsWT7fRF0et2vNtN7sVu4+rHtotOeL6dXbyXOD6YrB1btmzZh5ctW7am6P9DNst3qXgA/vBT5yWHsGhAfcdrLNQPSW4cHkrd2zg8hC1bd+LBH+3ADWtXYtFADx780Q7M6wuS16buhvWDeOalXdg8Us/1398bYMvWnejvDbBh/WDST7aPz1y1Elu27tTf9R3k+nno8XybTSN1UAZs2boTN65bldzbsnVnbj1FfZhxNw4P4fFtb+Tuz++rJPO21+t5JFd38YKacw/m91excKAHW7buTOa3KVPPzKNonptH6nPv+pgrc+UIKx6Q4wRjy2X3sn0wD1i0oJbjhc9eo7how/rBHA+6+MfwzE1XD+bq9fcGeGz09Rw32dxt13/wRzsKfYWr3qaROh4bfR2fuaq4TpaHjc8p8jvGv9ywdiUWLahh9Lk3U/0rbq8na7fb37huFeb15X0NpcjtwcbhITz0eGsdATtEADkKiwfgZocfnNcX4MEf7Uj8nwuvBp/mPtA6Q9fZuc7V+FAXZj57TUtfPPijHeBSYKFuU9TXogW1ZC7m/Lds3YmHHt/h1E0GJ2bNZt2LBnqwaEGtI1uyx8piusgmFw7UEh7I1r95FuuH3grN2fOmkTp6KwceEri428UnN4/UsXhBLTd2EX5c52psYvNIHYsyfS1aUMPm6+opbs+evUtTbxqp45mXdqW0qGs+m0fqWHBM1TkfW7Mau3bpboPtsnVk+3L5Qteeex4p5eqjQTd3qhPe7THts7lx3aocLub1BaVtNqwfRCxE7rxuWLsS8/sqCRYpQylHGk7MxpSuNnbcpThS+YCi8W0cEqr2wMSvLv2xaEHNqWmM1nHZ1KaROqoBze3V4gW1nN88GvD7bhQPgOcR594W7vtwHX7Q4ouifMaN61YlXPbY6OvgUiRn5dK4G9YPJtic1xfgD65ZndOfRfxqxsjrnSEnl5v6Nk4XDvTg8W1vdIR/szaXDrlx3So8Nvp6bo5F3Byw956XDodSxMcu35+N84pipFiIQu1WlBNyaQUbR67XN12txlho+KtgTtmYrmhetrbdsH4QDz2+o3Adm0bqiV8+dr7KrWXHvenqwdw+uvSL4d5s//P7KoV4fD/86OFQuslBuHKTN1/X0muPb3sj15cds83vr6biOsOxWZy64hyb/1y5DsNPmzLzdWmOhQM9CZdl47FsbFjkox8bfd1pG+aevRZbA5Tlt13rzuYSOjmT2YDbw6m4zsSc+2IHVm2fuWhBDVyKFCcW8aorhspiJvva9g9FOUA791KkLbL+PRu33rB2JRZrvVvmF2yba/fc573GMZFSHlDDZcuWcQA7UfyJQDk2NnbqgU7sEJSlAF57++39ECK/xqDqgQsg4hJCSHiMwPMohJDqo5iMQAiJKJaglCDwKaSQiLm6X/FVsiTbvtHkYEy9m4RSkvTHhQQjBIwREALVD5eqX6n+9j2K2NSlqh4kVD9SQkr1EVxP9x1GApWAgnM1L4+p/puhgO8REEIQxQK+R8GFmie1njB7lKAZCwTWuikhyYkyCkgQUOj5SgmfEUgAlKi1xforSDxGUfEImrFEzAWEBAKm5sCYmmvM1fimD89c1+v1GFFr0fPwGIHeAoQRR+AzCCEhIQGp9kTNVwJ6vJC39s8Duv5xzYUL+w+Xt5IsRQl+TVm4sB+7d0923OnChf24/Ka/7WoiD3/lo121OZD63a6hm/pHQ+l0zUcKfhmj6nuvCQGkTNmquae4joIyIIoEfJ8pLuUCFcMFqnma2yQSLoy54gshoHhNAEIqfgBp8ZgEknffxClOJ4hiizsJIAUSjue8xWuMKr6150EowAgBF4oXPUYVR0cCjBL4HkUUiYRzheYuw++MEQQexUzI4WueFkL5Cr0ccC4hpPILZqc9SkAoQRSJxJ8xShDq+fkeRRSL1J6oeas959rXMUZQ8SmakQA369R9xbFAzAUYpSAUkEIeEOfa5UjBryndcBFjFBwEUjs1g0Plz4CKTxELJHgV2g8SqjBONPYqgTkPAcYoPI1zg2cKgwvlhz1KwDTOpB7TvCZUz0WP5fsEcdyypZjLVB3jzz2N9cTXajxxLlGtsORvG7/GpzPt5w2+qwFFZOkGnxGAKHwZvHHLr/cEFGGsziS5ztR8KVHvzA0jDsao1bfMrdXsK/T+l2H3aONfAKjVfEw1BbhQNtxboZi23k0JpLm4E01l6gsBzZeqneCAJFq3CYVbxXEcjFJUKhRNPRej82KtmQlVuIbU50gIDMgJheZhmXBRRT/IbIYKXzxWtgGpvrqJaV3KhUh0ZKA1sktbpviQKhsBNP71mAajzCMQup4k0GO3+vTM2FICAgl2K4Hi9iiSyXn4AYXU+DZjg0gQaP615m/rYA8AZQQhR6svn6jYpM0ZHkn4LdMQ71ZxapO4tf+Mqngu4oZPKaCxnPXtxic3I4FqhWl/asVIGrOG45JYT/cDKP5ijCS8l8SbGi+eT8FjkdgS0/wbhgLc+AJG1Dh2O6a0jol3mV4LpUAYiiTe9D3li+wYmFrtTH8m7jUaohUDK3zWKhQz0xH8gIGDKF8glI7zKTqO644k/JrSbTzTwj1afEpburKnyhBGLW4KfIowFpASqAY0icUZVbwTxnovJRBrbUmhcxtGV2osVgLN05ozDd7sHIPy8TTRnkYDRBa+Kz5FxJVGqARpvcBoy38YjeDRVm4D2o8bPGTx63sElOi90FoktnBNDf6FTLQsgCTHYrSZwamU2sdYORSqrxM9V6UrWn7N9i2MEDDIjrjpMMIv0AWGgbxWCBgBh4mnlC1TChCQhB88zXMeVWdo59NM/CaEhOep/Jt95s1I5a4ILJ7SnBRrbUr1mcexTPiuJ6CYaYpUfUaBRqgPEiSJsWwd4jECASCKBSpGLxgtb+WsJIAwFkmezuTgTLxqx6C+TzHT5PCZwizXvjzmEtKKyYzPD3W+jgvLD1gxqa/3xdh+T4WiERq7U3k6SgGp67jO7WD86OGIXwBOfi1bd9bP+z5FM+IJjs2+x7HSaxWfQWh9ms+3KkwZXMbal0ut/wx2GGtxDNM556bmKZMnFhY/GY0JAVT1OZv8sGeNZXAJIMH1TJNbeFB5DCnRymU4sGTGEEJxf6z51tYxhk+NJhWWdg38lg9o5U8keipKQ6hcOxD4DDwWiLhI8YgEwLllOx5BHEnEQnSF2zJ/ezji92ByEIfCtl19AABHi69M/ooQle8C0Mo/yTRPeYwg8AjCTFwO3Zbra9LmVc9+NgBUfJbkH0zuzdhOwts2zqQE0frGtocwVtipaFsDafE/s3JoRuuGsYpXueW/jJ8ytmfGkwLJ2is+xbSOv0yexvgzzyNJvocRAp91rncL8NAVhg/mgePU+/yQ74BLUPWwbzrCxGTrR3jNOxAYI/ju37+Aj334DHzlu+rHG89bsRifvOxsvKN/OHWgv4rf//i5mG5EufaP/OOrePblt7BpeAggJPnxUvM0eNsLu7D81GNT7T73ydWY1xfgzbdbP0xtnjJXK0yTosRPnn4DH1p5YvIjmWbM5199C8uWLkAz5Kl+b1i7Ej9+6g18ePVJqes3XT2I7/94B6644DQMzKugGcXJj8Kee/pxuOyDp+COu7dioL+Kaz9ydm6NZrw4lsl8r/zNU3HBqpNS6900Use+/Q3091ZSc/7MVSvx8BOv4KpLluGBR8fw5HO71D5cuzrVp3kqft8PX0zqfH54NcJQJD/0afe39tKzcL9Vd/NIHQM1/11PDMyVuTJXuiucCxC0BAx33KswionpELfdNZriIvP33/30FVz+odOSH+G1ueCKC07DcfN7MLGvia/eu83JZTeuW4UgoPjrR1/C5R86DQ8/ke7vvBWLcdUly1LcdcPalbjnkRcwMdlI3k00PRPjK991j/EH16xGrcfDF7/58xRP3v/DF3Nz/+Pf/w1MzXDccXeaQ3uqDG/vbaT6teu6xt08UgeXAn9691Opvn769BsYPPv43FrL/MWm4Truf7TFq+qTlBXc88jzyTWz7+suPWuOcwuKeWg3MRUlP6htzurY/sCpSW65/nzsn4nw5e8on3j9R1dg+anH5fxsJaC45Rs/T/lvcz/rMxWuz8IDj+YxuGmknvKhG4eH8MT2X2JN/WTEsUyPO1zHvH4fb7415bTFIjxtHK7jhdfewtCK4zHTjPGrt8PU/c9eM4j/+rfPYWKykWiVqy5Zhh/87DU8+/Jb+KPfPR9RLHL668Z1q/A3P3kZV1xwWmKjBvPPvzbRljNmE3ZrNR9vTjRyODphoJo8CGSMYmI6j9WyPTLczQAYUhemrwzuDZcuP2Ugpx03Dg8l520w+FsfPAVTM3GKO4s0auBTPPbkzpxe3qzv3aL5eNFADzZfV8eUBO5zcLKNDd+j+MO//LlzTFPP+JFPXbEC0zMct2e4vLfHw9//7LXcvAymb8/sNaHArX81mhvn315yZk4H22fDuYrLPXUoiLn5W6Z87ZFcyjTEuz2m2VcCiqmZNK6/cP35mGnE+N6Wl/Dbv3k6/uYnLye4MHHlQH8V112+Ihfv1SoeBCT+cfu/4KL6yUnMaepsWD+Iik9xeyae2vbCr3DxeUuwN+M/Pn/tEPp7feyemElppyx2t73wq5QNGh9ha5HPD68GIzS11o3DQ6kY7sZ1qzD63Js5fNu6yRXDbRqpY9H8Kt6ZCnM+8OaROubXfBApjyr8HkgxnOziKuNvL64vyeGqEjB877GX8O8/cS7emQpxu9bUBoMufZ3NJfzaol7szviM7PkbvTqzP0zVu2HtShzTV8G3f9DSjLdcfz72TUWF+tzGjFPPal26dzLMtd2wfhCPje7MaQ8X9kwcYPf92WsG0VP1wGOZwns211A0tucRfOmep5zcfLSWNDdKRNpQfUYx0VCYXXvJMkw3Y2f+y3BUEbbM69NPnI/Vy4/H/T98Eb9z8Zm5vNdnrlqJnW++k9PJRXmiL1x/PsJI5PDq0q7mbL/32EtO+6tVPHg+TfJpRfrgigtOQ7XCUPU9RFzgtm8pe/z0R1fgy9/Z5tTxG4frOKbfx8Rk06mj5vcHuXg1q+dNTrEn8NDrM60V0uc2W/i1bN12DmLvdIhbM5z3xPZfYvDs41Pn/7lrV6NW9TA5HTrznZ+87GzEsXRyt93nthd+lfKf561YjLWXnlXKuyYXsX8qysR5y3L6t1Jh+MJf/LPFo0MghOQwVa2oj+N94/u/SPIdA/Mq2D/dikddYxRx7+aROn7y9Bt46CevpuK1dZeelWjyTnMZdttE8773EDqsy4HEb530ccv1Kla59Vt5bv3t3zwd1YrnzG+ZXH5/LcBlHzw1py2zftn2/67cmMvPfn5YPUMwz27sfNXr//IOTljYl7PNrL2ZmND3KL74zZ+3fSbi0kCBT5OcnytvaPSYnau4Ye1KDPRX0IiQjk/fZe0wK3/EpxlJ7NoznRwkoH6M8fa7RuFRiouGliQgAoCLhpakBMrH15yBvZMNZ/srLzwD4xMz2Lu/mZC2uX/H3Vtx4eBJuXZf+vZTqYdf5vqd92/Hvv1hEnhdXF+aANUes77iBExOhbl+77x/O6688Izc9a98dxsuGlqCO+/fjl1vT4MRmtS58sIzkjE+vibf1h7Pnu/F9aW59d5+1yhOXDQvN+evP7AdFw0twR13b8VFQ0uS69k+xydmcNtdo6k6+/aHCVFk+7s9U/e2u0aR/gbfuTJX5sqRUmIgER82F5m/LxpakogMIM0Fd96/HZy3ONXFZV+772ns2x8m/WT7MxyV5dSPrzkj+ZsRmvgK1xj/6TtPYXzPdI4nXXPnHInIMdduv2s0xc+uuq5xb7trFPv2h7m+Lq4vda61zF/cfneaV++8fzvG90ynrpk+5zi3vNiYBlpnFXI4NcmuPdNJwAUA9RUnOP0sJMn5b3M/6zMVrt0YzPrQO+7eiovrS8EIzY979ygER6EtFuHpjruVhohjmdJV5v6Xv7MtsTGjVe64e2uirXZPTDv119fuezqxfdPeYL4TzphN2J1qCieOppqtQKMIqweyR66+zDm5tKN93gaD5iG0fYZFGnV8z7RTL9921yh2WXw8PjGDiX2NRGeWYWN8YrpwTJtTLxpaAs6RPAC05xXH0jmv3RPTuT247a5R7Hmn4RzHpYNnE34Pl+LC9fieaXzp20/hoqElCSeZc7O1give27u/iX37Q1xcX+rkxq/euw179zdzmLi4vhTjDv/xp/dsRRzLnHZytbfxZ3xE1o9k15qN4b5239NOfNuc7MLu7XeNohEKpw+8dQ7XSTF4K/O3LlxNTimdy2Mk52xj0KWvs33Ybc317PkbvZqtd+f927F7Iq0Zd+2ZLtXnNmacelZrGFfbr967zak9XNgzcUBWg9gPvM31bK6haOzJqXR/s5mbbczu3d/M7ZfJf+V14tacFr1oaAkuHDwp2X9X3uvrD2x36uSiPBEBceLVhR9ztkX2t3d/0xmvZfWBye2NT0xjYl8jsQGj9V06/g6tt4t0lCteza7VjLtrz/SsxWM3JQaSB4BAOibKnv/kVAhIUpjv3D0xU8jddp9Z/2kwW2YbJheRj/Py+tejNHVt7/6mE1P79ofYtz9M5TsgSSoedY1RxL0mh21eG21ka/JOcxl22zkcu8uhiN9cfezaM508ADTXDMa/dt/T2DvZcGLcYF9xW15bZv2y7f9duTGXn923P0w9uzH9jO+ZxllLj3XapjNO/NZokrdr90zEpYHGMzFmNm9ofEj2+q4907n49N3G+MF8EvBw+thsV4ULgWrgJRttyvjEDCgB+mt+6l5/zU/uu17b7Zl+rFrUv5SycFzX9WrgJfcYddeRUhaOV9TGrNHuH0CqfnYfysYrGocLUTq+2cuyPeu0TrauGl/OfU/0XJkrR2DhQjq5yLb1Mi6wea2objXwUA3g7K+sf/N3p2OYYnjSVbfIB7h8Rrfjmmtl47fzF9m+q0G+zhznlhcb06YYP+nybdlrZfoBcPvhbB+d2I/92miaMmx2iycp1dd6tPP5dt+2tiqaT1YHmHadcsZswW6RLuNCtL7upRCr3e9RUV/9Nb8QIzbu7L9dviDbthp4hf1medFgsB02TLt29fprfiGXU/VNom1ttGiuWWxn780W/B4uxYXrLJ5c3NPOZzPaHSbK6neiFbK24qrXSXzWiYYo6qcsLp/DtSoGb936W6PXbN4vwmNR32WxvP26SKNkNaN91p1o7W65sBMtVNZ3EYe7NEYn/c1WDNuYBYr9YifY6q/5Kc1Z1F8RBl15oqKx29lS0b1OcOPCiG0DZbq5nZ0U3bPnCGDW4rGbUqRbi7ilHY474e5OfLHrXLNjl+Vvs/Mu4zDD2d2M0U7TZ/einbYu4v7ZzKvtyqGI38r0bbZf+yyL+LEo3munLcuej3TSTzXwCvVLuzixk2ciRRzrqpPdozKNY66/mxg/4E8Cjo2N9R/KibyXhVGKRhgnP8ZoyqKBHggJTE5HqXuT01Gqfva13d58YrPoPiGkcFzX9UYYJ/e4cNchhJTOx3XdrNHuH0CqfnYfysYrGodRWjr+pPUbNEVr6LROtq4a/4h9Vj1X5sqsLowSJxfZtl7GBTavFdVthHFhf2X9m787HcMUw5OuukU+wOUzuh3XXCsbv52/yPadvWb6nOPc4mJj2hTjJ12+LXutTD8Abj+c7aMT+7Ffc9Eem93iiRACIdv7fLtvW1t1qgNMu045Y7Zgt0iXMUqtOkVY7X6PivqanI4KMWLOO4tBly/Itm2EcWG/WV40WGqHDdOuXb3J6ajQXoTszEaL5mr6L6o/W/B7uBQXrrN4cnFPGW4NdrvBRFn9TrRCFpOuep3EZ51oiGLsFsflc7hWxeCtW39r9JrN+0V4LOq7LJa3XxdplKxmzOZU2umAIi7sZi+6sakiDrftuRvtPVsxbGO2E46yr7tiDFtzdptnc+WJisZuZ0tF9zrBjc31Ll1RppuL+u5Uz5sxZyseuylFurWIW8rOvp3ONH124otd55oduyx/m513mRYpyneUjdFO09tr6ERbF3H/bObVduVQxG9l+jbbr32WZZjtxi8b7JU9H+mkH8V3bv3SLk7s5JmIi2OL6mT3KHv9vdYOs/LrQCs+weIFNdywdmVyoIsG1He5xkJgy9aduOnqweTelq07sXCgJ6n/4I92YH5/1dn+ocd3YNFAD+b3VdTvHFj3Nw4P4fFtb+Tafe6Tq+F5BBvWD6aum+955lJg80gdj42+jo3DQ7kxR597E/29Qa7fG9auxEOP78hdv+nqQWzZuhM3rF2JxcfWwKVI6jz0+I5kjAd/lG9rj2fP97HR13Pr3TRSxy/H9+Xm/JmrVmLL1p3YODyELVt3JtezfS4aUN+Ha9eZ1xfgxnWrnP1tytTdPFKfe4fIEVDCiGPhwv6O/w+juW//ng3FA7BZc4rNRebvLVt34jNXrXRywQ1rV4KxFqe6uOzGdaswry9I+sn2Zzgqy6kP/mhH8jeXIvEVrjH+4JrVWLSgluNJ19wZAzYO5znU5mdXXde4m0fqmNcX5Pp6bPR151rL/MWm4TSv3rB2JRYtqKWumT7nOLe82JgGWmcVMDg1yeIFNXz2mpZPHH3uTaefBZE5/23uZ32mwrUbg1kfunF4CI+Nvg4uRX7c4TooQ6EtFuFp47DSEJ5HUrrK3P/sNYOJjRmtsnF4KNFWCwdqTv1147pVie2b9gbznXDGbMJub4U6cdRbaYUERVg9kD1y9WXOyaUd7fM2GPQ8kuPOIo26aEHNqZc3j9Sx2OLjRQM9GJhXTXRmGTYWDdQKx7Q5dcvWnWAM2OTgcs8jznktHKjl9mDzSB0Ljqk6x3Hp4NmE38OluHC9aEENn/vkamzZujPhJHNutlZwxXvz+yqY1xfgsdHXndy4Yf0g5vdVcph4bPR1LHL4j89fOwTPIznt5Gpv48/4iKwfya41G8PduG6VE982J7uwu2mkjmpAnT7w5jlcJ8XgrczfunDV36t0LvOQnLONQZe+zvZhtzXXs+dv9Gq23g1rV2LhQFozLl5QK9XnNmacelZrGFfbDesHndrDhT0TB2Q1iMm7uPjX+KGisft70/3NZm62MTu/r1KY/8rrxKGcFt2ydSce3/ZGsv+uvNdnrlrp1MlFeSIJ6cSrCz/mbIvsb35fxRmvZfWBye0tGqhhYF41sQGj9V06fqPW20U6yhWvZtdqxl28oDZr8dhN8QDc7OA8E0fb1/t7A4DIwnznwoGeQu62+8z6T4PZMtswuYh8nJfXv7EQqWvz+ypOTM3rCzCvL0jlO0BkKh51jVHEvSaHbV4bbWRr8k5zGXbbORy7y6GI31x9LF5Qw83Xubn1xnWrML+/6sS4wb7itry2zPpl2/+7cmMuPzuvL0g9uzH9LFpQw4uvv+20TWeceF09ydu1eybi0kCLMjFmNm9ofEj2+uIFtVx8+m5jnGQ/GnwUlaUAXnv77f0QIlCi4bIAACAASURBVL/GWs1HI5aIuYAQgOcR+Iwi5AKQACEApPo4LCUEvk8hhETMJQgFmH43RcwlhJTwKEHgU8w0OSglYBQQotUeRD1V192qdkLCY6qdmWIUC3AuwagakxIgjESrH4pkftL6V33MmoDr+TBKQChBHAv4HgUXUn2klBJIAJQSSH2NEMBjFJQSRFyg6lM0QvWDwYHPIKVExNX4jKl1U91HMxLJOioBRaOp5uoxNf9mKNRXf1G1B8ncBeD7FFGs2lNKQAhACUnmWtHzjrkApep8JNQ9SAIhzd6q19WAohFycKHW7wFd/5jmwoX9h8vbSpaiBL+mLFzYj927JzvudOHCflx+0992NZGHv/LRrtq8F/W7WfPRUDo95yMRv3v2TCEGnHbLGEUMxaWBTzV3iuTdbIZLhM0xAqCMgFECKWXCtb5HIaQE11zmeQSUEISRSNozqt75I4QE0bzP4xanUarqm/amb5u/jJ9gVPGllKqvMBJqDVIiiiV8nyYcTAkB0/Mx7Q2vMkZ0e56sW5i98ijCSMC8uc9cp5QgikXiewhRPqup5yCEhESLRxkl8D2q+Nz4D83Xnke1XxJglCLwKQgBmqEAF+qaxwhiLg6IczN4OOLwm7VLg9ksns115QeJxg1S98EoYi7BpTlHwGfqXDiXyd5HsUz5XS6QnJHx5WEkEvzEQgKWnQT6TKH1gzA6J6AQvKWLfE/pBSmBSqD8OecSjClb8fR8jY+2NYmxocCniLUNGWyGFg6RtWEAkABjBFwIAGqNzabCpscUVs24QkLpGgJ4Wj/EGqu+TxBFSrMpelB7wS375EKASALKlNZyaYejkX9rNR9TzZYN91Yopq13NALFWM4WP2AIOZK+AgZEIS+sU/FZ6pwSbBnOowSxECl+YhrLBge2rrZ5HUQCUBihCU8ZTQyFLaptQiLBlPp0qmxh0eor8CjCmCfz8T2a6GJGCCoVhU8ulP3Z8+dS1fE8NUYUC4W7WHE/YwQVX9s9l8lcq/qBbMM6I98nENo/Gf42/TMGcC4PioOPJPya0q0GPphi24PiLxXLMY3ZMOJgjKInoIj0+dr3GVMxmq1hDE8qDELfl6j4LR43OPE9xZnC0g2gCqaeb7hbxYA+Iwm/S4l0PBdb/CeVHSgf3tIzjKbjSartLPEX2o/YMaDntfjW9iuVwPgw5ed8nyLS7Sgh6KlQNKYjMEYhk3koXmeQHeN5NuDXpSNMvqAZCXgMLVxaZywFwBhQ9SimtR+vVliSczD+OLZibwmkOLLqt9oajjK5AsYoqhr3JvfALexHscI8YwQ+U1o04WXD40JzKm21obSFezvfQi18pnIcrKXrW+tT81M8LpI1mZjB6G6DY6O3eyoeolgg5lLnIJQPkQKILI1kxmP6vtBwNXEHFwrDHqWleD6M8At0geF2xcasR7WvE7IVg8UCgd4nwy2Gj7K5Lltf2rhI6Tko3dkMRUpXEiicNSOhtLfWysTk2XgrzjPYTHyyxeFhJJL+Ys1hHmuNAQLEsVSxH1WBlO+lsVjVmie2OFFo/o25QFVjzxTjJ5RWEImdtPDVwq/UcXDFJwgjZdOUKo1AABDeOad2qgGBwxO/AA5KHzBGwXXcQKnKQ8SRTOIqLpT+AgUCRhFxgdj2r9p2jMaTUGdpxyAmXjd7HPgUYdjy+1WTW9W+2PbVxjdzIRUerX4BpHy4ycEmsb7W4iBIbJKRzJx4S6OGkUAsZGKrXOsUrv2Gr7nTaFKuY1mPKg0QxhLCYU8+AaZDxbOVCtO+o5VvsLU3o0RrXyBgBKHhEkpLYzhTyvzt4Yjfg8kBd2O7RcXVBwBwqHOmhCR6D5BglCaca2IcO3dmchZxLFt+gBEwT+XDbB+vrEVhTwKIbM2g9Si0L2Da7yd2YHIamg+Jfp6T+HK/Nb/sMxJKlZY1+Q6PqfGiSCR45wmnkoR7KVVzjXQslzz70OvwqPJ1lKq/AVi+iIBwdc52jEFAtNaQbfWDxkNXGJ6VD9ErPT7enGgkP7Zqnt7O6/Mxsa+JL93zFMYnZnDeisVYe+lZuP+HL+LyD52Grz+wHQP9VVx3+YrkB63N0+SHn3gFH19zBmpVD9/+wQtJfVPnxnWr0NfrI4w4Gk2e/LikedL7yi8ncOqJA6k5bVg/iP6ajy/+5c9zY6299Cz89Ok38NBPXk2ub3vhV7iofjLe2R+m+t+wfhDfevg5TEw2sGmkjn8dn8RxA7XkBzTNU+hj+gL0VD2MO/bm/h++iCef25XUrVYYAOAb3/8FJiYb2Dg8hAceHcOTz+3CeSsW49qPLMebbzdT89g0Uscj//gqnn35LWwcHsIx/QHefqeR/NCs3Xd/LcDe/c3kB0jNPg3UfBAu4AcMb01GuXke1x8g4gLgEnOfF5src+XwLkJITExHyY8P23bOuRKCBEpcTzUiTEw2c9zJpcCf3v1Uju/m9wf45GVnJ3w40F/FtR85O/n7P1z164gikfzor82vV1xwGu555AVMTDYSTprfX8H0TIy9k0383U9fyXH8ppE6ens8/OGf/1Oery85Cz/d/gYGzz4+18bm1k3DQyCEpPbDjP/3P3sdF9eXpHyPzbumP5HZj89fO4RqheGL3/x5ag/sOe588x0sP/W4HJ8+/+pbWHLCMak5f354NSihqbr2mc3mwhh14vnY/gBvT4aFOAf0g0AA+2eixO+dt2IxrrpkGe64e6vz7G66ehDz+gLseaeR8/meRxItY7Cy7YVdOP/cE9AMSWJLpt+/++kr+J2Lz0Qz5Lkxvv/jHbjigtPwa4t6sW9K+d2sPZk+snZhbODay5ZjcjrCV+/d5lyLS2fc88gLmN8fYO2lZ6XwtnG4jgceTdft7w0wPRM7tdnaS8/CwmMqeOud5gGdzdFWajW3Bj5hoJp6EGj41wMKNZXSYqFbi+kHgYzRZI+LOOjhJ17B733sA3hnf5jS3HafAFLjmHafuuIcTDfiHCcFPsUt38zr509edjamZuKUBt6k69/zyPO5sV32tGmkjmqFYccbe/G/LOpPjW2wazT386++hZ9u/9dCG7Hr23uxb3+Uw73vE/zxXz6ZW9PlHzoNDz/xCtZdetZRjd33q9jc7ooD7TP8wvXnI45FKn75w0+fB0YI9u7Pa5itz/8KL/9yb4rrf+9j5+RixQ3rB+H7JIm7zNkPf2Q5wimRcJjxGw88OpaKXbN2Z885qyVsLXRMX4Bv/+CF5N7mkTp+8nRez2T72LB+EP/j5d0YPHtxSmdtHB7SbxLl+N5jL2HtpWe1+ILrN5kCgHo5V6xiONkUBoCB4u13GrivgDezfvWYvgr++dl/xcpli/Clbz+VwsOv3tqP004ccPc1XMf92u/a2qRorD/63fMRN0TKt372mkH09vj4osXLm0bq6K0y7J+JczjZ9sIuLD/12ELc3rB2Jeb3V/DWxDSOG6hh90Qzp/eL8HnD2pXo7fFx3z+8iCsvPCOF1SIbXzjQgz3vNFPXb76uDt+juPn/ba3pluvPBwCMT0yn5nDzSB3zZxk/pzDL1Neq/eTpN/ChlSfm8PP8q29h2dIFaIY88ZW2f2vHZz9+6g18ePVJyfXzVizGJy9bjigWaIY8d57VCsM3vv+LlM4s0ygmJ7h3MnSOb/JooMCk9t/nnn4cLvvgKaW2snmkDkKBW/8qraMA5LTFQH8Fdz/yPPZOhoV+Yl6vDw8M0G/OBAckV+noTktRPDOb9IVZp9lD89B272SIW619+dy1qxHHMoWvDesHcUx/AMElfvW2O2/w+eHVCEOBr933tJOnFM+ehTvuHs3d768FuOyDp+KBR/NcvXF4CD/42WuYnA5TPG3y2kU437B+ELUeD5NTYYY7Vczlwr2xjX97yZmgIJhuxrk++3t9xFyk8G3ne2caMe79h/w6bK63sWfHFO3qztbSSfx2MH0waI7Yn+aITcNDACGFGLvl985HGKZ1gSvGsuO1KJYQUji5buFAFXsnw5SN2PrV5ROy2sWOo7a98Kucb8rGWdlnMBuHh/DE9l/iQytPxAOPjhX6B1u3DPRX0OszcC4grI0lACqMYqoR53Keh1o/sFtuueWQdHQYlvkA/u+ZmRDZDzvGkuCWb/5z8gOPU40Y28fGcfHqJdg9MY0f/NPrAIDhj6zA1x/Yjk+sORN//uB/x/jEDP7dlefiz/7bM6m2v3jlLXxizZn4L997BstPORZLTjgmqW/q/I+X38KawZOx550G/sv30veeHhvHNf/H8tycnn15N5afsiCZjz3W1x/Yjk9d/gH84J9eS65/6vIPYPfEdK7/Z1/ejWt+62z8fz/fie1j41h7yVn44796MreG5acci/5aJXnoaO/NJ9aciSee+Zek7rmnH4dmyLFsyYKkX1Nn+CMr0GjGuXlsHxvH73/s1/G9LTuwfWwcawZPxp845nHu6cehVvHxx//1ydw+rRk6GURKcFDnGX54tbp/oKW3t/LFA258aEshfu3S21vB9HTYcae9vRXc98Oxriay/n8/q6s270X9btZ8NJROz/lIw28kJf6fv/inQjs3RVCCf31rysmd555+XIojDd+d+mvz0QhbPPTvrjw3xePz+ypO/jF8bzjTcFJ/rYI39Rxsn2Dabh8bxwUrT8Rfb9mR68/w9Ze+vbWUW88/5/gkGLD7OPf047D67OMTkVPUfrtjP555aTzxI/Ye2P1fe9lyJ+9fe9nyJAA113/jnBNyc3Sd2YGUIw2/WbsUlCQPHYDW3nx49ck5f1WE8y9Y7Y0GyeLX9PHsjt24cNVJ+NN7tuZ8fhYH28fG8ekrzoGUwK490zm7+MSaMxFzkdcPO3YneF8z2FpH1p5MHy58fWLNmWiEMf7zXz9TuBaXzjB2bPagrO6a1Sfj9ox92PZ34aD7DDo5m6ONf0Ph1sAXrDqpaxvuRIvZdlHEQZ9Ycybm9yn96cJRETd+Ys2Z6K8FOS5/emwcZxfo50YY4+sPPJOb8/JTFjj1e5E9XVJfgoUDtdz6DXaNNr72suU44bi+Uhux/Y29F9k5rhk82eljTN93PrD9gLn4SMGvXbrVwAdashh2xYHmDIfOXoyv3pvG6uX/26kYd8RnT2teHpjXk+L6OHZwscahibvM2c8045RtFMWuZbhzcappb+Jac+/psfGO9MyzL+/Gp684B/+xAMd79jWSNxkdbOwGzF78GmwW6dLsuS4/ZQHOPWNhLsb+xStvJbmIdn3Z2qRorKHli3Oc/d935PMa28fGcdHQEidOPn3FOUmyMDVPiy+Xn7IAJy2eh399a79T75ftxTmnKWz/2X97JoXVIhu/YOWJOZ3x9It5X7P67MWYmomc9l7Ez4cRfoEuMNxNMVj91OUfwK3fejJ3Ptdethxv7Z1JxVnZf8v47Pc/9uspPTz8kRXJby0Z/WnXN3xq68wyjWJ4dfkpxzrrmDyalEj8901Xr3au1cbi02PjOOfUY1MYKtI8Z2udsvyUYwv9xNmnLEB/X+WgOLUonjmS8AvgkOuDbJw21YjxGx84IYevZ1/ejUvqS/Dm28V5g984J9+uU56trzgBt37ryUKu/v2P/TqOm19LtW8bU768G2tWn5yLKc2YRbj/xJozEccCjZA78bj8lAWYacY5PW7ne9tpYxt7LmwW1bVLmb89HPF7qHPAh7q4zsHmLRfGVp+d1wWuGMuO1xphjGYBttasPjmnHWz96vIJWZuy67t8UzbOyj6D2a41sbHHIjuxdUsZR5flPMviu24xPCs/CciFSDbVlPGJGXAhUA1aW9Jf8zE+MZP8a1/LtjXXq4GHagBnHUqAauAVju26bs8nOxaj6euMFvffX/M7GktKWdo+O69qkK9jj5Xtx8x5fGKmcKxq4IESd3su9NcdlZzhrAT1XJkrR2CJ4iI7lik75kIWclsRR9qvAeR4vIhjbN63x5CyNYciP0AJCvtjtHg8U8rW2En7ov0w14rmXcTFruvFPkzOeu7lwr2Pxf4qj3O7XifaowjHLhxIKXM6xIX3bDtTx16Ha25l+sjuu129onZlddvZc5lmmG14PpT6qZO+bFyXnb3hG1edMm3bjQ2UYapMvxfZE2+jmU29bmzE3otsvTIf08L60Yvd96t0imHAjdWy+M/Gh+nf3MvWNRjNjunyG53Grtm/7dfZMc29TvVIGY5te5uL3Q68GGx26lfLYn3D5+366mSsbjR7Nxo0i9tq4CX5m241ho1Be6yD1Vvm9WzTFu2KwWoRf7jirG74LNuvKxa0X2f5tKzvTrSysS37/oHGbmX2k+VjV52DxVlxPDN78Qu496XMt5flDdrlbMuwaHBVZgvZewcTU3YTj2XvZ7FtXzfjdaJRWjng9n5htuP0vSjtbKEbzLfL5Zlr2ddlGqHIJ3RTP3u/7BlMp3bSjqPLcp6HEte0fZWjrzBKkx9eNGXRQA8YpWiEcXJtcjrCooGe5F/7Wratud4I48I6QgKNMC4c23Xdnk92LPvToOZ1Uf+T+iue2o1FCCltb9c1a83WmZyOCudh5rxooKdwrEYYQ0gU7JPKgJSd4WwqYcSxcGF/x//PlblyOBXfK7LjdKaTUVLIKUUcmeWhLI8XcYzN+/YYhLTmUMbxRf1xUTyeKWVr7KR90X6Ya0XzLuJi1/ViH3Y4fZ3++1MYde9jsb/K49yu14n2KMKxCwfqd8/gtIsyv23q2Otwza1MHxXZYrZe9nWnddvZc5lmmG14PpT6qZO+bFyXnafhG1edMmx2YwNlOC/T70X2VLR+238QQjqyEddeZOuV+ZgW1o9e7L5fpVMMA26slsV/Nj5M/+0wao+Zrdtt7Jr9236dHdPc61SPlOHYtrfZFrsdymKw2amvLIv1DZ+166uTsbrR7N1o0CxuG2Gc5G+61Rg2Bu2xDlZvmRzJbNMW7YrBahF/uOKsbvgs26/hx3Z82k2er0yXGtuysXSgsVsncy6rc7A4K45nZi9+Afe+lPn2srxBu5xtGRYNrspsIXvvYGLKdvFYOzsryk2Y8TrRKK0ccHu/MNtx+l6UdrbQDebL4rUybJVphCKf0E397P2yZzCd2Im93iKMluU8DyWuZ6XqrgYUm0bqyeYuGtDfvU0l+nuD5PqWrTuxaaSOLVt34jNXrcSigR48+KMd2LB+MNX2M1etxJatO/HZawaxcKAnVd/UuXHdKnApMK8vwA1r0/c2j9TxzEu7cnNS49ScY20aqeOx0ddT1x8bfR0LB3py/W9YP4gHf7QjWeeLr7+Nm65Or8F81z1lcO7Nlq07U3Xn9QWY1xck/W4cHkrqbNm6E4sW1HLz2DRSx0OPt+pTBnz2mvw85vUF8DyCm6+r5/bJPP0OCuYZsEMGkyOiBD7D5Tf9bcf/z5W5cjiVY3or2DxSbOemeAAWOzhl80gd8/oCJ99t2bozxYcP/mhH6m8uBTYODzn59Ya1KxNuM5xEGRJec3H8ppE6PI84+9s0rPja1cbm1vl9+f0w4z/0eN732Lxr+svux+evHcKiBbXcHthzHH3uTSefjj73Zm7O8/qCXF3Xmc3G4gFOPAfMfd2Fc9vvbdm6M8Go6+xuunoQhMLp820tY7Dy+LY3wKVI2ZLpd8vWnejvzeuTm64eTGyCeS2/m7WnIrswNrBooJbg17UWl84wdpzF28bhfN1YiEJttmmkjopPDupsjqbSW3Fr4N5K9yFBJ1rMtosiDtqydWeiP104mt9fzY1j2jFGnJy0eIFbPy/UuM7OedGCmnNslz1tGqkjFgIvvv52bmzbfxgeLbMRu352L7K451I412T+Pdqx+36VLIazXGOf4aIFtVz8EguBRQNuDfP4tjdyXO+KFTesH0zFXebMFy+opTjM9JWNXbP92XPOaglbC5m41p6zS89k+9iwfhCPb3sjp7M2Dg+BS4H+3iDh59kWux3KYrBZpEuzvnLhQA2Pb3sDn/vk6hwennlpV3Fflt+18Vo01sKBWs63fvaawUSP2u2kQ48bzVKG2xvWrsSiBTX8cnxfKu/gwnsWnzesXYmBeVVs2bozh9UiG/c8krt+83V5X7N4Qc0Zs9w8y/nZYPWx0ded+Bl97s1Eh9p+zf63jM8eejx9Xfn7Gub3V53nafjU1pllGsVgvGh8k0ez/fdDj+9oayubR+pYcEy1rea5Ye1KLNY6pcxPLF5QO2icFcUzsxm/gI7TMvvS3xvk8LVh/WDK77s4dV5fgBvXrSrkKcWzWR2o7itcubl64/AQHnp8R46n2+HczDnPncW4N7Yxry/A/L6Ks89FC2o5fGfzve20sY09FzaL6s6Vd6+4zmF+X6UUY4uPzesCV4xlx2vz+6uFXEcpcjZi61eXTyjK/ZlnKWX3Xc9gNg4PJe3K/IOtW8o4uijneaj1A5GH8su+D6+yFMBrb7+9HyL71lkAlR4fjVCACwlGCaoVijAWEAKABISUoISAMUAIQBIAQl0PPAohJWKu6oCqNpQSUEoguIBAqz6lBCAAJcRURcwlhJTwKIHvUcw0OaoVhjgWql9K4DPVjnMJLvRY+gGw71FEsYAQrf6lAHyfQgoJbvpn6h1JYSTAGEEtoJgO1eNrAiDW/XpMzV0C6iuOuPqfMYJqQJO9UntCkqfHzUiAUoKKr+Zj9pN5FBASEZcQQs3D8ygaTQ5GCXyfgAj1I6NcINU3AcAgIbhEDCR9ekDqxzD9gCHk6uuoGKUIGNQPyx9EWbiw/3B568hSlODXlIUL+7t6uPfwVz7a9cPAbtu8F/V3757suP7RUBYu7O9ozUcifvfsmSq1c1MYo5CMKO4UUNzJAA4k13xGQChBFIuETwDNoVKi4lHEQvFp4FFQShBFAlyqsQkBINW7cJq6D09zkucRcAEIza+EAlK25u37FJBAHLe4EhQgEmCMgHOZ8iMeI6CMIjY8rvnd92jaD3gEUgJhJBD4FNLyPcxT/Ro/4DFV1/Yv5nozEuBcIvCpWoNuY9Yc+DSpwxhRr0Oh7kOtm2ifyChBHEnEQpSeWbflSMRv1i4Zo048F13PFsYoOCHJ+Qc+TTDlexRcqPNm2l8b3EZxy9cySsClTOEz8ChmQo7Ao2CUQGj/LLWm4UImOsXGj9EWjKlxPEaUHtBYMng0fZjdEhkb8DylTWJti4HXwiGjSptM6X7NGpqxwlfgU4ShgNB26mn9w7nWG4yor8igJLF1ZU8SkCTRBgd6Nkcj/9ZqPqaaLc3WW6GYtt6Z3k3pRIvZexz4FIIj4SB1Tirw8QKGRiiSsxNSghECygBCCOJYJvrc6GGDwyjSeGJKkwpzTfdhBHjgUURcQAok3B/4Cr88lqqevudRhcVYyFZsQAkqgeJHSKBSoWjqvUywG4lkX80+B1qfC6i1QS+dMmW/WcwSStCMpKWriZqDkIiFWhOhyj7tPTxQLj6S8GtKp7Z5KEoOw0L5WqbPPIwFGCFYMK+K/VMNRFZsAwoEjCa8a7g68CmmmxyMaJ7TvFbxKUCAOJYJRj3N+aHmRVi+mwCJ/zbxVhgJUEunJLFrLJOYL4pVDMcoSXyLiSmhed/US/r2KaJIaQOp8Zj0oX2H4eVmJFAJVGxr+No3GkRKQOCQxG7A7MavwabCBNFnAlR8ijCWiZ5Q5w40mlzzpuIeg4cwEqhVGcJIKF8uW3rVYxqfGtNGmxgbqAQtHmQag9D5BJNrYEzNIbS0pq/52GcKV3b7MOSJ7km0gcaV0eemP89oiozGaGnXFsYJUTmWWEpQKN8SxgLVgCVYr2ifkMrJwMrhGL7XebSshgCQi1kYZCE/H0b4BbrAcLfFYNX3Weu8LV8JIsEoBecy8dmGa4jWo+Z8pZSI4hYHR7Fo4UVrQsqU3wSQ4IhpWwAUnxpsGk3qWdih+pMXUgI9FeX3Yy5b+TaNJ2NDplACNHXurFpp4Yoxgl5L75p4K+ZSaWTj77W25zyNQc9La3AChUejPygFCC/G2YGcVbu4BTg88QvgXdEHjFFwECvWQBJ/xELAoxSUQcdtTGk2nTcwGo7p+Nz4wVR8o/MSnvaXzVAkfON7KlYXUqInYAhjkfhrbvXRDDk82tICJqarBBQNW5PqGI5RlT8xmtvwqIkfI831ga94PeLpGI9qDWF0gGlrcCwz2jXJ91KARyLnvxhVuj+M3HkGG5tmv4vqmlLmbw9H/B5IDuK9LuYchMYAIBF4LNEKgcN/B4xg2or3Ezuw9CSg4ODrvJ15ncWWyVsU5vIigUpAU89SjBaQmrtNPs9+lsJ1bo4Y/AokMWfFVzZltI+nc3y2rVW8ln4wcWEYiySP0o6jXTnPMv0AdI/hWflJQABozkTwoBwzFwKNJgflEp6UAJR4JkQ9oJKASgxAwvcIKJQwML+vwzTaBJcIQw4p1bUkOexRTagCzUgkpEegQBfGIhEfVCcvKFEBXRRLMJO00+AGgKYOmHx9T2qxAilbCV6iyJ8LNXdIIJbaV2hgezoZyLkmGaECRGOY6mGjWiukEjVCCCtBSUCI1IJeJEnnZjNGzCWqPoEnBRBzxI0InhTwIBFFAo1IgHMAkGAE8CFx3DE9EGGMKOTgXIBwAU9KEC5ywI9CDsK5vs8PSRA5V+bKXHn3i3JuFOMT05CMgKDltygjkIwiJupfpr94m3MBEXJQLuBJAQaJkCvuIQB6fMWRQCuZyrlUAhlAT0ChfTygk2JCWAkrLVzV/AgYIRBCiRhCgUZTIOYiSXIpHtfKFgAjQBSpOr5HQakSK+ZBDdU8bRIchJDk952kVMSsHuaIJMlMoLheBZFWQiJJFiP1G1ExV/PtqdDkIRHnEjNNDgKgVmU6oFRBL4EKPhglmG7E6vcLKhREAjONGATKJ5KYgwkByjlkyBE3YoBzVIw/EjJ1VrO5FPmtouvGFgzeAQAxhycFfEhEEdfnBRAuQGKOQAtcrs9bJSAUbmOugjOig9LAa4lfTyf5mpEAZQRMC9+IK8z1+OYho0pmVwKqE8hmZ63EAAAAIABJREFUDRJTM3GS4I1ikQj/iKskXKAfhkupca5tTUqZJGYYUaKaUpI8dJwOld0o/aV0iae3o9HkyQNyLiQinQTv8QkI5xBhDBJz8FDhMtBGruxZaTNzBh6QJLxjvf/ttMbRXQ4+wVemxQy+mzqIq1ACEXKAc1R9O14hCCXQCDk8SJBYgHDFO+BctYnVu/SSbw6UCuNRpDQ8oN6swSgQhgJNfa3i6TeDxFrQC4FkaM3lXOt3QHE5APR46uF0bAVrPVWmgrlQQOhrrSBdPXinkKjpT1VONnSfLJly4mcG+io6qJOJHzG1BJfgkX5Xov6fxAIijBU/CLU/iNTeq/2aPdhNa4hD53uyfGz3a3jC+L3kxKTCpEcIuBCYnAkV52iuZgyQQmKmGevEG0Glot6E1NAPklW8KRI/Tah6Q4/UsVuSSNFvvBGi5bujWMeWmlOZRxDHAjKjU0xiDfj/2Xv3KDmq+973W1VdNc+W1HoaxyDZRgxYRicjWSMMwsaAOevgYMBaNxqwjQZHyKAbowvOtSVBAiQgyY4fC5KAg4ktgWNEzuHYhOB7Fq9gA44ZWSgB8xiIjQHHoGdLak1Pd9fr/lG1q6uqd/VjNKPpnvl+1mKh6aratWvXb3/3b//2rr3LARvh91i2E0wQsW3Hm7jhB5RN3w5F4MQyvb6edw9vEFJTFZRMz9Y7DBFY9NoR27K9Z4Tr9z8dlEwbuqZ6/UH23Y4ZYZuBbrre36WCFfgTqmgrHX+QxfGCS16A1oUGz0fIFyy48NpbBIMvSjDx2BtA8ezCcfwBawD5EQuK4gXIbNuB5QdkgfJkJctyUCw5SKX8SWu+b6C4QKHoLQfW1aEFk+GELHqxBW+wQ9QHwAv6mpY3Qc6biOwEAUARoGtv07ygnq/jqp9/y3ZhaKrvN3l1TwxuexM/vPt36ApUW/gXnsY6jgND9wee4PnAChS0qUqgw/E+C2x7yuhzPZh+e96mAoptI583odg2jEBfvUk57cIP9SfutBkqjJQCf4wZmqagw1ADPzMYiPMnwhl+fK1kegPKbboKVVNg2i6KphMZSBZ2L3RT8QdDUqrXXxse8WJ87W2aN8Dtx9rEZEnL8nwRMWCS0kKT5O1yX3O45OmzoatBQNk0PXtrNzSoiuc3W1a4z+hpqunHIw1dgWO7KJp2UI5zMp1w/PhZtbasXqaybxwvP93QoBoanJA/257yxMa2vb6/5veZhc+qWA5c0w40A44LQ/X67a4fS7YtN7C7YIKjP3gSjksAQDlU4umg6Asp8HS8w9Dgul7cQAyQuI4XqxZiWnY13WByaUrzJ+f4vqjtlCeCpvxBR2HPgAuRJUXxnsXyrwv7AV1+HSmZNizLKxvXdSHM0DTtYIKIpXgP5/h9NkNFUIZJthe2zVrnkvFDvAfDb/tdFxgpWlBcoNOPY4kYlzexyMVIqayHYvA45RuG8FvbdM9HLvraKPpZilpu/8WEf9H2i5iHmKAnJmC4oS5WZDKQ6sXSXNePL/iDdCK05/gXGSk1iMnZtgMzqJNu4L9bfh0Xdc0KxUQc12trDF0L2hoRf6hWruPtP0zZr2U1TUU2b2LztmexNzsSfGap6yq2P/IyLvn4yfjxT/8Tl3z8ZHz7/ueDc766+iPQFBWbtw0Gv11/+VKkUgq+fu8vg9/W9/fi3kdewYfen8H5y+fjUK6I23fsRibdjisvWoRv/XBXcO61q3rx8NO/xqXnLERmmoHcsImv31dOa8PqZXjgsSEcypVwxadOw+07dmNvdgTLF83D5y88DYePloK048f7LzgVW0J53TjQh589/zZefiMbOVccc1wHX9tevvdNV52B3LAZye91ly3Bj3/6n1j1yR50dqSQPVyMlJF49myugI0DfZgzvc3riETKfbDi+S+74FTMmDG2M84IIc1FWAPimiV0aMejr+K5l/YEyzpkOvWKGWBxHdk40IeXf7Mfp71/Fh54bAgXnf1B3PHA7oiWFYo2vnbvTqlWhTX7Y0tOrNDNl3+zH/NPmB5JM651L/9mP362+/cVOnzFpz4UtAFhXW8zNNzy3V9UaOHKcxeisz2Fv7znuUQt/8oVH4FludK2pP+CUyvyK0tDnP/pj30Q9z7yCmakDaz6ZA+2bi+Xkaz8k95B0rlETrUyBCA9Nitt4GCuhNtCv//FmuXIFyx84we7IrbZ3uaNPPx/z/4W5/fND2xl+aJ5WPXJU7F1ezmNP1+zHFnLrekvyOqssKMvfHoRhkdsbN0erdtJ9Tzub2wc6MMvX34XH/rALPzzz35dUYfD9c1bPkTHtPZUxaBTo2U61Wy2s1PHO9lCxbs+IdM+6q8BZVR7F6qmYH+uhB2Pvlrxnqtp/v2h82V2dd1lS2AYamBXnq1Xapqhq7g5pL1h29qwehme3v07rDxvobSc2gwVN9/tXXvpxz9Q0V7I0pfp9U1XnQHLcnDb9ys1efWnPgTTdCL1fCraqozxanvqSVfTVAybNo4civaLRD8t7LfMShvIFSxkD0bb/puuOgMKgGzMJxB6+8nl8+ECgc+w+OTZ+NSKD0jryvr+XrQZGv7n46/horM/iF2vvIuze98XsXeZnzMjbUT8AVk9+crnP4KO9hTufeTlqlos2pq7//evIr7Q/BOm4+Gnq+s4bfr4ohuaVHdl/uGG1X144LFXK2IP1eISSX5x3BcVtpbkG0zr1rHx755FJt2OtZ/5MApFO/Alwn8H9fTKPvx019voW3RCRTziPbM7cThnRvwdofHn9Z0Ey3KlMYmLzv5g4E/PThtwbDfSd5Hl44aBPsygPSdSS2N1Q8ORghVo36rzF+ID78tUtMFdHSkMWw7ufeRlfHHlYuzx2+nFJ8/GhWe9P6JjQldzeROXfuJkb3+p2Hu7/vKl+P7DLwU2a+hqJG6XZP9C7+N+x9yMt3xuR4eGQ0dKkbYirNmXnrMQ6S490g8Utrn0tPdEdDPcX0t3GciPWJF0Nw30BTE09s+OjaTys2N9lk0Dfbi/Srwino7Q2R2PvloRX17f34vp3W247ycv41CuhLWf+TBURUW+YFa1vT/73FKoqoJn//2/Ktp+md8Zj7FsHOjDkaMFTOtul/oY4fvJ+o4y/0LUo/h9fvb82xV2LYuh01ZbC+EXZw/mo+1yrM7I/Iz1/b2Y0W2gNOxG/FFZ3Oz6y5eisyMVaF9c75P6ey6ALQkxR6Grqz7Zg588+wZe+M/9ga3+6Ke/CWw3M83Ahr97JrE92PXKHpx+8pxIXfuLNctxNG9V6H+z+L9Tdtq+BQSiDAB7syO4bdsg9hzM47xl8/Ht+58P/h8+58jRUsV13/rhLuSGS5Hfbt+xGyvPXYjz+xZgb6hSrDx3YWAM4tw7HtiN85Z5ATrbRjAAKI5v3b4T5y2bj5XnLgzSAYDzls3HPv9eIu348S2xvG7ZNojz+xZUnCuOHTkafY69B/MV+RVls3X7TmiKWlFG4tlFmkWzPLAnK3fx/Ju3DeLwcHGM3zQhpJkIa0CSDp23bH7w9+Ztg7CqpBG+rm/RCYFeCidTHN97MB8MAIrfwloV1myZbvYtOqEizbjW9S06QarD4TZAXLt1+07sPZiXauE3frAL+7IjVbU8N1xKbEtk+ZWlIc4XzyF0PXyOrPyT3kHSuUROtTJMOlayEQwMiN/3ZUeCAUDx2+07duPI0RKOHC3h0nOifof3nqNpaIpal78gq7PCjmwbQbq1rpH5G1u2DeKcpSfi9h27pXU4XN++9cNd2Hswj/hHJKMp06lms8NFR/quh4tj2xGpVt4lG4HWx99zNc0Pny+zq2/f/3zErpI0bU9Me8O2tXX7TpzftwDFhHKCqwS/ydoLWfoyvd57MB8MAIrfRF3aczBfUc+noq3KGK96XE+6FoA9kn6R8DvC15Vs79y4je49mJf+LvR2z8F8xGe49JyFiXXl9h27kRsuBcfO71tQYe8yPyfuD8jqydfv+yX2+n3ialos2pq4LyRsudq1tOnjS5LuyvzDrdsHpbGHsL3Ljsn84vi9hK0l+QaOjeD4EX+is+xvcc3m73t1RxaPcEJ+STj/5/ctwD7fBmUaHPanS3Zl30WWj9toz1WppbElGxHt+8NT5knbYAVKoE2ub9NCK+M6JnT10nMWYu/BvPS9feuHuyI2q0Cp6r/G9T7ud+zNjmDL9kFoilrRVoQ1W/ixMtuM62a4v5ZSK9MNx9Do6x4bSeUXf8fCJw3/HfcXNsfaWaG/Mq3al80HunrkaAmHcoWatveNH3gxaFnbL/M74zGWLdsG8b650xJ9jPD9ZH1HmX+RdB+ZXcti6LTV1kL4xRXtcqzOyPyM23fshhKLPyTFzb71w10R7YvrfVJ/71CukKjlQle3bt/ptREhWxXnbNk2CNtCYhpbt+/EOUtPrKhr+/w8N6v/O2W/BLQdN3gpgr3ZEbQbKbQb3r/TnXrFOe1GKvG6+G/pTh2aGr1Glmb4XqqCxOPi34L4b/G0k+6lqcnH4s+R9LzieteVl2M4b7bjBIaWVO4iPdNyQgsDEkImG2ENqKaH4b9tx400Vkk6IvSoEe0Oa5XQ7GppV7vedV2pDovj8WuT2o34sUafR5bfWm1PtXzGyx9Ifgeyc4mcamUo/l15zGnYL4nbtMwWknyPuL9QzY7CadRzjcz+q9XhuJ22G6mIfwGMtkynls3KbCjuq43Nfaq9i+rvOUnzG7WrRmwvbFuamlxO4SWYk9qLenzpetqk+LGpZqsyxqvtqSdd23FrvrfydU7iexfnxNMQfcbwcWFj1WxZ9FuT7DHu58TvX0/a1dIU54m/G9Fx2vTxQ2havfGCalpU77FqvmjSfV1/GS5ZnCPpno367vH4TDz/4f+LJdHryQftOZlaGhvXzKQ22HXdQHPC5yTZgFh1rZr2ht9pki8rO1/8Xc2fjf8e1lXZddV0PJ6/8HERQ2P/7NioFiOO/1YtXhFPJ64rsvRFOxr+vdY9242U1GYa8VXqaa/raSdk/xZ/1+sv01Zbi2p+cT39sbieVWtfw+fWE9sI56FWHEy0E+F/i7+FD1DNZ2m0/k20nU/ZLwE1VcHcTEfkt7mZDhRKFnJ5E3MzHcH/wxRKVuJ18d9yeRO2E71Glmb4Xo6LxOPxa3N5s2raSfeyneRj8edIel5xvaLIyzHnLyk1N9MBTS2bWVK5i/T01JQ1SUKmBGENqKaH4b81VUlMI3ye0KNGtDusVUKzq6Vd7XpFUWrqdPjapHYjfqzR55Hlt1bbI2tjxDnx8geS34HsXCKnWhkmH1Mb8ksKJavCpmXvOcn3iPsL1ewonEY918jsv1odjte3QsmK+BfAaMt0atmszIbivtrY3Kfau1CrvuckzW/UrhqxvbBt2U5yOTmhVeuT2ot6fOlqGp50bKrZqozxqsf1pKupSk1fonydmvjek9IQfcbwcWFj1WxZHEuyx7ifU29/MZx2tTTFeeLvRnScNn38SNLdau9ptMfEv6v5oknXK/4ma7I4R7W604jvHo/PxPMf/r+mqhV9F2p049TS2LhmJrXBiqIEmhM+J8kGbAdSbQ2fE7bZJF9Wdr74u5o/G/89rKuy66rpeDx/4eMihkZf99ioFiOO/1YtXhFPp1p8OWwXQl/q9TVk/TygdtyjnOfq/rg4vx69l/1b/F2vv0xbbS2q+cX19MfielatfQ2fW09sI5yHWnEwsSpn+N/ib9E/ruazNFr/JtrOp+yISwrApoG+4OXMzXhruc+b2Ykndr6J6y5bEvw/fM60bqPiOm9vGiPy2/r+Xjz45Ot4fPC3mDuzE+v7ezE304EHn3wd11++NHLutat68cTON3H95UuhacBXPv+RyPENq5fhiZ1v4sEnXw/SAYAndr6JOf69RNrx4xtjed040IfHB39bca44Nq07+hxzZ3ZW5FeUzYbVy2C7TkUZiWcXabbpZQOXlbt4/k0DfZje1TbGb5oQ0kyENSBJh57Y+Wbw96aBvopZMjId2TjQh8GX3gn08tpVvRVa9tUrliVqVVizZbo5+NI7FWnGtW7wpXekOhxuA8S1G1Yvw9yZnVIt/LPPLcWcTEdVLU93GYltiSy/sjTE+eI5hK6Hz5GVf9I7SDqXyKlWhknHDA24Ifb7nIy3L0TcNqd1G5jWbeBHT0X9Du89R9OwXacuf0FWZ4UdaRqCdGtdI/M3Ng704aldb2N9f6+0Dofr2/WXL8XcmZ0wtGMv06lms11tqvRdd7WNbZegWnkbGgKtj7/napofPl9mV9ddtiRiV0maNi+mvWHb2rB6GR4f/C3aEsoJihv8JmsvZOnL9HruzE7ccKVck+fN7Kyo51PRVmWMVz2uJ90UgHmSfpHwO8LXGZp3btxG587slP4u9HbezM6Iz/Cjp15PrCvr+3uR7jKCY48P/rbC3mV+TtwfkNWTr3z+I5jr94mrabFoa+K+kLDlatfSpo8vSbor8w83rO6Txh7C9i47JvOL4/cStpbkG6gaguPTuo2ILxH+W1yz6Uqv7sjiEWrILwnn//HB32KOb4MyDQ7704ZW2XeR5eMG2nNVammsoSGiff/+2h5pG+zCDbRJ8W1aaGVcx4Su/uip1zF3Zqf0vV1/+dKIzbpwq/qvcb2P+x1zM96egLbrVLQVYc0WfqzMNuO6Ge6vWU5luuEYGn3dYyOp/OLvWPik4b/j/sKmWDsr9FemVXMynYGuTus2MCPdXtP2/uxzXgxa1vbL/M54jGXjQB9+t/dIoo8Rvp+s7yjzL5LuI7NrWQydttpaCL+4ol2O1RmZn7G+vxduLP6QFDe7/vKlEe2L631Sf29Guj1Ry4Wubli9zGsjQrYqztk40ActhcQ0Nqxehqd2vV1R1+b4eW5W/1cRSy40Kz09PacA2A5gFoADAK4YGhp6vY5LFwB448CBo3Ac+TNqmgpF11AoWtBUJXgRFgAogAIF4krbcaEpCjQN0ACUbBe240LXVCiq4i1v5AKO60JTFaiqgpLlIKUqSOkqHNuFZbtwHBeGrsJxXdi2C1VRvKFY1xtJVxTv37bjwnK84+1tKoolB7btIKWpUFUFpuVAVRVomuKd7+enTVeDazVFgWGoKJn+cLafP1VVkNIUWLafB/9vVVXgOi5MP5+plIKUpsJx/Ly7LlL+s9n+3+JZbUeUhwJFUVAybWiqijZdQalgVZS7BcBxAFVVAMUruxSAmTO7sG9frl7zGHPmzEk3y9STBahhvwAwZ04aF335oboTffibFzd0/miuOR7nT6SNTARz5qTreuZWsV+hAVAUaCrg2IDlONBUBYamBPoqdFm2aa5IQ5wnrtN1FabpQkspsK1yOm2GGmiwZbvQNO/LoEBLVQWO68J1AcfXXrE2cZvuXWs7nmZqqoJUSoHrAiXTgaYpaDdUFIoObF/jXde7j9BXuChrq6YglVKhKN71Io+KArihtsAS+dcU6CkVpukEGg5454XvI2g3VBRKUd3XVAWG7rclrtc+KH7bo4baMEUFXMcvAxWJ5S97B9XOrYdWsd/OTh3DRQe240BTVXS1qciHZjw2QrUyTDqmaSpsKF4779uG47fBtm+7mqYI1wIl0/H8jpBf0WaosCwHplW20baUgnzJCWy8vc2zI9t2I9cbKc/P8GzEqyeuAxi6b9Olcj0Q+TJ0FbZd9k1E/RG+i6gThaIFQ9fgOi5cP//iPvH6qsGFGd8UcJRlWo3Jpr/A2NpwNaqVt25o3p6Oigu4nv6qSrLmxM8HgJSmRHxeRfWWtnVs754Rf9fXPUMDFFVB0XS959dU6CkVhaINTVWg6wpM04WhAXpK9cvJb0faVFiWZ/vCfxbnl9uicvreM3l1RFMVv/3xyjyTbkMuV0j0hwGMqb7WopXsN+xDwHXHrGzq0QdNU+Fqivd1ia+Vuq7AMl1YjoP2thRc0w602vXtz3Hg65zXT3JsN+ITqL59eH1ElPtejouONg2m5QR9UuGjaFrZb1EUz450XYVtOYGuen0yBynNO79oOlAVzx+wbMfzHxTPhymaTpBvPaVCVYCi6cBT4rKP4jguFNHO+L+F+31F0/H8Jzd6jaYqUDWvTQqX01jQSvYrqLdtGS0ye1Y1Raq7hqbAtBHxK0zfjoyQjyHaX9t24bou9JQKy9fDlH9d0XTgOC70lAJVUWC5vn+pAKqiRHxpw/B8W8v/u6NNhWl5mi7urcD3n10XbSkVLhDEJfTQPTU1lLamIOXHYoK4jO+vi/wbuur7SDZc3xdWfH9GlI+hIfAzwuVp6CoUKDBtx6vbvk8yWntuIvsFGrDhRqml3bqhef6tX65dHVrgh5b7co7/bl24CtChqxjxz+lo01Cyyud3tnnHLNtFZ7sGy/Z8SztkP2EbFTqVSnnxM2Fz4bic58+WtRMqoClK4COLlSfECw3sVWi9psCy3CCdsB/uxdgc+KumR/p8cAFNU3y/TYn0neMxtLHun9WiGe0XwKj1VRZjsIFyW64qMPSQH6mqEa2olk7JdoM4s7Ap8e7DmuuHhMu2k1Kga76+hmKygAvbKfsFiorAJ9B8vRVxDxHPEGnqKS8NoY8AAn0MfAg/X0EM2HHh+m2BoiowTQdGSgF8X0PU02LJCWIJ4eeGq0T8AS/+oNQVdzgWqrW3zWi/9cSAmyUeqmkqNENDoWRH6ofteG23Gxp/CGIBvk4K/zSIRaGsw8L2xLmO60bGJtyQPirw/F9hdymtPAYT6H08fuET9AE133ZF/1hT0eH7xkKnhY8t+p26rqBQtP2YBwJfRtfViB/fZmhwXSeoI2Nt543acCsMtH8HwN8NDQ39oKen53MA/h7AuWORsG07mDOzC/sKOcB2IWQ7XILi36KgHBtw/N9TAFzL9rtGHhoAON45Kf/flmVD01QczZvBBrFi9HnXK+/iY0tODDbEFCPOs9MGYDkw2lPYly1UHJ+XaceebAE7Hn0VF539wWCj1XC65y+fj3cPFvHPP/t15Jzli+ah/4JTI2neMNAHXVdx83d/Efy2aaAPmU4dju1AhTdWqSkqsrlS5Dk2DvRhx6Ov4rmX9kSus20bkvgcbNtbs1wDgNBxyamEkEmI0ICwA5MCANuFaZf1NazLSWmEr0tpKg4eLuJ+iS4KXYLt7XuluVEtk+nitat68fDTv8bqT30IpuXgtu+Xj63v70Um3YYuXQNcF/uyhYgu3nzVGTBNB7dtG0Qm3Y4rPnVasJmw0NyZaQO54aiebhrow/vfOx0HDhyFAqBNU5GNnSPy9emPfRD3PvIKZqSNiryXddgJ2qWSZQdlpmkqske9NkmWv/D1td5jrXc1mejs1PGOpE0+IdM+qkGUamWYdEy8kxQAWJ6PAYTqDQDXLrepKQBOKXQNAHPELv9te77NSClc94Bivmwv4voT/DobtOG+eSgALDuaD3GNBsAuRu/vn1q+n/8c3nXliUPh+zixfydZ5mjKdCox1jZcjWrlbZbsiL8tPuqUvRNNU3Eg5nve+IXlSGmK1G+F0D3bs7Vw3XBQ6ceu7+/FvY+8gmyuEKRhlpwgj6JOlPLl3In0LTtab8yQbYc/VBX26p1nQ9e1mv7wVLfVJOI+xFiVTT36YNsOEHvnvgQjBSCTbg/8GnGu6EPBAlxXxcFYfzBstyWrfFdxnVnwrEfUF0NTMWxayB4sBu123IeR+TRhO6/lo3z5s0uRSin42vZfBumt+mQPtm7fGfFjZvj9RGHXJTvajxb5Fjbu2JXlRMYeTVORTbAzJeTXCY0yQzYs2uNwW65pKo6MRNMLxw4y6Xas/cyHUSjaETsSMYJDuZL0+HWXLcGPf/qf+PTHPog5mQ78bt8IvvGDXRW+dro9hQM5EzdKnqc0YiKllXW9qk9r2V6MRlNx4HBBWj5hv9cM63JIHxw/wBHUbVvedpEotbTbjJVrMe9E22Dfdy3Bt/FhExv9d3jpxz8gjalN69bxD//8Ii75+Mn49v3PS20rd7SyL5bp1DFzVhcOHhzGEUkM7+Gnvfjarlfexdm974toY9ju47a4caAPL/9mP+afMF3aT3X9MgKifjbg6aeCuD9R2VbR1z02ZDEGoGyXGlTsPyy3mbB+yNIJt49J8WXRfwrfEyZgmjZUAG2Ghv25kjQOLOwaALY/8nLNGLD4mt+y3MS48sNP/xr9F5yK2WkDjt82wPEGKVMAHNOrj/mCvM2R+vt22Z7Dv9FWWw/bdjCzuwulfbmgPSyF6gzgjyEcSW6jb7rqDOSGTXzrh+X2f8PqPjzwWHmM4aurPwIVCvJFK9GP+Ndfvo1PfOTEyPGwv7v45Nm48Kz3V+j1nOltsE0H+2PxvKRxDvj7BFp21Cco9wuibdnMad4kjWbR5KZeDrSnp2cugCUA7vd/uh/Akp6enjkTl6vRYQGBQQHeZpB3PLAb5/ctCIRY/L5l22BQcYqmKz0+UnSwZdsgzls2PxDqeLp7D+Zx+47dFeect2x+RZq3bRvEnoP5yG+btw0i+g2f/DlEPqpdRwgh443QJ5kuxnUprmUyXbzjAU8/9xzMBwOA4tjtO3Zjz8E8LElae7Mj3jX+byvPXRg4I+L4bb7Ox6/bvG0Qh4eLifkM5+v2Hbux8tyF0rzX0uFwurL8UcflDPttb7wNHC6O3wxbQsaSVrRhmQ7e+r3n6vJb60lLaCm1j4w3Mvtr1OYsAHv8Pl6SDyPzC8J2XstH+eY/7sKRo6VIeiJoIs65jXWlaRkLO6uVXjh2sPLchThytFRhRyJGkHT82/c/H/izluUGA4DiuPC1k/xlS5K/enzasS4fcvyJv8OkmJpje/olBgDFsdHYljgu+mEi5hbXxrDdy+pE36ITavZTSfMy0fpRspEYBxZ2vedgvq4Y8O07dkNT1KpxZXGd7OMOwUSXCWluarXRew/mgwFA8dvW7dExhiNHSzh0tFjVj7j0nMq0w/7upecslOp10XSn1DhHs38JeCKA/xoaGrIBYGhoyO4tnOatAAAgAElEQVTp6fm9//u+ehKYNau75jlz5qSPJY91sTdbDlSUfxuBpkL6u+26OGFOGu/sPyo/7jjYmx1BulNPTLfdSEnPSbqm3UhV/AZFiZRP0nOkO/Wq1zXC8XgfrUI99jvVKJl2QzZSMm0Yulb7xCanFevF8dZfoU9JGhfWpbiWJV0jtC1RMxVFelzob7W0bdeV/m5aDuYm5DOcr1r5q6bD4XTrKa+piMx+k9tkr82eCkxFm2jFZ07S31a04SQdrMdvrTetiJYeJ+1rRbs6XtTr/zZjGY7G/hqxub3ZfMTHACrb8Vo+TT0+Srh+jYef0IzvbqyYaPsdCzurJz1hI9V80YoYgeT43uwIVCXZ17Ydub8snqdRn3asy2cyMt4xiGMt5/g7TIqpua5bVeOq2RYAQFGq2m3SfavVCTeh/3es9kfbjdIq+too7+wfrhrvELrcbkTtL+l8ob3V/IZwfFrGRJdJPTRLPuqhWcYwGqFe31dmZ3Gf1rsm6j8Iu25Uj8NpJ469+Euhj+c4RzO9r2YfBDxmmmY9XU3F3ExHxLDmZjpgO5D+rikK9u3LQdM0+XHVSy+XNxPTLZQs6TlJ1xRK0XHtuZkOb5m7cPkkPEcutISU9Lo6mej1jZupcgL12e9Uw9C1KbeHYAN7Uh2H3NTPcddfrbouRnQppmVJ1+TyJvSUkqyZ/r668eNCf/dmRxLT1hR5unpKTcxnOF9h7a35vAllVS1/o9Xx0dIK9pvcJistrzP1MNFt9EQw2fS3JW04QQfr8lvrTCuspcdD+5qtLrWK/YZptjIE6shTgv01ZHOaGvExgEofpppPA9Tno4Tr11j7CWP97mi/McbCzupIT9hINV9Z2Fy143MzHXBcuS9bKFnQVPm1wfM06tOOdfkcI81mv0B9NjxaxsT2Y+8wKaamKEpVjatmWwAA161qt0n3rdY/UxL6f8difxPZHjaj/QKj3xOwJhOsH8KPr9V2m1bUdpPOF9pbzW8Ix6flmWouTY1TY0/A45yb2jTNGEadNOL7yuws7tMClWMMwq4b1eNw2oljL/4G1+M1zjHe76tRG27q5UABvA3gD3p6ejQA8P//Xv/3liIFYNNAn2c48Azo2lW9eHzwt9gY+33jQB8M/8OlNl2RHu9oU7FxoA9P7HwT167qlaY7d2Yn1vf3VpzzxM43K9K8YaAP82Z2Rn7bNNBXMUosew6Rj2rXEULIeCP0SaaLcV2Ka5lMF69d5ennvJmduOHK6LH1/b2YN7MTKUlaczMd3jX+bw8++TrW9/dWaK6hVV63aaAP07vaEvMZztf6/l48+OTr0rzX0uFwurL8UcfldPltb7wN7GprdneKEI9WtGGZDt74heV1+a31pCW0lNpHxhuZ/TVqcykA8/w+XpIPI/MLwnZey0f58meXYlq3EUlvw+plFX4M60pzMhZ2Viu9cOzgwSdfx7Ruo8KORIwg6fh1ly0J/NlUSsGffW6p1NdO8pdTkvzV49OOdfmQ40/8HSbF1FTN06/rLltyzLYljot+mIi5xbUxbPeyOjH40js1+6mkeZlo/TA0JMaBhV3Pm9lZVwx4fX8vbNepGlcW1xlVFtaa6DIhzU2tNnruzE5cf3m0/d+wOjrGMK3bwIzutqp+xI+eqkw77O/+6KnXpXrdpitTapxDcd3xmeEzVvT09DwF4J6hoaEf9PT0fA7AnwwNDX2ijksXAHijmUbRNU2FBW8fSVVVAMUFXKBNV1E0XdiOA01VYWjljZEBwGhPRY636QpKBQu6oXlrMysuAAWO40JVyukamgIbgGW7UBQFcOGdo3rHSrbrffqqKoExW0Dkt/DmtvHnEOfJ0pJdVw8TPathzpx0fD/7iWIB6rTfRr+Ka+T80VzTjOc300yZ0dDAlygtZ79j/W6EPkEB4CqB5sl0KUnL4hot9NGGAkukpylQbDdIM55WWFMdB9B1FbbjwrZdpFQFGrxrZdfNnNkVKRdZ26G4ClQNKJnOqHU4fG9DV+HYgOU4x6zjo6VV7LezU8dw0QnKuqtNRT40S2wyM9Ft9EQwGfW3FW04rpWzZ3Ti0KF8XX5rtbRSqhrR0uOlfc1Wl1rJfgXNVoZAfXmStfuN2pymqXA1BZbvs6RUBYau+P1FL11dV2CaLuC7M3E7B3wfxQX0lO+jOJ6P4sKrG7bjwvLT01QFtu3CcV1oStmPGQ3j8CUg7TfGWNhZrfQARPxIBQpM25HYpAND16AA5eOaAheA67poNzS4tgMb3hddtu3bnIbA1671PI36tGNdPsdCE9kv0IANj5axsv34O2w3NBRKTiRmZptO0C9U4GlmvB+XZAsin/F+mKL4Hwr6/bGUrqBklu1e1xD0ydp0zdNRu5ynounU1U+diDId5b2bzn6BcfwSEBOvH7I4sKKU7RrwtFnTVK/dDsWATRt+PEOBpilQ4Nm1KewSCMWV4ceVo/FpGRNdJtWo8SVg09lvM41h1EOjvm+8jRbjFraNYGlOPaXAMl1YjhP01Tzb8mzadlzfj4jGxQxdhaKoKPpfesd9lY62FEqW42l/aGwlnsexHOc4Dl8CNmTDrTCQeTWA7T09PX8BIAvgignOz6ixbQcKAA0AQhpa8n9PeSfBjOlrqWBFjgv9NUu20GmpYYl0wnO7xb1NG6E03SA7st+SnkOcl5QWIYQcb4Q+CYTmyXQpScviGh2+NuX/4MQSjKcV1lQNgFMKHy+nmXSdLO14vhz72HQ4fG/Hb1io47XJ500oAE7w2918nqVFWotWtOG4VqqqUpd+1k7Ljmhp85cEaXVGa7fxNGB7fTwVAGygFPEDAMv/W/QRZXYu9VH8OKANL9AhfnNC5/u3IE3MWNhZPenF/cgkm3T8pbyC45Z3TAEwvbs8+S1sw2Ffu9bzNOrTjnX5kONP/B0WR6Jtu4iZhfuFx2Jb4X5YOE0rpsXhPpnt2304T/X2U0nzMtH6EY4DA+V2OWzXCoBZ/sTicAwYKNcD1wZclNv3eHrB/ep4wIkuE9LcVGujzZCupgDAAix/RfpwX00B4MCJnCfsVvi4TsnGnDmdnk8h8VXMgiltJ+J5nMzjHE0/CDg0NPQqgOUTnQ9CCCGEEEIIIYQQQgghhBBCWoWmHwQkhJBjoWTaDW+WWihayB0ZqX0iIYQQQgghhBBCCCGEENKkcBCQEDKpMXRtVPsgNs8q24QQQgghhBBCCCGEEEJI40zmQUAN8DY5rUU957QCfI4xYQGA38HbO3Qiqdt+ydjT6NeDhaKF4aOFccxR3bawAC1mv7RxOVO0XBaA9tv08JkTWYAWs99GzmtGWjnvQNPlfwFov2MC81QfY5ynBaD9tjRTvCwWoDnsFzhOMYhWed+tkk9gQvO6AE1mv0BrvbvxhOXgUaUcFqDJ7LcVYxDMT3XGOT8L0IANK67rjmdmJpIVAJ6e6EyQluT9AH47wXmg/ZLRQvslrQztl7QytF/SytB+SStD+yWtTDPYL0AbJqOD9ktaGdovaXXqtuHJPAjYBmAZgHcA2BOcF9JaNMNMENovGS20X9LK0H5JK0P7Ja0M7Ze0MrRf0so0g/0CtGEyOmi/pJWh/ZJWh18CEkIIIYQQQgghhBBCCCGEEDJVUSc6A4QQQgghhBBCCCGEEEIIIYSQsYWDgIQQQgghhBBCCCGEEEIIIYRMMjgISAghhBBCCCGEEEIIIYQQQsgkg4OAhBBCCCGEEEIIIYQQQgghhEwyOAhICCGEEEIIIYQQQgghhBBCyCSDg4CEEEIIIYQQQgghhBBCCCGETDI4CEgIIYQQQgghhBBCCCGEEELIJIODgIQQQgghhBBCCCGEEEIIIYRMMjgISAghhBBCCCGEEEIIIYQQQsgkg4OAhBBCCCGEEEIIIYQQQgghhEwyOAhICCGEEEIIIYQQQgghhBBCyCSDg4CEEEIIIYQQQgghhBBCCCGETDI4CEgIIYQQQgghhBBCCCGEEELIJIODgIQQQgghhBBCCCGEEEIIIYRMMjgISAghhBBCCCGEEEIIIYQQQsgkg4OAhBBCCCGEEEIIIYQQQgghhEwyOAhICCGEEEIIIYQQQgghhBBCyCSDg4CEEEIIIYQQQgghhBBCCCGETDI4CEgIIYQQQgghhBBCCCGEEELIJIODgIQQQgghhBBCCCGEEEIIIYRMMjgISAghhBBCCCGEEEIIIYQQQsgkg4OAhBBCCCGEEEIIIYQQQgghhEwyOAhICCGEEEIIIYQQQgghhBBCyCSDg4CEEEIIIYQQQgghhBBCCCGETDI4CEgIIYQQQgghhBBCCCGEEELIJIODgIQQQgghhBBCCCGEEEIIIYRMMjgISAghhBBCCCGEEEIIIYQQQsgkg4OAhBBCCCGEEEIIIYQQQgghhEwyOAhICCGEEEIIIYQQQgghhBBCyCSDg4CEEEIIIYQQQgghhBBCCCGETDIm8yBgCsAC//+EtBq0X9LK0H5JK0P7Ja0M7Ze0MrRf0srQfkmrQxsmrQztl7QytF8y7kxm43ofgDcOHDgKx3ETT8pkOpHN5o9frsYJPsfYMGdOWpmwm0eZUvbbCHzmZGi/k4OpWi603+aHz5xMq9kv0Nrvs5XzDjRf/mm/YwPzVB9jnSfab2sz1cuiiewXaMCGR0urvO9WyScwsXltRvu1LLtl3t140ko2PJ5UK4dmtN9Wi0EwP9UZ7/w0asOT+UvAukiltInOwpjA55iaTMXy4jNPHibrcx0rLJfWYCq+Jz7z5KKVn62V8w60fv6bgWYsQ+apPpoxT8cblkEZlsXUolXed6vkE2itvB4PWB4eLAePyVYOzfY8zE91mi0/U34QkBBCCCGEEEIIIYQQQgghhJDJBgcBCSGEEEIIIYQQQgghhBBCCJlkcBCQEEIIIYQQQgghhBBCCCGEkEkGBwEJIYQQQgghhBBCCCGEEEIImWRwEJAQQgghhBBCCCGEEEIIIYSQSUZqojNAWgdNU2EBsB0XmqogBcC2nYnOFplk0M7IZCY9rQPtbbWb3jlz0sG/C0ULuSMj45ktQmqiaSqyuQIsRaE2tziind2bzQOayndJSJNAH5hMdVgHyERDH4kQD+oxaWZon6ODg4ATRKsZrKapyOZNbN42iL3ZEczNdGDTQB8ynXpT55u0FpqmYti0sedgHu1GCoWShXkzO9Gla7QzMilob0vhoi8/1NA1D3/zYuTGKT+E1EPZB3h2zHyAVvODJgtsZwkZX0arbexrkalOo3WAfgQZa8I2mEm3o/+CU/De2d3QNQ0aXNoXmTLU0mPqLxkP6rWravZJqsPlQCcAYbAb73wWa7c8gY13Pots3oSmjf3r0DQVrqbCUhS4mjrqe1hAUMEAYG92BJu3DcIaw7ySqYXMNl1NQTZXxF0PvoCNdz6Lux58AdlcEa6mTHR2CSFkytKID1CP33E8/SASxdUUjJSib26kZLGdJWQMcBx31NqWpLOupoxJX46QZiS8yoAFBfc/+mrdvgb9CDLWCB3OpNvx+QtPw10PvoAvbn0CG+58BsOmDWgatZi0LI3Ehqv1/ai/ZDxoxK7qiU2M1VjIZINfAk4ASQa7Zd1ZGMsQzFjOKLUdN8ivYG92BLbj0ohIwyTZ5vS0gdt37I7Ujdt37MbmdWdxxgJpSupd3pOQVqZeH6Bev+N4+UGkEhdAoWjjrgdfCN7R+v5euBOdMUImAYeHi6PWNpnOZtLtOJQr8etAMimRrTJw7apeHMqVMPRWFkByvIF+BBkPhA6vufh03PFAOSaRSbcjmyvi9h2/oBaTlqTR2HC1vh9UhfpLxpxG2vWq9gmurlENxtUngFoGO1aM5dd7mqpgbqYj8tvcTAc0lTJPGifJNm1bXjecqa3TpIkRy3vW+x8hrUi9PkC9fsfx8oNIJbbtSifb2PYEZ4yQSYBpOaPWNpnO9l9wCldiIZMWmc9wxwO7sfLchcE5SfEG+hFkPBA6nO7UI/a18tyFFb4TtZi0Eo3Ghqv1/ai/ZDxoxK5qxSa4kmEyHAScAOoNph3L56uapo6pOKcAbBroC/ItRtL5/QuphcyOk2zTcSCtGykONhNCyIRRrw9Qze8ItwWaqh7TxCIu7zF6HAcJ7S877oQcK3pq9NoW1tmekzK4ac1y/MGc7uMeaKO+kuNFks9w0nvS2DTQh+WL5uGGgT5omlJhj5ygTMYDocOFkhWxr/igICD3b0ejmdRcImOs7aLR2HC877d80TzcevWZcBz3mPtxhMhopF2vFZtItnevbk1l3eUYzgQgDDb+aWoKgJiIfSyfr4prs7kC5mY6IsYfVCLbbWgzV9t2kOnUsWXdWcH5hqagZLuwFYWbwRKpPQGQ2vG0LkNqmylNwQ1X9uG275fPv+HKPm8j7gl5KkIIaT6O92bswgf4xvqPwbRswFXgOA4sAClNDe4tnPcKbVdVZPPlJe2WL5qHjQN92FLFD0qCy3scG7omf0e6psDl9EhCjonpXW01+3hAsoZnOnV8/UsrgiVA11x8etW+3FijGxpGTAfZXBGHj5bwxM43cdkFp1JfyZgRtX1Vat9vvZvDPQ+9iE0DfejoSOErf/NMRXsP1I6njF0+GedoRUbzDoUOz0gb2HRlHzb7MQkxKFjLv63XJxV5gwJkh+nTkihJfZ0ZaQOK7UptIykWFxxP6KMl+RPh+C8UIDds4sbv/PyY+3GEJFHPOIkgbJ+OA6iqAiguLNfbnzuV4F/8174cZqbboesqbv7u1FzemYOAE4BsQC3ulFgA7n/0Vay5+HSkO3Xk8ibuf/RVrL3k9JrrLIc3NL52VW+wnnlkdHwUQTTbdqDAMxoNCg5wjwrio2kqhk0bew7m0W6kUChZmDezE53tKeln2F//0tlY398bLKsh9iTSVAV6SsU1KxcH6eipqTMrgxBCajFRg2C27WDWjE688fvDuP/RV3HesvmY3m0gk25Dh6HBLNmJzruqRZfkeO6lPQCALetWwHachgJs3Afo2NBSqvQdaSkVlsWuOyHHgqoqNft4SRo+K214kytNB9lcAZl0Ox588vXEvtxY11ZNUyv6dteu6q27/0lILeK2Lwskb1jdh5GiiUy6HZu3DeKalYvl7X0d8ZSxyifjHK3HsbxD23YAG5g/b1rgpxq6VjFRWebf1uOThvO25uLTcc9DL9KnJRGS+jrXrFyMTLq9wo4TBw1nlAf3GhlgEYj4r6upQXxZxKdHCha+/qWzUTJtTpQgY0I94yTx81Oaimwhavu3rP0oXNetiDdfd9kSbPuXl5HNFSK+hbfnawGd7SlomjrpbZmDgBNEeEANtuQrJwW46OwPRjp9167qhaYpsKFWrRTi09e92RHc95NXAqGek+mA7p8vhHy0Dsd4B+E4++74MRZl7WoKsgeLuOvBFyKDeh3tKeln2CXTxr2PvBIZ5L73kVfw5c8uDWZkCOZmOugIE0KIz3i1v/W0BYeHi7j/0Vcr/BMRwDZLttR5L5qV+2Q999Ie/MmnHaRcV+4HJVBtORs6tbWxHQdaSsHNV30UqgI4LmC7DvfxIGSMqNXHk030/Onzb+PjS06sGIC77yevBH25BSekj6lPVEvjk/ZnW3Px6dRXIqWWTcWP21CkE4K+9qcrUDId/H7/Ufz9/34B2VwhsP92I2p54fa+ZjxllHCyUetzrO9Q01S8vTeHW7/3XKDJN191BrauWwErNHmtaDqRgZFc3sSDT75eVTPDeau2zCg1d+qS1NdpN1IROxYaa0Ju799Y/7FymscwccJ2XGTS7fj8hadV9P/EgKRMfxnTJY1SrV2X2ZNM6989MIy7Hnwhos2FkgXHdTH0VhYAcMKsLmwa6MPgS+/gnKUnJtr1ZIRtS5OiqSpMy8Z1ly1BLm9i8KV3oCoKiiXPQd7x6GvI5gqRJTHK15Y/9R56K4vN2waDgRRhyMcaRBvPIBxn342eRhva0ZS1VHxtt2Kz7Nt37MaWdSsSlh1Qkc0VsHnbYOR3VZXvVURHmBBCPEbb/lZrH+ptC0zLwXnL5geOsrj35m2DuPXqM9HmLw0ad941rbwkR89JGaw8dyGmdxvQVNVb7rmBtr3R5WxIHIYwCRkr4rpa196akomet6w9Ezfd/XPpANzmbYO456EXg6+fRjPQIdP4m686A+16KghoQ5H74J5WU19JlFo2lVJVDJtWZLmtv/rimdIJQWsvBf78738eOXbHA7txzcrFKJTK61T3nJRB/wWnAPC+TBmvgDInG7UmYT1W4X3dEX6Ptd5h+HoXCv7x/7wS0eSbv/sLbF23IjJ5zTA0XPGp0ypWNzJ0FU5JrtZh+8rlTfq0pIJ4X0do3/TuNqy5+HRAiWrwxtXLKgaih97KwrSciNc/2okTmqqg/4JTpP2/pIF1xnTJsRD3rw1NvhJhuqtyIkW7kQo+ihLx5p6TMrju8iXYsu4sFEoWDhwp4J6HXpT635N90g/9mDoY6xkM9cyay+aiX1VtWL0MDzw2hOde2hOZHSoMNEytT701TYULBV/70xU4fLQUNBLC4dCg1HxeTVWwfNE8nLdsftDYPLHzzTFxWDj7bnRUa2gBSN9ptWVnDUNDyfa/GlBVGBrg2K502c82Q/7Fn+24Uls0NODmq86oSCeVsFcRHWFCCPGoZxBMj+l3m65g/+FisJRL/wWn4L2zu5FKadBUwLaT2t0VkX1/lZSK6d2GXO9tF5amAJoGx3Gghtoa4ZckfUXYSIdwNMvZkDKqqsBIqbBDhWWkVKiqwvJLgDOZiQyZ333jF5Zjenuqun24SkUg7chwUaqr6U69psYl7QPkagos28W7B4ahp1TkC1Zkcmk2V8TtO6L7oVz68Q/gRz/9TZD23EwHMuk26iupIN5f95bTitrUhtXLsPjk2Xh859vYmx3B7/cflfovti0fdPuDOd1wAXztT88CoGBal4F3Dwzjm//4fDAZOlhGN2b/Ms2uV8s52ai5SdK8uB6v7+/FvY+8Enz5Ue0dyvT82lW9OJQrAQBWnrsQ6U4dDjxfGC5gaApKtrc39pqLTw9iarfv2I2t61Yk5tfQynG0zLQ23LL2TGz7l18Fcb6x8mnpu7QW4feVgoKbrzoDN3/3F8ik23HlRYvwrR/uCmzzhiv7ML3bwP2Pvoov/fEfoqNdh54qBksdbljdB9Oy0KarsF23bjtIspkUgPfO7m5ocgRjumS0JMW173/01Qp72rzuLNyz6XxkjxZxz49/haG3spE9XHtOyuBz/+NUzJ7RgXdCH1N9+bNLsb6/FwrK/oeYqJzu1OHC0/aKcZBJoKscBKzBWM9gqCe9+FIZe7Mj2Lp9J9ZcfDqee2lPxezQ+BJO1T71TnJwHn7617jsglMTR9jjz2toCvovODWyhv/GgT4YmgLzGD0Wzr4bHUkN7de/tAKHkt5pwrKziqpgf65U8X5nptuQPZivWPbzD+bo8s6SJt8bxbFdmKYTSeeGgT5o7QzuEkJINWoNgumGVqHfwnGWLeWyvr8X75nZKW139x8ewVf/9pkgjfnv6UAhbUn1/ki+CPOImzjAl+nUsfaSxdh45zPH1CE8luVsiPcd4PCIha3bd0YCtR1tes1rpyKcyUySkPndt37vuZp65jiVyyMfPlqS6uocfyWXxMEKiX3eMNCHjo4U9u3L4/Ydu5FJt1d8qfLVK5bhnx4fkn7R/fv9w5FgdIeuwkz4ooVMXeL99ZXnLqxYFWbr9p24Ze2ZeHvPUQy9lcWOR1+r8F82DvRh/6ERqf3/1z4vYOfZ7/ORvqqYDH3r1Wfixu/8PPI1omk6uE2y52Y9MQ6Ak42amcS9z9JGhR7fvsP7mvSWe56r+Q6TlkNe398L10VFrGTXK+/iY0tOjPjawi6H3sp6X8Mm5PeGK/twxac+hFu+G52E8cVLF8N13THxaem7tBZJbflff+lsWLZb0Xe67fuD+PqXzpbG8e77ySvYut3bPzCXN1Eq2RV6KLODWjaja1pDkyMY0yWjJSmuLcZDBHuzI9iXHcG3738e6/t7cfVnTseOx4bwnllduGGgDz+UTD4WdeSb/7gL16xcjLf2HPUnvFVf7haYPLqq1nNST0/P9J6eng7/30pPT89lPT09q8Y3axODpqlwNRWWosDVVOmA3OZtg7BqpJNEkkGH07MknUMxGzT+dyC8MWzbgWJ7e+0othMYZZKDs/aSxch06ijZrjR/NpRIuZg2AqdHnLdl2yBKYzA7Tsy+C5P0nKRMUkNrJbxTC4ArmY18xwO74Try92smLPtp2y6uv3xp8N7mZjpw/eVLoSUojAUEzohI5zbffkRw9+6N52HLurNaTlQJIWQ8CQ+CyXSyJGmfN28bxHnL5mPluQsrNP/2HbtRNB1pu3v4aCmSRm6khM42DbdefSa+9qcrsGmgD8sXzcP6/l6UTEe6TIwJb9kuwPsyMalD2GgZyHwcUhvTdIIBQKAcqDXN1ivDuM+uJTkdx0A9fjuZmlQLcIWJ26muqxV6+8TON7FpoC/iR28a6IMOVNU4mX3etm0QllX212WDM1+7dyfOWza/Iu/ZXBFrL1kcaVs4AEhkiFWBNg30Ycu6s3DSe9LIpNsj5+zNel+5rjx3IQAgmytgRtoI+S8rsOPRV7H9kZdx7areiP2v7+/Fjkdfk9rvHQ/sxspzFwY2Gz6252C+oo+5edsgSgkrHsi0vJafRcafpPY9sU1O/Jo0Xdc7TNLz2dM7pLGS8/sWVPjawi7DcSupRn9/EHsP5iuewR1Dn5a+S/Mis+3Ettx2qsb4ZLYptLHdSOHdA8NSPZTZQTWb0TQVqgapn5K4vC5juqQK1fpwSTY/vduI/DY304Fc3gziGSXLxtpLFsNIaUh3GvjipYtr1pEHn3wd167qTVzuNjJOg8mhq/UOwv8fAH8KYBeAvwLwxwCsnp6epUNDQ18Zr8wdb2Qju/G168UnorbjQhvFWvTVOowd/vJdKlzpLItc3oz8Xd3/GMkAACAASURBVChZVYW3sfs7UFwXtqJIj5u2E6zVn7Sm/1jN7AgvG3besvmY3m0gk24bk68MJzNJS5c4VYMUCcdcV7rJtWz2skhrWpeOa1YuDpb3nNalQwNwMG9GZh/dMNCHbsnazSIdxXXHZZN3QgiZLIg9Hdr8jmMx5JMUE3R6ereR2B4MF8yKWe9ippwgk26H47g4mCtWzID7l2d+g/OWnSRNu2Q6yOVLyKTboIf2BhRwia3jS7WB2Faames47rjPxtQ0FUXOZCYJ1LNkYNLsfrHMl/jtsgtOxSx/cKTqdgz+BFXLX3JZSdjHTw39nu6U+9yyYMrho55Wh/e8IiSOpqmApuKyC06tufzi4aMlZKa1BRqt2N5ARwrexGcxq/++n7yCNRefjjkz2jGtqw3DRRPXruqFpsljE2IytJisJGhP3KKisbZvtHtnkWOn2tcWSW2y4yBBjwHFrq1nSXqepLGplNwup3cbkS8OFQXSvdrajVTFtWPpV/ArrOYkybZl+5qJ96U2GOMT2jitqw2plJqYbjyWnWQzjgsUXRfZwwWUTBtf/uwSzOhuh66V93VPWqKXX1QTGbW+qEvS40y6Lfg9HqtYfPJsZNLt2H94BIePlvDEzjfxhYs+XLWO5PImht7K4r6fvIL1/b01NXM0uupt9VaAFdpeZaInFNU7ZfZUALv9f18B4AIAHwPwufHI1EQhG9kVa9cD3gDg5y88Dfc89CLWbnkCG+98Ftm82dDM46QZESlNxf5cCRvvfAZ/fd8urO+PzobbsHoZntj5ZvD3poE+vP+90zErbVRd0zk+um7oKm5asxxb1p2FTQN96DkpE5mRkZS/3+8/mlgu4fPGYmaHbTuYlTZw2QWn4p6HXsRX//YZ3Pidn+NArjQus7wnC2LwtGJ2jlo541i8K02TH0upCtZ+5sPQU9771FPi74TzNQVduoaT5qUxa3o7TpqXRpeuwbTlX/wpaHxmkLDnvdn8uM34J4SQVkE40BvvfDbqkyRofibdFqyRHz92NF+qmJ3/8NO/DgJ5PSdlcMWnTsMbvz8snQF31n97L3J5U5r2noPDQTs+UrKwcXW0nbohNJnpeHzZNdVJavc1rbVm5h4eLo7rbExRv/5rX/3+Lu13aiHzu2/8wvJIICBpdn+7nqr4ysgs2VW/cNY0FYfyJjbc+QzWbnkCm+58FoePlrB80bzIeXMzHXBcBPlK0uaZ09qDPuFNa5bjK1d8pLy/O2k5xkN/ZGkKbfyNxB+4fcdu9F9wCgAEAbpX3tiPTLoNt11zFqZ1Rgeew33UobeyePDJ15EvWrj7xy/gyNESbrnn3/DWuzmp/YrJ0CI+Ikjyc5J8I9p781H1i6TEWJqCGxr4SkkgbNxxgVuvPjPQUxF/OzJckt7Ptl3p77Ond5QD2ZqKI8Mm7nnoRWy881nc89CL+PyFp2H5onkolKyKa8fSFvkVVnOSZNtwk99XSlOC2HDPSRnctGY5bln7UWia/JpCycK1q3px709eQrrDkJ7z23dy2HjnszgUimUn2YzrurjxOz/HV//2GfzNP/07TMvF9x7+FdzQAKCsPwqAX1QTKbW+qJP51+v7e/FPj7+Ga1Yuxt0bz8c1KxcHyy/3nJTBhWe9P7DTex56ERed/UEcOlpIsGlgfX8vHnzydQCe/7Hn4HBNzWxUV0Xd+LPbfzbq8aPxQHHd2jOve3p6DgA4AcBCAP9raGjotJ6eHgXAkaGhofQ453G0LADwxoEDR+FUWWZqzpw09u3LAQAsRcHaLU9EjveclME1KxcHa9De89CLFSPSW9adBSW0TmywoavqfTZdMp2qmxZfu6oXc2d24sa7ng3S7jkpg/4LTsG8mV3Yc3AYszMdOHSkCEPXML2rDf/rydfwwn/uD0bMZ87sCp5D5CN+n5uvOgOm5XhLEIRm7GXSbejStUDEh00bew7mgy+65s3sxO07/j0IBsbLZSxnYIv34WoqNt75bNWyHg/mzEk3i2e0AA3aLyDfqFTTVew7XKzY32/O9DbYAIolG5blQlUAxwVSKQUdbSm89W4usn/I+v5evG9ON945kI9sTHz95UvxnlkdcCTLBcnqFADcvfF85Av1z+CfLOsvj5b4e65yXkvbbysyZ04aF335obrPf/ibFzd0vrim1cupHmi/jZHUTn5j/dk4ENP8m646A93tOhy4OBzbEyfJD8jmikEbcNOa5bjrwRdw3WVLsPHOZyvy8vcbzsP3Hv5Vxbr7sq8CvvzZJTh81MT0bgPTu9rQ2aHBKlhNq/OTTX9T7Tr2Hypg6/ZyOW9Y3YfZM9phFcyK85sVN6XhT259rOL3uzee533FJCFpprJsg3dRv+rZI0Kk3Yj9TrR+xGkV+w3TDGUYt6nZMzpx4MDR4HiyH5xsp0kkaf5frj0Tf3F3ebWWjav7YOgKDh0tJe4JuGH1Mmiagtu+Nxjx56d16UFbIHu+ajOYGzl3rN/dVLff8Wg/k9Kc1mlgw53PYOPqZdh3qFDxhdPdG89DNlfE4aMlvPLGfpzd+77IHrQiXwASfY1wzEVMwo5r8Iy0gZSqIF+0g/s9sfNNrP7UhxraE3BG2oBiuxPtZzSL/QIN2PBoqWX7Yd0Uq3ClO3XMyXSgTVMq3uO1q3rx8NO/xupPfQjtegqW49T1xYXMxr96xTKoCrDvUAFP7HwTf/zJU6BAidjwtat68dSut/GJj5wY0dV4nUvU7C+eCcdxIl+DH2t9lcWEjpdP3Yz2C2DC/QMZST7Bdzeej+FCZey2XU/BdhxAUTBcKMFxlMB/X75oHvovODUa41vdh3zRxL/+8m30LToBs6a3I92p4x/++VfBXr/hvSvnZjqwdd0KwLaDiUZh7dywehkeeGwosg/b3EwH1lx8OhackEbKdScsbtsI1TSnGe232WMQcRrNT1I9+Icbzwdcz480dBWODViOi//al8OOR18L4gmXfvwD+PiSE4OVA+e/Jx2sWiiYm+nAl/74D9HdaUTqiIh7pFIqbrwrup9wyXQqNTPdhqJpQ1MVGJL2p5quHq+60agN1/s1+KMAfgBgDoB/8n87FcA7jdys2ZF9dhpeu77W55+6oVUYhQiAZXOFwEC8GRErgk9V7/vJK7just6K5Rd3PPoavvzZJZg/bxo0TUFbKgVNBUzLxX//6AL0LToB9z/6KtZecnrFs8hG1/cczOOuB1+omLG3dd0K2HZ5AMc0neC8uRlv8+IZ6ejMvXi5jPWnrVzCYHTIli6xoOBnz7+Nm9Z8FJoK2A7w+OBv8UcrPghdVzA8YlUMELYZKenef7defSYeH3wzktaPnnodf3z+KdL3krR8gaqWZwbVYz9Js0W2rDsLzdRqE0LI8SC8TGE4QJLLmzAtB7PTBrasWwHbcWDoGvJFE7/bdzQICF+zcjHeO7sbigLkiyb0lIaS40LVVLiagpvv/EXEJ5nR3Ya92ZHgi5K4pusp1fNFFGDr/70CluNNLNlzMB/Jt1iyafO2weDaLetWIJWwHwZ1fuwplmzseuVd3HbNWd7+M4qCp3a9jXOWnghtojPXAGJlgnAdmN5tQFPLyxOFSZwcJwkWh5cc25sdCZapE0FIsUO3q6mBD+Nq8j3Eab+Tm7jfrcZmA9ezZGg1wgNrSOgb5UZK2LzuLBw4XMDhoyXseOxV/F/nn4L3zOrE5nVnYV92BI7rYsPqZejq0P0vC1Tc9eB/ROz1Wz/cFekTJk0MDQ8ShvPZjJM4pgrj0X4mpXnr1Wd6y4O7CAbqwgMxKU3F4aMlpDt1nN+3ALfc829BGotPng1D17wlzFUVjz33Jl5+I4ub1nwUR0dKSHcawVJd4hqxVJcXdJ4GVQVSmgINwP7DlcuTd3fqMC0HW9atgOO43vkAzJKNTKeOretWwLQd/H7/Udz14AuRGA1ttTkQupk0CWeW7+OKWNpTu97GecvmI5c30TY9hQ5dRcl2I0vlyyY2uKhst792706sufh0bN42iJ6TMlCg4oHHXsWai0/H3Jkd6O7wfO2+RSfgX3/5Nv7qi2cCAHRNha4BJdvbXkdTFSBhKdHhEROzpreNWxwNiO5rOV73II2T5BOEY2NQAE1VcShXxM3ffSZi+w889mpwrRiYu/mqj0JVgFRKxV/ftwsApPVm7aWn49e/OxIMAAL+3oKOiza//9fdZeCvrvZsev+hESih+wj2Zkd8f1uBBgUmgOsuWxKZDCKL2zYyUYi0Hg1NGpPUg+WL5iE3XNlPazNSaDdSWHnuwsC+fvTT3+B/nLkgWJL8usuWSLXW0DV0tZe3rMrlzWBs5q+/dHYQK9FUFbqu4O/+538E/T3LdmC7Dv7fv3la0v7Up6vNOqZR773/BMAaACaAf/B/ey+AW8cjUxNFCsDNV51R0dkRa9eLJZRkHTkNCkZCI8dAeeBk87qzUCw5/sDLB7wlXhQFX/3bZ4J0VFWtmKW5vr8Xhq5BsR0MFyzk8iXoqVRk9va1q3oh8+5lBtftO9ZhhBGKwI8FyfKN3/cc/jd+fyRSAcJr+o/1WvnH2mkmIRQXfYtOCDphczMduO6yJYDiwrRQsan1lm2D2LJuhdRWdF3DJz5yYiQtz05VKLZbIfwpXcWG1csis+c2rF4GQ1dhFay691o4XgJK54QQ0uyIYGs2V8DyRfMqvr4TwSzRPtu2gz0HypOA9mZHcMs9zwX67brA5u/HZumn2zH0VjYYrBNLcojNs8P3u+6yJXDh+QOyyVDxGadif2MxcGNaNmxVQVubhky6PaL1zeAoTzb0lIoPfWAWbvBXnxB2oKdUOKXWae+md7UF+0cn1YFw+13v5DgROA/7oaIuiNmbQOWqHqLe0H5JGLGk0Wj2xIkPrN20Zrm0b3Q0X0JXux7MGj+UK+Hr9/4SN635KPZmh3HXgy9g8cmzceFZ78eff+fnEW0+lCvFgoFOYK+upiB7sBiZGLq+vxedc7oAu+wzQwEsl4PgE8l49JOS0lRVBf0XnIKv3bsz8r7veGA3/nLtmSiYFubObEe7oUf2Uzt/2Ym48Kz34+bvlvuQG1YvQy5v4o4HduPzF54WLMcVn3A09FYW9zz0Iq5ZuTjwX269+kypzf3VF8/Em+/m8MTON3HZBadG2gLxlXf8iwHaanMhdDObKwRtOxDVFdtx8dW/fabiS1HZ11Hxr09FrE9McAsjBqEBoP+CU4K426FcCZ+/8DRsjq2otf+wF+/7+pdWSL8QWb5oXsVXVOlOfVzjaALuazn2HGusKO4TLF80D3/y6Q/DcVxYoS+NsrmC1D9dc/HpEXt67qU9uOTjJ+Pb9z+Pm9Z8FNlcAWsuPj2h3qyQrmqn6wqGCxayB4uRWPR1ly1BvmhJ/Y5Muk36VZTo82VzhZr7I3PyxeSh0X3aRT0QX/JN7zYwa3o7vvvjsn1m0u3+SgG/CPkMfRgpmvjnn/0aplXu1yVNUp7W1Ybhgolb7nkucv+ekzI4mCtWtBNXXXI69hzMY9u/vIyV5y7E3/zTv8v92jq1u1nHNOpajHRoaCg/NDR0x9DQ0F0Auv3fnhgaGrq33hv19PT8uKen5z96enp29/T0PN3T0/OH/u+n9PT0/FtPT89r/v8Xhq5JPDZeiK/gNt75LO568AWYZtloE/dcgxdcyOaKUkdiX3YEt9zzbzi7933Bnivx9WSzuYL0yyvXdeFoCrK5IvIFK3BExDl3PLAbcCX7ksTS7zkpgxnd3kaaPSdlsGmgL9gDQtfLZpDk8CtQjut6ztXKmjSGAgXfvv/5iN18+/7noUCBbSdvkh62H8BfP9lxpXbqOJCuBW5ZLh54bAhrLj4dW9adhTUXn44HHhuCZTYmesdjXfvE/bW4pw8hpIkQgxk7Hn0NA3/0YWlHz4YS7OPjuEC7kZJq/azpHdLrxZ4+gid2vhkEZO77ySu4ZuVi3PXVc/H/9C+BYajBHrOyyVB3PLAbK89dGCw39+CTr0f2WL76a096+/4eKuBLq/4bzl92YnDfsdZ54vl5snbcHqdlv8YLVVWQ6dSx9pLFUhuO7w0o82+T6oXtuDA0BRtjfujGgT606SosydcDsnpD+yXhrzGS+lBJ+65ZUFA0bay5+HT0nJTBjkdfq9gzfn2/t6XE9kdeiuw5lUm3I180Ma3bwPr+XnzmEwuDCXlAVJsFcXu17AStsMs+890/fhHv7M9j/+GRxLpExp9q+7+PFtH3iscNDF3Fe2d3S993bqSEm+/+BTrbdPzF3/8cb75T3s/v0nMqbXDr9p249JyFwdd+ne2pYJ+/a1dV2vqOR18Lrk2Kuxw8Ugj2A/rp82/DghKpW9UGTElzIHTzD+bI7UxsuzM304GV5y6M+ADnLZsfmeDsBZILKDreF3qGrqGz3fuaL5srSuuNCCifMKsrSCd+n3IMxLMny3alfsGVf/ThinhWh64mbn/CfYWbl9HEiuLvFCh/8fcPN/7/7H17YBN11vYzl0ySNmmaUlpAuXiBoAW0hbbSVl0BeXcVuciuFN2ldS0FqoLo+wpFV0CBintx9XW9ICqyF8B3ceWifovKrnJxAYVdLkpAREGBFtq0TdIkk8zM98dkpjOZ3/TCRUBz/hGTuTVz5sz5nfOc5xmBiSP749EXt2Jy/Hj1fh4rNuw3zU9dDj07m+Kv8rYiZpflw+UgD36IkmTQZZ9RmotvagOobWgxvO+fXrEToigZ8o455QWwWRlECD7/7CpZFzaxbtueBlzSLm7rrE67IIjo4uQwcWR/LF2zB7Oe24w5z2/BbddfAU8vNwA55ib65JOvbwcfFTDh5v5gGEr9TgEpa/10dlk+Vm88AKuFMcT50pH9DIMwi5Ztx9FamdJ08rgBcKeRQSKkXMEsdl+oPY0Ond/j8aQBeBpAafyjVI/HMwpArtfrfaKD5yrzer1N8eONAfAqgDwALwL4g9fr/ZPH4/k5gJcADIvv09Z3Z92IU3AaZJjZWD0gFxeaAjyx06sE5idf34GaqhIVkaNFgSjn01qdL4QwL/PPPrNyl+mYK1HzRXN8RQsiGI7i4UlDEOEFHcpjXuV1sLEKfzpNRCzRNAwd73M5NZWkMDh7FhPMFzuKSLoBncBQRORyWwsnMmVMMbbtqzXQCFSMljpFO2Y2pdsRJHVHLUlFl7SkJe1iMCUO1/lCCIR4YkyOCiJ+9dJWuJ02zJyYhzBPRnKKJjH9kq4OzK0oxMoNB5Du5HDP6AFgGQo195ag0R9BfVMYv1+xS68nQVHw+cPE4/Xp7sS8yUPBshTSnRyG5/c2FFOefH0Hpo0fhJ8O64ejtQGVoutsxvmkAUIbOcH5XpR01oQ4s0ZH/h4SGtPsuWBoCrwgYeWG/Tqa/o92HsWNeT0RiQrEc/bIdKjH68zEV9K+39bWNEZHaWoVdP3ytz/HgjhVF03LII93t3yJ4fm9MfbGK+FviWLdpkMoHdkP0aiIdCeHTJcdEZ7ss0pBMcttxyMJ/mr2fhBECVSc/laZOKgYM9DkWSLT8ybt7JlM2xrDjNJc3fo+8X521pS1l1azTzmuM5Uj3m9fc0TXoNOyBzA0udZhYWWgcZiPwZnCIS0O7gAloebeEgASJEkGW48f1hfb9x1HQU53uOLgZrO6y7OrdmF+ZRGqn9fT6aU7ydd+vpH5SdObolFNulcsTSMc93mWoXXfa6lkSXqSWqmeuRWFmF1WoGPZUujnnphaBFZTF9MeV7E6Xwhs/BrN4qU/xGPa+EG4pKtTpbIVCX6WnJS68K2ztaK27ikVn0omHa9izEDTySa306rLM5XcQG4y0Fj13ueYdEsOcd+mQASRqIAnphSBoih8e9KP5W9/jvJRVxueI+V6Ml12MCyFJ6tKZKaA+LP3389sMq1NX9LVCSutr9teqLSISTs7Fo2ZD5aY3V/epIms0DGbNeFsnMyMOG/yUB1jiwJSvqSrAwxNQ5BkCTXOQhtYjLppAB7aY6c7bahZth3Txg9CdkZqh3KF9mK3O8WC38y4AeFI7ILpaXT0mfsDZCrQAQB2xj/bDuB3ADrUBFQagHFzARA9Hk8W5EbgzfHPVwB4zuPxdIVMckn8zuv1nuzgdXfKOhKctAs5BrIGSGN8ZFtBrWnpCO4ZPQBNQR5zyguweuNB9ViJTS7WJMnxNUfQJV2mFzJ7GdAa8ImFYxAVgRgkOFM4LJwmUxo1BiKwW1kwNGUYsw1FYqitb22uTLr1agBQxWNJRYzvIlFJUhh03kiNWRHkMWSKomCx0HisohAn4wE1zMdkvRuWBsNSKse4KAGCJItsF+ZkY3h+b7Uo9sGOr2VEfoKm5eqNB9vQBKQ6vSqNxoxalbCcPQWjZHKStKQl7WIwbTPD1xwhxthjpwKo84VQMWYgXlu/Fz8b0c9QIHzwzsGobwqRm4Px3PahX+SBpWlEoyLCvIhGfwQcR2P1xoMAZLCRM8UCEXLSZgaG+uq4X0b6lQ3BlHGDEBNEVIwZqHL7A62JfVMwgocnDQEkQBRFxACwDBk1nbTOG8tQGHfj5RhR0EenE8zSFHAR/sQdpVphATxSXqBrrGRnpBg+U3LeiCgZQEwKOMqs4WHjGJ2+BMfIOlRJS5qZxQCsSGg2N/p5/H6lnsFDKYwsXbNHjadP3X89BFHCfw29DMdPBbBs/Wfw+cOYPiEX2V1SQNMUjtUF4G+JItNlJ+bvGWk2tQGjZYYBWqfLEv0ckCBK8hpSKYxrmz1upw2lI/uhW5dU1Da0IN3JEXUEk3Z2LAZg3st6Hd8wH4PLyUE4g/gjCCJSbRbUNrTo9J4WLtuOp+4vMYBFp0/IxT8/PYq5FYVwpnBq7UPR87OwjAkYCah+fou6tmtuiWLeyzL91+8fvAE2iwVNwQiaArxK8RmJxvDXDw4Y8hqlIA7Iz01zMKJ7jhYt244nq0p0QOnSkf1kjWTIjAZJP70wjGFoCBSFJ6YU4dipAFZuOKCCw2gGqna1Mv2h3Gdtvcxsem9uxVD87Z8H0RjgsfajQ6gYMxAuB4cMlw2+5rDqf8o0SeJxtTrEzhQO8yZfZxov+aiAaEyCKIr46ngAH+z4GneO7A+XkwMfFdV6TRKMfOFbZ2tF7d1Ts8ZxF5cNYT5maFA/Ul4Aq4XBgqnFoGmgOcgjFInhl6NzkJluA0Dhp8P7gaEpzK24DvOXtvrxg3cOhs3K4Kk/foI6XwiL7yvB/KXb4OnlRlqqFTQFFfyprMuy3HacaAgiI80mawBCbqwAFKrL8sFZWJP829jkuFBpEZN2dsxqoTG3olDV3Vu98aCBEjbRRFEi1o97ZjlQU1WsshiaAX0ifEzXe/H5w8jKSEEgFEWKzQJJlJDusAIA1m06pDuPrzlCzIlTbSwGXZkJG8fCyjGma0RtZtXecy4IIrpmpOJk2H/B9DQoSWr/ofN4PHUALvV6vbzH42nwer0Z8c+bvF6vq6Mn83g8SwGMhFwr+jEAG4DlXq83R7PNZwB+Ht+G+J3X692J9q0PgMMdvTZApuT872c+Mjjab2bcALfTpttWFCV8faIZ9U0hvLB6typcvG7TIQzP742sDDsgQZcczyjNRa9sJyTItKMUJaM4WYaCIEj45mTAoAkIAJnpdvzqxa1EceRHf1mI3t3SQNMUYjERx+sDRO1ALepJq8/z6+nXg48KhvNe2tWBmCjBwtJwpVoNQvdt/VauVCuaghFEY6Lp/hewXSgX2ged9F/FJxe8uk3nH2mpHL4l+JaMkqBQ3xQ2NHMz0234pi6I3/3lU13ycGl2Kk76wjr+5OryAnR12/D1cb/hHL2ynTjZGDJoAmam2w3PlPbvSPSfxkAE//Os0d9+Pf0GZKSRj9NZ68zzfwHbReu/F7Pd9tCaDm+77rdjOrW9ss8PxJL+2wHTxnpl0l8be+fcXYAX/rob3iM+1FQVo/r5LfD0cqNi7ACkO6yQJBl4ZLHQEAQJTYEIFmo0TmaX5YMCwMcEOFI4NCZMATx452B0ddtR19CiUk0r744Pdx7F4Ku66fIUbVEu8Vq1+UhhTjbKbpVTPs5C4+W39qhgJG2ucwHbhXJxfdCG/zYFwjjZSHiPp9vgcly47zpSbkDTlGnuk+gvoijh2KkATtQHVdBTty6p6JaRCn+INxyXlBMsvq+EqEGU5bZjfuVQRGNiu9dxAduFcpF9cAHH37Nt9U0hfFMXMPjStMUbDdsuvq8E0ZioxtOptw9CzevGaUGfP4yae4shCBJ8frl5Eo3G0CPLaXjuP/nsBP78dy8AY84rihK+Ot6kez88eOdgvLZuH3z+sLpOVWK6p5cbk269CilWi+66ZpTmolc3J9IdNtPn+CzYD9Z/63wtuGfBe4bPX3n0ZmS5U077uKIo4fDxJp0GmuJjD08agkyXHY2BCMKRGI6dCmDLf47hpiE9ie94nz+MR+8phCBIOh+cXZYPlqXxhzf+ozILPFCah0Aoiku6piAakwyNxnWbDqHs1hy0RKL4f1u/QvE1PXBJlhPf1vkNBWxloiDxd8l02eFvieBUU1j3953HmH2h+C9wAcRg0nu9uqwAaQ4LbByDaExC+eMbAMhak7eWXK76VWFONibc7MGTr+/AzIl5qH5+C4BWHWpnigUZaTbwMQF/evdzHdBnbkWhTocNgBqTWZaGP8hj1Xtegw7xI3cXoGeWE9+cDOiu+eFJQxCNirpceebEPNA0kJZixdE6uSl414+vQqrNgnsWnv3n+DuyH4T/drZW1F5sPulrwew/bDYcb8HUIjwar/2WjuyH7pkOWC00wryAuUs+1r3DV27Yj0Y/b1hfzS7LB00DVguLU42ylquWWlGhXVb0BEk145kT87Bs/WdId3K4Y4RH1YHNctsxa1I+9n9Vj6su66Kr85nF0I7m6ufJzvsFaKwPLrIcmHRvZ5TmIiPNhh6ZDuL9FUUJ9c0hHD8VNNSPWYbCrOe26GI5KadYMLUYgASlm2WzMmhoiqAlHNUd879/Phg0TeGpxI27owAAIABJREFU5Z+ony2YVoRgKGbIRzbt+gY3F/ZBbUMQvbulwe20qWtElqFB00A4IoCmKVgtNJwpVpxqCp2THKyT1ikf7mgT8BCAIq/XW6s0AT0ez6UANnq93n7t7U843i8ATATwK5zjJmB9fYBIl6lY165OnDwpc792ZrpNYmhUP7/FkFxMuvUqdHHZQVPAtycDhmRUCeraREDW06ERDEXRxSXTCdQ3hfCnd/dj2k8HwWZhAUg4Xh/Elv8cQ/E1PdAj0wELKzsiHxVhs7KQRLmRyEcFYgKjJMLKv1dvPIj/+cVgzHl+i2HbRVXFoNtAwsUoCpU1Hxg+f3nOCARDxt/QmWoBJLQ7/qq9H+fDunZ1XigvgT5ow3+ViT9QFCBJKoKsmnAvn7r/eoSjMTAUDUmSQFEUBEmEzcJCFCVi8lFzbwmqSZ9Xlai0Kh35/Ml7S/DS33YbUBaVYweBEow4CLNn0JlqwT0L3jdsv6R6BFjp7CA2L2Qajo4+FxeL/yp2vp/3s2Fduzq/kybgxf47dcQuFv8lxd/vKkYo5xZFCTRNA5Q89S0KiFN6U2AYCg//rxyP55QXYOmaPUQQkRLfAEAABUGSIAgSXlu/F9v21WLxfcVwplgx7+WPiTkCKXd4vLIIr63fi+H5vdGnuxNfHfer035PTBmqE9hW9qkYMxAf7PgapSP7JyTjsvi3shhVhLi/a/u+xV+JZcjv93tLQMUuBHyi0Ujv50d/WQiXjVUpwxQWBJamQTNQEfYcI1N7CqJEzMvN/IphaDS2RHUIUG0Or50EyHTZQQGYTcyPyMe/0N5/F4v/au1C+w0ZhgZlYTpF+SMxjCF/NitEK9MrBTnd0bubE796aSsxnq7eeBDTxg/Cig37MTy/N1wODm6nFe9uPYy/ffglcXtl/WphKQByc52iAKuFAR8VEYkKqG0IGp6fB0rzIEqS+m4xu/ZFVcWwMhTq/fw5ybN/yP6r1CQ6GnvO9LhPTCkCy9Bg4zSvysSWKEnEvGDe5KFx0DMNlqXQEoqBpuQp9L/98yB2f3EKcyuGojkYgb8lissvScPLb+1B+agBmLvE6OMLpxWDit/tpmAED/1+E155dASag1Gs7IDP11TFKUYB4vWej1zjAvJfoBM+3BnTvqdtVhZSVDB97s18b9r4QQDkKeQVG/Zj275aLJxWBJuVQXOAhyOFg9PO4e//OoyrLstU4+SgKzMxflg/NGsmSkffcAUA4JEXtqrnUIBzifbirGF4bMnHGHRlJibc7MEjLxivbcHUInAWGoIIxAQRx04GwDI0Me+dNn4Q5i/dpmtsV44dZFJjMffHzvym59IuRP8FcNbzg87Wisz8eFF8QoiigGOnWgxrM8W3E/PMJW/tNkg2VYwZCABYumaP4TzzK4uwbP1eTLolBwwN1DeHIcYZ6FiWRobTRvS5RVXFOH4qiD+9ux/eIz51LUny+R2fnUCuJxssQ4Ohocu5E/Ogcykl1Z619b69EP33Yqqhmfn5k1UlAKHeq+xT2xDSsV4o+91/x7X41UsfAwDG3Xg5bi25HKIoQRSBYIQHJAoZLiuaNPmkwsAIQF2nJR4zFBHUevQVl7qIa+GKMQPRp7sTwVAUFA047BxEUWbRCfMx3ZT4jNJcuJ1W2Cxsu+u/c32/OuvDHWW5WwbgDY/HMxsA5fF4cgEsBvBy5y5PNq/X+0ePx7MEwDcALvF4PIzX6xU8Hg8DoAeAo5CbgGbfnRPrjA6dMg6eSNEpScBjL7U2+bQod3lMVU9L8fSKnXjorjyAoQzIuasvcwMS8NiS1uPNLitANBYDRQP+IK8rTiyqKm5TO9AZL/bV+eQx8/HD+iIaJfP3iiJgaYMSgzTSXZiTDZpqFbFXCn8KddLSNXsumKbKxWxmCUiqncxVTzMUQn4BNcv0yCGHzYKQGX+zYM7rTP6cvH1MENHo53WfN/p5iKIEjpAImI9TlxDHwWkaZ01sRxGoTVJ5JS1pSTOz8wkWaOvc0Gj2UqBVqquvjzdhwdQiCIKEEw1BuJ0yvXhrbC0Gx1CIREVYLDS+qfNj7I1XYvQNV4CzsPC3kDUHtRQ2WnQ1y1K4/aYrIYpyPqQsGj293MhMtxOP1SvbgbJbc3TNxjqfLP79QGkeHpiYh0CIh4QkLejZsIuR+pqUGyx4dZuOaoUCYI1rY9XWyhT3kgS4nBzmaxZtiXl5W3+3xUJj2vhB6uQgqFZKUe8Rn5rXspAQuQh/16SdnpEKWQDi8XkL8d1gVvwSCfnzyg0HiLrc/z5Qix8N7olnV7W91isd2Q8rNuw3TKvMmpSPzw77dBTM7jQrJo8bgAgv4LV1ew37KEWOUETA/KXbDOfLTLeDYYCaqhKIotxYIV1XNCqCApOkuzsHxgJEfzlTPVKzd0VDcxhPr9ip+jfNUPD5edPt/S08Zj23Od4QvA71TWGwDA1/SxRHa2Xq8kCIVylB55QX4Pab+uqoPLXHO9Uo108U3yzMyYYgAixLGaYG5pQX4NipoMoqMH1CLgBJBXK3FbPPZ8H6+2adzZ3NfMnGydF20bLtWDC1CIePNaOLy67W3gA5Jy0d2Q+XdHWCs1CYV3kdwhFBbSgrfrD2o0P45W0DdOegaRAp7ViWhttpw48G90RDM1n7WhAktAgyWCLdaQNnYdAlzdbm31Hna6V6BiURn2OOoSAwDGKCJDdw4jqrAEyB0x0B3iet89aZWjFAjs0zSnPx6z9+Gp9kKtLRFLrTbJAgYXh+b/x0eD847ByWxYGZit82+nndO1xb39VanU+mQx6e3xuBEI9X1+7DpFuvwv++8W+VReZUU8j0fa00YQDA5eCI2/n8EeT17waObc2D2gL6JKWevp9mFq8lSgIY2pArK+/VdCdZ849lZGp6Ty83Bl/VTQXraCdVS0f2UwFnnl5u3Hb9FXj0xa2m73WWobFomezTcgO7mLidy8GBpikw8cnvRa/t0J1bW0d5ZuUuTBs/CJf1SFOb91oQEsdQiF6gTk63vwkAYCGAdwD8GYADwJsANgL4bUd29ng8Do/H01Pz/7cBaABQB+DfkKcCEf/vLq/Xe9Lr9Zp+18FrPi0TBBGUIIKVJFDxYEUypQmmaCBkue1E3vFnV+3C+GF9ASiCrPqGiOxsNnW8WrvfqJIrDIulJ1/fjqZAFL7mMBriY9pzygvgdtrUhp7SmNRallvmz1X+nZbKoU93J07F9YASt/32pB++ligklobE0GCYBJ0IyC81Zd/CnGyUjuyP6uc3Y9Zzm7F0zR5UjhuIpx+4ARVjBqJrXNdw0bLt8gRF0k7bzBplNEUR72U0KqrTFcr2Ncu2IxIVTfdRNCqNn5O3Z0y2t7A0Km8fEEcWAxaWQuXtA2C10ghGBRyp9aO+KYwjtX4Eo4IpN7ooSZhRmqueQzsufraMYWjU+3lUP78ZlTUfoPr5zaj38wbfT1rSkvbDNbP4+12819o7N8PQAMsgIgKOFAueuv963Dj4Ujz64lZMe2ojXli9G5XjBmJEfk91f1Dygu2VtXvR5OfxwurdqH5+C/iogJpl21WNP61lue2ICRKy3HaVFnHpmj2ofn4L5jy/BSzDwMYxONUUwkN3DVbzoxP1QeKxjtQG0BQgF/sy021Ytn4v/ufZTZjz/Bb4WqLJmHyGpuSvWstyx3U5vovzM3JeGaMoYn5JMrMFJijojkVZaDQHo6of/37lTjT6IypNk5JfT7r1KswpL8Di+0pkeheOgUBRkBhGzXsFUHj97c8QjcnFtmhMwitr9sLl5FBTVYwl1cNRU1Xc2uQ5z78ryU7nt05a26YUs6uf3xLPFeW4JDGUaXw224dhZCaYRL/x+cMAJWHB1CIsvq8EFWMGYsWG/ci/upVq2WytF+Zj6JHpwPD83oY16eLlO9Q1qbJ9qs0Cf5DHMyt3Efd5ZuUuWdcvrs2SeD6GpsBKACUIYCQRrMlzcKrJHDAonMVpox+iaQvTiXHpTMwspil6PIuWbYdAUQhFRSxath31TWHi9krtw+20weeP4H/f+Deqn9+CpWv24Be3XIXCnGx1G+W46U6raf6hnF/xzXtGDwAkCad8rfIT2mNNuiUHNVXFqBgzEOs2HUJtg6yX7E6zmfg0DQvHmD6zSeu8dTZ3NvO9MB9T7z8FCjVVxaCp1gaIAkqzcawMFAZgs7AGv3h2lRzvKArqeQpzsmG1sGr+sHTNHky69So8PGkI6ptCKB3ZD8+u2mXqlycagqiK59otYbnmdqQ2YOrDnl5uzCkvwMyJebi8RxosLG14jrs4OfjDMXx7Mog5cV+c/fxmNLZEIYD8zjn0TXPSX8+hdbRWrGzbek9HYNr4QVj+disI7ZW1ezFxZH91DdUUCCMUjmHpmj34n2c3Ye6Srbjt+ivg6eU21JWBVl8yyweaAjxcDg58VMD4YX3VgRPl32a+bLG05iVZbjsyTGIlHxXQHIwAoCAIYofWqcmc9Ptjyv2kCfG6MCcb/qD+HdrYEkUwKqif1TaQ6wJKz8JM01WJ8crn2u3MngWF/FIeqso3fce4nbKsWX1jSEefqz23Ygqgg4/KgyTKszzruc149MWtah2ZYWj4/OELyu87Ck51eb3exZCn/1TzeDzpABo7sH8qgP/zeDypkEFpDQBu83q9ksfjmQrgdY/H8xgAH4BJmv3a+u68GotWNPAf3/kc08YPwiVdHaaoTAWB+cb7Xt33WW47IJGLG9GYQBTLdKdZwdA0Xljdyt/88KQhYBgKi+8rQUwQMXNiHpHfWUXBUQBD01i/+UvDtnqu3SLEBAkxhoKFYcDEqT+MSBhaN06uLDYrxgzE54dP4fKSy/Hi7OEQBAkMI1OXJe30zLQYRgOzy/IN+numU32CBIaBTlBVuf80TWFW2RA0B3gVAZ/m4MDQFKrLCwyaIlYLTd6eoRGNSipSI8st64kAFHz+iO7zGaW56N4llTjxx1AU3E6rDpHvdlpBCdJZQ2omBbmTlrSktWfnc4qqrXNbGRqNoahOu2lGaS5cDg6DrszE+zuOqu/l+ZVFAIDia3pAEOSC80+H99PFPyW5Xr3xoEGY/uFJQ+Bv4fH4lCKIooTX395nePfPrRiKMC8gJoh4oDQPXdJt+N2fdxreN7PLCvDSm7sxflhfYuz/9mQAt11/BZwpHApyuiMSFRADl5wIPEN7eNIQ+IOt72tnKvedKGKc7iStGfuEP6g/1oKpRaqWMdC6aNPqQrmdNtitFoMGhUI7qzBuZGekGKaipk/IhSDKhZ9EJDPHkPOjM0WCnm6OcyFTnF/MZpYrLqoio4oFUQJocrG2pqoYoCQ8eOdgnQb39Am5sm+/tkN3zNtv6qv+vwJCTYyn0VgMNE2ZIvddDg4AVH9IsTHonunAzIl5cKfZVJSzdp/sjFREBVH+GxtCoCiocWPJW7tx58j+cDk58FERHAM8cneB7l00c2Ie3vrwC1SOHUTO8WkKEJKNwDMxswmLM1kjkaZYtBq/db4QYnHdSSVfmDUpX6cbNX1CLv756VHMKS9Ar25O3cSWUtRefH8JBEHCkurhEEXgrxsPABLwwY6viWtU7fltHAtQFISYqCsKKlbnS5gyvLsAMUHE0pUyTfqM0lwDE9OSt3bjntEDkmvCs2idzZ1J71OtfmSWW2YDYgFQcSByIuXnWx9+gdE3XIGuJiwULgcHnz+ChdOK0RiIINVmURkplGYiy9BwOazwB3lc0lWuyW3fd9zg50oOoRz7mZW78PiUIix/25j3zijNxT8+OWqg6K8uL0CmkwPFC7DGn9uIIKG2oUVHsVznC2FhfBLSrO6Y9Ndzb2aMAKR4SwEARWH+0m1q81ep7aY7OTxZVYKYKNdItRSFdb4Q1m06hP/++WA0BiLwNUeQldHanJtTXgBQQDAUJcbqdZsO4e5RA1Df3OoXANR/k/KIGaW5aA7yqBgzEC4HB1eqFSxLGWKlAsZvCvDomm6XwXPtrFOTOen3x7RrDNK79J7RA3S0nErceqA0T+1tiJJEjPObdn0j5wzZDh27oHKcruk2cBYWi+8riftfa95K8unq8gJkptvw0uzhEEQJLeEoWAuF6rICnX717LJ88DEBPG+eTyiTt0ArMIWhZQpcUs7wZFUJmlt4U5aQ82UdrVl9DSCN8PmXADLa29nr9dYCuM7ku/0ACjv73fk2QRDhcnJqU8LfEoUoQre4UegIurhsmFsxFFt3f4vbrr8Ch48164RelemqxEURTdMGkdcZpblwpVrxyto9ugcoFpN0WoMPTxqCB0rzkOGyIdDCIxIVUD7qavhboli3SaY/oFlg4sj+WLFhPx6fUgRfcxj+lqhKkwTILzElIcpy2/FIeQHSnVbERBExSeb/Z2naFN3Z1W1HZvqlujFeJclJ0iyenrFx1HCiv0AEVr3n1TWNV73nReU4k0V3fIru089PYG7FUDC0rM/w/vav0D3zCvC8qGvSzZyYh5goYeWG/bpzrNywH1PHX0PcXhAlQ0Hud3/5FDX3lhDRFU/dfz25iGahEJNoZGekgqYAUZITEvos6otcjBRpSUta0r5bM4u/LE2b8t4rdqaABVIjRCmgxgC16Aq0xtRp4wfhp8P6oSCnO9xpVqRYLbBZaYwquVxN3EtH9kNaCof777hW1X9QkHTeIz6EIlE11xElCdGoaAAOJdLTaItu1eUFaPRH4POH8cd3PlffH2E+hlAkCu8RH1ZvPEgsxv3xnc+JgvQXQgJ9sRpDU4jFjOAchqJwrn/N0wXbkIrRFWMG6nR56nx6yn3FEhdtpSP7qU1tQG4K8lEBM0pz8U1dAKve248fD+2DRj8PRwqL+++4VqWuU3R7SMYL5PyocuzA0y7CnUkjLwlsOjdmlismrgGB1vhstk+dT6Y1fHjSEDwxtQgNTa3rsPJRVxv2UVD7db4QvEd8Kgi1W0YqjtYF8NKbu+E94lO1UUjX08VlwwsPDwPDUJAgob4pYlrMVrSIAOCvHxzATUN66mL0zIl5aPTL0hTTxg/Cyg0HUDqyHy7rkYYFU4vg87cW4yeO7A+OOTe0lUkjGyl+zJt8HWwWVtUQbisPUQG/95aoGmfaGoGMrpcQE0SVQtGZyqkxMC3Vive3f9Umha3baUOjn9et++aUF4AXBLVGUTFmIHpmOXCiIWg4f5iPQYwDjF3xadVEn8902fFy9QjQtPybPPy/m9Tnb/nbn2Pe5KHwt/BoCvDq8bUNd8WSa8KOGSnXlWAeH0kAANL7dNV7XowquRw+fxjzJl8nS9AIIgAJT0wdimAoRqT8nDx2oHpurcZaF5cNb2/+EjcX9oHDbgEFqNsoDTqFNjExN925vxbzK4vQHIzA5bDi6b/sVP0SaKXN9x7xYd2mQ7IuJgU0BXkwDIXia3oYJlxq4vInrOa5nTkxz7QYTZusCZQpmqS/njsjxdZHygtgt7P4ti6gAuyyM1LgtLHgBQkQJfz+wRsgijBQFjtTLXhl7R6MvfFK3f1UaA6VXFfZfukjIyCKEl5Z20oVumBake69u27TIdwxwoO/bjyA4fm9dBJW2jWesi5zOTg4UziE+Cje2XIYBTndIYoSGprD6N41BSlWFnMrhqIlEoWvOYLlb3+OmXfm4V97jiPLbVfln9papyZz0u+Pae+n8i6Vh6KcYGiYsrtlptvAxJvHH+z4GhVjB+r6KZ9+Xovrcy/VPSNaGYfCnGyIEjB/aWt/YtakfBTmZGPbvlpdbnxJVydqG4L4aOdR3JjXU/e8zi7Lh9VCq5rFDU1h2DgGnIUBTdHqlGKiL4f5mPpvhZKcBUwlIWIiuTl4vv2+o+8FwzXGp/q+19WX9gp2fFTU6SOMyO+pTmKRkoZZk/JhsdB4YkoRBFFUhSULc7INE1zTJ+TC5w8TGyU195bgZyP64anln6DOp4iw67d7avknmFsxFH/94ABuLb4cz6z8RNdUeXWd/NLQimgqRT3Fstx2nKgPEjv4WhF4BX1NelAcdouhSKMkOcmAf3pGMyCicSgaRP09C0sTJwQ5lgZNAzfm9dQF0jnlBQD0/qAUKmruLcG2fbU6UWIAmDxWIm6/qKqEvIgSyIGSj4rEItq08dfgpC9k+Ju7d0k9a4G1rQJ7EqGctKQlDTCPvzSDNifcz8ZUjpnuD8dQCEUlInOAjJJv1ebLcstC8UoDMBGJrCTa2/cdx+OVRWgKRsBHBaQ5OCx+/RNV31cbcxU9E2XSKstt11F71SzbjgVTi/HQXYPx2z9/ikXLtqu5yMoN+wHI04g2K2MohCuC9ImU6RdCAn2xmmgCznny3pJzfu7TBduQdFgAqs0mibbY50zh4Onlhs8fRo/MVtYObbFP+wyk2lis2LAfpTf3x/++8W9d04MycTpBlIj50T2jB5x2Ec6saPLU/SWQQLcJKEgCm86NmeWKLE2ZNrhgsk9muh2PTylCUyAMSQTe+vALDM/vjfJRVyMt1aoWNRT7YMfXuslsnz8Mt9OG1f84iIKc7irYc/XGg+A4xnA9s8vy4Q/yaAxEkObgkJ5qw+Llei3WZ1buIq7zFDabxDxfif2OFE73LCnry4w0G6aMHQQGEqK80Kq9LUlgKCqpvX0G1l6dIjF+KHScz6z8l85HE3UrQQGQKIiiCIBChI/h9bc/w23XXyFT1QJqrAyE+Tg70S51GsDl4OIFviMYP6yf2pjRFqEVKx3ZzyBZsWjZdtTcWwJYgVEll6OLS6anS3fYdOdXzvXa+taaBmm9u37zIdxc2Bs2mgUfFXTvB2eKBTRN4dW1+3RNHO27RLHkmrB9M8t1uzi5TgEAxDbep0/dfz2aAhHMe3mzeqzHpxQRKT/vv+NaUBQwu6wAq94z6qRWlxfgvW1f4W8ffom5FYXIcuvlfSrGDDTU4pTjLlu/F/eMHgCaplS/VExuxsk+VDqyP1LtLARBgsthBUNTholr5djy5Hjrc+tvicLCmrxzGOM7Rzspm/TXc2ek3OwvG/Zjws0eA8DObmNxqikMPiogOyPFMB21KA6iue36KxATRN29JtEhKswDj764FW6nTZ0q/LYugF7d0wBI6NXNibJbc1DfJGuyZqTZIEqSmj9ogZeKvnV1WQE4C403/9EK3NCucxW2jOkTclu1MhkKo0quUNngSFNYyrSiWe0vmZNenJa4xvAe8WH+0m1YUj0clCCBZsig6W9PBjB/6TY1Xr2z+Utdg25uRSExls+tGIpAiEcXl00dLlK+X7x8h6oRq+TGnIUBHxPwp3f3Y/ywvgSJtR1qTePBOwejR9dUCKIISBQYhkKvbmmGwZSH7hoMmqbwwsPDYLEwYBmAEmSWRJZhTHIGsl6nIMpsdudLu7XNZ87j8RyEDN6xezyeAwlfZwFYe64u7HxbRwp2DE2hMCcbw/N7q0W3zw/X48l7SyBKEtFBFWfTCksqCc7ciqGgKKA2jnQjoUDrfLIgdkwQ1f3NEELNwQh2f3EKd9zswaKqYohxZ1vyt93Ytq8Wnl5uDM/vDV9cLyWRFnROeQFeWL3bcFx3mhVzl+gXja+s3WtIvGdNygdFmTm+mAz4p2l8VMTytz/XFXuXv/05ZpcPIU6ORngBn35ei4XTiiFJEiiKwj8/PYofDe4JxoSiaOE0Mq2RKErEANeWlp/ZFKLZ56RGZkyQiA1xMyqM00kozArsSYRy0pKWNMXM4u9Dd+W1GXPOBgKS1AjhGAoNfh7haIwY/+k4Gk8rZN0cjKjFDZKOcc29xWgK8HhMg6ieXZaPRVXFpos4LcXcrEn5oCk5nioUHqIoIjPdJk8UxEQcOxXA25u/xI+H9sHdo3LAMDQamkNgaMoASDKjtRNFgMGZT1j+0Kyt5hBzjs99JmCbRLo71mYxHEtukuRj1XteQ7FP1s+2QtDkMWZa3gunFaN8VA5O1Ad1z87TK3bi19OvR0xiIIgiGJqG1UKBD8fa/dsS/VTsgA4a6V4pkzPtAQqSwKZzY2a5IgMJ7hQLfvfADQjzssY1S9MAJOI+M0pz8Zs/fRqnoM2H3c6gdGR/AxMGABXpP/bGK8GylJ4a32U1TOjNKM2FIIj4cOdRzK0YCs5CQxAktVmibpNihtS249EXyWtYbVG+zhdCz2wHCnOykWK14Nd//ETdZ9u+Whw+1oxp4wfB7bTBnWJRtbeTdGBnbh2pUyTGD60mFKAHFQAMwoKIxkAYogiDpEijX56SS2QO+vlP+mP95i8xozQXdqtFxx40fUIuYoKgno9UIO6eSZZSEQQRPn8YNE2pxyzMycaCaUUAKNAUwDI01nz4heqTyn9rqkoQjQmgaAqvrt2Ln43oh+ZgFPP+8i9UjBmIwpxsw/tBKXIrjcAPdnydXBOehrWV67pTLHjq/hLEBAmSBDAUBbnM2GpMXI+XArl+8NVxPywsZaDHNNeVtqP6D1vUBrXiS8r3Ncu244mpRRhR0AeAiOqyAvCxVp/VUihqj9vVnYLRN1yBV9buxYSbPYZi8YN3DkYXlw3Txg9Cqo2BrzliAGQkgjyUxl5MEFUKvNUbD2LyuAEG8OEj5QWgBEldE8T79Vi6Zg+8R3xJfz3HRsrNhuf3NjQvfveXT/HQXXloCkThcnCgCQ3gOl8IXdJlRhkrR2PO3QVYFGd2MV//SEQg57zJ14GzsCrtsuJrH+36Blf2TEfXdDvmVgwFH42hJRJTmS4yXDY0+sMAOOKUqpZW/9lVMsuMy2HFr//4KSrHDVS31U4W9ok3JJVpRaXJnsxJvx/W3hrDLO/V0iYrIGJHikXNazNcZIBEIMRj1nObsfg+kwETUdJNFCpNa2XKlbSPEt+V5zQa04Pf5txdgN/MuAF8VEBMkAAJkCg5txdFEQJocAwFQTAHiTMmzdCvjvuxdM2e85YDt1cnvw8yHuxNAPdrPpcA1Hq93v+cqws739aRgh3HUMQFG0NTONkQatPZEjVKtu2rxdgbr8Sy9Z9h6u2D4POHiYg5BWG/dM0edX9afzNsAAAgAElEQVSz7cJ8DPMmXweGouSmG0NDlCS1AZj44pg7+TosnFaMhuYwmgI8ItEYEdlkYWnD37ZtXy2mjB+kTg40BXi88b5XR8GgPQbTAeq0pJGNiSPOFN8B4pQsIrD2o0O64vTajw5h2vhrcPXlXXRUAjNKc2FhafBRMo0rTZlR1JI1b0xRajSZQ9zC6pMcJdBaLWQKXLNmMm1CzXc6CQWpwK4Uk5NF5qQlLWmAefxtL+acyVSONv5IiGugSBIgSOBBY+Gy7bj/jmvViSXl2M+s3IUnphbh1bV78YtbrlIn6xSEu1lxIxqTDAvZJ1/fgSemyBrBpJibkWbDb2fcAGeKRUdPo2hBHa8PwuWwIhwR8PuVrU2+93cclfOPiqGY9dwWjLvxcsOiwe0kU3xJkGDhGPjDMdQ2tKhF8UuyHGAZuUlD0zRAyYl7Mm7LZkYhRdPUOef3OJtgG1eq1XAsuUlCo+zWHEOxb1FcQ+eVtXtV0JvZM9Ac5PHQMx/pkPXeIz55kiahmKc0Fxna/G8DoWD/6C8L4bKxndZCLB3Zr0OAgiSw6dxYe7liQ3MEC17dZmjMtO4DfHvSr2s4PPm6rKOaOBGlMKfcM0ZS/eREfRArNxxQ9/3N9OuJILnZZfkYfFU3zF/6MRZOK1ZBHdptaqpKTGIBiIVKBeyh3ZYChV/cchUA8nrCkcLB5w8jxcaCoekLkhbpYrSO1CkS4wcp3iWCCuZWFOL/ffyVYS2pIOmPnPCrbACeXm5cku1A+agBgCThRIMeNPHsKr2PaWm6enR1qGAIkg9SFAU+KurymkY/b2CFmT4hF58d9qnPw7Z9tbj9phCiMRHZXVLQ6OfhD/Jq02j1xoN4YGKeOp2o/HYKfboyoXDnyP7o4rLKU6txwEdyarV9azPXpak2ASxKY/vDnUfxkyI5F1yxYT+G5/eGy8HB7bTijfdlasPEc5hNbiqMVm01Chuawnh6xU48dNdgZGXYIWjyXLMa2/FTAURjck2tfFQONvzrK8ytGIrmYAT+liheW7cPFWMHYOWGA3jwzjziFMr8ytbJFbXZHojgwd/rc4//t/Ur/Gx4PyyYWgyapmBhKNCQwAtSKyCQBtLTU1A5diDuGT0gWas4x0aShiA1GtxOGywsi6Vr5HVPYU42Zk7Mgz/Ew9ccweqNB5Hu5CAKEua98jHcThsqbx+gUhQ77BzR/2KChNKR/QzNOpJ+pOJriVS5Sl6r+J4oShBEET2zncT3v0KrX+eTdYIjfAzeIz7YOFZ3jcpkYU1VCao1AzErNxww1ASTOenFa+2tMVpz5RKcagqZ0ia7HBxEqbV+wpjUoBWGIbNYT8U1NxMtK0NmJlT0AxVwsvwcieokbReX3TDAteg1ed2olVxTgKZKnUOROQtHRfzjk6OqxJYEoCUShSCKphPb5zMHbrP25PV6/w4AHo/nUq/X2/DdXNL5NW2xTStEOSK/J8b9qG98dJOB1UIhEpWIC7ZFVcXgowLRQbU83YnCkv6WKHz+MJypljg/rbkgt7K/p5cbdiuD+ZVD1YWhzx9GdXkB0lI5+IO8ji5hdlmBOr2Y+OKY//K/1AQYkGmSSBo9ZrzuNCgcr5d5sAHAmcKh0R/B41OKcPxUQHdtHANEkxH/tMws6IKCAdk4fUIuABALBE/eWwKaJt9LUCCKsVMUTOk6Z5UNQXOAVwuxaQ4OFE0Rp2b+++eDYbcyKke+KAEMI0/ZmFHgkq7TQhAOr47T44nofOMucdJAwNmh8Uta0pL2/bDTLayf7lROe/FHlOQ4yTJGcI7SzFAmMmaU5iIYiqGLyxbXjQgTr8lsspuigKggGN4NCkViio3FtycD6jR3nU+enHpiahF+9+edcR2XoeQCkSTiiSlDkZ2RCoamsHCaXGBvCoQRiQl45O4CVfNQeR+9snYvpo2/Bj5/RF30FuZkY8LNHgO9+rpNhzBxZP9k3AbAmLw3WYaCEDu3526rgdJRs3AMeAGobWhBWiqHX99/PcK8gGOnAli2/jOkOzncM3oA0c98/ohMk26h5QmlNBvxGahvCqv7aOluzRpw08YPQrrThq4uK/Fvkxhj82PBq9tOSwuxh9nkTAKg4Gz81kkjGylXBOTGjNIABBIaM/F9SIWKOl/IlDKouYWHJElY9Z5XLYY/MDEPqzcewPs7joKhKV2OvX3fcRTkdEdaKqfGwZhAbtCJkIi5/stv7cGU2wfinS2H8f6OowBgAGQo2762fi9+PLQPsjNSic+Sw86pE4JtIbiT7DCds44AixLjR5iPtQsqSHdYDWvJWZPy4XLIdI7b9x3HjFJZa+1nI/qhOaGpoy0u1/lk9L6WKcjnDyPdaQMfE9ASiiEz3WZgEppRmgtQQNf01mv19HLL9KMhXlefMaMjX7pmD+ZNHorxw/qqjEkKBShFQXcM5bfrlpGKmqpihPkYLByN5paoKt2SXPt1zNrTrjZrXLMMjRgoUBTwk6LL8MraPXCmcMR8jsQyZDa5qWW0Mmvo+VuiqPOF8Ns/f6pqm8pa1mGkO4xgI8XHy0ddjXE3Xg6WofHjosvA0BQ++OcRFdyWYrXg5z/pj0aT5qPiy84UC9xpNjz9l50oH3W1+v2zq3bJzwKAX2kmux4pL4DFQht8Mz0doATR8F5K2tk30tQPSZNUq0Gt6PtpWVZmlOYiy52iAvUrxgzE4tc/Uaf8lr+zz/COnl2Wj5go4pKuxlywLXY47XOnUNrGBBGXZjvhD/KGGJyoDeywcxiR3xPF1/QABYCzsPD0coOPxtRrVHTmu3VJBahWMJESezkLg5qqkiQ483tgiWsMm5WFFBV091MQRAgUhVnPbcac8gL4/GEdFXeYjyHTZQMooHsXBygaoAgDJ1qaYxKjwPQJuahvChmev8KcbECC2txTahY0LQNJFbaAbftqTfNTrdZ8na+VRnTbvlq191NTVQKaoXDTEL3E1ozSXDz15qdId3IyIx+Ar44167SNSTnwdzF80tGce6rH4yF+4fV6F529yzm/Riq2TZ+Qi88P12PwVdm6m1pdXgArRy66SRKQYmeJDTQtT3dGmszj/MGOr3HHCA9snCxOGRMkpKawqG+MYNV7+9Ux1rRUK1ZvPKB2ryUJhmm+OeUFcKRY4A/yOHKimYAGkZuUUZMJMEdKK8rTe8SH5W/rqT/WbTqEu28bgIcnDVE1CbPcdlSX5aNRU4gjdcrnlBcg3WlVdSGSdnqmDbqgKECSA0dMBJnazUyXT5TAsTRZXxDAuk36qcJ1mw5hyrhBRLpOQRTB86Lu/s+cmAdRlIhTMxaWxon6sKpLlOWWqTOyMuzEaxVFyUBXO3NiHkQYhcNXbtiPKWMHofk0GnekoJsUMk5a0pKmmFn8bS85a695aJbwtRd/lKlts+KGM6W1cGe3WgwIzHmTr9MVEswSaWVSLMXKYsXf5ZjrTrPCaedklJsmwU4sAoqipCa7JCrowpxsCIKk015TGnd3jPBAECSkO6wqbY1WL3DyWD1whETHoy0SthabfsCT3RIgSqKOUlCUREjfERuPWQOlI2bhGJzy8+riUNEdi8ZEXJrlxMOTBkOSAArmSNLxw/qq+atSWE5saouShJqqYsQEETRNoUuaDXMrCnFptpOYo9g4tlXvmlCEO5taiGZ/GwlQcCa/ddI6b2b3WaEuBoyTuCPye+L2m/qCZcnMFs4UC15+aw+xMQPIaGOt5quy9nKmXKkeqzlIRk5DAuw2BouqilHfJLPAKLH18LFmPF5ZhKO1Afj8YVl/1kLr8m1l27E3XgmWodVJ20Y/j9KR/dA904GGJlm30O20wWHniGjsJB1Y560jwKLE+MFZaDxSXoCFmviZWEjmLCxqEt6hb7zvxaRbcuBycPjpsH6wcBSmjb8GEV5QmxPKttr3bZbbjtqGELbvO465FUPBMhRYhgbDAA3NEfz2z5+q1/F4ZRH8IR6BFh7pTisamkJwO23x5rNcENfWYbR5hpaOXAuWDoajaqFx3I2Xo+TaS1V9YdI0zNG6gK6ZOG38oOTar5PWVq4bMYmPoIDGYFT1SxV0LhlZKZTmxYN3DtbVEEpv7o8MlxULp8nv7RP1QQTDUR2j1eqNBw37aWtzdT55wmnIVVkAoAOXLZhahGA4hpO+EP74jkwzZ+UY3JDXU8e0NLssH84UCwZf1Q18NIYuLju+qQsQn1WFVSDLbUfFmIHw+cNIS7XC08ut5s/ZGSlqfq0Uz8NRATarXGekKQr+lihWbNiPe3927bm9uUlTjSQN8dcPDuhYrsbdeDl6Zafh8cqhEER5KkhLme122sBHBUhS63OhTGvXxf3s5z/pj6yMFNRUlaj56NI1e0zpNUlAD+0UlWKKb317MoATp4JEJhkSPWJibXf6hFy0RGJYt+mQSgmtND2VmuI/Pjlq0BhMAip+OKbkKqs3HsTDk4Ygwgu6WsS8yusQjYo6oO+c8gI8dFceRBFwOax4/e1WzV7vER/WbToUH5iiwMSfiUY/b6hp3zN6gEGD8+kVOzFt/CDMem6L6sONft50wpD07LjTrLr/FyQJpxpCxEEWJR86fKwZNVUlar6uPYc2b/uuhk862gQcmPD/3QAMBbDurF3JBWAkAe1oTMBNQ3oaxkOVxT6xUEZRKopDSUYYmsIra/fqxq5/95ed6sKKZiiEeAFPLZcRpM8/PEwNogpfuJIk7P7iFB66azDcTptBs0ERi02xWWCzktEgPn8EaSnk8XKnnVOTDwDw+cM4fqpVwHN2WT7+/vFh3FpyhawzKMl8sSd9IR3FF6lTvmjZdjxZVQIxSQN6xqYUdrp2deLkSb88dk1R5CKTmS4fLS9jbFZGVwy0WRkwNIXRN1xB0JeicN+Ea8BQNGhKDsz3TbgGgF7HSQmyNfeWECcERVFSk3Bl+9/95VPTiT+apvDWh1/okq23PvwC94weYCIcLnW6cWcWdFNt5OcoiVxOWtJ+mEaKvx3Zpy0KObOEz6xoIooAzchUlwrlZmIzY0ZpLp6O5xmPVxYZ6OAWLduOB0rz8HhlESRIOFEfVIshJGF3CQBnoTHxv/pjxd/347brr0CgJWAAGylFwNUbD6J0ZD8wNKUCnhiaMqD+SUm6cgxFh2rRa9sxozQXy9Z/puYnWW47RFE/PWNG76h+TgG+4A97slsQJCx+/RPDe7amquSCL27yAtQGoIKq1tK0zJyYB46j8cZ7B4g+vGLDfoy9sbU5kqhfQtMUInwM85f+S/8crdil5uskHR9lkkDRuzY29c+eFiLD0EmazwvUzBozEuT7JggirBZaRTkPujITtxRfhnkvf6xqVmnz7lmT8hEIRYnsLYuX78DCacVqAVr5XKEWpShgbkUhVm44gJggEgF/Cho6FhMx67nNur+lzhdCUzCC//nFYByt9eOF1btROrIfsYAR5mP46ngzlq7Zg0fuLoCF1U+pzJyYB4uFNjRxlAntpO923jrKSqCNHyIvIF0FMQERXta50TZmWyJR3f0dkd8TPym6THfvHrm7AHYrCwnk6VVnikX133e3HkZBTne0RKJw2DkseWs3huf31vmRwlawYGoRMtJsaAyE8ds/78SM0lzMKM0FHxWIINeKMQOxdM0eZKTZsPi+EgNYWhRFuBxWZNA29Mx2Gmo52mNom0HK9wqzkfaz5Nqv1czAa23luqT4SIFSG4BA670xY45IsVmQ7uR0GtMvvrkbPn8YC6uKMXfJx2qOoM0D0p0cnKmy9lR2RipqG4K6iYwstx2N/oih7qf45+OVRVi98aCaCzhSOMx5frMh/sqalKJMWc/S+PzwKWJ+vvztz1GYk43yUQPQEo5ifmURNvzrsErf7/OHVWYOkozPjNJcfLDjCIqv6YHyUTmIxUT1PZO0c2sMTSHdqafH9rfwSHdwqKkqBsNQ8Pl5VD+/WReftZNxv7jlKqzbdAiTbslRY3BMEHXPCEVReDRBzkcB42/5zzHDeio7I8UwRaXkvlrLcrdqks2vJD9nGWl2Yn6hre0+u2oXFkwtwsSR/eHzh4lNkHmThxLp+ZWaXFJy5+IzhqEhxX28vfW0NlcJhWOGhnNjM2/oISyKy5z86qWP4enlxqRbr9JRJ992vVyj9vnDeKA0D8Pze6Nrug2pdg4LphYBkAFyytpMa9p3e2LNIjFOz5qUjzfe9+r2z3LLDBdKv0QG1EmwWxnTfEj5tyhK7eZt39XwSYfyGK/XOzHxM4/HMxrA6LN4LefdtAhO7ct25sQ84k2NicZF1cyJeQAlH8fttKE5yGPx8h1wO2148M483DN6AL49GdBpQShUQpyFUV8ONE1u6PTKdmDa+EGIxQWzSducjDdgHq8sIiZbDjuHEB8l0hu8tn4vSkf2U5t+1WUFaIlEUVNVDH9LVKWj8bfw4FgGDA1wFgZd3eQJLi3lqfKbWZPB/pwYY6KPZ6bLR9MUIjERS97cG6dLAaIxCUve3IuH7sozpfGMRkU88Xqr3snssnyIFrNCtQQbx6IZrSgKG8ciJphvTxrxBoCyW69GbUMLAMDCUii79WoiJ7vcNCQvTNtavJkHXXJjMolcTlrSktYZa4tCzizha6uoXP38ViyYWgyOo/HjoX2QamMxb/JQsAxt0JxqCpLpiCgKeGzJVswuy4fLYVPzinWbDmF+ZRECIR5upxUvv7VHRX4+VlGIu0cNwGNLtprmR+40q0HfdU55Aah4BvtEPEmXp9LMC4na/yZq9igUltrfJ3EBrfxeyueQqB/8ZPeZ6FOebxPEVhaL8cP6GgrDCsJz275aNPp5lUnDYecAiPjZiH5IsRq1IT7Y8bVaxEhsamuRnIuWbTfo+CjFYzkvoGFhgPr4wlihRrriUheRgtVqocFr8t+OFEOSNJ/n38zuEwsYqItnTszDK2v3onLsQFAAItFWBovLL0lTi811vhCWvy3rpV2S5cS3dX7QFOAP8kStoTpfSDdBoP08EOIx67nNar7PWRgsfWuvIacvH3U1wrzMAdwWAlqhLyVp+swozYWVY/Dy3/aizhfCwte2GyaolOcyschfU1UCFlLSd0/DTjcOKHkIzTHw+SMGxiJRbH2HypNH/Qz6eQtfk9dGDMh+k5kug5Y5C22YAJk+QfYXs3eQJIkqSIWmKCxb/xlmlOYSt3c5OFSXF4CPxtAU4PHmPw5ieH5v7P7iFGaVDQFD0Wrx2Yzqq093J2qqSrDkrd06rSKlua215Nqv1dqbViDlumaNa7OagJl+sTPFguo/bFEbuG6nTaWXEwVJraVpQT69ujkhSZKuQfiLW65SJwWVWCZBMs2RmoIRPHinTH//7tbD+K/r+pj4sYjX1u9V6ZtHXX85guEYHp9SBEmUwLI0GIbCrLIhCPMxnIhL6TQHIyi+9hL83/sHUDqyH9xOG9h445SU76z9SGbL0E63/tBAbefLOIZC6cj+hpyOASAKIgQwOsDa+GF9EYkKePDOPJxqCqGLy47X1u3FbddfoQNYPDxpiDqtSrrnz6yUJ2H/9O5+jPtRXyx/Z5/uve5v4fHmP1pB8zFBBCgJE0f2J+atdb4QTtQHyeumGJk1LrG2W98UVoH5pO0ZhlzTFkWAS0ruXHSmxH7SeslsPZ2W0tqcS/SFdKeV6B9dXHYArcyEi6qKcdIX0rFQADIp0+qNB/GLW65SWQyU+nRaKnnoSZFnU851aZYD5aOuBk0DNfeWIBoVwDA0mlsimHCzx/DsLFsv184VANEra/ei7NacNs+l1Kfby9u+qzX6mRxrPYDlACrO0rWcd9MW27SB14xm69hJWedOCbRhPgaaBiQJ6gtbeTHX+UL43V92YuadeUQtCBvH4ukVO9VCg1nh70itTFXx4qxhoEyEM5Wu92vr9xoQInKyJSDFakFMFIm0LmW3yogUt9OKD3d+gz//Xd8Bv/2mvki1sTr09YKp5Iaj9iGTG1I0fC3towaAJDKks2az0sQikwSJ2NB76K7BsLBGJFO6k5MDPIHGk6YpAzWHgnojovsoyrCICvMx2FJZ4vY0RZnSkEajerrRR8oL4LSZIGEJlHPtLd7Mgi6o9lEbSUta0pJ2umae8AEpVvLUz7tbD6NizEBQFFTmAaUIku6wGvIMM5oLJV9ItVlgjzcRaQoQRGD1xgPY/cUptamiXNfjS7epCG2z/MjttBoFtuPIPu17JMttNwUsKcfWain36OrAC7OG4WhtQNWj1dI7cRYyxTXLyNOIokhe1F4MDbCzZSRK1iy3HQxDyR3ps3qus5vHacFOZlOfjjgFrpJDvLp2H8pHXQ1RkuITSsZpJGUa1ayprUVyhvkYHq8sAkUBx04FVMT+9Am5WPLWbkwc2R+ggPvvuBZ2G4unln+CuRVDidTllWMHqYvlxKKqQnVKURTohN8uSfN5/qyt4jcAVW9SYb+wWOg4bX6cOloUVQaLF2cN0/mb94gP85duw5LqEXA7ZVBGio2FK9WoNZTltiMak+Lx1qbTWInF/UQpGtZUlRBzen+LTJe4bP1nmDUp30CVuG7TIQzP7627PqUYE4uJYFkaPn8EL/9tr07fhDRBRZ6qEkF9VzzE30NrLw4kxl+OocALcpODEYx68c+ukvXiZc3gCBx2TqclpVidL4RTTSFwLE0EbgZaZE2+RVXFeOKVbYZzzK0YSvTnE/VBdOuSqn7ujxcazegUM112rN98CH/78Ev13N0zU7BgahEsFgZfHWvCzIl58LdETQFCLE2DgbFIrkyVK/so687k2k+205lWMKPTj4LcTK5vChnyuUfuLgAoCo9XDgXD0Bh0Zaah0axM2XmP+OA94lN9UcscoWsQZjtwpDagAiPManBNAR6uVA4f7foWI6+7DCxL3k4UgZ+N6Ad/kAdNU4hGJfz53c9b5XHuLkCKnYWVYeBr1kvpzCjNxegbrkBmuh3/9/4B+Fv4eKNbMDyHw/N7qzG7o/cgaWfHeEFS622AliVOlhyIxNcaZhOcoTB5wv+p5Z9gwdRi3H/HtTpNVMXqfCF0Tbdj8rgBCIR4AxtWTVWxCoL7+U/6IzNdjqtb/nNMBhh1deCr435dE2XlhgOYXVago/GsLi+A1cp0qLbrb4li275a/HhoH5NmIpmRTIJE1MtO+vCFbUrsN1svadfTifkyicLWLN7SdKsHKGANLeucsl2Yj2H8sL6G+vGq97yoGDuQWBNQ9C6VY9Q2BDF/6TYU5mSj9Ob+qNE8Cwurion9krtvG4CKMQPV///l6AGm52p9dwGxuBYmJUnkvK0DVO9nwzpU8/B4PD0SPkoBcBeA42ftSi4A0yKUtMUF0njovMnXob4pjPJRV8PfElUpql6aPRxvb/4S0yfkwsLScDttOsfhYwLmVhTCxrHwt0RVWgGlEKcUGvhozNDA06KNj9QGkNqO7uC2fbUovdmDJ6YUAZRM2/lKXPwyyy2PuH6w42sDrdE3cU78LLfMh6+1wpxsdHHZ0BTQC3O/snavoVBZXV6AlfHxc2WRTDMdSxq/Kz7c75OFeZFcZBo3iLj4ZxgKDEMTkUxWk0IqYM7nb1Z4DUcEQ4LLppGnE1kzZBVtpApZGPcbEqICQucbd2ZBF1L7qI2kJS1pSTtdM4s93570I91pw0c7j6oTTW6nFTYrg+JrL4E/KBeW51cOBcvS+KbWj2XrP0PpyH6G432w42vi9P8f35HpiCiaQkNTWPf9jNJcjPvRlXh21b8xIr8nxv2oLxhabhBaLHJxjJQfVZcVwB/kie+KLi67gRbmtfV7TQvQiVrKx07KiGkl96gcJyItTu9k41ikpVjx+/jkViLoxUrLi5fvIrm+kM1qITeWrRYafOzslTc7msd1plFotbQKxpvqYNo5VXdF8WOaBmjQ+M2f9DTkz67ahUVxpou2mtpaJKeNY/HYkq3qlN8DE3NxqjGk01JTJhRmlObC7bSBoWFCXS6qCzFtUZVEdZrMgS8Ma6v4DQDzlvzL4D/Txg9SY4y2kS2I5vHIYqHlBqDDhk27vjE8szMn5iEcjeKxiuvQFIgYWGm0ulKAMSfWUjX6/GG8u/Uw5lcWoTkYQVOAV6k6E2nEfP4wjtb6EY1JWLpmD6aNH9TuBFVhTjZcDqvKKqOsfX9Icfe7NhKoYNKtV6OuoQU2jkW6g4y+j8ZEVedPmZg2a4gAcm6RCNz88dA+mDkxz5Qu1GIxglbnlBdg/eYvMX5YX/V8Sn6h5AKJ9M5L3tqtAygp8fyN9w9gVMnlBp36hycNUfVgs9zypEA4GkOqhUEXJ6c2P5sCPN768Av8bEQ/VYs4zMfgcnIQ+GQLEDgznVsKQJcuDpxqbEFElMBZGINWnwrkCfGYXzkUFEXBwtAQJFFHj0iiuk9kjfjVPYVyDS4aM9Tglq7Zo9Ow9LdEkdUlhZgvK0XmokGXYNn6vXCmcIZaXXV5AUBLiPD62oeiO+U94sOi17bLk+A90oj0iU9MKUKjP4zxw/ohEOIRCsfQo2uq4Tk0mxD/IYHazpeZA8dlfUuLRW6gmU3zza0Yanr/6ptC+NO7+/HAxFxi7D1eHwQAFQSk5Izjh/VFusOKxffJDW+lYaL43z8/PYrbb+oLl4PD+GF91XWUzx9GNBbDgqlFaAry8DVH4vVDYwMlsbarXaOt3HCAmGcAIvF5emXtXkweOzDpwxeZKb6vrJcSQWichYYYf08m5staNgllDcVx5JpzU6B1Snt2WT7+8clRIgOjkisnTkVPn5CLYCiqG4SRJMCZatFNgFeXFeDFN3cDkIEVSgMQkH3xm1o/kQb/yAm/TkPYwtC4pGuqCjj5/+x9eXgUZbb+W0tXL+lO0pCQgBB2AmSRENIhC6CAqOzCjAQZSdAQtkFc5g6LvxlAEdC5jst4x1FxZLkKOKIIiF4EN3CBCCgQMCAgIELC0km6k95q+f1RXV+6uqowrjhjn+fxeTDdXV3d/dWp853zLixDgaaBP0zKhQRJNYO50n6upVLvP5GwEIYAACAASURBVDRaen19DVlaVZnThAAcADDlRzyXqx6CIKK1g8OymcWQ0JxYFbSQjKBwwGJmcLnBTzRtI4sDmqbw2vsncPikG/dNysXkEb2w6QMZSdk6wQKLicFbH39FFkGkjErkJomiaBw5eQEPVMgDvHNRaOP39p7Bb4b0gM8fwsLyAtA0iJ9PpLa5LyDAajaBpqDx3Hl4daVG1mh+mQv/2HCAPKdtazv5HvIzUlAyrCdB90cm/91VNai4JQuzb+0Di5mF3cph3xfnMXl4BqaMzADL0rCYaDQFtEgmvWT/c+nh/ieFIEi6TaYZv6Gw7PdFEAVAkiQZVc4AJoZGiBf1kUyzinXZg3Mn99MtSCgA8XaOsEhECRAkObHpFbgPzx4AR5z6+bwoghclJIWvQUEUwdA0OAbw6SDglHVDSZIuEva7Du6umHRjqPtYxCIWP1Ho5R7l3ur2+EmDApDz7SOzB+g2GN76+CtU3JIFk4nGAxWFeGFLc8Eps5Mk/L878mE2MYTBlOjgMOGGdJyt9ejKIC6bVYzenZ0YkNMei1d8TIr2dsl2LJlRCHeDHxzLhGU+5IKXZWj4DMzp9aTOFcBS+ZgsOOPNcDrMoCjgjlGZ+OfmZi/leyb2xcoth+H2+LGwvACrt1YBEhBnYpCW4gjnen0WO0OH5fpifmoIhkS8v+8MFpYXkKHu9j1fYdSArj/q+7SkjvuugK9ASMQH4XM3czQWTe2PmnBT2x/kkey04oUth1TvuemD46i4JRuCoM8CDfEizOGGjd5QOxLJOa80DyvDx5d9wyU0NAbRKt6qOmakhG35mKwrDHtoQJBlZ0IAYa3EWVlN7aTIk7Mxz5+rGldqfiv/jn6sXZKd5BiOac73Hx04q6vgwTAUFv9dHib+5a4B6N2lNdaGQX4KGIQ10Tj1TQNsFkmzVh5buw8Lywvw5HrZM+X42QYcOXkRS6YXoinAw8QwYfR0D+z67GvSWDlT48Udo3ujyzXx6JCSgUZfCJNH9NaVESsb2Ru1bh9SWzc3p5U8nWDnNHvHSAbunJIctIq3/Kry7s8d0fl39MCuqPM0s470EPltnFYIYrOcYr03iB2Vp3TZfmu2HkGHFDsm3JCuURwCBdA0BQrAs/OHQBnzXqyT87G3KQhRklSMWUEScXNRJ4QEkbxf9Wk39h45j6ljZdWDh2YUQZQkQAICvKDZ7zodFoiihJJh6RolgsfW7sPdJX2xsLwAXl+Q+Ace+PKifE8KCrByDJgEWclg8vAMvPbeMWyvPEOO/+z8IbHGdDh+CFuBYWicOt+AJf9sthZZOLW/PPzyykPYpzccQIcUO4YXdSYSnkrucDospPEMSCpQOgCSl/5y1wDYrRzcDX68/sGXKLkhHU1huQMTS2H2hD6wmpmwL2YRGIbGv7YfRZdrsmA2M6qh8OadxzF6YFf89//uJb24NVuPYO+RGpkZLUgQBAnb93yFmws767JslVpeqRFESW1DpDTSWZaGmWOJDG8bp8weXDS1v8pr1YghHgNX/PRhDByXQevZ3ZIwrzQPJpZW9dKUddoUCCHe4PejaeD24b2wckuVipGfYOdI3hqSl4Z12w6Tx0cN6Ion1+8n3sKeJjVZ48n1+7G4olC1ppTXTrihJ0I8jwt1Ptz/9EcA5PXI8xJsZhYLywsQ5AVYORb/98lJDMnrKA8T48x4JezBCoTZWpRE9hYsS+PdT8/gxf+rxuP3DtJlU905Oiu2hv/NQln7G945hj9O7odAUFCztctcSAyTmgKiuiZW1CQUQJhim/D7CdfKPWGaknu2LAVRBP72h+tw7mIT1r9djZIbeiLeLoN1JACnznmwo/I0bizoBJam8fDqTzQ5d2F5gQy8WLmH5Ng2raxYWF6ApkAI3qYgmgIhsob1FGb0httKnbOgzIUdladQckNPueYRRNCCCBoAeEAEYOIYnPzGg7GDumFIXkdseOfYFWcaP5flQ0trGWvU/werq6v/465MhqFVPh6R02a3xw+nwwKbmUZTgMfSF7QGxosrCokUaPVpN2ouN2LLrhMYO6ibCo1xz8S+GD2wK+iwVCJnYpDo4FAyrCdWvVGFIXkdQdMU8nqn4s2PTuLwSTdKhvXAfZNycfaCB+/tPYPrcjvgz898pDqmI47TaJuntJabbgGD4ZvX1+yZ4rBxYBmaXAj5GSlgWYpclJCgQVtFmmrXXPIhpZUNNE3huY0HNTrXC8pcSHToa/NGJ/t/Z8+aqxVGEl8sTcHdENI0GZITGQgGOvyCKKF3ZyeuSbaDoYH4ODN6d3aCpmUN+wZvkGzc4u0cWJaG0CjhwZXq31s08CsRJblYvlTXSI6T6LCAoSmEgnzEwE1ASIChmfgV5T2vMLj7rmbmsYjF1YxgSEBysqPFz/cHeHgafN/+xFj8IkLJPUZ692kpdiwoc5HNHC+Ihg2Gh1dXknvygjIXKm7JQiAo4tV3j6Fzu3j0z2oHQRCR0ioO08dlgTOxWLzi4yvKeowo7oIFf/8QTodFV9bmwLEa5PZK0aChF08rwMJn1NKLl+p9ushBPtxAF0UJgaCI1VurcOfoLFSMzcKUUZmQRAk0Q6FDih3Vp93wB3mUDOsJjqEQCgok1zM6jJfIIV8szwMSBeT2SlXVZ3dNyMGPjbBqSR33XQFfgijhtfdP4LX3TyA9zYmykb1Vw/AFZS50a5+IycMzwNAyejEkiJj/P7tQPsa42fD8pkOk8ayA/tol2cEwFC7V+4h3moVjUOcJIj3Niam3ZMLTGIQoSqh1N2LqLZl47rVDRN1D+TwOmwmvvXdMlzHAMYAI7SB0XqmLNOIjv7uL9T6YTUyMEXgVw7j5TYMCdPObhWMghNlxoaAAp8OMGeOz0SHFAV+QJ4A4ipKbH0FeJA08f4AnoFNl6NHGaSV5/oGKAt3rjKaAinGZkCTguddk/5LnNx0KNwvV+dERZ8IjswdAECV4GoMqsOe9t+ViyYwiXKprvjclOuRm5MO/LwaAsJIHjfg4WT7SzDFYNrMYoiiBpinM//suDcBk+axi8JIUG2r/CKG3pwlE5d/WCVbSNwD0/R3vmpCDVW9U4fbhvfDe3jOwmhmUjcyAuyEgD24dFkgAVr1RherTbowf3F2W3Ipo7q7d9gXuHJMFT1MAF328it01pyQHtw/vBY5lVMBkQF7Ti6YWgGEo0JS813RYOYgScKbGg3XbjsLt8WNeaR4EUYK7IaC6DtPTnJg8otcVZZ1bJ1gQ4AXwgoiLdU24saATbrmuuzy05Bhc9gSJ6ozyfZyp8RIgUqwx3Rw/hK3AA2QACMi/zeLnPsHSmUWY+9Qu8rzxg7trLEieWLdf9u6ToDuYVn4rChSCIQErdxzClFGZmDo2CzWXmzTKRPVekGPabSzGXd8Noihh5eYqjB7YFSmtbGidYEGHNhm4WK+uuR+YVghBkFQDZwDon9VOd/21b2PHY3cPhJljQVGyvPnDvy8CxzIQJahYLMqws9YtWwotfWEPls8sJrVrzeUmNAaCGhnHBWEQCYVYXv0pw2j9K5YD2yvPoFcnJ7q2dxLfSkU5wt0QQDAkYMPHR3XrQofNRNaUw8ZpGE6KuoVCUrlrQg4Bad4+vJdG8l65LiKlnckarijE5QY/nPFmXKyTGYUAMHlEL8IIVxh9CrD0tfdPAGhWOdheeUZ+Tmk/+PwClq6tVL3uy6/rYDOzumwqlqZ+9cDMf7eIXPs+f3N9CshAnMseP2xWEyRJgtvj19TENA2AohAICSgfk4U9VefgbQxpmKvKcFvpfZz8pgHLZxWDoWnQDJCUaMHI4i5we/zhfZg25yqqFEayvEmJVvzvm83SoHpqMG6PH4kOjoA9WIbCG7tOEBnyeaV5+PTIeXTrkIh2SXaYGAZM2OtattcKakDba7YeueJM4+cgn7RonlJdXR34Cd77FxVyAd28IDe8cwzvfnoGD1QUor4xAF4Q0eTn4beZ4Pbo6+NTFABIWDK9EM9vOgSWoTGyuItKv1ZBo0XKFCwoc2HauGw88+oBguSITISDcjsgxIvgBQGciUHRte3Ic5SpNk1TsFs4PDSzCHWeANwNAax+4wjuua0v/vzMh5h9ax/dTasoSkSyqCkQAsvQSE9zonREb7RKsMDrC8JsYgFJgollkN0tSYWKq3XLxtwKHVcpvvV0rpeulAuYliT7n0sP9981lA1frbsJYGj5+6Ogi9YMhAzYfjOLQdMU8jNSMCSvI9nA7ag8BYaiMDS/I2ovNw/phuZ3BCCBjmrN0aAgiJJuI2/5LH2vQIai0OQPaYrxVvFm3c0sYLzZgMFAz2jQ933MzGMRi6sZnInBqPteb/HzNz86Bp6f8Hx+7aGbf3/gZlsQRFAMrat3f7rGixWvH8QfJ/dDMCjqFrtOhwVpqQ7cM7EvnPEySnrpSllyaEflKUwdmwVvUwjPvnaAIEoT4syQKLWsh6ZGECSAlnN6+ZgsXVmbh2YUEUmlSCnqqWOzMGN8Ntom2QEAL2w+hDpPEHNL+8EfEIhKgnwuHD76/CwpqheUucCZKNR5tB7CIwd0gd1qwhu7TmBkcRfVHaklQ75ffZ4Xoeu9G+lP92NES+q47wr4ivbujq6vl67cgyXTC1UymvNK85DdLQkb3jmGeyb2VW0055e6iESLw8bJCGZGlh1jGQp/3/A5qY9CvIRVbxzGHaN7IyHOAgnywERhp84pycG0cVmwmk3w+oJYUObCkZMXkZRoxW8GdwdFU/jLnAEIBEQwNIVW8Ra43Y26nijLV+0h+4TI767eK3ttxVQxrl4YMbef3XgApSN6Y3FFAS43+HWR0SQPCSJSWtkAAP+79QjxgAKAmks+rHrjMGGbmDlGNehQGilKnj93qVH3Ojt/uRGciQFnYlB92g2HzWS4N5sxPhvJThtYmlbtg6tPu/HXl/Zi6cwict0ozL5IEIHcsOZA0zQS7BaIIhASeLA0DVHSZ0fWXG7CY2v3xWRuf2AwDI3GkKBiRKe0ssFiUnuvR9cNxN9xRhEuNfhR7w2qwEfRjef5ZS7YbCy8TSGMHtgVJ79pgMNm0ijQpKc5wVAUbJwJ572NqkGGItOYlupQ3X/2VJ2DK6MtaEpG9x85eREDctqr8rjSOFu+qhJLZxbBH+BV8p4lw3qQa86onqEZChaaRWNTCE+8rN4rm1gKj76ovp8o4Kodladw5+hMeahtUPP92P63v/T4voAqhqE1A2ogDBCOYswb+f5GD7QjfyulVr7c4EdyohV3js7E85vkQaCeMtHSsN8Ty9CgQIEXZNm2iTf2xNr/+0LTl5tX6oIvIKsjuRv8KnWL5vzMYWF5PtZtO6pS56rzBCBKEpatUg90eIHXPbdIFZBatw+8KIKVJDAMTRrv6WlO3DcpF06HGZIEXKz3Ye22LzBxWM9YXv0Jw2j9R1oO9OyUpBrOKUy9kmE90LZ1HAb3SwPL0mElFdlm4fzFJpX/oyujLR5eXamyl/IHeaQmOQjpxOsLGu7RIq8LRcJZiVq3LF/6+Lp9qiElBUqjqKEATJVcr6z1dsl2rLh/qCyZHBDw8Cqt6tyyWcUws/rDPomSEG/j8MjsYgRD4q8id/67R/Taj8x/kYO2/IwUTBmViQenySSpF7bIPYDJI3ph/v/sIusgkqEKqNetIvEdCIpoCoQgShLe2HUCg3I7hGWdg2ibZCcA4+h7vs1iQhunsSzvkumFKInwA95ReQrzS10qT8C5pf1Q5w0SAphSMxw+KXvOLl9ViQemFarIWQrxSRC0QNcn1+9XWQRcrWipJ2A2gCcAXAvAHv4zBUCqrq7mfqJz+9lCbyhw14QcUBSI78ftw3vhifX7iFSPPgUcePSlfXB7/Fg0tT/MHItgUJ+BpxikKxuwh2cXY/LwDLKpinzsoZlFgARcbpAn3de0cZAbf/RU+75JueAFEQ6bCSXDeqDe65ffz6z1D5xTkoOUVlYsm1VMkq3FzGLGb7Kx9AWZyh4twzCvNA8AyCBQ8QgM8SLOX5KLfU9TyFDnmhdbVjT+XHq4/45hNMSy2zicOlePh2YUEdnPPVXnNGsVaG6yWc2MRsplXmkezByN85e1ZtUOG4emAK/5eyKg8b/c8M4x8KKk0fm/97ZcCIKETR+om5CbPpCbkAGdzWyciUFSglklE2o2URBCou530drBEVZv9KAvJjUbi1jE4vvGT+lXeyVZUKfDQiQ3ohlNCgI+Wh1AlCQkJVhxx6hM1F724fUPvtQFGuVnpOjKIM6dLPvlOKwc8jNSDBsyNA3NceUaisI1yXLJ6PEFMWZgNyQ6zKApCrv2n9Q0GedOziNFtTzMKYLb4yd1lyKhUT4mC0tf34O5k/PAMBQE0Jp64lc95Pu2oIHfDu0BT6PcEDCxFH47tAcoGj9qgdWSOu67Ar5YgEiAtm0dp7seI4F68kCtkkgjmky0SoLOzNGE2XddbgeV5G1aqkOzRv84uR9YmiaqGJHXqNJQVBDcyrDk/qeb///O0ZmgKAqAREzvjQah7ZKa5fgj3+dKQ9JY/PTR3AApRp03AAvHIhjiMSSvI1a9cRjTx2VrGroPGdSYFIDbh/dGvTeAP/1Dnb9XbjlMGiAKkjp6z6fYQ0SzQSLlpJfOLMLf/zgYnIlGUqLaP0ipv9s4raiL8hWMZBDwYWZigp1D6wQLntt4UFO/3zk6U8UgnFeah537T+Hmws6617jiw7l22xeoGJsNgaJiDcDvERJDwa2zX+uYqva4a/LrI9x5UVKxrwDZF0fJe4C8htdt+wKlIzIQDIlIbR2HR2YPAC+IqmMOzeuA4UWdCfNTua+/+dFJbK88g1q3D4l2MzyNIcIKyc9I0exD507Ow/q3qzWNs4XlBWhoDIAXJGzZdUL2kJ9VhIt1fsTHNfcdjGSd6zwBeJuCGulzhdWll4c7tYvHxGE9r+jP+lPWhb/k+K61lvI9KeyQ6JzAMpRqzSrqWtHMakZHVr7W7UNaih1zSnIQCol4fJ1agcvEal/jdFjgbWpei81MLA42M4upY7M0srLLV+3BoqkF+P2Ea2GiGYiShIXl+fjw829wXW4HXSlxBSQkQdJtREevPSU/R6qARHqoRtZWAGBiac3AXM6rWbG+xk8Yeuuf4xjy2zBRAEq9e/j8MhdMNhqhkAhRBDa+/yWG5HUk14fDZtJ93YIyl8wIpCgiC+uM1/d5TbBzWFDm0vj7tnFace6iF06HBWUje2tU6xQPS+U4HdrYCVNQ73MYsbHqPAEwNBW23JL90iRIePOjk+jVOYlInFtNNEJBoUV55NcEuPglhrL2I5XaIgdtiq95ZF9Cma1E18eRDFUlIte+pzGkGsotmCKznWvCRJVzF71IdFh0PX83vHM0PO+wqXoJil+22xMAx9K4u6QvnPFm+AI87DYTFlcUwMTS8PqCsFs5zX0gWt653qvedyrgunZJdsP93dWeabR0D7kawDsA7gXQ9NOdztUJvaGAsvEqH5OF9m3sqLksD7h4QdTVx1do0tPGZUOCCK8vhFVvHMbUsfryQ4pUECAXIfWeIAJh5Efk5owXREiShLO1Xlg4FrwggucFPH7vIJgYBqu3Vqk2Yq++eww3FXQiLMN7JvbF0LwOsFtNeHzjIdVz3/30DEYUd1HJRM4rbS68b7muu2YouXxVJRZNLcD2yjPhwl3rEfje3jMYP7iHPgqPpsBDXniUJBkWjTHJLuMwGmI9ds9A9O6SRBpOyk3ZUEqToRDiRY3UxvJVMmrHaEinh1ZbNrMYFeMy0eBtbipWjMuEiaXxwmb1Gn1hcxXmlvbTbxrTgNuj3czGJdtxsT6g3WA5zAYDvWLDQV9MajYWsYjF942fEkQgCCIccSaUj8lCWoodp2u8xHD9rgk5RL57T9U5VQ0SiYBXzklRHJi2fEcYaVdgyAJRUHiKDGLb1nFgGBorXj9IPAXnl7kQDPGkITN5RC+0TrBCEmW7aD306UMzilCxbAfyM1JQNjITohgETVMQBAHD+nfWIP8eXl2J2bf2gS8gIDnRomGLk4FovBnlY7LkekiQ8NzGgy0y246FHAxFwcwxsJnjwp68ZgiSCJqi8GN+ay2p44wGhWYTjQAolTdwKGw0H+JFPL3hgKG8px7amaFlaTFlgxj5/LtL+sLrk9mQc0pyYDWbsHzVHoKejlyjnkb9BrKyGQyFmn0Hh+R1JPW1siGObiQnOsxgRUn3c5gYGstmFuNivU/F0rnSkDQWP08IggiwNHwBXjUkvmtCDngDmX1RBBiGAS+KoBka7ssy4h+AZk09tnYfWVNUWC7L7fHr5tkZ47PhC4TwwLSwRytHw2Y24Z6JORBEIMgLmPnIu2SPd8ugLsjtlappKG764Ljuul7x+kGwLIOOqQ5crPehKcCr6nclv0sSVAxCZfj+/KZDhuAW5bqIHBrFcvh3C17QekJu+uA4Jg7rqfrOF03tr/s76CHoo4G8yu8U7ev47qdniEVEot2MOKtJJfOp3NcXVxTiTI0Xbo8fdhtH9qmAnCej96HRrBPl715fEPP//iE59w/2ncEN+Z1A0xRMLI38jBTsrqohMnkzxmcjpVUcvq71YvUbR3D3xBxyrMiQr0/9PMxQ1LfWfDFwactC+Z6cDoumj3Z/mQv+IK/yvFs0tT8WVfSHu0ENUFDAa5Hro41TVs0AoLlvP7Z2Hx6oKNT8viXDemh+t2Ur9+ChGUX4utZjCDQK8gJEUcKDq5o9DSMVCJQ+HsvQ+OPt/QBIeGTNXuKjGn08UZSIKpMz3gy7lcPKCE/vOSU5SHSYZfl7QV1bSQCR649kio0e2DXW17gKERQkrN32BWbf2gcmVvaaVgCUeky9ZSv34MFphTh13oMdlacwakBXvLf3DLk+PE0hlAzrobt3U2qESTemY1FFf9BQ9/vS05woGdYDTocZDY1B/HZoD5W/74IyF57ecAC/u7mnrmrd7Fv74E/PfAygWV1g8oheALSDnHVhMM/Dvy9GvTdI6oA2TissnGw78chsWT6cooEVGw/pglK/7d7/awVc/FKDBXB/mQsPrdyjAgrrMe+MwDb13qDhrKRkWA8yAFSOs/SFPXigolDTK06wcyo/SpOJxg35aRBFaGYVCkhOUVdZWF6ADe8cw5C8NCxfpe6je30hXaKLI+x7qLfvdDosRAXJCPDCA1dVDr+l94YuAHL+E30AAX0Urh466E935oOmKYwe2JUMSBT0QogXMCSvI9a//QXuGJVJdJQn3dxLpfecn5GCKSMz4QlLBW145xgpQuaU5GDpjCI44804f6kRK7ccRqKDw4Qb0jULHQDirPro+zhLM8tQMYc/f6lRRoSGUUMAsLA8XyMTuXxVc+GtIFgio9btA8tQeOzugZpCXrnAl0wvJJvWyCQ9pyQHf1kjGyorCRuQUYy8IEEUJVxu8IMJXxAxNL9+GA2x/EER67Z9oZFkm/XbPvhzeT4uuH0E/Z7stMLEytR9o4GYHlMAlP6aoGjAHxA065QGkOhQk4UTHZxKy185xpPr92PZrGLDIaOR3Kj++YuGnysmNRuLWMTi+8b3BRG0BLnIMDR4iSLSmoqPxO3De2n8297bewblY7LQMdVhmJcjFQfOX2o0ZOg3+UOq+0Z9Y0Aji7UsnG8XTe0PX5CHPyAQhN/Dv9fPw15fiDQPI83oZUNtXrVR/d3NPdE6wQrOJMvHuRv8ePTFvboNb7uVw1/WyMOcWwZ1wdSwb6AgSNi+5yuNRGgs1EHTFEIhUdXAmleaBzruxx0CAt/OFNAbFJpNNC7UBzQ+xkkODiEBeCgsybKn6pzGT0UP7ZyfkQKGoYkEndKcAOR1ley0ok0rKzq2zQRNUaSu1WO+WjhWd607bLLkjOIZBOBbN8QKUrRNKxvun+Iin0v5HAzkesRsYlR7kZgqxi8kJH3wwzIDGXyaAU6db4CFY5GaZAMFCm1bxxnKZbZvY5c990TAbGaQatJvSKe2jsPja/dj/ODuREYxUsFlfpkLQ/M6YHvlGSKlGIlqdjoscHv8KB2RgSF5HVUo6QQ7hzklOVi37QsUXdsOqa3jYGJpLHl+N2l2R+f3SOYXQwO7q2pQPjYLs2/tg9YJVtRcbiQD7QVlLt3rIjY8aXnosS+G5HXU7JkWPfcJ7pvUF4srCuH1BdHKYcGzGw+gzhNUDWQUhZ/INXwlKS2vL0RAGUZruckvN/MS7HIzOvI5RgoDHdrYsWxmEWm4KQ075fHNO4/j1qHpqsGkola0u6oGiQ4O1yTb0ejncU2yHTN+kw1JAmHNRF+fl+p9GsUkuVb59povBi5tWSjfU63bhzVbj5C6MzncFP3j33Zp1uzyWcV4Yt0nmhzxwLRC1UBDZh5/jRsLOusyPjy+oEYO3GjIJ4jSFYFGJobB4hfUIHlFgUBPpWteaR7uGN0bDptZVyY0yAsyqzVqQK8wsZ5Ytx93l/SFxcSQvKjUVgJF6TPMSl1yPR2MVQo/RrSUfSaKEpFIfvTuAZhXmod6b0A1DIwMZ9hnNcHOoWxkJrZ9chKujLbk+mjTygqrQd2Z7LQiPc2Jwux2YGla5W2tgDUj89k9E/sS/16HjQPL0HB7/GidoF7jyhC7TSsbFpS5yHAyUl0g+vnRYB7F0+3WoekIhng4HRZi7zC/NM9Q/e7b7v0xwMXPG9+27gVBRIKDw4zx2XDGN9cNRvd1PbDNjspTugClzTuPY+rYLM3wrfq0G/VR/pbNwCd1r8TEUiTnK+vaxNKYU5IDUZJQ7w3A6bCgoTGAQX3ba4bhy8KqRNHX0pySHLRpZcXC8nyVr6DSz0hKlFm2H37+ja4S4yNR85CrMQhsaW2yBcD1kNmA/3GhNxTQQwc1+UNo8vOw2ziUjcwAw9AyCp6i8OT6z4l/AxshOVDvCWDLrhMoH5OF5EQ50UfKCM0t7YfEOAvml+ZBAlTNDIU2q2eKrJgRK+buymMKg1EZMFafdoNhKKzbGz2SogAAIABJREFUpjWfTdUpfpwOCzq1deCZ+UPA0voMMkkCGv08grzxoGXhP2QZVYUKCwD/2tFc9Cj+gLwkwtsQVJ3X/VNcaGXnCOo7FuowGmIBku5QmBdENPrUEp73TcqFzWICbXAsjqXB85LqNffelgvGwENQkrSoIGV4N3lEb9RelgnEJpbC5BG9IRggpQVR0kVbGP2dN0JuGqxd5QYWk5qNRSxi8X3i+4AIWoJcVJ6zdtsXpPi9a0IOQryg22iefWsf/O3lz1A+JoucQ2SRm2DnYLdyRLqFZWhNY095XYKdAy+IqPcGsaPyFKaMylQN6MYP7g5nvBmiJMFkYgBQeHhVM6PKCMV3we0zHH4sLC8grMJoGRpFukPvHnFNsh1vfnQStW4fhuZ1wICc9hoJOoahIMaSuWGEQiLWv12tup+uf7v6R/cEbGlEDwoDoAx9jCMBPq6MtprP8f6+M5gY4e8QLcmpNEJWbjlMUMqSJOH+p7UDbT3pf3+Q113r/iCP+6fITIb0NCeqT7tVr4/cEEeqfSQlWrH1wxMYM6gbls0sChstUBBFEQIo0AwQZ2GxbGYxQEmAhJgqxlWK6GYIZTAcYCJAkIq0bLtkO0K8hLc+/op4oig188LyfN01VXO5UeUdb+FY/XrdxCDRwWHDO8fwX7fnamSLFGbLkLw0eJpCqvpbr2EdiZJOiDPjlXeOqmTuIq8Rvfz+8GrZH+WG/DRQNIWF5fm4XO9Dq3grGv0hpLa2E3CgETAlNjxpeejt1Y2+V5qmsfDZj2QPyEQrRg/siifW7cearUdwd0lfpLSyor4xiOc2HlQNBg0tPgSJ5OC0FDsYhtZlaFk4Fg4bh+c3HVRJ3QH6eVZhnazbdhQlw3rgntv6wsTQuOzxk/yqJ1mqDLmnj89GvSeokUjcvPMLlAzribml/UgNE9lwLB3ZG8tnFYMXmhnoQUHSra8YmgYDSfaaj4FLWxSR35Mi+97GacWymcUI8fr5lBfUvSblN6ApYOnMIngag7hQ58feIzUo7tNeIz+n5DJ3QwB7qs6pmCJG7M9zF72odctAo8UVhWholOVJj5y8iGH9O4OioAEUKXWwXk5cvqoS903qi69rvUiwc7hnYl+88s5RHPjyIu69LRdWM0vkoJXXRMvNmUy0bl5kaEqXKbZsldxni8UPD6M9XKKDAyVIqnqMjsjHwaCIHZWnMXFYOmHzRzP1oq0cFJsDQO6ZWTkWLKvf03LYTJg94VrUuptgs5hU3tYmlsLZC16VJ6vC7qv3BZHoMKOhMYA/l+errku9mkAB9lSfdiM9zQlJgorxZ8T6WjqzCNVfXUandom497a++NMzcm9YlEB8DCMj8t5vNHyKAS5+vmgp6zIYErF4xW6kpzkxd3IeXt5ejfg4sy4rVA9sM3pgV2zZdQIPTitEQ1MQNrMJJhONcdd30xCylHt1NPNOD/gUqeq4p+qcRq55QZkLcVaWSDtTBuQnCVq1hSfW7SdsxAVlLlTckoXsbkno2am1Rh3k3U/PYMb4bFzTxoGztR6sfuOIah5ytQbYLb1eRACb0tPT3wFwPvKB6urqih/9rH7m0BsKRGu4pqc5wZlYgo5XhiIvbK4iw781W4/IKNCZzShQlqEJImRBmUslUeB0WOAPCJi/apeu7NCVNOpFUUJTIKT7GADYrSbcPTEH7oYAOJOM9DCZZGmhUFhiNLpoVW5GStGcn5GC+WUuDRrbamGxeedxTSEPhAv3S43khrN4xW7kZ6SgfEwWfjOkBwb1bY/3930NV0ZbWRKHpjRDzode2IMl0wthvooU2V9ycAyl+7sYSbItm1WsYVQ8+uJeLJtVDAtH6x5LFCXi46e85q8vya+5fXgvXAj/3cRSuH14L1AGTBRRkuBpDGmGiclO/WYGQ1O6aAuzidb9u4mldQd6HHOFQZ8ghnXJi3WlxmIRi1jEwiiM8q8i06MXLUEuSgwFt8ePsYO6gRdEjB7YFXFWE2xm/WFYUqIV/+/OfPj8PFrFWwgDKhoIEgkwcjosmuJ7fplLLac5xQWWociATq9BbI9C+On578wrzQMFwGoxkU1o5Pn7gzwZckYj755Ytx9LZxTpIqbPXvAit1cqDp90G0qWL5tZHEOEXikoCeOu707qAgUY9Ev50oyZ/KJK3txhM5H6OjKuz0vDg9MKwTIURAkaxQqlEfK3lz/D3Ml5eH7TIfJ45EBbb1074jgsmOJSGcTPK3UhxPMICSJeffdLTB7RC6vfOCIbzIdzhdLo1rumZPaK3MBwN4Y0ChqKp1BM8ujqhVEzRG/gQSveN7OK0OBVe5lEeqIowLZEu1kD0lR+d6D5fnHfpL4aJsu80jyIkoTyMVlgWUolR6uE0iBTZBSXTG+WxTNq3s0Ynw2nw4LH1u7TPKfeGyRgwLQUuy67tt4bQJOfx9yndpDBe0gQ8F9P7iTnPW1cNiRRXyopNjxpeTCQiByXsi5axesDfuxWDk6HBe2S7GAgwekwY9HUAniaZN+b0zUesl+r8wRVPpDR/YKSYT1g5miNb2okG0/JjxaOBhPuh0QzD3dUntJd/+9+ekaTK+eU5GDqLZl47rVDhoPJC+H6wki2ednKPVg2qwiLKwpAATCxDAI8j+njs8HzEi7U+QggauKwnmjt4AzrqwVlLjjiTGBoSvMbxMCl2jDyvd6y6zhGDuiCheX5sHCsiv0ZCerVG1DMKckhg4joobCSyzgTg3c/PUN8fyPr4+jzmV/mwhu7ThCfYIXlrACKIlnPf5zcDz4/D5ahIUnAn+7MR5Of16xLp8MCE8tixev7VOtj0s09IUnAhXDPLDKUGgeQr924MHBbgpqNwwKGvlO8KMYGIz9C8ADWRiltrd32BW4q6ASnw6KuyyhJJeXpaQri3KUmbN55HHeOzsL8UhepCUqG9dBY77y8vRozxl+LaeOyic9vfkaKJkfeNSEHz208iIk39kSHFAd4QZaUjV7jkd6+yt4xUingvkm5SHKayN7QCNhTPiYLZ2q8qBiXia9rPbBwLEwsham3ZIKCvkfnpXo/enVujWdeO4Cxg7qh1i1LoirHM7r3M6AMh08SYjXDzxUtZV0q84Tq025YwjVB9BrcvFNm6kmShKYAT5Qh6jwBSJAwJC8NvCDhlR1HiSxyUoKNsEuV91cUB5/fdAhAMyikY6oDC8sLEAzxuFDnJzXpBbcPK14/SGxPoj/Lg9MKIYgi/vzsR4Zr0oi4AkjkOIumFsCV0VZXIbF8TBYWr9iNZxcMxeIVuzXHuVoD7Ja+5xkAf/spT+RqR6KDw9KwWSnLUBCi0EG/u7mnBpn815f2Er1klSeIIJJkaoQEBmQEpdKM+y60WWWS7gsImsfyM1LQ0BhUGSIvKHNh2awiCIKEZzceIIX53NJ+uG9SLmkERfsKKRvbh2YUQZIAXhDx6rvHcODLi0SOLLpBMr/UhX+8eoCcj0IRj0RhK76Du6tqVIjSSHS0JAGUiQYdIRXKRqDufs0RFCRd2c/yMVmGa8jo74GQvoTonaP1jyWIEuq9QY3sp93K6a5TmqZ0h4l/mT1AU9DMK82DiaV10RbLDWRCl88qRlKCWTXQM5soBP28oRcRw9C4FJYkiC4ufu1rKxaxiMWVwyj/VozNMpyhfBtykWFouD1Bjf/d068cwH/dnqubWxsaA+BYlty/8zNSMHVsloYFUudt9litdfuw+g3ZJye1lSxDt3prFbnX17plrf27S/pekYWosPiUv1efdmPzzuOkVgAkPL9J7WcSiXxr47TCZjHh5e3VKB2Rod/Iq/Ph6Q0HVEOQSFR3+ZgsQ8nyaCSpKEqgaTrGpAoHBUoXGLR81i8DNW7M5KfB0JRufR35vEt1PqSlOlDnDcDG6dfWKa1s8hpiKNUQJ1JiVFnXS6YXotHP44Lbh39tP4o7x2RhYXkBvL4g6r1BPPPqAYJyLR+TRdQ6Tp/34IN9ZwiLz8jXTRlcA9oN9xPrmvcWioKGxNAxr+yfOYyaIUumF2o8dhLizLhY16QaqCivUcCd0cPg/IwULJleiLC4DP764j6SL5XXiiIgSpIsiWzjNL5R80rzkOjQlzoUws2xWrdP5dFntPds2zoOZjODO0ZnIMFuVgE59lSdw4Qb0jUNyUjPynpvkDSwa93y4P2hGUWExbV8VSX+ctdAQBRiyhw/MARBRGLEfocz0Wjy87pN45VbDqFkWA+YGBpieE1QAP65qQpzSnJUcscKUwsAnr9/KP7wu1z89//uVcnM6QGYl6+qxOKKApSNzMD5S4145tUDBMSgDM0VqTtFseC1947J8uZtHYAE/PUl7fBZyYczxmcTnyu9te5pChmu605t47FkuqyktHJLFbl2Fk3tD16QLUmUAeCtQ9Px/r4zGFncBU6bCRVjszUNScWXa8XrB7Foan9Z4UgUY7nZIJrlv9Vet5NH9MIFt1/TW0i0m8EwIHLZV1oTRlLd1yQ7QNPAuOu7E+lY5bFlYYZedD1/U0EnhHhJ9V6RHr+APNgLBAX87eXPVAMVp0M7gC8Z1oMMdJT3Vu4fbk/gip5YyrVrMtEqS51WCWbwIQkBUYTJgCkWG4z8SEEZ2y8pdRkJCdi88zgemFYInz+EO0dnEnLF2EHdsKPyNB6aUYQQL/9uescFoFovkf1YJUcp99uT3zRgxvhsrNt2FPdM7EvU5gAtozSSqKE8/uiLe8MDGQvxhNe7jtJS7Ljvd7m44G7SXKfXJNt111+9NwinwwKHTVabaeNslkTVA9kp9/4rDZ9ial4/X7SUdRn5mwA0Hl69W7MGlX3Qs68dJOv5sbsHQpSa8+wtg7qoZJGf/uNg/f61BIwd1A2A/nW5o/IUbh/eC5t3HoenSSZMNUTIh0Yeq84bQJM/ZLgm55Xmoc4T0K+rxebjeJqCiI/TByYpdhHsL0wxoEVDwOrq6vk/9YlcrRBFSRdtAEpSDciSEq26P2xSohWAXAykpTpk/wYJSEqUk6mC8Fz/djVaJ1hVKCdnvJkc06iZUecJqFAjCpPqhc1VSAyj0yLPvWxkpu6kWylSIzXGH171Kf7r9n6YfWsfJCVYwTBaJMfuqhr8ZkgPOGwcZj7SrAar3FQiC/lW8RbUeQNwe/zkeUayCIrvoFL46KGjF5S5IEiiSrLj/jIXEn/lwxpBlFDnUdOg6zxBwt7QG8TpSXjSNAVBEHWR9FPHZusfi6J0h3FLZxZrEqdcyOjLeAZ5ESYTjUVTC0BTgCgBgiQiENT3KOSvIB96oT6o6x0kGiTUmJ54LGIRi+8bRvn3SkguIxllpfDTy0kKgplltP66d03IQTAk4tEX1ZvEcdd31+TJ6MZI9Wk3Fq/YLUsPAprcX+v2oVW8GRfqfLqS4bVuH5oCIU2+nzisJ1a8fhCjB3ZFMCRg7KBuxF9KadIo0nb3TOyLbZ+cxNSxWZAkfVSnUrhHDlSUTS8gy50JV2CRMNAydyLRiL9m0IfR/ZT/nmjElnqltPT1egoFC8pcoGj5uO9+eoZI7M+dnIeHV1ciu1sSxl3fHSxDhcFANGjQOH+5UXeNSAhLLZlZwjgFgFuu647VW6tUNcvzmw5hSF5HrHj9IO6Z2BcMDdQHQpj71C7Nd6g0ODxNco5wZbQFL4j460v7kOjgcOfoTMNaRkGV6h1T+XdIEInneAzA9POFUTOEAqUBm9G0DCQ1akqLooSSYT2weedxzTobWdwFreKtqn0UoB5uLF6xGwvKXMQXVTnu8lWVeGhGkS7A7rX3jpFj7a6qwe9u7oXFFYWgKf0cStMU5v6t2d8nkpmox8BW9oTKPnPzzuMYWdxFZkqFP58/yOP24b1IHucFEZSOJ2hsePLdI1JSWRAkLHruE8wvzVOtL+V7v2NUJhjIdcei5z7B3NJ+mDYuCzWXGwFo10N+RgoCIRFJiVa5SZxkJ/J1RsM2SMDCiIELINc4D1TIQ/Pq026seP0gFkxxESDS9sozeOyeQbjg9sHt8Rse28KxaJ1ohbcpiAenFeKbi16s23ZUBRQaP7i77ro+e8FD6hClHwIAbk9ApZBw14QcvLy9GlPHZsm5+Qryv8p5LnruE7lJLUm6/rexkEMQRAgUpbp/tk6wkjUFNPcWZt/aB4tXfIYlMwqxbFYxBEGf6awM+q70mz9+7yBdbylRBBl2K1E+NguiIKm8Ba8E5FfO49EX9+Lukr6a+sWolvY0hcjQWW8gIoVZ3ko+jZSQWzK98IrKXQvKXGAYCgIYiGHlrVhu/Z5h4P+7sLyA1GWWsHoZC2DisJ44d9GLtz7+CneMziTriGVpXN+vA76u9eCtj7/C1LFZhjKaev3YKSMzVNeNQp5o2zoO4wd3hy+oZaFGDiEWlLnw9IYDmseTnTZYOQZpqfGQJH3yyekaLzqmOnR7gEumF+quP5vVFGaL90CAFzCnJIdI6lefdqt6yEkJVrBhoodA6TMLBVECJUmamoFjKAQFCQJFxWqIHzFaKnOtgDv+e85A+AP6a7DW3YTH1u4LK9fJ69nMsVgWrlXT05wY6uoEry9I1CUog/dnGQo7Kk/r+koqteiT6/djcUUhHl+7D+lpTtitnK48aSRgLXJNdmrrgCQBJhMNNlGrOhdZVyvHafLrz3L8QV7eqzksv6gBtuF+Pz09Pb+6unp3+N+FRs+rrq7+6Kc4sZ8r6hsDquabYpCe2ioOiXYWS6YXwtMUMkTZsAytq+n8x9v7oVO7eJy/2ASOozF5eG9cblCjnB6oaJZk0Zs+zy+VZSaaAjzuLukLZ7wZ7oYATCYK997WFxQFWMwsGaQAFBhWP3EqxUu0xrjdasKKjYdQfdqNZ+YP0f2MDisHmqI0x0ywc6SQv2tCDla8fhAlw3qqFriRXEf7sOE3L4j44+R+8DQGdX2DZozPVv3todiwBpyBNCZFUbj3tlzCvFMGxgxNaVC7im8SJAP0GEPpGpnqDYpr3bLsZ3RDY/PO45gx/lpDGU+aZiGKkKVpKQpmmgYFgxvOFQacet5By2cVwx8SUHO5CRaOhT/II6WVDXEmBoGYnngsYhGL7xlG+Zcz0RANJIU5hlKh2xSwgiIhatRgvibZDgpAosNMkM5KM++O0VoGnR6a2MjDzNMUIv/W2+wp8hl6j7sbAthReQpLZxYhGBJR5wkgEOJR5wnCajaR7yY/IwV3T+wLry+IRLsZj909EHXeABxxJtzYvzPcngBEUdQdcq7ZeoR8D/XegKpJI6NJObAMdKVZzSYKgZCkO1hV6p9fcx1h1CyLrvNaEi31jDAaFOq9fsn0Qnyw7wwWlheAZShQFAyZpelpTiyYkgdJogjKX1kHH+w/g8Mn3Zraek5JDliGIvV4fkYK7pvUF6IoQZKgO+RPS7HLsmIcDYqikBCnr36gAPri4zh4w4PAby56iYTd2Qte4001jAfiyr+/CXsVATEA088ZRs0QmgaocOMvsvHP0JRh7r1U70P7FIcugjm1tU3jxxa55pXhhtGAJMSL2Ln/a/naYSnQFIWtH57A9sozKj8zC8dixesH4bBxulKMHl9Q5SX0xLr9uG9SX4R4ydDLp1NbB2lY33Jdd7AspWLJLChzYe+R8xg/uDtWvH4QJpYGzwsaT9DY8OSHhVJPXKjzq1h6QLhvwdLgJVlhx+mwIM7C4c9hr6apt2Sq1oMigbjouY9xz8S+BECkHNMIwGxiaf2hR7jB57CZ4A/y4YG47OHqdFhg5VgyEPEHeQKeSk60gDPJezm71QSeF1RrdkGZC4EQj39ukr1eN7xzTHcPGymxq9QDgNbTXnnsUr0fc5/aRd5DT/5Xyc+xfWTLIzqfGikWsQwNp8OCC+5mVrzeehNFWX3CKG+mpzkhCJKut5Q/yAOQByq/u7knkhKtoADUef1YueUwGS4rTCblvY1ycKt4MyxmBoumynKzbk8AtMH9w2Yx4c2PTmLUgK6kh5Jg5+B0mFF5+DySnXFIsMvgoff3fa16H7enmdmirMnls4ohCBIkSHjzo5PI7ZWqGSzGQEMti8ialZL012dTIETqsrQUByg0D0RaJZhRMqwn8Xps47TiwemF+OemQxg9sCsm3NATjT59WydeUA/iFPllxWNXAa1FkyeM9mzOeJmYYrOadAFGp897sOL1g5hTkoPDJy5paoL5ZS7wgggYWP/wYYWcB6cVQpQknL/UiKc3yAzweaUu7Nx/BoP6dsC7n57B9f06YF6pC8tX7WkGg5S5yAAQuFK9JcvhAs11FwMqpu71E0VLWJcMQ0MAhYAoguFFsIx+X1kB9spy3MWYOlaCKAKzb+2DL766jL49UzQSop6moOY+rsjgjhrQ1dAWzWGTbUhoGigfm0lUM+o8QZQM69Fsl8bR+Nf2o7ipoBN5vbImo4HLzgRZOp2mKbAMhTd2yXV1dM9C7/tSvENZlv5Fgd6YRYsW6T7w1FNPvT979uwnw//eA2CCzn+3zp49+9Gf51S/cyQCuNvnC4blqfRDkCis3VYNoNkg/X/+9Tle3nEMHx34BlndkvDPTVUo7tMOmV2TcOj4RTT6eVJc2G0mpKU68NS/PicLsdHP47OjF3Bd3/ZYu+0LuHq3Rc3lRrIZUp5zoa4JM8dfi33VtThd40GjL4h7Jubiutz26N25NdZsPYK83ql4YMUnyOyahNfeO4buHRKRYDfj3KVGvPXxV0hpbcPSlXuwfvsx7Dl8Hq7eqajz+HH2QiP5jG2cVmR2TcLOz86i0c9jeGEn7Agv3F6dWiG9YytUn7qM/IxUDOjTHvura8lnvGtCDtZvr0ZurxRkdU1C7eUmXKqXjW1v7N8JYwZ2wYA+7fHWxyex87NvsL+6Fq6MFNxU0AkDc66B3crh0yPn0ejnVefTq3Mr/OmZj1F14hLyM9oipZUN694+qvptGv08buovn2vk30YWdwb9AxeHUcTFmRf/RIf+rmG4fiXQeOn/vsBvBvfA8MJOyOyahLc+Pom+PdsgyAvI7paEm/p3Qk56MqwWFmYTi8UrPlGtvf3VtRicmwbWRCG3Z6rqN59X6kKcmUVQUB8rzmZCnNmEjw58o/k9h+V3RFpqPP7nlc+waecJVJ+6jDtGZcJmYfHQC3tU733o+EUMyUtDvTeEB1Z8gnVvH8XuQ+eQ17stHHEmZHZJwoEvL5Dzufe2XCQ4zHD1SsG+iPO8v8wFjqOxdpt23Yws7oJL9X489a/PsWnnCRw5eRnX9kiGw84BAD45dE7zGW5wpYG6UrK4ihEXZ0ZTU7Alz/vFr9/IaOnn+iVHXJyZ3ENaErfd2PM7Pf/7vOa2G3v+W36v/w7r1yj/5qanwGixC6Cw6DltDr6+XwewNAVQtG5O6tcrBcGQiJAgIsTLxtRvfSIzCG8q6IzKw+p7azDEY/q4a1X5fIirA1y9U3Hwy4sROT4Pa7YegbcpiLKRGfjsqPqev25bNU7XeHCxrgnTx2VraoK3Pj6J0QO74ulXDuCtj7/C4H4d8NzGQyrmf3qaE78d2gMPr67ExvePY/ehc+jbMwU7959Ft/ZOPPD8J9j4/nEcOHYRhde2xfCizhhV3AXpHZ146a1qlXRo5GdVNqSbPjiOOKsZm3ceV/0Wm3ceR79eqRAkCdndkpGf0ZbULY1+HqMHdsHG949/pzriPy3/siYGvbu01tSzTrsZ0nfcjIi0dm3vq67F4Lzm+6ky6Fv0nHy//+TQOeRltIXNzBI2SuTrh7jSkJRowyNrKtG1fSL++8W9OHq6jjx+6PhFTBnVG+/vOwuGpnBzYWcs1rm+7hiVhdVvHsHZWi9+d3MvDC/shNEDu4BjafxzUxWOnq4j63TZqkqs334MA3PaIatbEp7e8DmpZaaNy4IvwOPPz36Cz6ovYEheGgIhHkXZ16hqEuXamDHuWgR4Ho+v3U/qj369UnBtj2S8+FY17r2tr+p188tciLexoEQJeRltVY/NK81DnIVF/8xUjCzujG2fnELJsJ4YXtgJ+RltcfKbBvTPavuj1MT/Lus3Mn7sGoJhaIg0BQEAxdBgaQqSJIGlKc1vs6DMhTgTAynqJOPizAj4QuDMLK7tkay6zhZMcSEp0QKKooivJNC8rgf0aY9nNx7C2Vovpo7NxIShPTCsfyeIEvD2nlM4+U0DZv7mWviDPI6cvKy5Z2R1S0K7ZAceWVOJPt2T8fdXDuCmws5o9AXx26E98PSGz/H6Byew+9A5VNyShc7tEvDKjqP4zeAeGFncGTcVdMbWD0/i1Xe/xO9u7oWdn50FALRv48ANro5YunIPMrokofqU9r2HutLgiOOQ3tGJVglmPLBityYv3DEqCzQNXJ/bAamt4+Dz/Xi/XWz9ykExcj1x8psGVNySpcnzf3/lALZ8eBKD+3VAjzQngryA1z84gUv1foiihH69U9C5XSKGF3aCK6Mtlvxzd3gg2BbVpy4js2vz7197uUnzHvNLXbCYGXxyUFvTFGS1RSAowGxi4AsIiLOwWPd2Ne6dmIvCa9vihc2HyECkb682yOqWjM07j6PzNYl4ZE0lXnvvOPZUnce1PZJx9FQdua/vq67FzYWd8eZHJ9Ho58HQFK7LbY+bCjph9MCuGJKXhqc3HFBJ7Cr9ELOJwaadJ1TfodJrqPcGSe9kX3Ut/jApF7urzmvqJaUvcoOroyp30DSlm08M1sIvZf0C32ENf59gaQr5We2w94saNPp5FGW3w8HwGlJC6TMVZLdFvTeA41/Xw9sUxJRRGaqadEGZC2vePIzdVTXkXj/ppp64vl8HPPPqQVSfdmPaLdn428v7Nfn2D5NyQdFAvSeAkmHpeGL9fry8/Rg+OXQOroxUuDJTcfjEZby/72sM6NMO1+V2IO9dfG073Rw8JC8NX3x1GUlOKx5bux/Z3ZOwc//XuO3GXppa++Xt1RjQpz3WbavGiOIuRFXr1Ll6dGqXiCfW75fzddV5TLghHUkJFowa0BUjizvDbuVw/Gv5GgCAsxcaMXopTK+SAAAgAElEQVRgV1AUcP/TH+HG/p3x9IbPr1ib/ZjxS1y/AL5Xfo2uWfumJ+v+1td2T0Jhdju89FY1ivq0Ayj5WgdFQZKgkp9t9PO4sX9HdGybgCfX70fViUsYkHMN9lRpe6TD8jsiPyMV+6pr0b6NA5NH9MJT//oc698+iiMn5Zo0q1uSpq9stGdb/3Y1+vVKxcb3vsSEG9JV+XpeqQtrth7B6RoPDh2/iNtv7o2X/u8I2Vfl9U4By1J4ZPWn6HpNou69f4grDdndkmFiadR5/Fiz9QtUn3aj0c+jzuPHhBt6wusLoU+PNnj2tYPYe0RWIxhZ3BmjB3RFnIlWDUL06q05JbJFxpYPT5L9gyRJLdqDKHGl++0vcf1e7R6aJEmwmVkMzkvDyOLOuMGVhjgT0zysZWjUNYWw8LmP5b3dwXPI7d0Gg3Laa/ZGyn2y0c/j5oJO+NM/PsK6t4+i6sQl3DEqAw8+v1uTn/N6p8BiZnCDqyMG5lyDjC5JWLetGp9/eRGHjl/EsPyOmj5IG6cVeb1T0Cc9GctWyv2HysPnMWVkBvIyUvDEOjnHHzx+EfkZbdGnRxuYTDQ+O3oh4prIw6otR8j5HvzyIjK7JIW9k02wmll06+DE8IJOGJjTAW99fBKVR2rA0BSKrm2Hnp1aY2RxZ9xc0BkcR4OV5EFfXJwZXm8AlCSBBkBJkmE98H3iu65hQ8BSdXV1t4h/t/0hJ/VLDipCCsVIa7x8TBbOX2qCxcwQNL4/yMNiZkABSGmlT/MHZPS/JOnLwuyuqpFR6TOLIIoSzl7w4vG1+1TNL4aWmVfJiRYNavTB6YUEYaK8p6zFr/aoiJxQK4iQheX5cMRxeO61Q7hjdAbmlebBwjEwmxhd+ZCxg7qpZF5GD+wKXzCEZ189RJAe1/frgNVvHIEoAo+v3Y9p47KwemsVec2QvI5IsHNIiDPjlXeOknP+60t7sWxmsS5yQEFoRf7t165xLlGSgYa4fJOO/g4fmqGVFVDYexRoxFkZlSwnwwBX+nb1mBcMQ8FuY1XXh93GGjJceFHS6OMvX7UHy2YWY/ueU1hYXgCGBgQReO29Y7h1aDqSnRaV95/VTMMXEHXXjbFsaRFMaPYXUD7D/VNkZAt+oKxZLGIRi//sMMq/EiV9Z09AUQLcPtlg3kgJ4LmNB1E2MpOghBVEfDDEE3NshSE1emBX2G0s8VsJhgRYORYvv32YIIwT7GYEeQGJDg7X5XbAy9urUT4mC2mpDo3k5u6qGkwdm0UUEWwWFixDo2JsNmgGmD4+GxfcPgRCvEa+S6+eenL9fiyaWqDxZXl41ad4YFohHntpH6aNyyJI1TZOK+ZOzsOuz76WzzHFjtM13rBvbSZ4QdLIWaenOeH2BHSZhW6PH3Yrh/yMlF99HRFv5zRy3N8nWuIZES13qyhu2CwsaJpS+Y0BgIlh8OT6PQTRGX18p8OCDinxeHb+EBmFaiARxjDyFal4W7VxWrF0ZjEEUSJrJnKdDs3rgNYJNiyI8n1SZMmU/w8GBXibeNisLPFni7OYYDLRGHd9dyQ4OMx7ahcZho8f3B0sQ6N1ggWJDg6BEE/qbF4QEQzxaAqYwNAUEh0cls0shhjeHEZe3/dPcWFEcRdV/XXvbbmEhfxDZVl/7fFtrNbvguAVBBF2mwmcicGS6bKYDkPTECQJlxsCcNj0VVL4MDPaGW+G3crhhTB6uWJcJmaMz0ZKqzj4gzySnQ4N4lhhvSQ6OCyuKARDA26PH2u2HsFdE3I0MreCIOLRF2X/eOV6aOOUfS23V54hEkmK2s3FepkVqKdcM6ckh/hVzS9zgTKQ82IYish+0XSMv/pTRCR6f81W2QO4XZIdl+r9WPXGYcKgbmgMIinRghAvIT8jBXWeIK7L7YBAUCSMqUjWn/K7b955XMXk2LzzOBZXFCIkCLCZWdR7gxBFYNHU/qQ5q6yROCuLV989RhD5VosJI4u7gONoBIICdlfVoM4TxPjB3QlDUZH20uvNKAoBtW5Zgnn2rX2Q0ioOEiRcrPPh5bcPoXxMlmz9YiCxa2L1WSeJDjOCIQHLZhYRSUhfgMfSmUUQBAlMmA2gyIrNL3Ph2Y0HVD6DoZCIh36lDJUr3Y8EQUTH1HiVj2X0vnxeaR6RilXqOKuFQYgX8MC0QoiihEv1PoBqZsIp9/oFZS6YWIr85kasvUY/j2dfPaTrpabI2I8f3B1LV+6B02EBa6KxdGYR6r1B+IO8Rn3pvkm5eH7TIZSNzMQ3F7wk/44f3B00BZkdSAFnaryk1h53fXeNqpYirRyZrz/YJ7Op1JJ0LvgCIeKbbWJpIsdn9JljbNVvj+iadd22oxpGUuR3n+jg4Glsrh3yM1IwZZRW+t3CsXhw/W7iq8pGqW7lZ6RgyshMNDQFEW/jsDRs2xDt9a5IcOr2lcdm4b5JuUiwmyGKEgJBHjcVdEK83YQDX17EmRqvio3tC4TInk+5R0fuqxaUuciwUVe1rsyFf0YpdSjKF4Ds2Xb/0x+qalZBFMMsXzM4BggFm4dKPICAKMHpMGP5rGLwgoSzFzwqX/lIBYyW+tbF4vvFlZQaeIDc34Dm/fx9k/rK8s2ihLO16r5CG6fWlzKS1axErVtmgiuSnIps5/jB3Ymcp5nT3jfumpADE0uR2lY51mNr92nUBf/60l48NLMIKzYeVLGwX95+VOPJzTI0/vfNL3DXhD44U+PRsBM9TUFMHNYTgRAPh82Eem8Q/9wkW7dVjM2GQMn3I2XP+kuIX/31QdMUSWhGN0yHzYSVWw5j6i2ZqsesHItH1uxVac9Hyq0wNIV1277AlFGZhrIwX9d6kOiwgKIkJNgtxOdPadZxnEyr5UzNurnKeYkGvi7eCLmNZKcVz208SIrUOSU5eOylfXB7/LhnYl8kOji0TrDgUr0f69+uRsXYbF35EIXGq5h7enwBPP3KQXKRLF8lS3dOHtELrROtKBvZGxIkTBmVCVESMXFYT01T7kyNF9Wn3ah1y4adC6a4CDJWKZYFqXnIo7C/fvXmrwba5EbDPiMZCpaRPQH/9I+PNY89NKMIz74qMzssHBDiJTz76iH84Xe5RKZLGdJt3/MVRg7oijVbj2BIXkfy/DVbj6DC0FtQX1JAECWMGtgFte5GMkwcNbALbFYGNW6/ZvjYxmnR9yI0OL4kATRLwWphVQ1QlqXAmGhcrA/EJAViEYtYGIdB/l0WaQwfFUbSJiFeJPmmzhMkRajdysHrC+BSvYAheR2xckszOn7UgK6kFlBy1NSxWai53EQaAXeX9EW8nUOTPwSrxYSbCjrBwrGaonR+eNixu6oGC8pcuvf+EC9i5ZYqjCzuApuFxde1HuK/M3dyHja8I2vizy91oc7rJ5/TqJ5iIySllXrJYTMROcS9R2qwZHoh3J4A6r1BvLy9mnz2kcVdsOGdYxg/uDsBqkR/ryXDehj6K5pYBiu3HMKdozN/1XWEIEnwNobw2Np9ZB39f/a+PTyK8mz/npk9ZneTLDmJSkCorBigJpDFHNCWU1WOSitBhQQNCKGIaCsH2yKIBLSWar+vHooKWAu0HyogtkVRPJej/YEIy0EEKoeQZJPseXcOvz9m3zczOzMhoFYEnuvyumSzOzuz887zPof7ue8ZY4vgsJnP+ljt0YxQJumEcUOPsovEk1GFrkkq3Zwn341Jt/XEsVMtNEbIz0s3jHGU8eOMsUXY8OEhDC3vSl8n2tyDijth1I+6IZHQ1yV2plnwv78cAI4FzCYOh/7jx+U5TsxbukX1ndUjeyE92eBJvdZ+BXm4Z0TPJGo1gU3bjuJHfTrRZJXEL+s/OIR7RvSkej/kHB57SUuR/7u/7MCiqeXtpmW9ZMb2TetFxxMipS0ivpushbnV/TRrtl9BHsQUyrqZ44vBMkA4xsNqNsFq5RBLCHj+td247cdX49HJpeBYmTKXYRncPaIAzcE4fr9yJ8YP7UELjPEErwGvzKr0ahrwxHfnuu3IcFpRW1OGDKcVyzfswcDizsh1a7V8MhxWLFEAWGuXbcUjE0sMn8lYgofJzJ3TPbrY7Fwa+3oNazDAnGc+AtDqgx9PakqShkuCF/DkKzsx2JtPwZ5K/0vue8WQ7nCnW1QU5Wve2Y8f9+2EBUk0P/E/C6aUIRSO43RTlMYn0ysKIUlQrcVHJl4Ps4lTafZUDbu2zViCNKmBVprypWt3Y8HkUhw5EcCmbUcwZrAHvCjCbuF0tTKdaRac9ocxY2yRaj+cU+VFOMqr6GwJ+9PRkwG69wzu1xnD+ncFJIY2AMn5nWoMU8pp8trFQt98LvtRptOKhTVlSPAizCYWf3p9t+r3fHr1pxodvLuH9wTDgFIkEh+0adsR3HVzq/8zoq09nVzXogHdo81igs1CtAWDmLd0C93HnXYZ+FM7tRzxhAB/Swwcx6ApEEdLKIZVG/fT+gQBIaXGOrluOzqk2+i6J8Xy6pG9NP6aUN4qaZqVtTe3y4oMhxWJhCDXWQxrPyzAX6zRb/sstbHkO+rHig17sbCmDJIISFADtB68s0gVrw0s7owT9Vrqd0LBPO6WHognBNQn/WL1yF7ocnk6guE4bUaT/d9IVgnQp48/WR/Cr5/7hOZX+Ze5YLOa8a/dJ6gPJOvxgTv64KX1e1SfT43plf43VTONZRg0tEQohT5pUJLmOQBNvvy7v+zAlNG98fAzH6v8AgCNz5heUYg0qwmrNu6nuSIBZJAmX3t16y7ZN29GDViLiUM0xiOa4GExcypg76xKL557Va1LqZQyUfZROqTbsHnHMRRdk6dL5SwIEjKcFqoXy3EsWAZI8PrAUJvFpHkNEjBhWE80h+SaAyBh18F61fty3XZ0yLBhekUhmhT6weRczSYZHG0yM6h85D36OU++G8P7d6O1lvMtL2tXE9Dj8RQAeALAdQCcyZcZAJLP50v/ls7tWzUSWCd4ESwjIzE6ZNgMp9F8R/3429v7cc8IuRH41ekg/IEY/IGoCh2nNyEQ5wV0zHHoiqaazSzqGsNIs5nw1pajGu22ORO8WDClFIGQlvfWSDDT3xKjDv7+iiIMLO6MqqEFONkYUgUfS1buxPxJpRS9OavSC46DblNFqc+TEETUNUbpcZTCtCcaQgiG45j9x4/kDayyLzIcVjzy/L9Um4BSmyfXbUecF+BKs6gmySxmFs40a3JSUh4P5xSc0ReriaK+c2MZ441QTyuQYRjEEwbHYmXEQqoOE8syKLvuClWTruy6K8BxjO7aN5n0tQWNOKNNHINIVFBpZz5wRx/E7aKu9l9tTTmOnGjGY1PKIEkSGIbB1j0n0DHLYdB8ZCCAQSAcR0swTq8h3WmBxWT/RgtAl+ySXbILz4z8ryhKMCpr6vHqT68oREzR7CAIZgCoTaI/A+E4MpwWio6/b0yhRgSbaOeaTRx9zW7lEE8I+McnX+Jng7rDYuZUBa4pP+2NcCyhQhkfOdGsWyTjWOj69pff3IvFK7ZRxLI73Yp0pxmzK72oXb7VsOhCChMkEU49rtnEqJJpADh8vAXzJ5UiEktg4q098fiK7XC7bLh7xLWa3/WyLH1mhrwODjy16lO5wDKi10UdR0gS6HoAWlGStVPLz3qva5dmhCJWNWLcUOovkCZEnV+NPHa7bHjgjiKwHAO7xYxQNAHEgYQoaOLrWZXFeHf7MToFE4om8OZHhzGwOB8bPvwCv6nuh9P+CNwuK3Lddtw+yIPTTWHEkwW01HXrsJnouiQgpOxMG/73lwMQjiXgb4lhzTsHkOG0gE02H1PpcYf376Y6xszxxfjr2z5NbDy3uoQC75RmlMTyggSJbW1gkZg8lhDAwwLTeYQ8PZ+tLUS59QxFbWWzhqB9eVHCwOLONN9Rrnu9yYKqYT0xN2UaRelj7xtTCIEXsWrjPoy68Qd48pXWmH56RSEynVa8uG4Pzc1YhsGyN+QpcJfDogGSkgJyaiM7Gucxu8qL5RvUUzibdxyjzyKZXJleUYiGlogGNR1LCJg5vhiLV6h1hd7dfgzhaALDyrvhRH0IHMclJwEurqI0WS91/jDAsbrNva/T2E9F70uKnEvPBy9avg0La8pRPbIXrsx1oikQo/m70r/7A1G4XTZE4wLcLht9fW51Pw37ijI2Ich9AMjKsOM3z7Wuc3kyPKZB1ptNLAUhG9VmyP+TGsz0ikI8+YoMdJ5T5QVnYrBm0wHcVHIV/rbJp2pcxwUBT/55B3xH/ehXkIfamnLU+cOyxh8D1L6kzgefWiWDbZX56fSKQlyR44CQfNZH3fgDWqTWY4Ai/uRCR+CfCVDBcSyOnGzBghflqagJwwtUNYr5k0pUDA/kGGRihOynv37uY9W9II3m4f27Ye17h/CTki6t01RtaE8rAUPElGtMqS2Yuo/PrixGMJKAiWMRCMVx94hr0RyMqxomrjQzsjPtaA7FVAVxeWpabg4pjWG0zRPyPI27pQdtFpKYYMnKnVhUUy7rVSWvNc1u1q2/sCyDS9FA26bXWPIHomDBAJLMujBldO+krpkEQD35ToZHHhrfF4FQa63JZGJRMaQ7nl79KWaMLQIviKgY0h02iwksA5p/Aa37/9xqfUANoF9fI7qnZI9+ZGIJlm/Yg58N6g4JkqrOmu4wI9NlocecXlGIeIJX1YFTh1j0NNPIs6RckzaLPGij5wM7Zjsxp8qLNe8coH4B0PoMeeKxDOOH9tBcJ2HAaE8OcqFZe+KH/8p5GPQhrBYTTjXKupBul03lAxtbIpqp/E3bjtB8KLXeMH9SqWZKmwBC/vr2fuw6WI85VV50yLChvkmOZYy0Y/XYBVmGQSiRQFaGHW6XFRzHYm719VRGizQu/5b8rnmTSugelFrDmF3lxa03dsVr78n04nqx1vlUV25vHLIKwN8B/AZA5AzvPe8tNbAmY9uQgPmTSvHSG63ojl/e1RfudCtF6byQFHQloqzEUW7ecQzVI3vRkWegdaESpOaqjTIVC6F5Wab4HkKnmboBLHxpK+bfWwqn3axZ0M3BqOEGkOuWUc+iJMGVZoYEqBI9cvxAJK6a5ntkYgmlHevc0YXjp4OaMV5BkNAxO01FWZN6LZ58N3xH/Vi8fDsWTNafUCOFnukVheiQbsfDz3wEt8tG0R6nGsNIs6WDFURZ70S4cB362ZgRustsZnSpOgVRNKTYNDoWy+oHF2aTTNmSmgSJgqQ/HTO1HGk2syroSLOZwXEMLRa3BtJecCxDEwFynN/9ZYfhGhIlCdd2zVZRDcyu8sJiZjTIzhljiwBGggQgGtNeg4SzpxQ4W5TuJbquS3bJvt9m7DNhuEFpkPkci5MNIVgtJg2CmRS9ADkwrhrWE7luefqiJaRPmUGKAKRYbLOaMPf5T1A9shdtmCnpX9IdFoqaVzYkPvj0P6rG4Oq3fLpUXEoQT4bTIu8zgoh4QoTLYcaCyaVgWUY3MYvzPOZM8MLfEtU97sIafV8fifOoXb4NU0b3pg3EJ1/ZCbdLFry/LMuB+qYI6psiuvfnP3VByojQ1r26GEw0oqdto5FtZO2hSVQm6UZTHVfmuvCn2YMgQcK2z0/SJp3NYoIkAY9PK0dTII4Xk5pRqZOEV+Y5aYwjAQjHErix6EpwLIt3th/FK//04dF7S7Bk5U5MryhEMMzTBFUujAFPrZIbjalAuDlVXryw7jPVWiUgJKWQvSwAb8WeQ/WYM8GLWLx1qlAvGSQNHmWxs84vs3mkO6ztT2LZ1gaWXmJ6PiFPz2drC1HeVlHblNRFUdL+PZxcCwTFr7fuRVHCgsmlkCSgvlm+70a5UmtMXYbxtxRowCCkkV4xpDvN9QLhBAXzPXFff/1inAIwR+Jwd7oVz6z5f5opnOqRvVQTgE67BU+v/hR3jyigx/Tku1ExpDscNjMkScIvx/WF2cTCaTcjGhPQ++ocOOwmFTJ6dpUX2S7LRdMIbG9z75ucTFX6YDL9rDQ512mlAe1XkIcxgz2Y88ePMLe6H+ZNKkVLKIZ4QoAgiZj73FZMryikFLUM9NlXlLEJAf2m7j+jB1ytaSCu/+AQKodei+kVhVj3/iFdunReFPHszAEwmVhwHIvbfvwDvLjucxVl3ILJpfjpgO60iKikvX1oXF8aE4wdcg1YrhUc88eHBuheD6+YMCDPXW1NOQLhhGZSQZL0J3UuhgmVM1H08QDVmqwe2UuT959oCOn+dvKkhv5+SigSOZaFBAm3/uhqvLb5AI6dCuKhcX1hs3JJSREJSE5ykftvNrMasPT0ikK4XVZYLRxONoRRNexapDusWPHmHvq9bpcN4Zh6YnROlRen/bKGsZKKfMro3li1cb8qFnemmSnVo7KZwrcxyZL6PBHAEC/KDSkSk8VEiU6ZkZh+xYa9ePDOogu+Cf11jONYCGDw6L2lOF4fpMwn0ysKEU3wcCSn2JsCcRUIQrleA+EEMl0WJBKiqtb0yKTr0THbSetXHMvimTXyOl7883Ldex6OJXSHM0KRuOr+8oIIq0U9dTWnyos0mwkThvXEiYagajKZvGfxtHJMHCWBFyQgyYy1/oPW44qSpPtskGZjak5I4tROeS5DH3j0ZABL1+7GjLFFWPbG58ln0oDBC5KuvM+iJPvO2VK1f9/tfGL9MAF4uMqrin0J0ICAYEjcAgCDijvh1h//QFNfHjvkGry385hubNtsUPdoDsXx9rZjAAg9bDntoejS1lZ6YbWwqnh3xtgiROMJRGMCFr7UGpP+4q4+8nUwTLIWsg/Dyrvi7W3HcDK5N+ntQbXLtmJhTRk+2nUCdf6I4RSvIAIMw1DQxne1Vtu7D1wJYKbP57sgohZlYE1QPb9RoIkIvVY8ITvU2f/7oeomHj7eQp3d5h3HsLCmDMFwAo0tUf3CiiBrBRGO5TlVXjzx8nbVwlm0fCtqp5apNmuCnAtFEojGec1D40qzQJJAGyySBOR2sGPGHYUQReDVdw/AW9AR7nQrzCYWv3/gRtQ1Ruhxc93y1KDyXDmWodOIvX+QjVvKrtKM8f57/ykUdM3WBLxkHLw5GMX9Y+VziCd4w8Q6O9OO+feWojkoH19vMuBS8UJrRqiXBC9h1cZ9qjW0auM+TBn9Q9xc1gXK6b2by7rAYmYhAbrNvkRCxOdfNKgm7DbvOEanKbSBt0GTTpR1E/I6OJLUm1bwoghBkGAyMxpNorhB4NtWs1J3QnBqOSwWVj1ZamFhYlkkBP2AYqGBLqVRwna2G/H5tHFfskt2yc7NzhV1SJD5Vo6FPxTXBe/4A1EVOnn80B7Y+K/DdKLCCBFPigAZTgumVxQCUBePlUE4ALzw8GA6oUHeSxoShG7TlWbGwOLOYAyolSllnMMKhpVw9JRMkcWygCvNglOnw8h0WmUfzzLgWHkPeeWfPvz+gRsNtZQTCX2dV6fdDLfLBpvFpAq+6/wRikYl56+nV/Xu9mOYW90Pl2c7wYA5r3j5/9vGGLAGMMy5YRPb0owgfydJugSDvZxhwIgywrrsh1egKRBTFU9mV3qx6q19dLJKs39PKcPUJ95RHXNhTRlEScLB/zRhTpUXuR3SUOePqCZR6vwRWhgj/1ai93PcdjAKzSFipGieWqCfMro3Ls91oUO6FYmERK/VqPmZ4bSoXiOFzlffPaDxMw9PkAvfyiRWZlZgICQ/e74jT89na8u3xwyL2gBYrS7KY8u2YtHUcmRnyhrs7vRWphm9Ri2h/VI+G6ShluGUp7G27jmBQChh6JNtFhM6pNvQryBPpu7sYKfX42+JGcbQc6tLEI3zsFtNiCUERGK87nrPcFpU2lVPr/4U/kAUGcmGNdE5Uu5ts6u8kERJVeiWi+utlHakoX6xrM/2NvfOVevICGyYldQbFURJF3wkKCRGBhZ3VoCSWTqhqtSHWrFhL6qGXYvlG/ZQsJJRbELihVmVxWgKqNci8Y1kgtmdbkWm04qla3cr9AFNNJYQBAk2KwtBUFPy3TemUPNbNTRH4XbpNz2daRb86eFBON0YgcthxrNrdtF1bZRzMik6luR+6FGQ104t0xRIL/QJFWJnouhTrm29vXHVxv0U1Ox22VAxpDvVtfTkuw33U1ECXnh9FwYWd0aG04LbB3XHts9PIs4LaGyRp1gD4Th4QcSw8q6oHFqAU40hxBMiXlq/B9Nuvw5ZGXZwHAMGDMxmBs2BuGZKldS77htTSCV4SG1tYbIQvOtgPYaVd0V2hh02GwdRBCbd2gvhaAIsC1ye7cTpxgjcLhsFwJtNLKZXFIIzYEtKfZ5IvpDrtsMfiIBhADAMJIDS8KUyOnEsCwgX+go8N9Or06TqLupNraVO9m/adkSX0v2R5/+FRVPLKRjiqVWtzW8lJSKxXLcd0ZgM/FowpQwcw0AQJbCsPC1aOfRamM0sHDYzWJZBOJrA49P6IxLjcbJBnsTyB6KYN6lEdzLZ7bLB3xLHIgUgf8bYIvxsUHc8vqKVLvrX9/STAUuQn+0nXt6hmf5XDnbYrByVqEjNx0jjr84vM5DcX1FE5SD0rl8wkL7iRZHug2fKQS4k+6Zp68/VSJyRZjejdmo5AAmSBDSHYmhsjiKW0Mqg7TpYj3FDe8Bs4pIsf3Jt9e8fH0aPq7LBsdrY9kzPBdC6D5P3pNLWfnU6CM7EIJYQ8eCdRcjKsAMS5EY8x2LFm3tVv6dMR16AYCSBK3KcGH9LD9gsZjxxX3/EEzLzTNxAOkJU9GVcaRbdc//qdIDWLb7LOnB7m4B/ATAawP+dy5d4PJ4sAC8D6AYgDuAAgHt9Pt9pj8dzPYDnANgBfAngLp/PV5f8nOHfvo4pF4pRwkwQ9XOqvJhdWYzTTVG6wRNn58l346bSLpAk0M/o3eyTjSHkulvRlnrBi9tlQyCkRpLNGFuE1987iAynBQ7BjP/btB+1NeWob44kiwQHMbTsKnqMWIJHcygGFgw4E4sf9+2kClxmji/G3sP1GHdLD6z/4BBG3NCNIu3d+PoAACAASURBVDnIudb5w3jjwy+os7eaWcyfVApAoo2avtdehl8/qx3NXTytHM0KZAwJUN7/9D+axHrG2CL89s+tm8jShwfREfnv2rGd76YsqIFhAElOCGOCqBLzJVbzU/3JN4YBIAFZmTZVs0+QRNitHPr0yFNN2M2qLFbpORGr87fRpGMYBHQK3ulpFjy6dIvm/SQ4Sn3dYmZ1pxz1Now6fwSCIGHx8u26xzeagpAk6ayK+2e7EZ8vG/clu2SX7NzNyP+2N4DjAaxMAWuse/8QfjmuD0RRjU7OcdsxrH83ABINslMpO5VFADKZ8cu7+tCCQb+CPIy4oRuyMuSkMxrnZUpvHR/YMTsNk27tpaJwm1PlRb+CPLqvkMJ0ptOK+ZNK4UgzIRSWJ7ybg3HkdpCpvVL3GxPHoPy6K3HwP02oa5S/W8/X1zdHdFGvS9fuxvihPZJNRmONIN9RP9Z/cAhzq0tgNjEwsSxMZgbDyrteAmAkzWxA0202Md96bUgURcybVKpijyA6vhzHAgAEQVtUrV2+lT4zRomXsjk2p8qL/7e/Dtd2y0bFkGtQq4jR9WIASYJKj4ogmhfWlAGSMQgp9TxsFhNql23FvEkliCV4XW0t5TEIHSkpdJKJ1qZAHGazDGS6IscFjgUsHINAlFeBm9wuKxhBog2smEFiejFQ0H1dawtRblSU/ep0oE3av3A0QSdOf3FXH/z2zzsMp0Kn3X4dfS4JCDOVnnnPF/UovvYy3XPJcFrBMAyqR/ZCY0sEkSgv56c1ZZAAzd6hpE68b0whMl0W8KKI+qYIbSSSPWrTtiNId1jwzMwBONkQwstv7qWUi//3jjzdkn+ZS0XzSBp8qTqWT61qnRpQ/lYXy/psb3NPr5HSryAPHMuCF0XdiQcjsGGWy4KGlPw8FXwUU0wZK6cFw7EEbdLlX+bCjLFFCIQT2LrnBMxmFhOG9YQI0ZBuMddtR1aGDfMmlWLjvw6j77WXqZhgonEe/QryDGnHyTrx5Ltx7229NQXrETd0A8swiMZ5PHhnHzQ0R+iaFSXJMD89UR8EAFyR48Tzr+2mtOvVI3vBzOnvkQS0rDwOZ5AXiwKQeRFNqCjNCFBh4RjEwQKKRrTe3ugPROGwmfHgnUUwm0yqez69ohC8oA8Wa2yO6mrpJQQRaVYzHvnTJ6r1FYomsGrjfvzirj64e0QBeEFEIBxHusOCkw1BWMycBjT89OpPqa6lkglASYkoScDYIdfIk7c64IjpFYX43V9k3ztjbBHMZlbVdJldWYw5E7xY+JL+85TjlicLiR9+aHxfJBIiZdQiTdPHppRh6drdNNaaXeWV6Zcv5C7J1zC9Os2iZOzpO+qHJ98NCfIUv7LxS2xhTRniCRENzRFE40ZNAonSHSv/rgdgnFVZDIuZw8tvfq7rH9d/cAhjBnvw6rsHEAjHMWFYT8QTAhgwyHRaMXrA1VjzzgGcbAgB0NdQJ88WOb8lK3di2u3X0Snv/9QFsfa9Q/AWdET+ZS4A0NA55rrtyFEMdkgSqPwUacbk5zlxoiEEUZLob1bnjyA700b3PT3ZjIZmfXaXi2GiWs/aHT98i8xjenEGWY9jh1yDK/LsaArENHvojLFFCEd4TFksAzbnVHlp3+O1976QwZop93rTtiO6savNymFOlVdmO5TkcozyswSwVju1HFfmumAyMahrDMNht+BUY1hzXk2BOH3Gh/fvhrnPf6J6Dle8uYv60UcmXo90h36Dj2MYdMpzIZ4QkWYz6U5KKqdov8s6cHtj7kcA/Mvj8cwGoOow+Hy+W9rxeQnA4z6fbzMAeDyeJwAs8ng8EwH8GUCVz+f70OPx/ArAIgB3ezwe1uhv7TxnQ1MG1m0Vk1IbgmQD9geiyMqwYcbYIoRicQjJgpoRAn3Fhr3olOeki1gv4KkY0l2z8SxZuROPTi5FIBRH7fJtciHNxMBq4ehDEwjHcfeInpBECSZTGhhGwvOv7caw8q4UrUeOt3jFNiysKcOGD7/ApFG9EY4nKBc10UWLxgRs2XMKh4+3YN6kEjz0hw81C5zw4QJQIfcEQcLKjfs0wdK026+DIImqwoXLYcH4oT1oAmLiGFyeHJFPvRcXU3LYXiOol5wcF06fDkAAwHGcrkNK8MaTbzYLi0hAQO2yVmc3u8oLM8dpqGkXLd+GWoMmnYljdAu3DAuse/+QpuA9cVQvFUUdmXxlDGhI+aQOSuqU47239jYMDvSOzwsiTKx+MYdjmLOiFDhblO65onov2SW7ZOeX6fnfdhujr7HHMgysZgaTRvXGpFslmFgW/kBMU0TJcFpRO7UcPC/ieH2QxiTKyYyGlggemXg94ryIe0b0BMsyaGiO4MV1n6NiSHckeAFzq/vBZjFR3+gPRGG3mvDoC2pKc0Kpdfh4Cy1mrHv/EJ00iTbxqnNcMLkUC1/aptlv5OQwhntG9IQ/EMVbW462qT88t7oEwUgczcE4LawcPt6Cx6aUobElonv+oiQXli7LcsDfEpO1euI8EmDPCYBxvugufNMmSUC606KZxJe+pXxaL2GcOb4YFYM9ON0UxfoPDuGmki7I65CGREJE1KCRleG0GKJCrWZWA2a6LNuJYyeDNF5e884BPDS+L1iGoU2OnEwbRAkqbSGSzN7xk2uw4cMvMOLGbrp6mcGIPA2gFLJ32i1wu2zgWBb+lhj+8cmXqB7ZCzmZNo1G2oyxRfjr2/uxYEoZQuGEih6dCNDPW7oFz88eCEaQkBAAh5lDfp5LN0Zxp5nBwyAxvUgLJmdrRohyI13XFRv2YvSAq3V/c4YBHksWcev8EWQ6Zerijga6pSaOxbI3PseDdxbB7bJppggWLd9Gi7pKnUzllMzyDZ9T6jKSX02vKMRlHdLAALi/ogjZmXLzUqkR//TqT/HIxBKEwgns+7JRq09f5cXOfafw/qfHMXrA1agadi2l9w+E5ecAkn6Mq6dj6Uozq34reX1eHJT5Z5qSIpa65voV5GH80Gtx9FQLzaXzOqTBYebob6RXxF65cR8mjeqtq7c0/95SHD0ZoD4YkP2Z097qR/wtMdqkS2VNWrlxH0bc0A0AsP3zk5TeVhmbzK7ywmrlwCck3Fx6FcxmDomEgHmTSmA2sWgOxTBheE9NA1lJMQcYF6zvr5CbkvJUtYRN245i18F6zK7yghdEvLDuM02sMXN8MZ5/bTeqhl0LSWqd9CaTXJ58N6ZXXKcGXKRbgRSwycNJMKo+SOTimlBRmh6gwsIxuo3od7cfowAJ5R7cHIqhOZjA0rU7Net2YU2ZZk+eU+VFKJrQACzI+o+zAqbdfh3+/Pd98B314+nVn2LRz8sxfmgPLF0r182yM+042RDCi+v2wB+IYlall04tE6vzR5CdYacxA3mNrNela3eDYYBwVGbwStXAJNdA1vaSlTs1QIna5duwYEoZFkwuhSBKKuDFfWMKEYnx6JjtRNWwaxEIJxCJypSkRoxak27tBUjMRam/ejZmVKchgx/jh/bQ0Ldu3nEMP+rTOnRBJKaMdCZjcRE79p7E0PKumqYFATCKkgSrmYU/EKUaZ0ayDIuWb8Ojk0vR2ByltMfk3DZtO4Jxt/TA5h3HMOpHP8DMyr5oCbZqFHbKc+ler8XMIc1uQkNzBO50K0YP6E6Be/0K8nTiYS8YloG/KYJcdxqef30XjS1IM4asdzJJSX4PjmXBQ54OTk+z4PFp5Ygn5Fody8n3xAhQcDE2s9sTP3zbzGN6cYZyv15YUwaHzYwMhxULa8qRSAhgGAYvvfEZhpV3pflXfp667r/mnQOatVUx+Bps+OgLVT333e3HMLSsq2pw6jfV/TTDInOqvIjGEmgOJtAhwwZXmlVXqzAa5zF+aA88/MzHhprJ026/jgLjTjWG0e3KTN0aBhiZVjfOC7AKHDq4LFhYUyZr0KfbsOQvOzVTtN9VHbi937kawHEAa3EOmoA+n68RwGbFS/8CMAVAHwBRn8/3YfL1ZyFP/N19hr99LVNy2LZFrwVo9RimjO6NDKcVoUgCcV4WxiYoMyXiIcNpQYd0G512Izd8weQycJyWa1rZACMFBVeaGRzLIBzjadBwf0URnA4zLag1B+N4MalTeHmOA8+u2Z0sbNh1HXtDcxTl110JjgOCoYQKrT9jbBEyXVb6XpbRR7cRsW69YIPQJChRHlkZdoq+Uv7GU0b3xvihPSiS2WyAtL1UvGifmUyMSkcnGueRY4B6r/PLVJ2xhKhLp7lgcqluE00QtJMosyqLwbIM1ZIk71//wSFMvq23bsHbZGIx6baeaEny+5tNDCbd1hOiZMRh30d3yrF6pH5wYLOyBkLCHBhBxMMTvLQ4k+uWabY4SGeVsLU3kT/X91+yS3bJLkCTGH391JpyJOICLBYOgZiAmCQilhA0NEMP3llEqSyvyHHiwTv7AJBwuimCTJcF427pgbe2HMXNpVepGg7TKwox8daesJg5hMIJzaSe22VFczCuG4cQjdisDDteUmiykYKH8lr8AX3+fkmU0ByMg2UZPPnKTsyfXAIzyyX1A1mwLPDcq62JY0sohtl//EhznDgvwGIy4ck1O1Xnn+O2IxThVT7/4QleONPMhnQyZ9J7vVDpmwUxqbOsMBZysv1toBH1EkZCP6sE2FUM6Y5n1uwyZNVwu6x49V0t2G5u9fXwB2Mq1PysymJcnuNAY7N6PSYSIv7578O0yaG3hp9e/Skem1KGhCDg88N+9L02hJxMu6ppajIzSMRF3QkWAtizWUyquMWT71Yhos1mFoFwHJIk0QYgOYenVskAutQYoa0YRRBEmDj2nOiKL1nbllrUZhWUWEYAUELbSXwpIGHe0i26aGeSd/qO+tEcTADQz78kSaITS9MrCmG3mlVTMuRZemqVPK0SivAwcSwABhs+Ooy3tx3Ds7MG6mrEMwzw+nsHcc+IXvjqdIBOfJHJ2AWTS7HrYD2dkp1eUYj3dh5TPUt616WnY0leI8+q2cxAwoXrc5XWXkrx1DVnMXP46nRQs3en5TjoB1OL2ATVHkhSFqbKjQTCcarNZEnq5Ywf2gP+FplC7mRDCB/9v+OYMKwnLTADatYklmGwaZscc3x1Ooh/fPIlBhZ3pg2KVRv30c8bTUWZTcbAD0BeJ0ZAYXe6Fb9f1RoPzBxfjGOngqhdthWPTi5VTfiR62cZeZolGufBsoxqCtx31A9/IIpAOI5OeS74AzEgDtitJkiihNoamVGGZVv98CWfq7XUvSquA8YimoosBzp5BABvbfkSg7xd4MrTB+rzvIhITJ78TE+zJHUhGc0kPFn/Sg1SQkfoO+oHz4tY9/4hwynURcvlSWalv8x12wEGujUSQsn/xMs7KAAjEDbWeiX/rwRKkP1CFCVIkoSN//oSPa7Kps/T+g8OYcLwnjhR3+oLapNa2nrNIgp4EwRN0+RiAF2cjRnVaQJheWhCbyp0bnUJ5i39BG6XDdNuvw7ZmfKU8cFjTZg/qTTZzI5j07YjGN6/Gz7e9RX6F16JP72+WxM3kHU4esDV1C8r69BKU74uChLVM1WeG1kPU0b3hgQJLrsFPC/R8xkz2KNieiHXm9chDfGEAJ6X4G+J4f827cfw/t3QFIhjy55TuDzbgQWTS+EPyNe2+q19GDP4Grz+3kGMuKEbRtzQDYePt9Dremh8X0SiPBb/vBzudBsevbcEFjOHDIcVHAc89IePVL5T3vMFiALAAMhyWVTft3LjPowdcs0FFxu0x9oTP3zbzGNtNcvr/BGc9keSsWRPAMCUx9+hNPg79p7EmMHX0Anb1EY4yzIqvxqOJbDrYD3V/5MBOrJfVdZH5i/dQnWKCaNKusuCE6dD9Lld/PNyZDqt9Dk92RDCsjdk4NzsSi88+W5DHb/sTLtqOnBOlRc79p7U1L0HFnemee3Kf8rrNNNlxevvHcTA4s66U7TfVR24vU1AL4Asn88XO+M7z2DJCb8pANYByAdwhPzN5/PVezwe1uPxdGjrb8mmYrssK8upeU0UJYT5IKaM7o1Mp9WQXgvQNgSvyHHhhXUyT/34oT3wQrIBRx5IpV7CyYaQ6mYfOxXE6Sb1COqcKrlIJYqSYWNtVmUxltx/A043RWG3cljwwhYsmFwGQZDQKdeJiaN64YmXd+D+sXIDLsMpB0R6G1lzUA74a2vKNRvGkpU7sbCmDIOKO2HXwXqYDJpygOyA/IGoITKFIPdkNJx+MksEjmtrysGYWWTazJrmzK/u7ofszDQN7dLFYnrrF5DXsCyWGoY5ibbwB6IIR3lVgviLu/ogLcNsOL3HG9DCWcycQRONxep1PpXTW/2WD5Nu7U2pL5Rr22JmddfI49P661KUcumMLod9W3SjhDKLND7NZhaxhKg7gVjz0+uQmZlGn3+qF2jmkHmW60wUJfzq7n5U3PxM6/Vs359qOTmudp/b+WJG61dp59t1xRMCLEnh7++znW+/6/fRzsb/ttd31DWGdX0uGPn7Glpk5H2qBgmZhsvtkIbjiqBWObl0+yAPdu47hdEDrqbBKjn+U6vkRDA/Lx0LXtii+VttTTn+UxcyjEPmVHkhiKJKk00vMTWa1GI5Bpu2HcHA4s4Y7M1HJCrgNykT6PeM7ImbSrrAZjEhw2nVTU6tZg7z/vQvzfk/em+pBtDy2EtykuG0G+2BLLIytLSOAJL7kHYq8rfTb0BOslB1vpvR+vUHomgOxrFoeeteNKuyGC4HC7c77Rs/jzq//prv0tGFx6aUIZ7gMXrA1XCmycnX1j0nNHH57CovstJtmHxbbwiihEcmliCWEGC3mNDYEqVFYHLsRcu3obamHOkOSyvqNElXSNDTbRVXGluiMCfBSouXb6cTVx2znDCbGCx9fTdcaRZMHNULDc1RVTL61Cq5iUjoeFNpFQcWd1bRjSqb78pzsJi5c4qBMzMl/Hb6DUjwIswm9qz80/lk7YkfgO9mr2sORimTSiCcwOYdx2gR+1RjCOkOC041RFRN4vmTSpHrtus2DZV5Z1sTr1ySyULWi+d1i5JkLdqtZtU+MavSi1vKrjLM7U42hDDulmsphWnqufkDMUwa1Rt3DxdlzSyWQV6HNDoZoXddc6q8tLmkLMKzLPD87IHgBQlmM4sMhw3Nodj33ucqra31ey7PaJ0/rMvqUju1HLk5rTRtyns7esDVNDZQouZJzJDhkJsWr793EHfe3AMLa8oQTGnEzq7ywmzWz+MznBbwgojbB3vwVV0AHbMcuoDN2358NW1S6F3DIxNLdNek027B4p+XIyvDptESJO852RBSHY8ATBYu2wom+R4CoiKfmTK6N6ZXFCLDaVHRJZLfZXj/bnhx3ee4e0QBmoPy9Nqzswbi6MkANm07gjtv6oHOl6XTe6Z3PwFZH+n77Ifb64PbY0ZxgCBJqG+MYt7SLfDku3HfmB+if+GVmLf0E0NgwYmGENVUemh8X0SaeaQ7LMjKsKniRr2pjiUrd2L+vaU4UR+EIEq6OsPKWtZlWQ6V/5peUYiWUFy3RuJOt+FJhdTNU6vkJlFbwwZKUISeXuysymKsfstH1+j0ikKYzSw65blo7ZEMNBjFM2AYzT4pihKOnGzR1CSU6/pCsLOJD/TqNA9PkBlYErx+vcxsYtD7B9kq4GW/gjyMGexRTeaRvbC09xWURrYpENdlPSGNCHJf2xpYyXXLWqVtNWWuzHMhEIpTGR7i61a/5cOE4T1VDbuZlX3RlMJCQ/ziXTdfg0hMQP5lLhVTAQAcPt6ChTVl8AdiiMZ4zKosTspERJFIiHRSdfzQHpSpLtdtxwN39FFpBOvt+f5AFL969kPN951LbPB9qo0Y+d8zxQ9GvlbPD5yLpcYZgLweeUGUNbBdVkwc1QvBSALmJEsdGZT65bg+bcaMVrNJBcwkTb9178syZnq0zuS5YRmG7gtTRveGKKiZ8HhB/r2U6498vnb5Vjw2pUxDK0quLTXOWLhsK+bfW6piR5hVWYw3Pzqs2UMevLMI94zoiUiM18hhfJd9jvY2AT8GcDWAz76B7/wDgCCA/wFw6zdwvDatoSFIhSeJSRyrKpB58t0yRUu2EywDlSZPakOQoDDnVHkpeuipVTIly5TRvVWULJkui2o0VQ9FsjCJVAtG4pg/qRQMAw3FAEFYEqHZhTVlYMAgO1OeQiTiv8FIAhNH9cTjL8sFC6PmZp0/AkHU38yag3Hc9uOrMbS8KxKCqJuoBkLxZPKnT2mjRO7NHF+McNR485KdfhhLVu7E7Cov3t95jE5Sul1W2M0sGhqC3+CKaNvOt81Bb/0aTSi4HBZKpwHI9+K3f96BJ6b110eNmFhIkr6zkwWLtYnaoqnlugnePSMkDeWrIIlI8AaCvoKon8zWlOOBO/rgd39ppQV54I4+hvoMHMdgxeufY2BxZ9gsQIKXsGLD55h0q/4EIi8IqG8Kq55/cs0yUu7sEEUZNpOGPrShIWiIrjN6/5mM0A62533nk+mtX6W197r+m5aT48LwB9e2+/3rnxz5LZ7Nudv59ru2x74P67c9E2JtomuNwDWShPqmMHhB0gAY1n9wiCJDBUHrm0mw+de3fbh9kActIf2mgs1iMgR+CKKITduO4L4xhUjwgi6aeGFNmQolRxJQt8tGpwYlCXhk4vV4JNmoI4HxPz4+jNsHefD3j+UpLKI3S45fu2wramtk7n5BlNAcjGLcLdcCgKr4wRtM9UkGVHSdL3Mhzgua/eO+MYV47rVdhmhS3oAJIRrjcTqq/2x9H9YvAEhGdN815d+O3zBY81+eCFDQ3KZtRzBhWE/kuu3wFnTE6rfUYKNVSUovCRI2fPiFPCWQZsacP35E0dJKk9e0lGQFuBZ1jWFAknVcchTnYlRcIYA5QtNV54+oksumQBzD+3fTUEO1agJJcKdbqR5hapJIzrE5GEdmUhcw9RyyM+0wSVKbMUJbvoYBwPMCGqKJdt2m78v6Vdp3EUOYLRwag3Hdaeo4LyDBS+B5Ecs3yNSepFgWTfDUD7385t4kNagTHMdg6drdNO9Md+hPvM6qLMa/95+ieaVRwbdTnhMP3FGkk0tupRMBM8YWUSCocu2SadzU/WXK6N5oTjJ3zPyfD+m+l2ZX6yEyDDD/3lKwDMAwDLbuOYH3Pz2uQmeTKZzn5wyCiQM4MGgJRBAXJNX0oe+o/4w+V2nfx/Wbm1y/7XlGBYM9SRAk+gxwHKvSoMlwWjCwuDMtTpPPPL1aplSsa4zgihwHJgzrCUBCPCFq9ViXbTVs0mVn2iAIEr3Ohhb94iBZO0Zr1mTSTjDfN6YQK97cg7FDZFrmzw/7Nc/E7Eovnn11l+Z4rjQZ+FPfFNVtTGe4LEjwEl5INgCVv8vc6hJKrd4cjOPKXCcW/7wcgiDRaZ5X/rEXk0b10uSMxOc2JYSznmo939Yv0L413G4ziAMIS0SuWwaZm0wc5iWbFVv3nNDQaD9wRx9kZdrwzMwBaAnFaIOBrokqLwA5bszKsOmuN39LFM+s2YXHppQZTn6QNeRviWHB5FKEojwiUR6X56RBFIFZ//uh6jl5atWnmDephE7sER8WT/CGUkEEFOFMfpcRFd3c6hKMuvEHlB1p8m29cLopCne6FXOrS4CkJqdRcR6SNieUOJY2u8h3LXhxyznVQoDzc/0CZ58L69VphDgP1nAwgsFPB3RXTUoPLO6sibHJ5LRyvfmO+vHa5gP46cDuAOSm9aZtR5DhsKoAQ+s/OKRbj13/wSHMqvSiOah/34lEAgNozofkjSzDyDTOAEKRBFxpFk1+Rt5LpqGMYu6G5iiND+4bI+u2dUi3UzrQK3OdONUYUjX9fveXHRqN4NQ9/1zyMT1rK148H9fvmfyvYfzQRp3hm4iXOR3GEcImsHj5dtUa3bH3GO1H+I76IQE0t+MFEVYLh0cmlsBiliVQdu47idqpZeB5mSK2KRCDO8OKsUOugT8QNax/LF27WzW01THLoWLC8+S70SHdpgFIK5t1jS1RvLhuj6ZeMKfKi2fWaOMMlmFkGv1oAsFwHAzD4GeDuuOnA7ojEIkjw2HBL8f1hSvNgq9Ot7I/EopmRmLAoe0c72zsbNdwe5uAnwPY6PF4/gatJuDC9n6Zx+P5LeRm4nCfzyd6PJ6jADor/p4NQPT5fI1t/a2932dkZIxVSXcVCCfAsgxeWLsb428pwIThBYDE4J//Oky1PjIcVgSjrYEsQQ+RApjNYsLx+iA6d0zHjLGFEETg411fYcHkUjQ0R5HhtOo6MZZh4LBZ0ByMwZWmH4x0ynOialiBrHsjQpW8zanyonZqGSAxeP71XdSxvvnRYSysKUNDc1SFMJG79ZKug2hojqLbleloCsTgsJnAMgxmVvaFw2aBmHwYwzEeoQgPs0l/Oosg99wuK6xWDrG4oMsf/eZHX6iagbXJDVKJ1vuuxDLPZzMa835sSpkuPUWMFyFJkqpBJ0mSjCAxs5hV6VXRCc2q9LapX3frjV0xyNsFHAsIIvD21i9h4hjq+Inluu1YWFOu+35RhP7xJQkmE6M6V5OJgQTAZuVUr9usHAAJPxvUHYFQK63ozwbJwZQR5Z4givpUp6IE61lSY+hRc52pSXAx6kNcskt2odiZaDbO9Py3ReUREyUwLEMBDGT6qGpYATiWwSMTr4coSrr+i8QkBAWvtzdH47zh3n+qMYxbf3Q1Xtt8AJVDC3T9c3MwDreiYUE01mJxQRUwzxxfjEU/L0ciIdMTxngePa7Kxl/f9mF4/26GDbv65gjMJg4JnofDboYECZNH90bVMFlPoKE5gsZm/WRXEI10eRj8+e97DRG3h4+36MYZFzJ9sxEI7NvSJbBwjEarQQ8x+dIbn2FOlRexhGAANpInkG4ovBJ1/hCuyHGiemQv8IJoeK9EEWgKxFQNm8emlKnWsNFUVp1fX8/MZjHpFuuUyeiXJwIwmxhNQ4WA+t7edgy5bjsynVaYDLSQOZaBgfFYiQAAIABJREFU0IZ+j5GvyXJZEBekSxRf34ClNlktHINIQqSMJYAaJBdPyM3j6RWFqBjSnf4dACwmDs9s2KXy3UtW7sTU23+IyqEFlIbzw3//B2MGe7D6LZ9MW5Rhh9nMIhrncVm2ExYTg0VTyymDTOq6Z8CgxYCCzmYxYfHy7ZheUYgFk0vBCzK1aSgax103X2MoJdEx24nmYBR8ch2RfW/B5FL0K8hDUyCOcbdoJ2O6XJ6BP63dQxvo1SN70Tz0q7oA5i3dgn4FeZpmeev0YfSC8LnfhBnpmZuSeorEXA4L5k0sAcMyQPJn07unp/0R/H6VDMBdtXEftuw5haceuFH3vaFoQuMnf1N9PVpCCdV9mzG2CA+N74vHV2xX+aSVG/fBk+9GukMf8MAyDNJsJjx4ZxFYloXDZobFzGLCsJ4QIaLHVdnwFnSEKEmYdvt18jRrhzQAki69VjTOqxqEymcODPDK3/fh9kHdNXtMnT+CllCMaq8RrUSyfslrw8q7QoLcmNXzsd82Hdv30Yx0VeubIyoAWlOSUt6T78aP+nTCX9/20eZJhsOKuCBg6eu78bNB3ZGVYadAHKC1af34tP6YOEqEKAILp5RBggSWYeg0Pi+ImFnZFyzLIDvTbhgvz6r0IhJL4IV1n2FgcWeseecAJt3WE2lW/WZ2SyiO2X/8SLVWTjdFseadAzIQooMDJpNMAz5jbCGOngpi2RufY/JtvShVuP5xW+nx+xXkQQJUk73TKwrRKc+JTJdVU9OhOlUp1lad57vQpzpf7Gx0ge8bU4iWUEzzWyrBDspaszvdhobmCF1vZI0rp4l+fU8/xHmBTqtu3nEMN5V0QcfsNMqAYTFxiCd43FTSJZkvWTR11ofG9wXPS3hmzaf45bi+uvc6w2nBV6cDKv/mtOuv7QynBScb5Aae0o+namJ78t1Uc3NhUv/PiG6XAH0INa4n303jJkmhw34h52PfhrWXcvxcTUlVLooAyzIwmVl88Z8mFZCL5ESr3/Jh/qRSmE2yD071XUtf/wz+QBRzJ16PQk8uTjaoWRMXTC7FwmVbDZvPGU4LZo4vxt8/lgGWuW47mkNxdEi3UaDyuFt6GAKkCeDDaZeHmFZskEF6V+S4AEgIRhK6ccaXJ1ookPXgsSbcUHglIAEnGlobftMrCrHkLztpTPHym3uxcNlWLKopBwThO60Dt9fP5wF4D0Bu8j9i7X7yPB7PQsg6f0MVtKI7ANg9Hk95UvtvMoC/teNvX8s4lsGtN3ZF+XVXqtBFc6q8GHFDN8QTPEwSCzBA/8IrVU71gTv6wJPvhihJ6HyZC7MriyFKUB1nVqVXpW1T2vsKLFm507AwJ0kS3QDmVvfTfc/JJPXB3Op+mqICQZcsXbsbsyrla1ixYS/lzx1W3lVDA/Lquwcwu9JLdUhaO/Ynkeu2U2olOtKu2KBmjC3C3sP1GFCcr9stt1lYABb89e39KPvh5QCAf3zyZQqF5D7cVNIFP+rTiU5aKjcC8u8zafZcjFzmRoGb2WSgg2di8SsFAgggDVa5iOCwcyqtG46DIf0mxzK4oagTpTIgqDuLmdU9J1GScGPK++dUeQ0Fk00sg4/+/ZWiaWjF21u/xLD+3eBKs8BuMSfP0wqTiQHAIBbX0ooSLRbN+YgSLGb938lm5dDYHNMt3gNo91o7l+TvYl3Ll+ySfd/sTInzmZ7/VJ0f5fPOcSwSvEQbgKm0QL+4qw+caWZd/yVKEkWYrnnngGbSY3pFIawWDq9t1m96bN5xDDeVdsFNJV0M/X9DcxRZGVYVqi8e1051L16xjeqokOMTVDQA3Htrb93jK6eveEFCXgeZmlLJw//Q+L66haTXNh/QnfZbunY3hvfvhpff3GuoMyiIgDWps0js206ivkvjjPSXOUZewN/A8ZX7WUIAVm3cp6ERH1jcGW9vO0Zjvy17TuHeW3tDgrqh21oYYMCAgQhJtec/NL6vZr3PqfKCYaE7OdvYEqFrxXfUj/UfHML8SaUAI+HoyaAKMKenZ9Yhw2Y4YZDhtNBEr2rYtbrvyc9zYm51P1yW5YApOX2jp4X8izv7oC1iaj1fQ7RSLnRdtf+G6TVZiS6N3n3lk779d/ffgJZwHKcawmAYhhYhzCaW0t2TwtndIwrAMSyeWvUpfjGuD+xWM37QKROr3/JhxA3dYLeaMVdBfST7ukMYcr1ckE6dkrlvTCFeeuMzjL+lQPcZJ6DLNKtMY7p4xTYVRZdRnnraH8Yf/vpvzBhbRIt8dX5ZA7ZqWE+cbAhqmuJPrZKLgLU1ZSqwCrmOFRvk3G9gcWcNlTOZPnS7bBeEz/0mjIOkmvLLddvxcJUXZg6IQ65Z+EPq9frQ+L7IcOo33lIBuE2BOOxWk+57/S0xrHnnAPVRHdJtkCRg/jI1NfeSlTsx7fbrUD2yF7p0dOFUYxhmE4OKwR6EYzxWvLlHdyrqiZe30yLZ5h1fwlvQERlOC/I6pKEpwGtqGK+/dxA3lXRB1ysztNTRlfKk34l6WZKFxGDkWmqnlmNYeVd8dTqoe605bjum3X4dduw9iQnDeiIQiWNOlVdV1Mxxp6mmwPVyxYupwdKeHFZTOOYYtARjiPMiqkf1QjiSgNPugCDKoB4l0IY0a0nt4qaSLvjTa59hxthCze/sdtngb4mp6lvTKwpbtZ+qvLBZOUSiPN744BAGerW1rNlVXoSjCVUtr2LINRg94Gq0BONoMaBrJhOvxIfNn1SKJSvlArDZxOH3q+QJ02m3X4f6pihd16eT/38mXdVctx33jOipomIkvnZRTTlMZhar31LHWus/OIRJo3pp79mlxspZmd76hSTHb8fq1L5EyZKSmsfNrvLi1/f0w6MvbNGAydwuG1pCcc1aTHeY8a/dJ9DjqizNQEUklsAfVv8bAKjfZVlGpjZ9VtZhddotuvc6w2HFi+v2AFCD5fXe63ZZ8daWIxh3Sw/qxwl1slGDTxQlcKy+LBAZ+iDr25Pv1uS2BNSWEIBH7y3F8Xr1NNWl2EDf2qozfJPfwQDgAHBg4W+O6tLIk9zu7uE9cfRUQNMPWPe+zHS0cNlWmbEF0PQ5SMxtBPh02i1Y8eYeWf84HMfYIdfA5TDjjQ++oJOyZM0Z+VfCPDDulh54+c29mLd0C56bNRAvrv8MrjRtk312lRfbPz+JOn8EO/aexA1FnSj7hvL6n1rVutaV654Xxe88FmjX9/t8vrFf50s8Hk8BgNkA9gP42OPxAMBhn893q8fjGQfgOY/HYwPwJYC7kt8pGv3t65rVzOLm0qs0m+jCZTJVysPPfIx+BXmYNKo3Zi9Vj/u/tvkAHryzCKIoIRCOo0OGDbP+R/0eIna55p0DGD3gapg4BgtrytASimPOBC8WvqRGQ7+w7jP6+VUb9+sigkmyZLOoqVfIdxLUCaF7IYt418F63FJ2FR6ZWILmYAyBcIKiK8f+xIPaqeWIxwXUN0ew/oNDmuBiYHFnDS3T6+8dRNWwnljzzn4M7pePhTVl4AXZyaRy61stHHhe1EV1Vw0twO+TRRhAzZFO/q0XjHAcCwEMooKo2RAuhkKHUeAmGFB41k4tN2zQQQB+/awONebUct1CMcMymmSd0LjpTgiyjG5BfPG0/rq0n6xBk9HEMQiGeZVe5MMTvODsrCGtqN5vxLKAKMDwM3rnuqimHC3heLuLameb/LWHXvCSXbJLdn7YmRLnMz3/bRVLTAB4Vn4/EXRX+qPf/nkHaqeW46lVWk28+yuK6JSe76gfy974nE6REOrnF9a1Ul/NrS6B2cQgwUt4bfMBeAs64m9v78fA4s6I84Iu4nX9B4fQ9YpeeO7V3XhkYgkC4bghewGZoCIJ39zqEry2+QBuKu0CXpR0G3abd8h04B2zHDjREALDyP5aiSx8fMV2LJ5WTqcBctxpaGgO4yclXZDhsKK2phz1zRHNtF/1yF6G1I9fnQ7A7bKpfK4yiQIjJ/sXCjiDYxn8pvp6nPaH6WR9jjtNnpz7usdO2c/6FeThnhE9dWPAUTf+AIBa24QXRPz948M04SINCo32joJO6PEV26kg/OU5TrAMg8aWCJoDElxpFg3FoChC03RbsnInHrijCEvX7obbZcPc6n7omOUAyzJUY4is0xfXfUapS/WS0adXfwoASHdYsfjn5WgOxul357rtOHoqiKVrd8ua4FYOLAB9LWS0WeHQ8zVEbzA1jrmYJ1DO1fSarP5ArA29PkYGc4CDv0WePnW7bPh1dT+YWbmdS/Sdfjaou0wR77RCEiU8eGcRrGYOuR3s4HkrmgJxZDptNBYm308o5wgwomKwR7WOic+rGOzRpRMVRAmLf16ODJcVR060YMbYIqQ7rFjx5h7U+c88GUsAraR41xyMw2bhcEWO/gRLQ3OUTsZMryhEx2wHFtaUYdVGH839jCgir8hxwcpeGD73mzBBEJGZUtizcAwaAnEVGFi5Xh5fsR0zK/sayoOQ912Z68RdN1+DF9Z9prtunnt1Nz0PlmXAsjJDi959M3Eslq79N2pryvHr5z7BY1NKkdfBgdrk9zcF4qge2Qudcp042RjCig176Vog0yOEwQiQ8OQrar1X8p5VG33omOXAc6/uVj0Dz766CzPGFuLd7cc01z134vVgWMBpN8PlMKO2phxNwRgamqPYtO0IKoZcA4uZQ7bbjhuLOqk0vWaMLcKyNz5PanZGVd+5cuM+3DuqN80VjYqNF2KD5WxyWEGQ2SH80YQGyLVig1ybemh8XzxwRx9DMG8omsAVOU7cPaIAJhOnAQxNryikmlHkM8pCLKG3XbR8GxZMLsNXpwNwplkwt7oE8QSPpmAMDrsZM5a8B0++W25EZNiQnmaB22VBPCHhyVd26Dwn8gCA8lwZBqgadi3c6TYs+ctO+I764cl3o2O2A4FwgupDrXnnANW90lDeVnnBMBL++NAAnGoMAUa0wKKELIdVFwSk1yy5kIFu35YpGx+SxOL512X2uE3bjqgmMOV/F6M5GNPkcbXLtuL+iiJKwam8l3fdfI2mPkXen+N2YPVbPtROLUd9U4Q2PrbsOaWaxrOYORw/HUKHdCuqR/aCw27Csje0fn1OlRf/985+6nvJ98V4LX3tzPHFMJlYlP3wcvp6UyCO+8YUamKUp1d/imm3XwdeEOVp6ST7lvI6Sd2arG9eEHUpzAnbAKlLk/POdFnACNKl2KAN+28yj+nFyk+v/hQP3lkEp13Og7jk8IcR05En362qGyjNZGLwx4cGwGphdWOZp1d/SnP9RVPLIYgSBEHCTSVX4R+fHMbgfl0M49vZlV6EYwkah5B6wdK1u3G8PoiBxTIppZ5ExYRhPfHKP30Y5O2i+xyQPYcMOCnX/fkQC/xXmpA+n28PoJ9/+ny+jwFoISpn+NvXsVhCMkRykgW4Zc8p3PbjiOo9nnw3hvfvpnJGsyu9us4tK6MV/UGKGOveP4SfDequojR0OSyqwojvqB8rNuzFo/eWQpQkmE0snnh5BwB5s85wWjG3uh9Wbdyv2zwj17Bk5U5MGd0bFjMHq4UDxzEalPQbH8gc+xVDuuPybCcmjuqNWIJXXUtOpk0X5ZEQBOw6WI9h5V2x4cMv0OOqbE3y8fRqWez7VGNINxgGw9DxWnJOKzfuU/1bGYxwHAuJY+APxDXFSTJeezEUOowCN8FAK0kQJfQryMPA4s7UeW3adoQihfQ+AwnYsfck5laXqJp6ue6u+u9noN+8M+lPCCZ4ES+t36NyqC+t34MH7+qj22RcNLVcQ7/02EtbsWByme7xRUlqg3LPWBNL73VelM6qqHa26LpLtDGX7JJ9f+xMiXNbzz8Hps1iiSCIVERbrzDqdtnAG4jTu11W/PXt/aoJpz/89d+YU+XFsg17KF0bAPyoj9pXPzS+LzIcVlQNK8DJhhDWvXeoFeDDizjdJIOEKoZcg+ZQDL6jfjy16lPce1tvw/1dCeip80cQjMQxZogHdY1h+IUoVmzYq6Ln3LzjGH7Up5MmSV2ZpClT7vXRmIA//30f/IEoFk0thyvNglONYfC8iKwMG2b+z4ea3yfDadHl+lfSzqX6XJJEER2JC6UwIkFCNMarp+nG9YXDbj7zh89gyv2MxMxGExek8UcazHOqvJR2iyRc+Ze5KBMFoC3okdeyMuw4ejKAllAc8YRANbtrdQrf0Thv0HRj8Pi0/vAHYiqKu9mVXlSP7IXDx1to4byxJUrpmpRAtBVvyqjqcbf0UD1jStQ0aagsTAKojHwKxzFgwBoWOvR8jZG+0YU6gfJtml6TtTkYp9R1qb6K7AGxROt0tNtlQyIh4tHlsvZSv4I8TB7dG40tUfCCpGJZmV3pxaq39qF6VC+MH9oD0XhrPqakFDObWJp3nlZMkxDLdds1FHT1zRFIkPBEUjN+8m29NajtpkAcvqN+vPzmXjpR8OWJAG0sAuoiBlnT94zoafiMKydjnlr1KR6bUoala3djxA3dcOxUEL6jfkNwBnepAaix1MJeHCz1G0bNVJ6XwHHAgsmlEEUJJxpCqnua67bjVGMIl2U5sGXPKdqkI7mZy2FBpsuiqQXMv7fUEFU/vaIQgiTBk+8Gx7LgeYG+z3fUT3OceUu3aM5XqSs1K6XGQp4DUZRQMcQDjmOR6bJofLkgQqMtKyandpoCIbo/pD7D7+08ht5X5+DyHKcmL1uycifuryhCdqYNdY0RzXSixLTufXrFxgu1wXK2OazAaMHByj2dgHrcLpvu+kqzmVDXGME7249iaNlVGsBQwIAKWVmIZRk5ng5HExo/uGrjfjx4ZxH6FeRp1sisymJEYwL8gSj1k640M6JxHgmeVzVUSExht5nAsQyqR/WEKErIyrDRJvembUcwZrAHGU4L6psiGFbeFW6XhU6cNwfjWLVxHyqGXIO3tnyJQf06QzKggSbN+fZOAP03poUuZBNEifrLcbf0QILnaX03EE7gzY8OY/SPr9ZdiwwD/OrZj6nWqttlw103X4PcDml0iES552a6rGAYoCkQB8+LmPk/H8KT76Y5nbKxkgqam1XpRVMgrlqvsl83Y9fBetW55brtMLEs9h5uoBS8pNk4cVQvXJHjlJlnRAkmjjVs1BPtQHIOpMmv3HNy3HbMrS7B21u/xE9KrjIE0Crr9Srfcmmdnjemd+/cLhusZpOGCW79B4d0J2QfGt8XLrssPabscwwq7gQGLAV29CvIw7xJpWAY4OhJbXwaT4gq8M59YwopBa8yvs1wWpCVYcMTL+/QNMKVjC53jyiAmHzWU4GsE4b3TMY4+s8BiZVJPYTER+dLLHBR5oSCKBoiOcmN8uS7NePTehogtcnJO2Ugm+u2I91hoRQt1SN70QCH8OQT06P/9AeiYBhg2fo9GFbeVTf4VqKmlIg+cg11/gjyOjjwVJJ64P6KIsybVAKGYWDmWDz/+i40BeKYeGtPBEJxNLZEEY3zyOuQRlHPAGAxm2gRhVwzQeFVj+yFNz78AoP75WsaoeS9VguLvA5pmsLbjLFFWPPOfhW1iM3CYdrt12FSXJQDEo6BxMpjxgDgD8ucvHqi9SR4vBgKHYIgIstlSerbETQokBCh2+yzmliN3gZp0PG8/mi12czqNvWsZiNhZOhPCE7Vn8jjWEa/CGeAcOMNGpycAa1oW4GwIRWagd6GkXMXRehSdZ0tuu5io425ZJfs+2yG/jep3dXW89+eYgkHGcDgD2i17yqGdMfxev1iK8MwGFicD7vNhNqp5UgkBKpLPLx/N6z/4BAkScLdI3ri+Okg3bPdLhticQEPr/iIBthjBntUSLs5VV7cM6IXTGYGi5dtByDHKQmeh8XMaajFlewF5Pyag3Fk/n/2vjwwqvLc+3e2WZKZJEM2QAGVJUAgNUAmkgStgLQCApoWAkoSNIRFBcVbWWxlUYnY21ptKyqogLcCfkUUwd4Lihug7BYIEBBRUCAhZJLMJLOc7fvjzHtyzpxzInhFvZLnL5jMnDkz8y7P+zy/xWVXcyGfX5HnmDi8lyqDFJtfEUT9zspqda+fVpiFcxeaUH5HHyTE25V1kqHx3598iZ2V1ZaS6ilJUXlsjkbF9ALU+UPwNYZ1B4grZc2VJeCpV/fovuunXt2DJ+8t+F9fW7ufkZzZ43aYFkQT4pV5BEpW5KqiRY6r01zqgatiujnQ5+o0lypLqOQOikfOoil5ePSFHabjiYyfdokO0zlK08r9G3KZlbtUTwpSeCGS+SoYMMEOQFbN683ee/H0fN2Bs8YXBCgZggzEO1jlu4CMU9V+LF13QG0sJrltEEVAkiTFe4N816AMEklaz04SPxXU6f+1MGuyvrf7K4wf1hOro/K2iS4bPG47nByt7gFacN1dt/ZU11IAUVkkCY2BiOEsU7FyF+aU5ChNHoZGQrxN57dndgY0azZoz4c2TpGgKxzcHX99/TP1TErWa/Le2nNU1Skflr91UG3YxY6ldgmKJ+3bH5/A+GEKe4ywArT38eD4fnhv9ynMK/WqZ5JQRIjaboh4oCgbp2sCOHKy1uAZ+lMpkvzUQ7veWjVTtezkmeOvh41jdABcUkMoGtZDLZRp5TOfmJavKCM9p1c9+p9PThrW0bmlXsXPV5ZhY2k8XDwAtfVB03NXKCJ8a+P4SU2Nhay9sWO9eERvAFDBQnNLvQjxPK5Oj9edh512BvXRGkJr+YbDxkKyOHOmJDkgirIKqiaPExk98lhsM/3n3GC5lDMsZ2PQFDEHsumbdBSeWbPfVPr9j6/uVffGOKdiEaIFDFmxMLWFWElW8mkz9sq0wiwwDI3i4ZkGdseTK3djZlG2utYRRvSD4/vpaoakYfjO9i/Qv1d7nQJYbP1u7ZYqjP9VT9hYFn/6h5HRCwAnzzTisSl5CIYFrNp82JSty0QLEoRtCZqCKMkATYFlzMFEPyRb6OcWNo7G/LJcZb2QZdA0jSSXQ3cWGju0h+VYrPEFIUgSHp+ah0CQN2Vqk/zWxtFIdNkxsygb9YGQWuuLd3K6tZnUm/VjtmUN1a7rS+4vMFV8eWmDIid+7x+3AgCW3FeAolt6YtmbB1Hvj6jS4TW+1i2stPfwzJr9OosI7TyeMS4bwRCP+kC41f2ARFt97KcXsbmyFSOb7LEADDK44YiIp1btMKyTd9zcXXednZXVOHmmEfPLBprmp2cvBAxr+syibNUyguS3M4uyIQOmXn8kZ/L5Q0h02XHWou5ytjaAomE9wHGM6d+JN3FzmI/WVnqCFwTlTNeK3/sPFVfkHGIZ2hLJuXTdATXRXPVOpY7ebYWwbZ8cr9v4Fep+S+OAoPPMUHprNh8zLMJzSnIACphyRxZoCpb6349PzYMoASs2HlI3CrJxpHmcuNAQbEFdtHOipk5JYAVRUhdyMz+1sjEK4llpvoimn1kUZaQmOfDbIYqBqyCaI5NOnfNjzeZjuHtUbyyeng9JBmp9QazYeBhVp3x4d/dppHkUnw2GBuoaw3j85Z26+/G47XBwbKumoD8leu3lDoahUeePGHwhkpMcps0+WTYpaq3YhSX3KQUnM+kfXpAsGXmxVOw5JTmWjEJRlDG7ZAAaAxGV/ZrgsoGiYPq+DAPTcWTlUcXSlKF4MLfUC46lIITEizZ3nlfqhY2xQuObNwdpmjKtUFwquu7bmEMCgBpfM6AxSW6LtmiLHyes1t8kDZvPav6Lrcj4qIX96OuT3DZd/pHmcaJDigtPv7bPNHdpaAphxcbDKB7RS1dwmDEuG0dOXjA09kiuUDi4u+7QOCSni65oTRL3aYVZsHMsqk75kJuZjslj+gKgIEkSGIbCk/cWQBBl1NYHYbPRpkXGmUWKf4u2cP3qO0da0PWtFIbI/9snx2Pd1uO4eUAnLFm5XbMPKX7I7+85bQo6CkcELFj2qS630KJtr5T8AQAEi/1a+B4O1tr9jOS8Nb6gDoWc6nGCAyAKoo4pQNsYFI/opWOXWhW2q+uaMHF4L7z98QmMuakbPtz3NeaXDVQLgqkW4+mqVDfCggCbTfFCZmgKHEeD5yXwvASaNlcvIKPi28CARP7U9PsVJB3iNDczHYFmXidzPmtCf53Sx+rNRzF2aIbO923B5BvA85JuDSLNQgZtEl/fV5jliuOH9USy24byMX116zuvOdDbogUBj9uBlCSnYSyIkmxp7RDv4HReY4R5QprO5HnaotrbH59QpcUu1IcgQ8bdozJ1KGft+dOKMZbosgGAumb6myMGtuvcUi9qG5Tnlo3uC46lMeambvA386qcMwF2BiO8gd29YPINiHdwBt+flER7GyPlO4R2vbWSciVSWWkeJyRJRvvkOCyeno/z0fWVFJu3//uM6drxwd7TKLj+Kt2YyejsQf9e7XXN8HYJDvCiiN8v3W5gpORmphvOaqkeJx66sz/+9I+9uvsloGYgCvhIdyvyzCkuU1b4tMIslI/Jwj2jZAiihDfeP44Dn9diXqkXR07WYv2HXyDN48TC8oGoj7JKWpsDDYEIPAnmLDQZQGOTOdNMkvV1EFJsJKyVn+v6eynqNxERlgVVbZPOHwV9u+M5tcEnSTLCEQGFg7tj3dbjWByV9bzQoLAySX2oNWAEGdOCJKF9crxFjuCCKEs6Jrb27zRFYcWmw+pa50lw4JW3D2HUjV3x2NQ81DWEVInlITldTL1SYz2inDYWLKOAemTZuqnawvq1YWF5HhqbFLbg2i1VGD+sJzxuZ5vFyGUKrZUDS9NoDgmG+mlqmiMKEJXA0DREiJbqI2keJ+IdLERRNpy5yLh4b/dXuGdUHzQEIqpk8W8G90DJiN7qeWbJfS3gA6t1rWOKS1ennjEuG6Ioq/MrVk580shMAFEAZaITL755ADsrqzGv1Ks7L5pZWMXK4pJ7uCrVjRfnDcU3NX6DBPSCyQPhjpdNvb2JQhyJK+ms9lONWFsTG0OpucO3MbITXTZDzTi2DkHWycXRnNDsOhFeuOixxzJPRZywAAAgAElEQVQ0BFHSMXVXbTqC3td6DHnJnBIv1n9wXMl3S3LA0BSuTnPrcqPczHSUjuyDQDCi+FazlNFXtsSL+DgOf/ovpdk9uzgHH+8/jZv6dQL1Exm7V2QTkKEpjLqxKzZ8dEJNXhPj7XA6FHScFiE26sau6qCxMlatrQ+q10lJdAKUUgiLLWKYFTN8/hB4UdLRrkn3eVphFjiWQaLLbl5QEGVs2fklym/PQulIEeeiEh8+fwgzi7LV55JCQyxyOSnBhtl/3WaYdI9NzdPdj2nzhaXgsHOoDygJyJGTtYbmkJb+PftviifEovI8yJB1BcI5JTn4146TuDXvWrUBqL2faYVZqt+EVUEoFBEwt9QLG0OB/7lm2tEQQanFHyAqjRlt0Jk17qwkMwVRBk1TePvjEwYT6XtG9YXH7dA9vm7rcQiSjI/3f22QCR05qKv5IYChQMcIgtCgIMswfd9phb8w9cTkGMr0cCsBWLNZb4K9JurNYPn9WRTp+YhoXryXJHN/xFa0Oi8FXWfdlGzx+mhL5NuiLX4aYbn+Tm9hUZnNf4ahIcOauSxDX/iM8BLWbjmK+8dej+REZS1lGdpUhijOwSEYFkwTaXLAikXkkUNm7KHR6hDZIdmFC41BPP3AjXA6WAiCjIamFkmj8cN6whXPIT3ZCVGU8fjUPABAQ1SesXRkb9CU8vljJTk8bgcAcyBRrE9wbX0Q3swOlmjXX/bvhPf3nMaiKXnwNYbgb+ZB01APzOT5scjUK6lRQn4HwzhsbVO7yNDuZ9p8jbBL0jxOZY812cOIX6/H7cDDxQPgb1LYo1Z+VoqEawFWbz5qkLidXZyjU7Ugn5H4PwZFATV1QbRPiUNTqAWF/cKcIZYFzTSPuUxvja/FSqDeH7EExbFsC3I8FBHQKd2tNnzIdf782l7cP/Z6/OGFTwAoTXnSAAQQnSvGNYgwiqU2ia/vLVrLFVvL79xOTmVzn7tglEuu94cR5s1ZUGdqAzHr2m48NjXPdMylt4tHxfR8hCICQFGI8AJSkhyqjJwotpy1tHPR6hyVnOjA87MHg2MZhCI8Fi5vAWTOLfEiIgiId3AIhQUkJzpQWx/S+Xpr52XZ6L647qoELH5FX9ysrms2MCC18l5tjJRLC+16W3XKh71HzimAW0k5323a9oXaAFww+QbYOAa19SGkJDp1bLaMzh7cPKCTgeH64b6vsedIDX7Zv5Pa2C4e0Qvp7eLUtavFZzhX/W1jGSnkOdoC+cZtJ+Bv5tXzJMPQeGf7FwagRFOzItloBQJ22FjUNgQhiJKuuLx4xS4sLM/D4ZPK/2W5hX1oNQcS4u14eUMl7h7V2/QsWu8PoSFgJV9L6WQcSV70c88rLlb9hmFo8JDhijawVmw8pLI3tU06IjM8p8QLCpT6PZrZwPibI3A6WDw4vp/622rzy7R2TridNoiyjN9N7I/GpgiaQkoB+MHx/Ux/x2/OB2DjGHRMjTf9u7+Z1+Uz0wqzcNsgpaZYMiITc5/bjiX3FWBnZTXG3NTNdMxqWY+JLhvO1AZwTccESIJsumekeRQ2GFHw8GZ2wPwXd+iec/JMI/5z5o1tFiPfc1hZERHJWo/bgcLB3eFJsMPXGDGA0tunxCme7Ql2tU6b5LbhoTv7QZZhaU/Vpb0b44f11FlQzRiXjX9uPYZfD7xGfY1W2c5SWpuhVB91Um8bktMFV6W6TNlUAIX5ZblonxwPSZYx6sauGHNTN0M9mlhYEZKHJMrgOMqUXQXIYCjKVAKaooBl6w8hSVXZkdTm0vhhPVViyqWe1WKbVZL002i+/F8OK9/3hHgOFfcWQJZlzHtuuyUjOzHejrMX9EAQSylzUQZrofjmjrchIoi6cc0LgmHs5Wamo12CA7Is48uzfqzZfFjNEQoHdzfUkNduOYryMVn47RDF07KuUZEYjXNwWDw9H7wooTkoqOsvGZPv7zmtu87zbxxA6cje6nstWbUbCyYPhCuOBc8roPAf+3x2UU3AjIyMTwGsAPB6VVVV3WW9ox8gwryIVZuOqP4KDYEIXt5Qif+4qz+mFWahgwYdtGrTEUwc3gtPr94Hj9th2ejy+UOYU5KD1ZuP4sDntXhwfD88XDwAT63ag3Vbj6tm8GZmqy+uP6gOkorp+eq/ibff4un5lkjoQdlXoynE4++v/xuFg7ujdGRv+Jt5vL/nNH4zpAeW3FeA5ESHodBQsXIXHptifrAURVmljC9/ZKjhnh8uHgB/M29gG5DmUGNTGKkep6nOLiBj1aYjmFaYhfR28aiuawIF4PBJH27o29EywSdMMCud/TgHh92Hz+KGPh1/EhPrcoZg5V1nIV9ixaKjKQoOm7lUqN1GG3TFZxZlw87SyO3TUVdsmzWhP1iGMmUIsgwFCfpNV4LidTnqxq6G6zM0hSS3XeebmeS2Q4Z50/CeUX1R79dLBdT7IxAkCfaYzV87HqyadGaPywxj+t7lY7K+l4TaqtAUES/Ni7At2qItLn9Yrr+tsKhI0rx681FThLJW3sgTx0EA8NKGQ/jt0B4IR0S1gXf7TdepqDVSgFBANIovrzuOMwVvMIw5A5E0EbX7g9UhkqKVBg2R0Ihtyny47zRuye0Cnz+sR8OVevHP945hZ2W1wgaISocSlPzs4hwAMl7aYG5cr/UJJoWfsjHmTCutHzJhrxQN62EpV35Vqhsvzh3ys84XzMLGGc3V55TkwMbREMT/XblSu5+BuvjioLJXK3OL+KiRgnJuZropcwVQ9vfBAzobmFJLVu3GwvI8XfEgtkmhsDQKMPelFjBcbUPQtPjb2KT4ZFkxRPzNvKoisnJTpWEsz5rQHxSt/66sFBSSE53q/7UKJOT6Vghbwihuk/j6/uK7fJf1gTAkWUb7dvH4y5r9hrGQ5LYBsBnGGVGj0UaNLwjGomn/dU0Ay986iBnjstHUHEHFyt146v5BaAhE4I7jkJyojLs/v7YX67YeV99P+2/t/F/25kFdUZ6sm+TMuLA8D6+/W4X8X3SELENtAJL7JFJ6HKvkzNd0MK7TVgxIK3n9tmg9iDz541Pz0BwWIIqyet4nxblb864Dy1AI8YL6t7/Mukk3LouG9VDHA2nYpXmcWFieh57XtENdYxCPlt2AMC8gFBZxoSHU6m9rVtRTmiLNeHr1PiyYfAN+OaATAs28wXbiTG2TOg61SkitgYB5QVZlxbVesc0hHmVj+iAcEbFyUyV+O7QHZhZlY8NHJ0zzjXVbFcYrw9CwsRQeurMfEl0OyJIMlqXhsDFISZIM+9ojpV6EYtQG5pV6key26VjCP8e4GPUbM3ba7OIcFN2SgWBYRMfUeMy6sx8YmkIgyEd9gY/i7lGZpmpYZK0hzdZJI/uA1rBRqk758N7ur1A0rKcqlUjGV2qS0rT559ZjmF2co2PZxwKMYv9OankAVHBEc5jHho9OYNwtPWG30VhyX4EKoLcas1rWY6LLDkFUlAiaghF0SIk3ZY8te/Mgikf0wqpNRyyL5rwgtVmMfI9Bxq2ZFdEza/arDYinV+/D/LKBpkD8ReV5aJfgAMdRuCrVpTajf//8jijj3nyMaJmf5HoEvElAZwB0ddF1W4+re742fw3zIjZu+0Inmdwc4rHjwDeGs8C8Ui8kKPm3x+1A0bAeaJ8cj9r6IOr9RslOxRpCxrqtxzCy4Do0NBkZWjOLsuHzh9AuwWn6WU9XB+DzhzC1MEux1xBlQJTBi9DYbyjgEa39xsX8dto15/d35yLRwV4xZ73LEWa+79pGNektmNXr55R48c+tx3DzgE46pSMrafCztQF0TI03ZesJkgRBlFVpWmU9ztGxSXMz01E0rCceWbrdsMZXnfIh0WWz8PqT8OgLO1TQk/Y9Hp+apwNmktqsmTWcFsRc4wvCztHwNYZ16i8/JrnjYveDZwHcBeA/MzIytgB4FcDbVVVVfOsv+2mGlR8ZQ1HwuB04e6FJ560miBIeurMfPG4naBpqgY2mlefPurMfRFHGG+8fx7u7TwMAnl69D/ePvV59bnKSA5Nu64NQRMD8soEIRRRE5T+3HtPJUWkTA0/Ud4G1YEGRRGV+2cDooVIJp53BrfnXqLIZWqo4CZIQEGQfaYiGIgJsHI2Mzh74/CGEI5KhARKJSHhqzR7DxkTQfKGIAEky19kVJQU5snD5TtUUPM2jMATP1zdbJvisJrkj8mHtEh04WxtQvVPmlOSolPUfe2JdzmhNntIKAWQ2fkADobCEj/adNjD7bhvU1ZSa/eS9BWBZStekY1kKgmjNEAyFjZKzoiTDYWd013HYGcgA4hwsOqcnqBs+Ha0M3DW8FxiKBk0BiS477hreC3bOolnJMfD5w98Pi46SDZ6cM8ZlA9T3hygyKzRdjHRgW7RFW/ywYekpylBKdmwS2qS53q80EzqluXCursmAXCeFlJ2V1RhZcJ2afALA+g+/QEqSE4un50MQZYiijHd3fYkBvdtj1aYjKB7Ry3Q9ZC38TlM9TkgSdIfG93Z/ZUi4ZxZl46Oo3CLHUvhG4ymo3f+r65qMPlcrdmF+2UBVMu6j/cp+Q1FAdV0TXlx/EKUje2NnZbX63ZBcwxXHYWTBdRg7NAPuOA4vbTgEAHBbKBQQn40OKS40hSKYckcWnly5yxKRyNBQZDmusEaJIEhYu6UqBv1YhSm3WzPoLyXIfgbgkoqD5HcqHNxdx1LZWVmN0Td20zX6AOU3bGwKI9lC+pOmgCem5aO23tg89CTYUTa6r9p4BJQmmyTJSPXEYcHkgQhHBNQHwkh02fHXtZ+h6pQPGZ09llJjWqlQMpaJTB5NKUxH4l2Z5nFaAvwYmlL/nRDf4vFHrm89ntskkn4KIQOQZBkURemY254EO9xOG17ZqHjoPTyxv4Is5iXUNgQR5o0o5jSPExcag6YAUiJ9S1D9Nb4gwryoIvszOnsw+fY+ap5N08BjU/MgSTIam8J46M7+SHTZwdAUlr91UC2EkHw/tqESigi4eUAnPLNmvyUrq2OqC/+94yRuG9QVtfVGX1urYo+VvH5bfHtERBm/f36HzkvMrDina+zWKXs92QOsFYdEdExxQYz6kTYFI+rYaO23ba35UeMLYsGyT1ExvQBPvGwsms8vG4g7bu4ezU9ktVC+q/Ks6dprtzFYtv4Qanx6+fA0jxMOGwu7jVabn8QK5e7b+oBlFX9gSZYhSTI4jsaI/Gtx+y+7Y9U7leh2dRJyerdXaymk2ZcUxxn2NYah8HCMstKVBNr8NrCEGTttyardmFaYhSS3A0vX/RtDcroYWEl33NwNiS7z/Z1Iw982qCsejbIycjPT8fjUPDREVQRI8Ze8hoyv5W8dxMPFA+BJtFsCjARJwovrD6prd5ydQ7yTQfmYLNx1K6/47gFwOljccXM38IKAU+dCqn8lYTSaAYJEScKS+wrgcdshyrIuZ581oT8cdgaLp+fjQoOitkHu6+SZRkwrzFLnl1n9LiKbKxG05QeXHmTcWu13qZ44nK0N4MHx/cCy5jUbigZe3nAIvx54DXhBhtPOqOc6fzNvaU/FsubS9IkuG5pDLeX3qlM+vP3xCcwvG4hAMIL0dnEG2UOfP4RF5XkoGZGJ6romiJKEPYfPYdgN10IQJdWPWoayjldfaMbvJg6Ay2nTMXYfLh5gaDLOLfVi22df48DntRj/q55wiiz+/I99uvPFqk1HUDqyNwTRqKw1r9QLdzyHiukFsHMUwhqWlJ2j0RwWDexqq1qelvkngzKsOY+/vPOKWZMvV5j5vmu/4zNRuedYxR+X04b6QAgHPq/Fu7tPI6OzR/1bqsdpkILV9jn+UJarq3vwooBHn/9EB3p2Ohi4nDbEOWRUTC9AWBAgS5TB15WcoZa/dRCJ8eYe6mejihxmHptWzN1YazhyLtReV5BktQFIXvdj5gkXVUuuqqp6DcBrGRkZ6QDGA5gHYFlGRsZaAP9VVVW14zLe4/ceVtIFHKMULNol2lEyojeq65oBAIIooV2ionUPgTIkKUvuK8Dsv23TvUeNT9GgXbziExV94I7nwDIcRElGgs2GQFMEBz6vBQDdgCH/fvq1fSo7YO+Rc2oxQxAlUBRU1h/NwOD3o032tVRxEmkexTPw4eIBCEdEg47t7NIBkEWgOSzgnlF98K8dJ9Hr2hQkumxIcpsfFgLBCGb/bRvSPIrOP2FCtnTuc7D+g+Pq+yfEKx4Q/mYeDEMhzsnikUleXYeceAJSohyTdNM6k3JAYSaUje6LnZXVP/rEupzB0EbtYSKZafY4BWDvkXPGBl1KV4iShPUffoH1H36he49b8641PxBKMrZ/9g2Geq+JXsuOd3d9idE3dcOA3u11iM6ZRdmgacpC57kAL75xCIWDu8NhA3hBxotvHMIf7vHigt8op5DqcYACheq6JrVpmOqJgyjLqqwvSTY2fHQCU27PUmVtyOOrNx9F+Zi+oGCUCGiVBWIhXVo+pu/3/ttq41J8FtqiLdrihwmr9ZehKVjBC7RJM5ERIiAYbZAmP5n7DruRNbHsrUrk9umAs7UBOGws+vVMh92mSJlLkqxrGqrgjfvMDeCr65rBMjReebtSPUA2BCLYczi6XzAUOIYGx1Fw2lnd+j6nxItgmFebmAxtzfIIBCOY+9x29X1FWYI/EEGiywGfP6QWNMh3AyhrnVYW8S+zbkLpyD6gowdqs6L4v3acxPyyXNAU4OA4rHqnEjU+c0TilST/GRukyRyLfiwbLf+v2DhW++q3FQfJXp2a5MDs4hwIopFtK0M2z29oa3nTr875AcBU7sjltOGPr+7BwvKBajFt4vBeujEyt8SLTuluXGgIqs0ZcrCdVpiFq9LcYGgKsiyjaFgPJGkK6dqxTM4IZPzX+yOoOuXDBQvWIUVRqorHpm1fqGOXoP/bxvNPI1rLI59atQdZ3VJUJsniFbswvywXf3y1BUB5prZJt15ndPaYjoeXNxwGAJ3thD8YURs5tw3qqnoMsQytvmfVKR+2f/YNRhZ0BS+IECXg/717DENyOmPuc9uR0dmjyDTTlGEtMGuoJMTZ1Nzcqghd7w+h4Pqrse2zr/HrvGtUhhrHMAhFBLjiOAPI5PsG1V1pQfILLTvIrDinbeyu23pct97NL8s1rKG5mekQRVnHpJpT4oXH7TBdg1Kj57U1m48i3smqrP/Yoh65HytVBZoCUjwONDZFDIpDH+w9HbUIcQOQcb4+iGXrD6mSp6GIgsQiz+dFETQP3br8yFKlZPXcw4Phb44gMd6Of249hgOf12LGuGxs2n4Svx3aAy6HTW0uAYoUc50/BKeDA0MrexolKyCiiNQG2mwtrNhpV6W58VIUgGAmnZnocqCuwQgmSPO0SMNrx/nOymqcPNOIBZMHWnrrcSyNx6bmgaYoCIKM5hCvAx2R65PakTYnLRvdF7sqz2J4/nV47CVtPpwDVxyHlzfsA9CSJxQO7o72yXFYWD4QAMAyNHhBNPhTa0F1f35tryrpa1Zb7JDiAsfSWDD5BoPyBvFXbfMFbj0utgZE1BqsQA00BZUx97uJ/U2fI4oyxt3SEwnxHPxNEYQiIjxuB2YWZSO9XRzSPD11MswJ8Xas23oMN/W72vR6HrcdjEYiMc3jxG+H9kBDIIz0dk5EeNFwtgRaiBnEu3rs0AydpOGMcdlIdNsgCrIOuK/NWZ9atQePT83HE9PyUdeoeF9u/vQksrqnYmBWR9TWK+xrM6JNKCKgtl7Cxm1foOLeAohRSyKOoZTCJGTUNuglV8l+QpqQM8Zl62p5sb+plvnXGgGmbU3+7mHm+66N7f8+o+Z4RPFnZpGSKyS5bSoDUPu3b2oC2LjtC52Nxwd7T6u5pY1hsGZzFbyZHZCa5IA73qaCg9ZtPY5O6S4Mz79W56c9r9QLmjbfl7t0cOOBon6IiEbPTq0ih9nns+qpcCyNReV5CIZ5uOJsaGgK66zP5pZ6Qf3EyB2X9J5VVVXVGRkZzwH4GsAfAJQAuC0jI6MBwL1VVVUfXoZ7/N5DK59B0AWrNx9V0QWypGg0a6WIJkWLTwDwaFkuFmm8EhIsOslk05g1oT9sHI0vzzSqDYxrOyZAgqyiNTiWRnKSAw/d2V81ttayAx6bmofzviDe+uhzHUsrzaNQU2NNZWOTfSsmYcmI3oYmDZF90W4O2oXY7LCQ5nGiIRBRr/Gnfyi+JiRZp2lg56Gz8GZ2wC25XeBx2/GvHSdVw+55pV68vuUY7ht7PZ6cXgBBkkHTAMtQoERZ3ZxJMUm0ODxoD60/18Wej8rZxqJs5k3KMZXSZBkKN2br/XLmlnijaHNzhghrwXbhGAqDsq+OKQbngGEopHqcWDB5oIJ4lwGWpcALkqk8nSzLpklCmJdM5RQq7i2ADBnp7eKj17dDlCXQFGXK0qNpWLD3zCUCWmMJsoDBj+C7JtSX0ny8WJ+FtmiLtvjhwmr9/Y87+1s2UBia0qkLEJ86qyY/mfs2jjF9Di9IcNo5FY2Zm5mORVPyQFEw3RfP+4J4eUOlInee4gLH0HjxzQOo90fwwPh+SHLbUNcYREqS4tvQp2uKbo2fV+rF2i1VunWZePBNHN4Lb398AixLW7I8tLnBs2sVs+/HX9oJj9uBaYVZijdazFpHmnrkGjaWwV9W77NkDTpstMpSMTu8EkTiNR3cV5z8Z2y0CjD5jl/Jpe6ralDAb4f2UIsjsoxoYUR/fyxD4+UNlbrf/P09pzGy4DpVEtGMnZfkthmaDvNKvQjzAspG98W2z77BnBIvGgIhQ9G8YuUuPD41DylJcVg0JU+VmPX5Q0hw2XChPqhDrs4r9Zr6EMaOf5Kbb9l5Crff3E2XsyW6bHj93Sq8u/s0XpgzBLfmXQuWoaNIbZgibFMSnWAhX7Hj+ccIs/G+YPINcHAsJEnG/LKBiPACmsMC7h97PVKTnJBk/frscnLqeCZspDg7q0pfKZKb+3RnQQBY+vBgBEMCOJbCxOG98OYHJ6JqKF4AioTskvsHIRhWlFu0TZwZ47IhiBLSPC2MWytmqbahMqckB4IkqcXqdVuPm4JI55TkgKYp3HZjV9TWB1WmzrNr9YW9300cAEGQfjBQ3c85yHquLVRbyQWSMzJhj1RMLwAvSgpbbpIXq//nKIbkdEGiy4bkRAeWvXnQdN9fuHynAeUvyhKcDgb3jOoDnz+M5jCvqvbUNYSwctNhnfKRaOGd6vOHkcI41AYgeW+t3OxLGw5i3C0Z2PDRCbUB+B939QfDUHh+zhBIkox6fxjuOM6UkZrmUSxViBrR7OIcnK4O4Nm1iuS5y2EDIKNsdF+s26qAl2NBItr9rQ202XpYfT801eIXadZoYRkKNhttCo5YtekI7h6VaTrO/c0RVZIz9j2/Oe9Xf/dHJnkR7+QMDesHx/fDSxsOqfKbBJC/evNRjCy4TpWxI+/35MrdqLi3AEXDemDNZkXhixS555TkQJYVTygtW5e8lshKEsBbjS+ICw0hJCeaS4/LMjD379tw/9jrDaA/BWBY0OYL3EpcSq5KR1VUrIBXL21QGMhlo/ti07YvTGX233j/OA58XotphVlIiLeDZWmU39EHobCIZW8exKgbu+Lu2/qAitanXlyvnM2cDtZU2pMXJWz/7BuUje6L5EQH2iXa0RwSIIoRNDTxaAiYr3dENhkARhZcZ5A0fHbtfkUef/k2w+MkZ63xKYA4ko8Taf327eJBMxT+9I+98LgdKguW7CWJ8XbQDPCvKMDifLTZTT7XI6VeuONsBuZeRVQhhJA7yL2AAmSG1o3vWLaxVbOmbU2++DCrWQLmvu8k8n/RUeezJ4gSWIbCA0XZYBgKuw+fw+8mDoDH7YAUzXNtNhpjh2bgbG0A//3JlxhZcB0KB3fHuQtNWLHxMHz+EGYWZeP9Pacx1NsFFc/p5T2TEx2Y/+InhrXQSm2l3h+GDBn/+NcRjLqxKx6L1k8omgLLtHhamn2+93Z/ZahXaG1dZk3oj2ZfM1ZtOoL7x16P9inxEAUZoBQVHrMz4o81Ji+6P5KRkXETgIkAbgdwAMAzAP4fgACACQBWA+h4Ge7xsgSRz9D+sCfPNKJiej5kUVKTDSKp8ajWAHKS/gCzbuuxVqVaOI7ChYaQDlkxp0Rpeu2srFZ9Pmb/VX9QO10dAKAg+gAgJclpqo1uRU2NTfYXlecBFHC2NoAP9p5G0bAeljJKkGW9H4RmIV6z+ZipXjlB+JFr2DgGFEXhpQ0HMem2TPS6NlndHIlXwbAbrkFtfRCrNx/FpNv6IMJLYCEDsgQGtEL9lWQwDK1LYqykL7X6uz/XxZ62kLMVBBmrNh3GkJwuKrtu1abDmHJ7FtZs0bPi1mw5iim3Z8Fhow0FsrmlXku2C0VRhobzk1EfEl6QUFPXrBaz0trFweXgTOXpbCxtoH4/OL4fRBMGQI1P8ankeQmPrdypmUM5kOyyoXBHEhmrxwVcmtfexfgdXExcapFU761EAbLclsi3RVv8yGG1/tI0LLvzNoYyeK/OK/ViweQbdIjgR0q9YBgKgiQjId4GGbKpZ0mYF+GKY1FxbwHq/WGkeBQPNXIvZk04IsOd5lFkCItuyUDFyt3Y9tnXuntbPC3f4K+2eMUuzCzK1jUx1209DlecDYHmCO4Z1QeBII/kJKfh0GqWGwiipKL4SFNldskALJg8ECxDQZRkbP70S/yyfyf4myMYc1M3BCM8ktyKEgFphGgR2hXTC/DMmp2Wh1dSkKmYng9KlK5oIAVtsb/TrbBZvy3M5L4uRo2BoWmEI3rJ8NklAwyqEO0SHIZ5N78s1yCzSwoOFxqDmHpHX9g4FoIo4olp+RCixdqXNrTIG80p8YLjKHRMdZnmHqTgpp23oGTYORaCEFGLxGQ8Lp6ej18PvEbNg9zxNixbf0h3TZKb5/+iI97d+ZVBWcGb2QEHPq8Fy9BKc08QVQUDrefR8rcORoFBbQ3AHzpix7vH7cJO3B0AACAASURBVIiyMlrW8wfH9wPLUEhMdIJlKNTUBdX1OaOzB5KssFSzuqXgN4N7oKEpjPP1IazZUoWxtyjM0qJhPVRZr3Vbj8PnD+Gcpnkxsygb43+Vgd8M6YFX3j6k8/RLTXJiwYpPVSBeapIDdhsLhqHw+NQ8VZrRrMD54Ph+oGng+TlDcN7XjLVbqvDrgdegZERvzFu6HVWnfAiGBEMR+smVuzG/bCDCYRFNQV7n/U2eU6HxT/k2cNslqXZcoWGL/p7NYUHNF6yYK7KsFPASXTZ43HbUN4Xw+pZjGHVjV1yd7jIAHrVAGqCFiTS/LFdd4+w2BqveqUT57VnwN0UMr/+fT05iRMF1uHtUJhoCERw5WYtf3XAtRNnorTdjXDZkyGgOCwbwaNUpH9LbxeOZNftVaUQiHeqOs4FhAF9jBI+u1Mh33u1FuwSHAcShleqq8SnSlPePvR7/9a+jiHdwuprPjHHZoCgYxvHiFbvw5L0FYKKykG2gTeswA7U+MskLilL28TWbj6nAAn9TRB1bNEWprOqF5XlobAojwotgGQq/m9gfgMK0bwhE1DFCct4tO79SPfy0zYh/bj0GQPkNn3hFAfqQGgmZF/5gBPV+Rfr2qfsHQRAlSJKMyWP6QhDNGYY1dc1Yuu6AOrZ8/hDmlnjhiuNUWVKr5nxqkhMZnT26+09JcpiOqRUbD8HjdiA50Wl6LVGSQMlymy+wRVxKrsowUMedIEp4oKgfPAl22DgGgKwW891xHNZ/+AX8zbwiWyhIECVg/QctNlEOG4slq3ZjUXkezl5Qmh2xpI55pV6M/1VP+BpDeGrVHswsyjaV9lwwWWGWVtc1QZJlRHgREV7E0nX71CZcrKpFYoIN5+uC+OOMQUiMt1mOndbqyWRsav1XE102OBwMRFHGgskDcaEhiCMnL2Ds0Azd2XXWhP64Ne86VNc1GfKGJ1Yo87C19yb/T2vnhL/JWEtLcus/k1le8/u7c9vW5IuM1mqWxKcRlKyCI7TgoXp/RHdWA4CK6fl4evU+LLm/APX+COZFwWmkH8AyFDqlu9UchPhRPjA+G7X1QWz46ATKb8/Ci+sPGJTZ7hll9J+u8QURCPKGxvysCf2RnOjAhQaled8c4kEzFM7XBUmpFfMn34CFyz7Fuq3HMbtkABoDEV1t+8tvGpQzpSAZbF3+/NpePFDUD1WnfLBxNGrqmg1AOQA667Ifa0xeVBMwIyPjFIAwFC/A/lVVVV/GPOUfGRkZ933P93ZZozXjXPJvwFxSY/EritHrP7cegzezA4bkdIYsA49PywdkGd+cD+DF9QfVAfHCnCFYslLfuCM+NTsrq3HXrT3x7Nr9OsZUKCKg/I4+CIZE9W8lI3ojxaRpZ4V20KI4x93SE0+v3offTewPV5wNIwuu03mwxL72XF0TJg7vpeqQxzYVV206gsXT89EU5BHn4LD8rZbPS67RLsGBphCP0pF90Bzi1Ulo5lXw4Ph+CIZ4PLpyt2qmfcEfsWyYmCWUhK1I3v/nmoCzAB4p9eKJGFNySTaX+Cq/3ZoVF4pIOFPj15nuHv3yAlxOzpztcld/86YxAH8TryvkzZrQH/EOzlQOtOLeAiQnOfDEtHzIUe8UGYrMqylyhzFvPj4+Nd9yHps9LkkyZPw4ptnfpUhKpNRSU904f97/sxvLbdEW/9fCav1tba+JiLKB4bx4xS48Ob1ABRewNI0QL2DpugO69To3Mx0Ly/MQCEbgawxHWRNZ4DgavCDBHceiriGMimijLjbhNWvCBYI84p0cFk3JA8fQ2LjthFokdjqMRQqP24E4O2doGnncdlXeLs2jyIC3T27xo0iIt2PVO5WG3OBsbUsRm0h8UaCxYNknuvt+++MTKB2ZiRUbK3HX8F4Y/6ueWLGx0tRjJSKIput6osumvu/PNSe41IjwEt7fo/cCXv/BcYy9JeM774Gt5dRW12QYBejFMrSumbZk5R48df8gPfCGMbIB2ifHq++pbQpXTM/Hqk1HMHF4L1Ro5sLs4hy8/m6VzvuMMFs6JLtMc48zUW8I8vzVm48qMkqvfGI6x2LzoLmlXpVNQAp8pEDfOd0NjmV0rNsZ4xSJqPmTb4j6o8iqPwrw7R6LbfHDROx4Lxzc3ZDrPr16H6YVZmH2395DmseJ+ZNvUEEShYO7Y8mq3fC4Hbg171pD02Hb/m9wU79Ohpya4yi8+MYh9T2eWbMfT0zLx6Mv7IDHrRSNyRmSoihkdUvBrXnX4vV3q3DboK66+fD41DwVUBHL6np27X51vJKz6pibuqFdgkNFMts4xqLw0mINMa/UqwJKtc+5KtWNFY8OU89zVv4+34ldfAUFw9C6s3JuZjoWT89HIMgbAERzS72wc7QK8iH7+D2j+wAywEckwxlFC6QB9BJ42uveeWsvyLIRYPn2xycwdmiGTqZrbqkXr0R9prQebr7GMF595whmjPsFeEFWGVPafODrmoCuIRkIRsAwFJpCPDwuOxLjbWozDwACzbzqO0jGjzuOw1Ov7tXlJTU+RQnh7lG9Tb+DRVPMC9RhXoQsy4jnmLa1uZXQglolSZH31oJxCMtDiJEiJN+7N7ODqk4FKNLJsQBjMkaIPLLSNI4zbWyfrg6otS2fP6yrnaR5nJhWmIXJt/eBKMoIR0Sc0TSQF5XnmeYKngSlhrfhoxN4cEI/nDrnhzueQ0Mg0lInsWjOn73QhMLB3bH8rYOYXZyDbZ99DUBhnSyakoemIA+HjQUviqj3RzBxeC9U1zUZrpWbmR7Nq6S2MWgRF5urMgwNX0wdcnZxDjZ/qjCVgBbQJfld3919Gt7MDqYS9AR0Q0etE4bkdDHWl1fswmNT8mBvp+S2NEVhzeZjqixi4eDuWLf1OJpCPARBwsLlO/H8nCF49IUdqm9hjS+o7ufuOA7JiQ68/u4xnVKKlaKbYMHOJp+P5Lo1viA6pytgkPYpceoZVNvoiFWPITK3qZ44Q07gcTss63+x5A6Xk1P3E+339vjUPB3LSst2V+qbFFKS4nDhQuA7jZsrLaxqlk9OL9DNi9tvus6wxhIghJb5T8a/qKmHxPYD5pflqvK6scz7GeOywViovDGW6nUMnC5Gp7biivYyXt6gMAwr7i0Az0uIc7KqbD1D06i4twCSJCMQ1J/p/uOu/uhxTTvUNSgWLGa2Lh63HUtnDwbH0oaxSoByJJ+222iIkR+nKkFf5PMmVFVVda+qqlpk0gAEAFRVVQ38/m7r8geRJtBGmsepFhvI36xQO00hHsPzr8Xytw5i7nPb8Zc1+3ChPgiGoZEU9bkh17SS6Ep02ZDR2YOUpBY/EnK9pesOgKFovP3xCXjcDpSO7I2/rNmHL8/6DfdNqKnkcdJUs3EMKqbnY1phFoJhXvUM8jWG1clKkBLa184Yl401m4/h2bX7UTi4OzI6ezC/LBeJLkVnPKOzBz5/CKer/XDaWTQ2hzHmpm66a8yb5AVNA26nDZs/PQmnxtvIrLH69Op9sHGsushERPPFR4h+Zm1C+eLcIaiYno8Utw3lY/qq//85HxQ5jsa0wiz19+U42nJMQ4YpK06WFIJZotuBuc9tQ3nFe5j73DYkuh3gWBpJbpvuWklum+q9E/seoiSr7A/yHn9+ba8lYk6SZASaeTyydDvKK97DI0u3I9DMw84pzETtWJobZceYXYduZR6bPU7Trc99s2AYGvVBHnOf2x79jrajPsir6M+LjW8DHrRFW7TF/40wW39bC6u5L0gSKFECKyvghJWbDqN4eKbB42T+izvgawxj+VuKdMzqzUdxutqPuX/fBoqi1YR61aYjcMfbMLMoG8/PGaL6nmiD+Pz8/vkdmPqksuYPyr4a7+3+CufrQzgbNfXWRtGwHqpUErn3Z9bsR01dUPfYn/6xF+GoH8Xc57bj2bX7cdugrob1fPu/z6iveXbtftxxc3eDvNKza/djSE4XMDSNUTd2RX1jGItf2YWdldXqAXfJfQVYMHkgUj0OXd5GIs3jREqiU80Jkt02CAAEioLM0Je8hv9cwsYp0qkLl3+CqUu2YuHyT3DzgE6wsd/9+/gu+6qvmcfc57Zh9t+2YflbBzFxeC9kdPagxhdEJMpstdMUbAwFXpRh4xjMLxuIpx+4EWWj+6K2Pmj6nhxLm+aZS1btxpCcLrrn1/iCcNhYvLLxEOZN0ucec0q8WLP5mO75Q3K6mMooFQ7ubjpPKlbsQoQXMXF4L+RmpmN2cQ5Sk5RiIS+YKxkAyhpzoSGsyzt80WIIWTMoUfrZ5rg/9Ygd71ZnRYeNVf+9cNmnaJeogN+u6eBGjS+oNgNjx8DIQV0NZ6A/v7YXgiAbmhdyVLkl9gzZFORRNCxDHfcEUDqv1IsHx/dTmSqkEbj8rYPgBUltAJLru+M4FVh6pjaA4uGZeH7OEFVuTxuEKUBeu3jFLhQN62F4Tm19EI1NkVaL1FZFKMH02VdWMAwNmaERlhRrBY/bAUDJFy40hPDAnz/Ei+sVtkbF9Hy1wUuUBwDl+9zw0QkEmnn8/vkdOF8fVMdHxfR8tYEbC6QhEnjkGhUrdsHGMKZ5jtl6WbFil7oO76ysxu+f34FAcwtzgGMZ00bcPaP6qNKc5H48bgcYikKEFzFv6XZMe2or/vr6Zygd2Rt33drTlL0no8UfS3utcxeaLNlVNAXTsX7uQhOq65oVtqoota3NrQT5fmga+P3zO3RgnGfW7Mf4YT0NdQSSj2rX14zOHswYl234bUnD+u2PT6jrV5cOiaZjiShsadcrEqTYq0jCAl+d8+O/P/kSE4f3gsftwCsbD2F2cY4+ry3x4unX9mH5Wwdx26CuCIZ4LH/rICQZiHNw6nNbq7l1bu9G2ei+eP3dKgzKvhq7Ks9iZ2U1Hn1hB5pDPBqbwqipC6JoWA88u3Y/1mw+prtWbmY6xt2Sgbl/36bLGWSWvqLz3di42FzVbP9Zsmq3ItGOFnZrmkeRC51ZlG34N7n2jHHZWLf1uNpoI9LvZmsNKOB0jTLuJVlG8YiWfX35WwdRPKIXPG47JFlWQfLK+m7H/LJclVG6eMUuPL16H3hBhjezg26+EEU37T3OLlakS2Mfn1fqRVo7BQxEmutpHidOVQewdN0BBEOiAeT65ErzXPu8L4hHX9iB4hFKrg8AQ3M6YcodffHimwcMc2NuqRfv7f5Kdy9yjKw6ubbPH8Y9o/roXj9+WE+wkNU1mbY4j7SFMazrFnqwT69rUwzz5Jk1+9W8L3b8S5rrxp7THDZWzYvNzkaiaF7PZhnK0AeZMS4bq96pRH1jGNv/fSZqG8LivK8ZFxqCmDi8F7K6pcDfFMErGw8h0Mxj4fJP8NAzH+HRF3bA3xQBQ1OGsf2f/7UXsiSjIRBRLVC0ocxb4C+r9yPCmzNrG5vCeHr1PkWCVKNWSPK6H6pGcVGg36qqqm0AkJGRYQOQDLQQV6qqqs5cnlu7vEHkM4gn4Hu7v8L4YT1h52iIElS0phVqx2FjVfQu0NLIWjB5IDZt/wKLyvPQEJUtIK+JvUZyogOzJvTDmdqAuqnHJqtloxWfBCKbuKvyrAHdN+6WDIiypCD5PU7EO1gdwmrGuGxs+OiEIsPIMbimg1vHOhRECYun5+O8T0GzkEUeAFKTHKZyjnYbg2XrD+HBCf1UVGrZ6L7o0sENWQbsNgZ01Oj11rxr8c35gPodWB2Wm8O8+m8rSroWqUNYUkTugBdxRcgfCIDuIAco4+mP9w8ylfgSLcyxJVkGLVOmC+pT9w/CuFsyDNrmDEMZ5Drc8TaIVs0+2RxVRNMWzL5p+dhz+JyOIfjB3tO4eUBn0+uwDGWqwc5xtCVbh2YoUwlUpdBo/L5FilJlyci9PvGKIgNzKdHmGdEWbfF/P6zW39YYvVZz38bREInkNUXht0N7IBBUUMMZnT0q+tPfzKPr1QlYMHkg3nj/uO5AJ8es7+QeHn1hh25NpADUB8K4Ot2NR0yQaSQfWLHxsIFpp2VckajxKdIZsY9p/WQJw2RaYRbS28Wjuq4JEV6AN7ODKpGjvMYc5JHosuGb8344bCxs9hbWSSzrK87BwmnnLOS4ZFCyDAZUq+oCV1JIkowNH53QMf03fHQCU27P+s7XvFQPW7MCy7Nr9+OhO/vDHaf4MYV5GYyTQW1jxLBfd+uUCFmSDe85a0J/JCc5LA+wpKBNgiBUd1ZWY/KYvnhiWj5q65VcmIDntGFVuEl02ZBgIbHksLF4evU+LJ6ejz9qGCh/nDHI4oAYQbyDU/MX8jjx+2EZ+oobsz+1iB3vVn6o/mZet5bTFFBTF0SYV57vSbCbn3UspPET4my664UiAmwcg6JhPfD2x/o5vXrzUdx9Wx/13GWGrn607AbcP/Z6pLWLgywBr2w8ZGBuhyIC5pTkgGVp/P31f+PuUZlIdNkR4o1SS2bM8/bJ8ep3Q84lMmQ8/vLO1pUwvgO7+EoIM4Yk+d6rTvlUdaBYyeyF5QNNm3Tk9yMF59gzZHq7OFRMz4e/mYcrjjOozdT4glGApRGNb7Vexkq8kTFSOLi7pcUJRVE6gPXMomxU1zVBECUVqU+eS+oxZtehaWP9h7DHHhyfbTqPARgsLGYX5+DF9QdROrL3FT8mLyWs5jVvouawZvMxzCv1wucPqU3fybf3UdZRk2vUB8K4bVBXAMrY7tLebTn+SI6yOqogRSI3Mx28IGPhCj07++2PT6BwcHcF2HBLhk65qznMq+vms2v3Y1F5HhZNyQNNAS9tPKTm1ABAU8r4kwHU1gdVicdT5/zqfD15phFlo/vi3d2nUeNTWKp1jSG8t/srdU2v8ekZX6kepylDivgQXqn5bmx8W66qlaA2XYdAqd+hx21XWUaSLOP+sdfDxjFITnRgTkkO4hwcztYG8Oo7ym/8aFkuQhEBHVNcYBjrmpDLyeEP9+TC38Qb7BmeWbMfDxT1AwWlHtfUzOtY09rznjvehggvGOquRNFt0ZQ8+BpDqq+6N7ODxpdYxjfn/di47Qv8sn8nAzObMAIbAubrtVmuLYhKrZooe7zx/nH8ZnAPVQlBK+ufkuiEjQHKx/TFPaP66Lz/zL63hkAE7dyONjb29xTWNUvo8sxUC1ux9snxWPbIUPC8hKYQj6JhPZDkdkTBnIqceKJLn/+SnkvseCU5ryhJOtUY8l4RQYLNRmHx9HxcaAihIRDR9TJipWnnlHjxxvtHMXlMX8x7bjvKRvc17cFYSdTKUAAdU+/oa6i7z5vkhdPBoGyMooJo9h2GIgLmlXrh5GjwURbgj6F8cbFyoD0ArASQAxglk7/vm7rcwTA06vwRXYNgdnEOPtx3GkNzu8DOMWAYCvPLBgIw16znRXPpqaYQD29mB3VBI5IFsU2HOSVeLHvzIMbc1A3b/30GvxnSw3IRJV3zjM4e/LJ/J7z+bpW6SCbE2+G001i6TjGSLR7RC2ui2rx33NwdHrcdvCDi1wOvgcet+KQIgmRI9OeU5ODNDz83mFW6422qfAy5J2Ji7PMrrAGSFL23+yuUjMhEQyCMUERAers4JMRxoHkZSS77t3oV+BrD6r8tZSHbGiaWiUmYl0wlPGcXD7D8Li2RHqJs2qR78t4Cg1zHrAn9W01mYgvKRIrU7H0ZisKWXafwj/+p0l1nWG4Xc+9ChsLaLVW6z7x2SxXKb89S2TqkWUnYOhFR1pnWKr5UR1E+pq9pMcKKzSiIl3bou9QiaVu0RVv89OK7FCbN5v6CyTegISYPmVmUDUApQsRKXswpyQEviCgc3B0MTalyKlSUnU2KI4IgGxDSpMmnFgJM5NnIWujzh3SFhUSXHb7GsGUiq43czHSEI4IuKfb5Q3DYWNXHp2J6vq4AmOZxghfMwSIJ8XbVfN7K4DsUEZDkduD3z2+Hx+3AtMIsdEx1gWVoUJAhyAAbPdR/F8+6n2VQ1hLh3zUu1TvXah4lue04cz6g7ttXp7kNSEytpxiRk2sKCaj3h8CyFERRVllKsePFE/WU1M6rd7afRJpHkakNhkW8t/srDMnpgjg7awDdJbnsptdNjLfjfH2zZSOoxqfk3oWDuyM1yQEbx8LGmee5zSEegkUTqLYhCHtUeq6tuHH5w8qTLna82zjasMYTibuJw3vh7Y9PYEhOF0W9op0TazZX4eHiAXA5zMcpbXEG4jjacH6bV+rFNR0TTOc0FS3k+Jt5U7DpouWfKr4oQR6iLGPUjV1x8kyj7tpNISWvHnNTNyS5o75a7ynyYgRMkJyoMMaWvWm0hqitV7xXOrd349Q5P1ZtOoLSkb2/dd9sA86ZhxWAgsh2vrf7K0NzdmZRtun3qW3SSZJs8Gp6Zs1+PHlfgdr8+vvvBpv+Jl+e9ePIyVrDOU273mqfHyvxVlsfxLTCLFyV6lIVj4xzgsKCyQNB0xRCEQFOOwtZki2bhmafNzczHb7GsG5Nn12cg3/tOAmfP4Tz9UFjUa/UCxvHINFtw/yygQhFBMQ5OKzbqshDKvJhV/aYvJSwmteiZCzu+/whuOI4hHkBj5bdAKeDBR+RQFHmjQBfYxi7Ks9i7NAMvP5uFUpH9jF9XkqSU2nY2GjcNki/5pWO7KOTHtXOL9I8rA8oilpknSX+kuT5FA384fkd+N3EAdhZWQ13nA2PT81Hc8jYvE9y21A6sjfe231KlaslxXVyv+cuNMHOsRhzUzfUB1rycdLoT/M4W/VUu6Lz3ZhoLVfVFuKt7JJ0/u+ihHZuh+4cN2+SF398VZH8fm93FUYWXBclYdDwN7VYI+VmphvWy5lF2fjjq3vh84eUs5oFSIiigOREZS+cG/VWI3/TnvdmTeiPsCSiKWgEKWkbz7mZ6Rg7NEPX6Hvozv5IcNlw4PNanK4O6NZnbYPFypLKmGt7wbIU/vr6TkN+QV6rBa68OHcIeNHobckyxlyLNOnLx/RV2Nia57fFdwuzusXskgFoaIroxsns4hydDCug/P6NTWFIEnTAmXmTvGgO86rkJ2Gdkt+fsGi1oLqMzh5TaVAyBnMz0+FviuDJlbvxu4kDMPtv23Sfw0yNgFiyCYKkWyO1UeNrUZszy0HvurUnGJYGK1BYNCUPsiSDYSi8/u4xHPi8FrMm9Ee7RDvmTfJi8Sv6/g8vCPC47RAhQ2JoSJIMUBRWbz76g9YoLraGvRTAPgC3AzgCoCeAJwB8dJnu67KGCMqAsF2yajdmFmWj3h82aIyneRyqMWxDIBL1qTFPLHyNYRVxef/Y65GSpGze7+85rS6ggihj5aZK7Kysxqgbu+LmAZ1Q1xCyXES/OR9AbmY6iodnIhCMYEhOF50BcsW9BZhamAVRlFUUkFbbfNGUPKR54gFKgtPGgXVS+OZ8QC0Ekk1j0ZQ8XSI0t8QLXjAvRNg4Rp2EpSN7qzIEWk+fWRMU02bCmrj9puuweHo+KMq4sPzHXf3x0luVLQk309YwsQqrBJqmYSrhybI0Hp+WB0GQQVOAJAMsS4FlaciCZHotSZJ1bFFizC5YyH4+ZcFCZGgKe4+c03kPvbvrS4xM7mpZ4DBr9lEMBRtHKwfA6GeQIUMQzX0Qy0bLlmwdUTJ/zT2j+pguiDRtftjQJYIXEZdaJG2LtmiLn158l8Kk2dynQGHBMv3hjQBszIoQT67cjYfu7IfT1QEkumx4cHw//HPrMXyw9zTmlnoR4QWEIyJE0bwgpi0EkAaK9v7JGk9AG6SwsGDyDUjxOAxFxUcmeUEzFHIz03WG4POe227wN5ZkWc1XSOMwo7MHRcN6oEOKC80hHg8XD8BTq1r8BWcX52Dd1mPqQTMYFkzAVDlwxXH41/aTai6zZvMx0yK5O948yb8SEfyyDANr6O2PFdN17WHDqgFiFbHqDNrt0XAtynweyZLeb8qMvVLja5FZ3FlZjZNnGjG/bKDqM1kxvQDrPzhuACDNK/UiEOQxsygbcXYWNk5pNN5xc3fcduN1eO7/HcCN2R1RNKynOs5IkxEUBZ6XIEE25DqzJvSH3U6jQ0q8aXHi1XeOIM3jBMvScDk5OB0cJEkGbaJkQIAAVoWVhoByAH9yegFkhm7LJS5jfBsyVzvepYgIdzynU1ix2xjk/6Kj6lOlHYszi7IhywrzLpZhNLfEC5ahTHNqGTCVOKyYXmCq6lFxbz4WT8+Pem9SpgCQzukuXGgMYcNHJzDqxq5YNCUPkiSj3h9GU4jHI0t3AFDYKRX35qO2PoQ7bu6O6rom1PtbZBwnj85E0bCeunMkabAc+LxWbVKR/ebb9s024Jx5WAEoSINi/LCeaJdox5PTCyBIEliahiBLpqBMbaGWZWjT6wpCy5q3/oPjJuorXgTDPA6fVPbqJ6blQwYAWUZzmFeVlbT79totCtAzNzMd94zqAwCgKAq8qIAwzNZuQAZNU2gO8eBYGn94fgfKRvcFx5o3++w2xjB+zHKrJat2Y1phFobkdMaKjYcBQGXIhCICmqKNG1Jonji8Fxqbwrgltwt+M7gHaAZX/Ji8lDCb1zPGZVvu2cvePIh6fwRT71CKtotX7FILyNr1cXZxDmgKuP2X3bHqnUoMyemCFRoWnnb81fiaYeMY2FgGe4+c09XriBqHNmp8Cii/OcRjbqkXCfEclj8yFCxDY+m6fxuAD2fOK17CvsYwcjPT8eu8axTVLZPm/eLp+dgUZVsRsEiiy4Z4J4fJozPRv1d7+Jsj8LjtCEYEvPXBCdO9obrOGoRE3u9KzHfNwipX1QIsdlWe1dV+iWKcdq6LooSkmLMdw1BIcttwdZoL9f4IKIrCgmWfqI050tgYWXAdnDYGFdMLAEpG9YUgtu45pbL8ff4QOqaYe1WHIgIkSUYwzFvuBaQ+90BRP7W5oh0zc0uUhkxuZjomjeyjklfINf70j724f+z1qpILQ1Oorms2+B2agU4emeTFv3acjAHoK8oEWibX4hW7ML9soOlnZGkaEI2rqihKSHbbdGzutz8+Yfht2uJ/2Xy53QAAIABJREFUF7F1C5qmcLa2yQAUWrJqNx6fqu8fzJrQH8mJTsyLaVAvfkWpPxBVii07vzTMseREB2iKwmNT8nCmNgAbx5hKP98/9nr89fXPMGlkH7yy8VB0rBlBda2ptxDgCclHPW6HTmWDos3zcNKoN/M+JAxuMvcSXJzuM67dchQThvVEWBBxPlr3Idd+cHw/1Psj6vU8bgdkQPWF/77PeRe7F2QDuLWqqiqSkZFBVVVVVWdkZDwA4N8AVn1vd/MDhWAhNZmS5MQfnjeifxZMHoiVmw5hSE4XuOM4DMnpgs2fnjR0d2dN6I9X3q5E8YhehiIUSU5q60NITnKoDQiCvPO4HYZEZWZRNj7c9zWG5nZG0bCeqvxobBe8tl5BBsfKghH6LEUB8U4W9f4wFq8wv0aNLwh/c0SXCG3a/gXuuLm7OYoq0YmnXt0Dnz+EVI8T5WOyMPe5bbriXzDMg6JaWIzezA5qk5IUVsSoR2GaJw4P3dkPDjsLmRfBR8S2holFWB2MbRyD4hG9UVPXDADgWArFI3oDFNAUFAyNNYedBSCbMvVsJmjjmUXZsLHmh0RekOCw681XHXaFUTvE2xk1vib18SHezmBZc0lOXpDw0b7TxqbhoK5YaNbUu7fAsiBvVfC91CI+kS2I/S5YhoZ0ieOxtSJpW7RFW/z047sWJmPnvkCZr1EsQ4MXRQMAAwA4lsXyt1qKxXNKvHjhjQOIc7DI7dMR857bZole1RYCYuXZSFGOGKkvLM9Dc4iPMvYU/1bCuE502ZDosuN/PjmJm/p1wsThvbBouYLsXHJfgS6JJvdeOrK3um7GOThIsoQpd2SpPoDkHmaXDEC8Q1E/IMxBcv80RWPN5koD63v0jd3Q69oUrP/wCwCKx4BVkfxSm7c/16AsmIBaedfvU5rE7FoV9+abMi5e2aj3mzp3oanV8UyeR6wTanxBBIIR/LJ/J3yw9zQWlecBAM5eUPxLktw23D2qD2RJxtkLTViz+ZiKup45/npwDINHlrZIapEmIyngPD41T6e4IIgSWJbC7L9uU3PbRVPyQAE4o5GBemSSF8GIgDAvqMVld7wN2z/7BgvL8xRZR5ZBhBfgdHDYc/ikpdRijS8IXpTwB43kb5vU1/cfl8welqErkGV09uCBomzVj097nWf+P3tfHhhFla3/1dJb0p2kIQuoIIoQIMAzgXQkiTCC5KkggnkPElESEQLEEUTeCMEZWQV0FkdnngugAjoDzhtcWPQ3DDqjuAEC7wlBgiIKo5iQ0Em6k16r6vdH9b2p6roV0UFHTZ+/IOl0V3ffOvfc833n+7YcwopZhZQMendZHtwpNnzZ1IYnXlDX6bRxg3Q1tc0qwN8W1j0/ybUsYMjtssPXpr/vWE2LM01t6JZixx0TBuPnT3Ssqfnledi440Pd87X6I/j1Hw4Y1mTdKS8y3Ml48+BpLJ9ViBa/2vD40+46TBjZF9cX9cHaF47Qv9m+5wQW3975vpkgzrHD7AyTESM6igCiQZVsIwIQoEDheEQlGRaRw12Tr4Qo8PC1RxCVZXoGNFPp4XkgxWmlJEyLyGPNncWIRGV80ejHk7H1umBqHgB1f7EIPF5+42N4cnpCVhSdJPgrb5/EmPxLUTY2GwCnW3M/u3U4KsYNwsadR2m94XbZ8Oo7J/HiG59owHABbpcdW1//CDMnDdbtJSopeQDu/d0eqg7QM92Js952U4Dn4gwnfv2Hgx1+VxppxtXVRbQfNK8sFy3+sG7fuq/SA5tG3SARnQe5r381bySCIQmfn9VPFi2vKgTHA1+c9SPJocrPLq70oNkfogShBq8qo3l3WR7S0+zgeQ5NLQE8ve0ovL4g5k5RbWv21tZTiUFSN7qSrbp9dXGlB1YrDw4cLs5wmRJ/3S4beqQn4bH/+T9qt7O40mMgPiyu9ODxrR8gu7cbyQ4RVZOG4MumdlPbFElSMK74cjS1BDBl7ABdXVxT6cEz2zvsfeaV5aKsJBv159px1+QrkZGm5m8yicjq5xB55s6AlUSoQfbRa/N7oXR0fwMA2N1lRSQs6UhtCtQ8yykKICkQBAFlJQNQf65NN31PgLns3m5Ujh+kI/7MK8uFK9mKMfm9dT9fOvMqw3mTkB/3136J/EE9vvK853bZUDl+EHheJWhIsoIzjX488cIH1BfYTJ3L6bDgbHMQPAcIAoeDx+oNa2zCyL545e2TOuJnWooNAy9L150D6055MXHUFVj/8mFd3RCRJCZQzwuAbLJUI2EJNoFHeqodbpcNVROHJGqDbyG0fQsFHKwWgblOAqGo7kzEcYrO+0/7WLtVROnofpQcR0g5ZL372iL45XPv6/Ipi7yW2S0JP7ttOESRo+dZFjkk1US9pXuqHX97/zStR++dNhyhsIRHtqjPU1bSH5AVXJzhpPLNTc1BbNx5lO5Vj2zpUGAg10WUjhq8qrINxwFbXz8OT05PpDqtqJo4FIKgkupYMubk+YiSJMFNvo1z3vmCgGF0yH42ZWdnXwLACyDzglzFdxxm450AOwnyPIeJo67Qa8FXDIciK7oDWkqyBXP+YwgcNosBTHxw035dEyGeedfg7dD2zkizIyXZhqgk45rhvQDAIIdEpAnWv3yYMoOXzuxgU8SPzy6ZUWBYbFr5kEx3hxznkrXvUpR+485aJjgpyQot+hUFAAcMvSIdPxnWKy6Re5Dd241brx9APz+go7Fy1+Qr8Ysn38XamjEQY+b2Z8/6ACQAE7PQHozBcYCiMrsURWXualn088pyYbeKTDmt1XcWA+CYEwGzJg01NFIf2XIIa+4sppMf5PGv7f/M1GxXllTz1PhrcjqsSHaIusk+UeTAccCwgT0MgLdZgSLJRlb+vLLcTke4v24TX4CCNI3uuyo/Z4MABYlyIxGJ6Fphln+/NjDSyUR3JCIb/BcsIkebA0CHpMXqO4uhKAr1kNJO85k1AhqbA1hdXYzGlgBa/GG88vZJTLshBzdf0w8t/jB+u7mjGbZkxgjaMNEqDJDaYU7pUHpNUUmVGt/2ZgebeX55Hhx2AStmFcJq5bFmw/soHd1P1yxX38t+LK8qRFNLAN1S7PD6gnRa8JIsFzgA/zGmP7ytIWzY0VGETxx1hc57ojMvgZWzC3V+yV11quR8JgEvpHxqFMDmOAluIleub84Z/aaIJxALyCCR6ValxMi/688FsP7lw5hx0xDwPGiTObu3Gzde3ZfW59p7Y9WGfVhVXWR6cM1Is8c8ujmUlfTHll3qlOriSo+OGautbSNRBdMn5CA91QGLhcPper+hFho+qAc27DiCyddm6+qeRRUeqqLgD4R1HheZbge+aPRfkO8mEebxdaWf42tLry8IjuPQPZXtmQKOEBw4+Nr13j8kqiapKi+KoqCxRf0dYSzHn/G0+0l2bzfmleXC1x7WMe8f2XKIToJr17/XF8TK2YVYMDUPsgwVlLbyumspK+mP1RvZZ9FVG/Yh1WnFwMvSqR8tCXWCsBhVk4bAbhURkSTcfuNguJJEhDRgPvM7SJwDDWF2hrEgJlWreSyLgDGvLBcbdqhgybKqQroX9L0khTmZLAo8olEFKzQk4pWzCykJIbu3GxNHXaED8+aV5WKMpzdCEQnRqIL7Hn8Hq6uLsGz93hgpGLDbRHzZ1KZTJfrlc+9j5exCXDeiD+xWESnJVrpnAx1n0TmlQ6kVyboXj2DauIFYVV2EcESVilyy9l36nGStzykdaipt3tgcpLl1fnkenQiMb6h3T3Xo1neDN4AHErn3a4ckycjoloxzEfX7J16PRf92Ee5f+w6GXpGOG4ouQ2NsPWkbq9qQFUW37kg+e/T5Q3TCKN4bU1uzNngD2LzrGMpLBmDVhvcoiBxPUiYTzUP7ZejW4qoN+6j/H5meTrJbkOay4j+v7Q9fWxiK0tEsjl97BTlZUBTVdsSVZMPGnbWGXs2Mm4Zgb209XfsPVBfhvsffQabbgRWzCnWqHqSP2KdnCmRZoR6vtDfSCbCSCPVcVpCThesLL9OBE3On5GJzzDZG/AqCXFhSsDo2rXp3eS79Pn3tEarqRmo9oCOnLZ05QtcnbfAGsHTde1gwNc9oeTNxKK7sn4WnthknXePPe3KM45jmsuNca5CSeLJ7uzHjpiEIRyW4BItB0rEgJwuyhthEQOk3D57WSYATn2vi857d2405pUMNZ9jte05QaXxtDzvJJmLzX47pbK62vn4ck6/t3ylIkagNvtsQAVN57/pzAZpjSTz187HMxwbDqkflhJF9EY5ImF+eR4Hi+nPtBqzCTL1IktQhoiSbha7/Bq9KDlGVF12ISjIkSWISKte9dBj/eW1/SJKCynE5sFoFrH3xA1pbsxQ85k7J1b1HLehHrov4gJeV9IcocIhKCq4Z3gubdn6I0tGqTZsCDs4k8wlFQK232YTmC1drnC8I+BaAUgDPAXgJwA4AQQBvXKDr+E5DZEjwzJ2Si6ZmtiSnogD7as+oEhcK8PlZH9oDUd3Bnzx2eVUhWtvYTLM+PV1YXlWIUESizSgt867ulBdbX/8I08YNpD58pBnAQsFTnVYdM1jQvK/S0f10zFO7VWRek9Ycef/RLzG4bzoavAGdSabWqNXpsOLR5w/hnql5KC8ZoCu+llcVGsbJie5u91QH8/XT0xxYMqMAAKAQXdxEfKOIRBXqz0GKhW1vnsAdEwabNjLsVgFTxmbHSbvkm/rgKRyYjxcEdkqKSkZ/qke2HMLq6mI89fIRA5hYNWmoiaQRe4qD5zimD+KCqcPMgb6YlIBqfCxD4HlYBVBzVsPnGpaQYhdhz0o5r8cnIhGJSMRXBauRN788D6nJdsM+SiSDWDlZlhVwHGC18tRsmxjUJ9ktcCVZ8NS2jkYAOYzdMWGITjt/TH5v1Dz2tuH5BZ5NwCBgG5FlBFRlgx1vfcL0M3zyhcMxY3BVJof1nC1tIdgsAhqbA1gwNQ+uJCt87eGYj4a+IcNzqvE9zwOpyR0Hk6/yElhc6UHVpCGAgq7LHD0PT8Bv4n35dV5v4bR8pLmsuoPjr+ZezSQZuV02rKouhiTJaGoJwmrladOQrK8X//6RYVquT08XgI6J2/i6OB7EiEZlyIpxCqAgJwuiyMMiqtMGAFB182CsfeGIqdSMKPBYteFdAKq3iRLhmLXQ8lmFnXpWbHqlFuUlA3QNFTJpcEG+m0SYhhlRQ+R5KFDU+0XhIMsyeJ4DL3BIiXk/KYqC1vYwLBYOLt6iy0mlo/upB30FqKnIR7M/xFxHzb4wfG1hKn9XVtIfPbsnY+XsQjQ2B3Wg4ZZdxykhzu2yY9q4gTp7Bi3z/uIMJ1ZXF8HXHtFN4KjSWhFDw5yAKD27J3d6jkxJttGfxT8mElXv3VSn6ikoyzKcDttXgoCJMMZXeVppZZclcAYyB8k7p770Ydd7J+mZbugV6Zj4k746wmOq04qzzQH88tn3dc+h9eErHd3P0LwmQF3v2LmJNMdYfsfxqkSyAlhEAS+98TEqx+cYiCGk7rDHuD8E3CZ5fLVJrdSjezJ2vfepwee1ptKDNJcVTywaA44Dno6rl3QN9Qu5LyYibi2DktkIoHDLdQNQU+lBs2a/j9/P4+Xnp40biPsef4c5YcTaO8fkX6q7R8h6WzJjBFrbQvC1R/DqOyfhyemJnt1VyW9CqmjwBgBOwcN/PIQ0lxW3jx+MtmAEs0uH4ouzbXh86weYX56HBq+RoFeQk4WykgG03ifrTSsHF99kJjX/hvvHQpIUcNDvUXWnvFj/8mEsn1WIhzcfROnofpg46gpNbyQvsU47CRHQTcQD+jpRkhWAN+ZUkndEgUcEoMBGa1uHrPu+2jOYMnaA6TQyb0J2l2UYAJbpE2QIPHSTru4UG5wOKzZogN/FlR58+kUzrujtBgege6odbpfdQCIidTQASpK8/cbBBsLD6hhZLhKVoSjA6XofrcVJlJX0Z8reLqsqxG83H6Q/S3VasbjSg1BUoqSPFn+Y+sCXl2R3OZWW73NIkgwHQ2JbK+9NggxdsKwTnEki2gIR2EWLwX4t2c7GKuLVi+ZOyUUoBiYGw1Hd39Sd8mLZ+r3qcJHAweuLIirJWDG7EM2+EMIRCRynkoqddiue2dFBDJ5fngdZUWg90dl5kbxPYnFCiBZ/e/+0QUmvptKDmZMG6yxPllcVMs8X6akOrK0ZQ18z/rO4kLXG+T7PFM2/7wUwHYATwFMX6Dq+0+AkBalOqw44IEUeS6d8w44juGPCYKx/+TDKxg7All3Hqam5NkgTy+lgG71/esaH9S8fxvzyPDz3xocoLxmAlFgSJK/JQn7XbGSj4ASQI8le4Dkq2dU7S99kM5P56JaiFk+bdx3D5GuzEZUkyrrSFhVaWQyvLwhJUgxJvqWN7UWU6rSaTmZZRF7HjP759AKk2sWu2Zg7zxAEHm0RCfXn2ulBLatbEmxWkXm4EgXedCouFJZw4MP6GMCtgOM4/P3AaYzJ7838G8igACDQMcGxuroYa184gtLR/WC3qoDk2heOYMHUPNMkxrpWswOWLCsMH4p8WC1qM1BbIJH31tkhuSnmY6I9GHQ2Yh0JSxrGkYRIAv9LRCK6ZJjl32SLwGzCmQFN8c2Pz8/6sGHHUdPaQpbZEkWKomD9y0cwcdQVhikjm5WHIHC4/cbBdMpv+54TuPmafhAE/Z5sViNIJq9LHk8KYECVTmZJ3xGj+rf/7wsqk8N6zhZ/GJf2cCGzmwOyDHx+1o9wRMLjW/UNxgc37ceSGSOQZJfhdtlw+OOzWDFbZWK3toWoxxYL9KFMuriJiS4VCkzJNiS+ifel+etxhtdj+UikOK06Pz5yeBIFDlFZRigqoVuKHRYLj9UxvytFBhwOAWMLLoUnp6duWu7zs370ynLR92Fm/k5ADElGzPPKowOdZ0wcggYNQ5XcXz+7bRhCYbavMpkeIZ+ZJJs1eJROPSvKSwYgPdWmIy2pB1t94+UbfzeJMA0WUeO+Sg+CkSg27jyqq2FJQ1c3PVIxHIoCtIeitFESX/fWVHrgTrEhyWYxrCPSTGM17FbMKjQ0Pjbt/BCrqosBdPjDA0b1mKik6EAbQF0/4YiE3j1cFCDcV3sGvbJcWFszBoLAQ1EU5lrPcDti04bH8R+j+7P3EUnB+pcPY3GlB3YbD07iTBVEEvHVwZqCYE39xa8TIAbitQax/uXDuO92D7ql2Km/UigioWd3J3henRgPRSXmuUzrWWqWV3t2T4YCBfuPfonFlR5s3nWM6ckXr0rEc8DfD5zGtBtyTOWg1WkCK/0/eX4AOiKQ9m9EgceovF50Kp1IjVotPBqbg1j30hEK5Nx+42AoCnQNdRWgYU8SanPv1/XS7epB1rJN4BHSgFq795/GuKLL4A9EcHGmC1FJ0jWV1e+PBWZ4UJCTBafDAqfDglXVRWgLRGC1iGhtCxr2Ttb+u7e2Hjdf0w81j73NJJNpJ6h5jsOCW/PQFohSQO/JRWPodZJaue6Ul07qpTqtSE91oCbOM4vVZNZKnxPyM8CBk1RZSlbfssUfNO2NJGoE85AkGZyJVUOq0wqB50z7VBzHwdum7y3dO204PY94cnpSchcrh7AIaPFnLPKzpuYgMrs56Loi33NBThZmThyC6TcORoO3HbWfNCLn8nSd+sW8MrXXxjqnra4uxh0TZFgsPCIRtnWWLAPtoQiSbRZs2XXcALT3TGeTPP2BDnCb9LA3vVKLST/pB1eyVQeQdFWVlu97xFt18TyHLbvUuhYAVQByu2zwM9Rentlei9k3D0Gay25qv8a6B7ytIYNyzc3XXIFUp7p/s/6G5znqJ3vr9QMAAE9vq8VtNww0gI+EePHw5oNYObuw07qme6qdvsbi2z1IdaoEIlFQSaKTr+1vIBGsjlNNavAG8MyOI0YvzUoPRCjgFAVKJ737C5XDzwsErKurk+L+ve6CvPq/KCRJBgTBYG6a6XYg1WUEB+tOeVFWMoDK/MwpHYqoxD74t/jDsIq86Xh2g1fVfF0+qxBnGv0ApyDJblH1ZhVV2oC16LTmsAQA2fRKLS1Oayo98Aci2Ftbj7219VgxS38jsUxhVbPugzQpn/yiFStmFWJRRT5a/OxCNxiO4p5bhsFqMXrDaQ8Fus802QaLwGNZ1Qh8qfFgmVeWi6aWgO6mWPn0Xqy5sxiCwH+thmpXCkXg4D1nlP28OMPCbOw9dNfVzPXIxbwd8gZm4h8NPtrQzhuYyWRwzCvLNV2fsqJgZO5FuOyiFCiKgvQ0B0bmXmQKQIqCsSn46POq3KhZ0iMAt1YSYfbNQ5ngoMXCIRqUmFIBF1LmLBGJSETXCrP8m5SRDAFfz0dN2/wgkkhmYBzHgZnrntqmTlSzmPh3l+UhGlUgiBxSktXCfObEIfAHwvC1h3X7Asvcfe6UXLz4d7a86PY9JzC/PA9Wa0eOJ5MDZkBL0b9dRGVy4tn45DmvG9EHvbJckGUF4YhkKpnhD4Sx8Pdv0c84EIrAKgrISE2CwikUNGH9bVdn7XdGtiG6/9/U+5L9euzvgeM4HVGHA8eWLq8ugiDw8LWFDYe3D082YWTuJUhz2tAe7ADeiLrFZRel0vdhdm8Fw1HUVHpw7NNGjMq7BMl2EQ/MKQLPxTxfZLaiwYpZhXj0+UOG+4P4g2s/M84EVG32hdA9zc4GV9IcsPBAY0tI9z0snXkV7qv04IEL8N0kwjxYE1eCwOHe371lYAmPyb9Ut3bdLjuCIQkPblTBuAd/WoSKcTl0Og/oWN8rZhdCtPCoqfBQuc1MtwMXxZppLEbyF41+w5rx+oLgAERN7m8izxyVZUN9v2DqMIgiR5n/ZE35AxE0nFP3h2k3DDJcIznHEQBnckl/Q96oqfQg2SFSvzrJREUjcd47/2B9VqyzDWudqMBtEtZUF8Nq4RCMSLEp0DCdcMqkwO5HuLs81/Acr+3/7Cvz6ql6P9a/fBiLKjyQFQWzbh5q2lgmRIy5U3Lx1LYjuG5EH7S2hWiTefueE7oGowIFosDj4btHqp5VAocx+Zfi9vE5aGkLGddghQcc1/H5xEubE4BaUmQ8vPkgpk/IwdPbalFW0h/TJwyGGDOd5Xngvts9eOAZdu69kF66XS3iJ03cLpXwE/XLaGpWZeKTHSJWVRehxR9GqtOq81wDOojzy6sKUX+uHbKs4Hd/+l/6HbtddsN+rVWSIJHpdlDpOxaZbPueE9R6hOd5cDywRSN5rrUw2Vd7htbWZFJvUUU+oiZ1EZGDIzU+mbIh90dbMAIoqjQfNHuUOqTGwRcIoy0QifX4DjDXaSLMw2xowe2yqXmWZ/e1FMWYfx/a9D4WTM3DsqpCcLE1wbJsmFeWC1HkDHmLTE5p+7/3ThuOQDCKQEgyWBzceHVfrHvpMK4b0QeRqIIr+2cxpUeXzRzBXHuNLQE8va0W08YNRDgiMd9nY3MAChQ4HVZ4fUEKbJOpLJiAmf72MP03ef91p7w4+UUr7i7L0xEzHBY+obb1PY14n8APPm4EAEy+Nlt3pl9eVcgkIpxtDsJtUqMKAmeQYr7nlmGw2wSqfJHpVgl2Asdj6bp3mT6Aiys9kBVg8tj+CAQlSq5g7Rfb95zA3Cm5dOqbEKTN6pqUZCse/GkxnA4rwpEoFv7uLcydkovuaXbwPA9FYRM+tapJgEo0qZo0NGZDAYg8BwEKrRMu5BncLEz7INnZ2WvP5wnq6uqqLtC1fKchCGA2oQhbMf5LP6tZMD26J6O1LcRsmJFCdevrH2HVnCKAA0JhGe2hCEpH96MFtrc1iMe3foCaSo8ugWv9ArWvD6iGrqqkSoAaa0+/cTB4nkNbMAKLIKAgJwvNvjAcdlF3U3h9QSTZRGoIHgxHIckyBQDJe5MVFdm3WgQDG1q9qVR/tGjUCIK+tv8zw2dKCp37H3tH8zMPIlGVxffIlv/VfS8N3gBCEQmKosBlF3UTWwU5WbhjwmBwnMog7aoHxM4kNlmJJxyRmf4/M2PmpMGQZGhoR2UFf3v/NJbMGAGBB2XIl//7AFOQbtDl6bjv8Q4D05pKD5KsRpba4koPeJ7NtOJ5zlC43nPLMPA8RwFubdxxkwJnksXgLahEzAFk8wZoh/FpIhKRiESwwiz/roqRCL4JwYA0m9dUF4MXOCy+3YNVmibT/PI8PP/XOlxX2CfOh9iKvbX1mDjqCmZOS3PZ8Ne9n+Lq3EuwYuNeXQ5uC0YM+8KeQ//Akhkj0B6KIFXjxeNrD2NZVSF4XgWQBIHH7TcORlNLAK++/SlWzi4EAIgiz6wNyAGZNLUbvAG8+s5JOn1AJhRvvLovnn3lQ0yfkEMBvmUmkhkt/rDuM145u5AeHMlnLZwnk66rNZ85jt3k4LiOVdqZ5NzXDbOmCs8BnCRTok7UhIGtvqTxvtu+5wTKSwZQbyqyttNcNlhEDsMGZuHe3+2B22WPSdO5GEC6CiBv2XVMJwNFfifJMlKT9UA0kXTkOHVa6+8HTmNO6VD06J6MtkAE7hQbFkzNg8DzsFk4hCIyBMF4oKup9CAciUJRFEPdozYYgTBDdWPpuvfw0F3FF+S7SUTnET9xFZY5Clpo10T8/0tH99OtV1kGWvxstZRzLUE8vPkg7p02HAumDoMryRoDHNX8xWIks7wyF1XkY+1LH2BM/qXM+42oxwDAjImDVVmviBzzGlTw4MYDhr2LNM/nTsnFpleOYnbpUNxdlgeOUwGRbinq+fSp+8aqEqkA3Kk2rIqtTUUGeFG9zzubvhYEHs3tER2wfV+lB2kJ8MQQZkATS0qLtU5U/0D1u2pqDesALa00JwEj2kMRQ5NtythsvHFQPSNGJMlQs2iJz0TNSPW+ZJOF3TFVIvLaFeNywPPqxPPfD5w2NBgXV3rgTOJhtYh4bf9nGJN/KZVBbA9GkdEtCXdNvhIZaQ6caw3BauXR1BI0BSAbvKo33MyJQ1A1aQjSnDbcO20YoAB2q4Ayj13XAAAgAElEQVRAWEI0KkOSgSMnGrFydiE4cOB5vax4gmT6z0UkLCHNZcWc0qHoc1EKldTUrqsde06idHR/rHvpMCrH5zC/U3DApp0fUmUN8h03eAM60CLD7cCWXXVM2dD9R79kKmtdm98LpaP7o7VNrV1f2/8ZyksGoGxsNrXy0Xq0enJ6MknMFeNymPeCK8mKxxeOBgcOf3lP7fURSU/SY1z/8mGsmKUCSw4LDy4sgRd43WQh8Wa7OMMFge/C8vdfM8ya7xSYEnncO204fG1hehZzJVtNSYdpLntMeUs9G8VPhHZPtUNWgLPedogCR894ZHIqzWWlfqdWC4/WtjC1o8p0q9L6ZWOzcbY52DG4MjYbrmQrZFnReQKTa7KYTE+1+MO0dmEB5vPKcmG18vif3ccxc+IQ+jkRElBNpQd/ee+k8X663YO2QARPLByNU/V+nQx5g1e1hkpPs9NaNgEA/jCC3CteX9BgafDMjiOmfu7zyozEoky3AxyA949+iTV3FiMqKRAFDoiRMZdXFUKBAp7jIGpyXYNX6wPoxOdnVe91ry+IxZUebN9zHA3eACU0xZ/lbry6L5atf5dK7kejCh6YU4RX3v6ESYD2t6sE5Ad/WowWvyrt++jzh/DAnCL428Pwm9Q4rIleKAp4SQYPAJIe3LuQZ/DOvj+zaNL82w3gNgD/D8BnAHoDuA7AsxfsSr7DEAQeXl8Yf9pdpzMi3fXeSQwf1IOZ3Ne9eARAxyi+2+XAn3bXYVlVIS0Etu85gbKxA7Dz7U9QVtIfgsjB2xpiAoXEHDXe9PepbcabZlFFPp7e3gEUzp2Si9P1fvjaP2P6swVDEh7a9L5BJ709FMUvnnyXfg4P/rRY97lkuh0IRSS4HFasemaf4e9DkSgiUQWuZCveOPgPQzPlxqv74q3//QdlaSXZLWhtCzLkI/dhdXUxwClMSaMvm9oAALasFPo5kBtV26Dpquw6U08CE6keUeAwYWRfw1SfKHCISDKzof3QXVfjmuG9KIOI/I1F5E1BOhaD/6G7robVwusa11aLKmfFulZJVpDkEHWgXlSWIcns98Zz6hRNmAMkWYYl5tcnS4opG1OBSUOS5xIUuUQkIhGdRmckAuCf84sJRqLwngtRb1fin/Tn149j9/7TOF3vR1lJf6Q6bfA1RBCNKp0y1urPtaHkqssM8lurNuzD3WV5Bmm6xZUebHqlFntr6zFp1OW4Y0KHjOiGHUdQNWkImgNhtPrV+igckXB9UR/wsQkZDhwiUeOUyT23DENWdwfOnG2n17l7/2lcM7wXwhEJriQLxuRfSuWVtADfhh1HmIQtIuFOHuf1hSCkqpJfX4dJ1xWZ+zYLz5wqtVl4RKSOTZAlOfdN4nwZjWYSpJ+f9eHiDKPEULyXD1nbc0qHoleWi76/Bm+A+potmJqH5bMK4W1Vp26ffOED2oy4+Zp+hlp1TulQpDk7JgVY0mA1lR4k2y340+46/GRYL9T8t54MtWXXMYzJvxSv7f8MS2aMgD8QRos/jCe2fkCnbuaV5TK9jQWBzSoNR2SIivJPfzeJ+HpB1mh8zo3/fzxw52uPwCKaTBjEAJC3//dzFF95CZ0WLMjJMlVm8fqCiEhybOJZQVSSsXFnLfUIYjXvCPMeAH757Pv42W3DEQxFkZ7qgCCwAXjyPgiTuuFcAHabgG4pNjT7wjriHznfThjZF5t2qrl8UUU+MpMcCIUlSBxn2siQwFEAkLz2Axv2YU21/pyaiM6AJqOSitcXRJrLShtJIs+DF6ASE3ieAoDkecj3/Nr+z9AtxY4FU/Pw+Vk/Pj7drJJCBQ4WgceOt07gxTc+wdGTXtx2w0BYRA733jYcKclWfHrGZ2jy2q0iVm3YhwVT85hr82GNKhGpXbbsOk7Xf3yDUQtQL6rwYM+h05hfnocWfxA90504ey6AHW99gjH5lwIAfrvloKkMn689QnsMREaX7FHpaXY0NAd1kwmLKvLx6jsnMb74cgOwfUG9dL/H8W0SpzhJQTeXHRKDcEfWpz8Qxt7aeowvvtyU6KVV1tDmZyKfmOl2YFV1EZ1k0fbzNu86RklppaP76fb/6ws7amqS9zbvOobrRvSh16H1aHUlWZgk5rKx2QayfU2FB0l2Eas37AcAVI4fRFU+4sH1c60qeYTUq6G4taf1xuISNcJ5h1nznQBTAs8hGlV04LSqkCaw+1qSgsbmALa9ecIwETqvLBf+QAQCz2HbmydQOT4HC3//tuGapt84GEvXvUtznvaeeHDTfp2EbEFOFhRAl8u05I5MtwNNLQFTxToCnMcD5u4UOx7+40F4fUHMuGkImlqCeHpbLeaUDsVF6U40tQSx861PUPRvF6FH9yRKBPrsjA+pTrWvHH/95DMSVdmNRC37AwtyryQxCEh7a+sxc+IQun5EkYfLYVV9SUUeC6YOw6//oCc+RqIyrivsg8bmILbEcjBrjU6fkMPMdU8sGoNIVCU4aeuEvbX1qDvlRWNzQHePan1l4891iys9sFp5Soz2toYoCSPTrXpgP72tlr6WLCvo0T0Zv91sVIdZXOnRyZaanYFZn++FOIObhWlNUldXV0P+nZ2dvRPAjXV1da9rfnYNgP+6wNfznYS2gNZKQqycXUjNf+OTO3lMTaUHT28/gmk35OCDjxtxut6PW68fgEsynZh+42A47CLGX305Vj2zD3dNvpKyNQBzc1St6a86HjqEgiYpyTbalNM+B0G843Vn12zcjxUxPVtyAxDWcs/udmpo7PUFqdSB9ga0ijxa2oJ0HJcUSnOn5OLpbUfV0d7qIgzum06ZTd1T7Uh1WtEeimJovwz4A2G4kiz4+RPvUENkbZCC+Klthw0FkHYT0rJqOvX2+ZbWyfc1RBMpAtFEwtNm5ZHmsumAuDSXDaLAIRyBDuz1tUew9fWPmMX3I1tUuU5XkkX3XK4kC8IRifk9RyUFS9e9Z7jW1XcWM69V4DmD5Ne8slykJFmpOXjHe7DDIvBo8oUMzcWUJKspGxOcwix+wCV08hORiER0Hp3lXwVsGZTz0XCPAqjX+I5pa5M5pUOxe/9peH1BWEQBj2zpmOYgXjhmB7r4YhlQ86E7xYYNO2p1EixJdhEzJw7FxFHt8LVH8KfdxzEq7xL0ynLiuhF94GuPMCfHOYQRDEnI6p6EZevfMxCIJFlGw7kANu48qrvObW+ewG03DMTZWB1UVtJfR7oC1JqobGw25pQORc90Jywij7UvfqBTMSAMVgCwWQR0d1kRlhSEZAVpLivWxPzjWM2qrsjcj0oynfokk/67932KCSP7fiuv91WMRtJQBGcEC+eX52HDjqOoGDfIcG+ZSc/arSKiUTOpLTvONPrpGiahXUPxz3WuNUDrFVYtunrDPqyqLsLN1/RD/bk2uF12WoMTop8ryYJmXxiCoHrKxL+OKPC6en36hBxEJRlRSUFBTpaugXi+OSURFz4IoB2fc+PllON9yba+/hGqbh7MJEiQxtqyqkIdYYN853dMGGK4L+ZOycWfXzuO28cPBqCgxR+ij9dOGvTOcuJscwA2q0BJlyRv/+XdT3HN8F5YEmsumgEkgLpGM7s5YBEE+ANhSBKwedcxZoP+kS2H6PRBiz8Ep8OCz8/6qRWEOq1r1d0HZtJ40R8ZeHIhwgxoAqcwyRacpICTZNgEHt72DnWdB3/KVo/J7KZO+hGAtyAnC1PGZutIoXOn5OLoSS9da9PGDYQt5q3HavIS4nOa046ntx+hdUe3FDsikqRbm/PL88DzwLyyXISjUSYBRAtQP//XY6iaOBRRWcYXZ/14ettBCkCrqkm90eBly/CROsmsx/DAnCIDwXXNRtWTmAXsXVAv3e9pfBvEKUHgIUH1/pUBZLjtaA/JmF+eR/sSdae8sT3cCm+rSox47lVj7buowoNX3v4ES2eOQCgcRU2FB1v+yq6R//b+aSyclo/WtpAu9wKqRc6SGSPwzgefUyJa6eh+BkCa5D2t3FuHR2sRAPa5oNkfgtUi6O6FXz13AP916zB4ferU6oYdR7GsagRa21TikBbIIffUqg378NBdxRDA4cGfFiMckVSZUo6jxGv5G05WdTWVDBJaq4YogFBMAUV9/6BEeEBdA7/54wGsubOYuU9v3FmL8n8fgKpJQwAAd02+Et1jxBtR4NDYHADP86gYl2MKJH7R6NflPG2Qe4I89vbxg6k3Jfm91hN4XpnazwVAe7hpTht8AdU7rXuqgwmYz7hpCD13pTqtaPGHKfhCfr97/2ns3n8aBTlZqBiXAwCwiBxkWc3n2948wZTP5wVATqB/P8iQJBmiYFy3BTlZ4MDhtf2fYcLIvhB4nq7LTLcD988ooKoShPjo9QWxurqYnptYtlEzbhpiaj/W1BKAReRwd3kuGpsDeO7VY/TeAIDnXj1m8JVt8LIl91dtUGWl71+vJ3xs33MihqUc1xGXRIGHrChMidw0lw2cJONX80YiGIp+b3Lp+dbWxQBuivvZWwBevrCX892EaQENYHxxX4NR72/+eICOYhOmZdnYbMwvz8NLb3wMjuMoc3PJjALaXBAFo29eg9dojhpv+huJKHDYLPjNHw9gfnke9tbW08YAAWouyXKh2ceWltHqMbNYy/PKcpHmskEQeOYNuHJ2IT465cWq6mKc9bbrvBEBtYH00hsfY0z+pXAlWdDUEsSfdtdRVvbj946GHNPENZtQEAQOE0ddAaejA1CKSjI4Dpg+IQeuJKtuMzTb+H5s7LrzCQGKwZPgvts9UACmhGfl+EGw2wRckumCoiiqnGpM99Jm5TFt3EAD6KbVsydBQD1R5JHVLTk2qWeDIKiyRQU5WXRN+NojeG3/Z+ZTi7JiMIzdtPND3HvbcLbU6Z3F4ABd83lxpQeiyDEbuMTYlfW6As8x5VGrJg75Vr6vRCQiET+eMMu/Qkxe65tquEuyArvVyKZr8AaQ1S0ZD/60GN1T7Vj30mEAwG03DMQvn30f88pycd2IPki2i1hdXYxzviC8rSG6Z5sVywAwYWRfpKeqfoOvvnMSwwb2QCQq6QCSa4b3wpdNbbBbRSTbLVTyi1zbI1sOYfmsQkiygkgMeCF5mASRzdA2p90pNnRLsaGpJcgkXWmv1ZVshQKgqSWAizKSUV6imnwTjyCi5DDwsnSsf/kwVs4uZKoGACrop51IiWdPk/f1Y64tZAXw5PTUNXXnl+dBBr414JPFaBQEXvXYjJN9X15VCF9AlVWxWFQvpo07jxok57RENhKkpk4x8fnhAPTu4TL4Tmi9d+Kfy5VkofVKvDQYoK6XppYglbBdOC0fPAecbQ5i6+sfURbstHEDdZ5rpAHt9QWRkmxDQU6WjvlakJOF28cPxh0TBuO6EX10IErC2+dfEwTQrpo4BOCA1dXFaPaH0NQSxCtvn+wgVaTYMb88j05xeH1BKAqQ7LBQD5DG5gCe2a4yiWfcNAQcjNJde2vr8R9j+iMl2YLlVYVo0SjPTBk7AM/sUD1h46cM6055Yz5+ORAFHoFgFPPKcpGR5kBrWxjuFJsO6OkMIAHUhg4UGICgZl9YN/FFzmruFJvh7Emej0zrtqZGkGoXIUmyuWQwj8RCjwszoAkKDGQLq8BBAiCDh4wOwkt2bzecDivzeVwOq64PMib/UoOiD2nGbX39I5SO7gdR4JFkF5HkEA1AN/neM90OKIqCOyYMpjLg7x3+AtcXXUbXdlSSoShASrIN3tYQXMkWtIeipnmeTPCR6yX599V3TmLNRnVChvQhWDJ86146TKVPWXldUcy9i1jA3nfh4/OvjgtNnGJJAZMJeq0CVsdeqeZX8jk/+4oqBdezezLOtYYQCEXw4hufwJPTEzWPvY3s3m7MvyUP4aiEB+YUQVbUe2PnW+oka87l6aZAczAcxbCBWZT03qeni/m4zG4OWEUBq6uLKGhJcv651oCh3lAJ2gLWvXikYzp77tXw+oLgOU43MbZhRy3KSgZQcD0+N7tddjRraijy/Bt2qMT9byqr3BVVMrRh9v5dyex+ZJSS3YrR2BLQgbYAMO2GHFgtPLqnOtAWjCAckWAVBfz6DwdpvVc10Uj4WVThwZMvfADAqDgAdEjIrq25FjzPISqxCTV9eqZgVXUxfvns+/Satr7+EW67YaBOaeWeW4bh3mnD8dCm95nrjdTe/6hvVT+PWB/t4owkdX2k2OB0WLFhR4eC3X/dOgyuZCs9qy6dqU6VN/tCeHpbrTod9q19k4n4toMXoNv3J426HNcXXob2UATlJQPg9QUNvd3l6/eqk7Dt6jAJsUwjA0BmfX9XkgUvvfGxYYjo3mnDEYnIBpJy99QOz3VVGcGG1XcWIxKVYYn1rnv3cDEJJzzP4e6yPFgsPJJsFoQjUVw3og9cyRY6QU72q7UvfYBmXxgLK4ZTtSStVDAnycjoloxzkTYGseBfk0/P9547DGBpdnb28rq6unB2drYVwC9iP//BhbnkkN+0EIhEZPCcWgw3+8I42xxEqtNiYFxom3hmyVprjhpv+ju/PA9/fv04ppSozHd3it3QGCB/J8syE3jh+A5zWRa77ZEth+iU4vzyPMiKorsBvb4QUpw2RCWZHmC1189znOF6Fk7LR6rTiiUzCmC18vjibBsy3Q7mwXJhxXCVOSDyaGwOIMOdhGdfOYobr9ZLVt53uwdLZ16FpeveMwcTed6U4f9jDouol9i0iDwEnsP1RX3Q4G2jP7++qA/CERlrXzxsWCezJg0FAFPQjTntInBYFJO60v784fkjUVYyQFfk1lR6YLOaezKxDGMlkwOXJBt9cYj8DfOAZjqtw0OAgvKSAT/qQ1oiEpGIby9Y+Rf45zTcBZ4zTI8Aat76R4MfqzbsQ0FOFiZfm43WthDdU3mOw7L1ewEAK2aN0KkPAKpXbzxoOXdKLjbsqMWEkX1hsfBY9Pu3KBNO6wPhdtmRZLPQPeLxe0cz8y3PAWlOG856A8zrd7tseOFvHwFAnBRTMX71nJFRO6d0KGWXLpyWj51vfYKSqy7Dmo374XbZMX9qriGHE9Z/gzdAGdLkOQlTOr5RQiZSfuzM/fjgAF1t1+AN4OHNB7Hmzu9Oeo80WLy+oA503ltbj5NftFJ5I8IwXv/yYXRLscXkD1UpuySbUdaUNCsGXeY2NN4WV3pgs6oMKGvcPSyKPCaOugInv2g1PFdZSX9aryyu9DDXi1bClsgzEdZ1hluVOv/FE0Z29pzSoUhJtkGWJVRNHEqb2aS5rWXOkvXKSUqXqXW/j0EAbQCIchwWPPIm/d3u/acBAE/ddy0UBVg5uxCyohIYSMN3bc21kBUFG3cexa3XD0B6mmqD8PDmQ/D6ggbpLrfLhsWPvQ23y07JoBXjcnTyn7NvHqJrwrCmt+aV5cbkliN46Nn3qWoMEDc92MMFngOe2naEXoPWLxPQA0GkjifATKbbgTSnDedagzpQU/v4rG7J8LWHkWy3QBR48AJbyUQUuMSEQFx0CjRpyBYCOPiCUXh9ITyy5RBV5iHk4E2v1DKlOc/59N55Zs247qlGCa15Zbk4+kkTllcVAhxwptFPwZvFlR7wAo+fP96xlkuu6oNoVEFUluF22dEWjOhy9j23DENGNztzwsZsgu/BTfuxrKoQp+v9yEizIxyV6N8TGb65U3Kx861PMHPiENx8TT9TQNTMP1cUOOaZ8bvw8flXx4WWPI0CBingeKscslc6bBb8dvMhzL5ZJe1q9/C2UARWK491Lx5BptsBm1WgIAUUIBKV8IdXP1Tl3Lo5MGFUX4zKC1PJONb3bLeKNIfura3HusXXMideoIAOA1CyvdOG1vYQfv2Hg3C77Li7LA/paXbwPI+mlnYdAEh6g6oqEdA9zY57bxsOV7IVUIBQVMLK2YUQBNVbri0YoT27spL+hv4ImcZetWEfHviGAG1XVMnQxteRXSZnBkmSIXEcFv7+Lfo7UstteqVW1ztdMqOA7ncdZIa3MfSKdAqSybKiShHGJqW3vv4RU0HtkS2HsGBqHjiOw5lGP/P6JFmVJdXaMLHy52/+eAB3Tb6STgm6kiy6WmDhtHzsP/olci5P15H34oHqhdPy4UqyYvf+0/jVcwdwd1keIlEF4aiMZAeHNw7+A3/4S92P/rzVFSIckSlRMiPNDo7j8PMn3qHnIJYqYHx/geRN4oVt1vcPhqMo//cBcLtsWDFLrTM+O+NDIBg1qC8+skX16tPuE4FQFJt2HsX44stx8Fg9ykoGmJIzJVlGRjcHIhE15/rbw8hwO2CzCPQsyvMc1r10mA5shcOyYWBF4Hlwgmon830iVpzvfl0B4H8A3JOdnX0WQDqAOgCTv60L+zaDVUCTL/1ntw1jLjqvL4TFj79NH/v3A6dROrof5DjQQrtoWQDY/PI89ExPxtqaMfj8rD8mVaGa/gbDUZX1CLVJY7eKOOttZx6+1mzcjzV3FtNGGDG0vP3GwfC2BpHhdlBmFKtYI1OKD28+qGu4zSvLRVSSkZ7mwDPbjxgBvGn5ADhm0U1u9qVVV8FhE7F8ViHONPrx9wOnMad0KHp0T4Y/EEE4LOPnGztuuHtuGYbZpUOx8Hf6CcwHnlH9IFQJR+N3RpB3wjTpKgylKMCU2PzlXVczpdr4FA7/eW1/+NrUJpVFVP+vcIAUNfO3UpgHcp5n+4YEQzLTE3DNncWGRlxNTBt56cyrUH+unSbmrG5JEHmOCWwLHPt1zbwCBZOGAi8AUtj8kNZVpS8SkYhEnF+Y5V9yMP6mGu4igKxuSYa8ReQQAaDZp0ptO5MstLmqrTmee/UYairy0ewP0bya2S0JHAcsnTkCvna9pNDJL1qxuroYK2cXIRKNosEbgNtlB8cBy2cVQuA5OikCAI0tbJCv/lw7fven/8W904YbdP4XVeQjEpVwx03qNJOWHeeNazYCal6/KMOJX88bCbtVpD5WVw25CA1edcrw7LmAodgnrP8PPm5Ekt2C7N5u3YRKVGITSdZUGyV8fuykkKjE3ve/S+k90mAxk4wnMvkNXlWyZenMq+BtDRkmcA98WM9sOA8b2APvH/0Sy6sKwXHAF40dZvFELsyT0xPOJB6XuF04ey4AZ7IFD8xR5bs4DmgPRVExbhB6ZCShpsKD1Rv3YevrH5lOusRfPzmEkoMqeZ9aZY8MtwNbdtVh9/7TeEwDsncqgZ+oSb43wSKVFuRkwR+I4LdbDuryeEcoaPEHDSocZB1ppbvmTsmFry1Mcx8B3FZXF+nkP9tDUYgChxWzC8EBUAAD6EzWYmqyDTNuGmLw5iYACfGEq5o4FNMnqPuZllhBgtybAOj1b99zIkbI+AQDL0tHqtOKu8vzsDXma3tJppP60T+9rZaCQ91dqiS1tlnjdtnAJRqDhjhfoCleYpzUCtrc4kqyUvUYQeDx/F/r4MnpGQOfVaAu1WnDkhkF2LJLL3+V5rQZpBG3vXkCk6/Nxv1r36F9ifm35OGstx2pLiskScGyWSMQCkmGHoyf67hnyPP95o8HsLyqEG8cPI1lVYVoD0aQZLdgw44jnU7wtbaFUDFuEDiOoyAM6UM0Ngfw9wOn4cnpCVlW4HRYAchMKepAOMrsPdgsAgKhKJPJ/237+Pyr40JLnpqBilqrnAZvABdnuhCOROH1BWG1dIBz2mv42W3DUVbSH5dkudDWHtGBEvdN92DmxCGQJAUcBzSca6dTWGb9OpuV102INPtDhv2f1aMj9inL1r9HczfpIa6cXQhR0MszL670IMluwavvfIJxxX0RDstISbbiqW0d01Q/v6MAUlAxTBRmdkv6yhrqmwC0XcXf0izM3r8ss2WXrQKHCARAVvDkojFobAlg005VKjkckVAxLkcnF2+3itQ6oXcPF+5/Us2ZPxnWSwcozy/Po5N5dae8iESjdJ8kSm1pLnUfliQFfS5KofWq9hy2YccRNPvCOoUCs/ypytO/i+zebiyYmofpEwZj+oTBaGwOYO2Lh1E6up/uPDUm/1JDD1BLxqg75VUlETmgxR/GC3/7CGPyL0VBThbumDAYsqyA/xdPRSXim4Ug8FDAYfqEHLT4w/AHIvR8Ts5BLECvrKQ/XaNAR9781byRpjYniys9AAcosoLH/vx/GJN/KXplOuF0WOBOsZnUAmFKlAbUfLt8ViFEgcMNRZfrehwN3g7CSarThl3vfYphA3voruG/bh2GZIcFdzzwV2S6HVhWNYICgHOn5Or2JVKz312WhyS7CIghbN51TKdEt3nXMVRNHPIvIVacVx6vq6s7ASAvOzu7H4CLAJypq6s7/q1e2bcYkiQjzWU1JFGvLwhR4HBfpUcnSzCvLBdWK0+bSuSA5m0N6cZMAegaBHWnvNi+5wSWVRXCHwjD2xrCS298jJkTh8DrC9FFSZijAPDM/WMxvvhynaHr8llGaUO3yw5FUTepZVUjIIo8/lHvo94SS2dehTSnHWea2pjFWlSSKUPKnWKn7+2RLYfU0VeRpwxT7WK12wS0tLFlSF1JFrhddnhbQ7oCaXGlBxFJxrnWILqnOijiTv6OyK2ym1IyREUtKvWHHp4CgOSxXYWhJMkK08cvYuLj99BdVyMUNoKDAscBAlurnuc4prTolLHZJgwjEz8PScGbB08bvIcmjroCobix7ZpKD5xJHKaMHaBjOS2q8EC0mE8UsooxSZaZ1z/52v4GtqxWmuz7xNBIRCIS8f0Ls/z7zx6MJUlGskVAUkYyVsUYZk3NQWzYcRR1p7zI7u3GtHEDdTKXc6fk4sOTTVg5uxBenyqlFZH0eZWoDUwcdQVqHtMbzjd4A2hsUWVs0lw2zLwpB5f2TKX7CPEMIq+dkebAilmF+KKxw9+JgJQN3gAe2vQ+Vs4u0tVWT75wGGkuK8pLBuiua355HqKSzMzrX5z1wyIK4GOb+ZIZBXAlWamnsZnUeqrTioXT1MMuOaSS5zSTpo7KP37mfnwInZBtvqsgDY+ftt4AACAASURBVBYztieRyc90O6hk7dJ1RqLY3WV5mP3gaxRYu+eWPMgKqBTRFb3SDP5/azbuo+S37N5uTJ+QQ5vPZIJKO114zy3DsHvfZ9SL2+sL4q7JV0IUVL/lDTtqDR6V0dj6cbvsEASOyvSzDOjnTsnF6Xo/mjQge0IC/4cRLFLp9AmDDQDcw5sPUgUWNTg8Egd4aCfl+vR04a7JV9Jpp/h7JH5q3GoR8LNH92BxpQfrXz6Mn902nLl+mv0hKlu7+HYP7p9RgOXr9xqAyLpTXlSOk9DUGsSBD7/EuOLLdcAQ8TpJT7Xjl3OvRjeXHW2hCCrH5+DgsXqUXHUZWmPSpS/87SNMvjYbvvYw6s+1Ycuu4ygr6U99W0gTJNkioHeWq8vk4W8a50tWjJcY31d7BsuqCqns7L7aM/jJsF4Gide/HziN+2dchRZ/yECkJLYh88py0croBYzJv5QCgw3eACUY312WhxZ/GJv/cgwzJg7Bl742Hbjy6POHsHTmCOaabWkL4cU3VOnG0tH9IAgc5pT+G2ZOVN8za/9o8YfRp6eL1kvaa1lYMRylo/ujtS2ET8/4qGdRrywn1lQXIyLJaGoJwmLhsfKpvRRAvCjmSRyVZSx45M0ue0680JKnZqBivFWOwHFwWC1YOacIYKgGuV12WAQej2/9gJIoyGPcLjv87RE88LS+t+B22WlOS3aoJCCeBxRFJQFpe3Fzp6g+quOKL9eRFRSw7VMiURmrqotQf64dm3Z+SCXmAFV+/67JV9IpcEJQqqn0YOdbJ/DiG5/Q12z2qQRuqyDg/qeMYOOyqhFfWUN9E4C2K/hbdhZm75/n2bLL53xhXe94UUU+fnbbMMiyogNzyR4rKwolAhEyHMub7OHNB7GoIh+rqosQlRTYrDzOegNURYVILxKJ5df2f4bJY/vjgTlFiEqqUlp7KEr7pRt2HI3JJDoMRCDyHonUMuvMCXT4cZO620wmv7UthNLR/bD+5cNU0YZE1aQhyHQPYFo3dJVc+kMO4uMalGR80eijPYFlVR37ODnfsUgWPdONa8btssPXHkZ7MIqKcTkQBQ4PxlR8mn1BSIqMtGQb6psCqByfgy+b2vDbLaqCxvKqQuZa1nq1Auq69LYG8fDmg7pr1f6enPPGFvQx+Lz/6rkDeOiuqymGoijApFGXY9jAHvAHwsznS3PZsHFnLaomDTUoKZLp739FfK2zZF1d3UcAPvqWruU7DU5SG3ksA+3UOICQFL3kcNbgVbWVRZGDougnpry+IOw2AStmFVLdcW3yv+cWdUNg+fQU5GQhEpENbPX40W6SmLUa+HOn5OL/vfspbrthIJ595UMsXfceVlUXwZVsMTBC5pfnITnJgqikPt+ZRj9mThpMpQncKTZwPIclMwroZ0C0xR+YU4SG9nbTTaN0dD8DELV51zHMnDgETS1B00acLLMLea3cp/q9yRChmsiTDU37PF2hQWK1sH38uE58/La9qffA2/bmCVRNHApB4AxyWosq8mGx8kxpUYvIG/SOU5xWOr4d//1ZLTyGDexhOGTKAHNycPWdxRQAJD9fs9F8otBm4SAyGrgKz+Ga4b0MUkidGWR3demLRCQiEV8dZvm3s9xyviFJMgSocgCqb5OdMjzLSvob9tZHnz+EZVUd/ndLZhQYcv3zf1X9es3AlhZ/GOtfPow5pUNx1eCLdF5ALf4wCnKy8J/X9gfHAZ+f9dOCel7ZlfD6QhSkJNckKwoFV269fgD9bNa9dFh37Q9vPogFU/NM5RxVf+Ii5rSMrLAnwJ0OK8IR9bB78zX96M8XV3ogmkhEEwmfHzNzPz6sFt4AdC2qyIfVwiMifTfvnjRYWIdD0mzOdDtwX6UHoUgUHNhqAOlpKhGPTDGtnF2IDduPUJk3VxKb6dyjezIy3Q7cev0AOrkKsP2viDzSL558Fw/+tBgLf98Bpj8wpxATRvbVyYjOK1MbJdm93ai6eTBO1/vgTLLGfK+CeOFvHxs8iUtH98OOtz6hzVVfe4QN1HaRBtwPJTqmsjp8gMzOOT26J2NVdREkSYHbxWYtu5IsyHSr9hSAOp0Xf48U5GThkkwXVVvZsus4ku3q3xEyppm8oVa2dtUz+7Bg6jCsqi5CU0sQ4YgEh13A/FvyoMgKeEH1zx6TfymaWlRfq/ZgxEDyfOf/Pse44r4IBKOwp9gxoE93LFmrbxz+aXcdbh8/GH9+/TgTBAcHSNGulYe/SXwdsiKRGC/IycKEkX3hsFl038vCafn40+46Q00xrywXdquA5QxS6arqYpyub8Xf3j+NST/pR/1+ieSr2WRJepodP3/iHdUDqC2iIwSRPd/MF5KsWSIlfm1+L4wvvpyqILEms7fvOYHpEwYzm4wCxxvW57Y3T2DWxKGAJMEu8MjqlkRrIS2AuLq6GE+9fEQHLnl9QSTZxX+5v893FRda8lQEDOR74gkIdFjnCKLqISZJClrbQszJEnJfxJNoWL2pNRv3YcFUVaIwfgKQ51VfygVThyEqyeA59V4aW9AbsiJDFNQ6PRJV0NQcZJPZGtUcbrUIuHPyv6HFF4LVIkBRgNP1fgRCEpas1U8zEhnUF9/4hN6PM25SpU/NCPj+QKTTGuqbArRdwd+ys/gq2WVR4AGegyQrCIMzSNoSdRIy0U/8cx99/hCWzBgBfyBMa09yPmORv9wuO3iO0wHSv7ijACtnFwIch/ZARAekzS/PQzQqIxpVpQrtNhECz9Ncva/2DBQFdPKQpZq1aeeHpmdOImGvtaoyk9Nt8YeR6rTS59T+DgpnKrcqCvyPPo/+kINVh5B9/EvN8JG2dn32lQ9xd1keuqfa1dyoGPv+0ycMQrNPTz6qqfAg2WHBE1s/wKDL3BiV10unskGISy1tQcP9unBaPmxWnhKIiaQtUbb40mRQiuM4tAejkGNLUIeRuOxo9oV0U+ZkcnFM/qXM5xMF1UYtFJYMIP+jzx/C6urvzopDG98JXpKdnf0rAKUA+gAYUldXdyT28/4ANgLoDqAJwLQY0Njp7y5EkCLmV/NGIhiK6oqYqMzpRkdJkNF60jiKRGT8v3dPYmzBpXpWkAL85o8HUTl+EDLcDlw3og8mjroCUUmGKHJobA7itf2fGTbtGTcNwblWozzWll3HdRrQZomZMEgIWBmVFPgDYXWsu7oIkagMjuMQDEfgawsbJsNIs80i8vD5jb+3WQXwPIfLL0o1ADLk5q8cP0h3/UTnmmxeS2YUmN4gX0fusyszlGTp6/n4cRxMmQeSrFDDa23TeNakoWxpUZ5DNKrofr5g6jDYLEZvHtW3UmEmvFUmXn6SiaGxJCvITLPHNJjVQ4fdyiMUUJluxsaBwPyM1nSSaLu69EUiEpGIrw6z/NtZbjnfYBXWC6flo2xsNuw2kZmftGz8NKeNmeudSRY8/ucPDDUH2bcbvKo0TfxE99bXP8Ld5XlobQsiKimG/UAUOMMEFJkymzjqCio5o2U0awFDV5LqFbhidiHOtQSpKgN5DM9zzFrn7rI8pqT7pldqaRGenurA2poxtLYD2BI+XaWhoY1IVDYAXURi/rsKbYPl2Vc+pFJtrW1hAAoWTB0Wk6jjcO/v3sLyWWyWp8DzBkZ2eckANLWoBKj6c+xDnsBzWDpTVdHQ/s7c/8oBAAYCH89x2LDjqK6G2rRTrYWnTxhkqKMWV3rwn9f2x0Ob3tet3YsyktH34qHgOCXWBOGQ4XYYpdQFDpGutmB/AKFAgSyrZw8zMENRFNz3eAdhg/WYYDhK8/KCqXlUhnH7nhPUE8rXFqESRmqt7YHNJmDulFwEw1GUlfTHhh1HmN5B8bK1sqxgy6463DSqL0SRQzAk4UGNXUNNjO3sawsj2S4ayHurYuS9tS+qZ7XH7h1tkIgk51KOAyb9pB82vVL7vWmC/NDi65AVRQAXZzoxZWy2bqqP/B2x8dASat0uO5LtFrT42Yx2WVGwZZcK5MaTO7fvOYFUp425romVBEsNiDSWm1oChoY0ASpJZPd24z9G96deqQ1eVXJvTulQ9OiWjNMNfmzfcwI3Xt0Xjc1G+XKWfxpZn1FZpn0gycSCorElgBuv7kuns+IB7a4yyXIhiFOyrEAReIRklXz/4J3FiEgKBIHDjhj5YOKoK2hfomJcDhrOBbD+5cNwu+yG/NZDY38TT3rrbF8n/SnyM2KTs/D3b9NalxDhF1d6sOOtT3QKXtm93aYWQ5XjB+HhzQexvKqQSuQRoMZhZ9f08TKo5P+s4YFMtwPe1hBe2/8Zls4cgRZ/CIoCZHV34L+mDgPP4xsDtF3B37Kz6Oz9CwKPtohELW3SnOakHm2OIWvEHwgjJbmDMEHAEpYvPCtnrXhqL2bcNAQWkdMpXbDWL1GDIb3UZVWFlAShzZ/q9FMIbpcd0yfkmBLosrolY9d7J3UyuCwyH9kTKsfnICLJKCvpr7P/kU0UxBpbArBZhC6RR3+owapDyBrfsuu4zoOX1K6BUBSiIKAtGEGSXYTFYuz7s/Lx6phyy8xJg+F0WJn1A1nTWtlvqyjoMASyHm+8ui+tg+PxFQI6traHmBjJfY+/w7wfia8s6z6oqfRAEHg8+vwhU4UOWVYgfEffnTb47+h1XgIwEsBncT9/AsB/19XV9Qfw3wCePM/fXZCQJNWIWlQUcJJMkw0BmLRBkONMtwP3zyhA/bl2KIqCgZelIxCO0sdFogrWvXgEXl8QsqIeCpet34uax95GICThf3Yfh9XKY8LIvti+R2XsP/jTYiyfVQhJMyGoDVU3XMGSGSPwxMLRuCiDPXZNNhvCJLUIPP5n93E8/ucPEArLaG0Lo6klAKfDymxipqeqN0nDuQDTZDgclhEMSXhs6//B7VJ9BJ5cNAYrZxdi+54TqDvlpRsYiXhfky27jqsa5rHHEKa3oCgUlF1bMwarq4uxZdcxg9wn+aRJA0n7PKSh92OPqMnGKcsK7rllmO4zueeWYQBA19rq6iLMuGkItu85AUAtwvfW1mPVhn2oeextrNqwD3tr6xE1kRaNRGQda77BG8Cv/3AA4YgMm1XA0pnqGl06cwRsVsFcUz02yaEN0tBj/ZznOZxtDqLmsbdQtXo3ah57C2ebgxAEdgoz+4yisnlBYXbfkynURCQiEYn4JrnlvJ8bxsL6wU370ewPAWDnpxZ/mDYhkhwWRKIS3C47/ftHnz8Ep8MCry+oqgTMHIEHf1qMGTcNoYAbaT5znP416k55EY5Ekeq0M/eD7qkO3X4zd0ounv+rOvFBAEDtdZSO7qe79vpzbdi9/zQ+O+PDw5sP0kMD+X0kIjE/6zSXDftjfm/kvWzfcwIV4wbB6bBgxSzVF8vGc7S20x7o1RqjqMseMk09Ab9DAhX5PtZUF+OeW1S/tN9uPoRf/+EAbBYRNl5VfghH1PuNNIi16001kY8pRMTq+EhYgjvJgqxuSchwO3BRuhPLZxViyYwCZPd201pREDjYLDyEuDVP6nxtkBoEAF7b/5mu9gz+f/a+PDyKMlv/raWrl3Qn6ZAFcQAdxIAhubIkkSSiAnIdZVPuZdGRRAlbFNDhjhCcK6JI0Fm8euenI+IIODPgzODC4tyLwDgKzgAKc1mEgBugQAKhk3Qn3V1dy++P6u9LVVdVCAgK0ud55hnsdFdXV5063/nOec/7ippGkT6HCgQ1Xe5OaW7Tc7No2Xaq8UZee/71XVAVoPqFLbh/oZbfRGOyJVuC+D0HuV1qRoAb817YiuoXtmLp23vAsozJV+eWF+KVNXvb3QvNLS+C4ODoJPSXx4N4cfVuTL2rACMH9cAra/ZCVc1rxOLl2xGJyFj7wWfwegR0yfSiMSjSWPjCI4OxYMpAuk8jRva1G3ccRbAlhuaQaPLXmmXbcbQuCOJ1VnFDltuYWVoi9tqBh08EsWDp3zHixh7I7eY3/F1Rk37dEWsPrGh6r6yAZ1gsXr7DQAuq/xzRdCRGClwugbfeDzEMxg+71hLcOXl0Pv73719g5jhznK47rTEI2U3JXpHpxUefnEBOhgdPTtN8dsbY67Hln19h3K29UJyXg3kVRfjJ3f1ME1G1RwJYsHQbVGg0dVNGF8DBM/A4ecyZWGg4ly4WFGTkOpC9nkPgbPeiTSGR5jJ2uq0SknYm4zgWh080o/qFrZhSswmP/PcWNLaIeGXNHpxujuDNv31uUZdQaJ2r9kgA4WgM08cUoKaqFDPGXg9GlyOTYqx+nba6nzHJOp8njBck1x0zuCe9v6X/0sXw/nSfgPS4nimpsZAYTiZO9D5bHwjjrb99Cp9bwNMPaprUJB6SmKw/x2BrDBFRosMDen+eM7EQm3Ycxt3DesHj4pCd4UFOhhu8CnCqYqhtnovJsmLIry63fNnu96scg0BQaxRUv7AVJ+JgM71l+9to4fXNXBJHyBQSoMWw197ZD4+LN9U27WKWz+Owjet6/128fAeGFHan/92asEaT+BkIRtEaieGPG2uRmuK0rYkJDhb/OvBqhKMSPQ45/8pR+fjN3CF0TzZhWC9s+efXaGmN0Wv14urdiElKuzE2GUcvbmtPxzUQjCDdJ6CmqgxPP1iGIYXd8ceNBxGTFYTCUaS4HUhxO3DkRBAxWcHjkwfilUeHYvEDZbZ7U5fAI9gioilkPQ1NwNDEl+cv+TuiMdnQQ3j+9V0ovyPPADQOBCN0HVlSPQQzxl6vAVKWf2Rb77CiMSU5hP45ePrBMsyvHIhVGw7QAZdAc9Rmf/nN78m52LfSM6mtrd0CALm5ufS13NzcbAD9ANwaf2klgF/n5uZmQRvssfxbbW3tyQt9vlYj4HPLC8EAWDi9FKebIibBd1+KgGdWfESFsLvl+BAMx/D1yTYqT5/HgSGF3en7iK5CayQGQEWnNLflhOC8iiI4HCwWxIVi7RCkpHgRiQtZB8Mifnx7bzSHRANi78lpZo3B+kAYYGA5zUf+npHmwp83HYxz84r0+hTn5aBieB+MHZoLn0fAT+7uj1/94WP6UCQuNivW79eKdAyjJd2MCknVrrvf58LJSPCMdJ8dRSh1VDvhUjI7WjOWYfDq2n0GRPqra/dhTvkAy+kQhgEt+pqOxVqjIG21/+Ib0LrTbfSh2RkeW75xnmMsUUM8z1jSfjp49qyoOs9lUvRyp75IWtKSdmazi788ywLfkErRLrHunJGC1ZsPmlDyc8sL8cGuryzp1UiiWx8IoyUcQ+WofKR5BTgcLHiONVBZzBrfF2leAe99fNQUl1PcDsRidsAT4MmpJVCh0YqTwse/D7223YIjyZscca1XvZayPvbarU9f1Yfw7vYj6Nc7G2JM1gp/d+Yj1Boz5GaJqPzLjfbTzuwmlViW+VYXO3JfXByLbjk+zL6nnylPI2v5ivX7MfnOPpg+pgDpXiecAg+OY6DIcW0KXV5HaHXDYclAMTavogjpPic4qIjFqXtVnjX4/KYdh02sBrPG90WwVcT8ymJ07pQCj5PH4gfKIMZksCyL2ff0p+Co4rwcTBrZJz4VZp1HWWlUSDoWhPpAGIGg9WY3kZng+5jjXkpmBdxY+tYe3Ht7bwNDjC9FMOxpyF5oUVUpgq0xuAUer64z6waRJl/lqHw0Bu2pRlujMYwc1AM/f+0jLH6wLK7l0zYt+MjEAbjz5p4G2tqf3N0fr67dh2y/GxlpLgQsmGiIvy5evgOLqkpt4wbRlSdFjsT3pKY48ds1+2gxhkxFkL9/i3Kkl7Sd7d6GgJbs6MD9vrbJvWx/2zSVGJMs92hgYJi4IqbFMBWF112B7Aw3pb09diqEFev3o2uOFwumlIBjraU/HDyLm/p1NVDezRrfF2V9r4TbxVH6aqIjaHWMhqYwWJZBc6uIX/6+TeP1iaklYBjg2MkQ6gPWciZ+nxMCxwAch1NBEas2HGiXOSHNK9g+i0n2mDObBGDhb7cZ4iahwrSLIS6BQ0yW6d9WrN+PKXfm08lj0ihOnEAJtsagqGYmiDkTCzukR6hv4NQHwuiS6aWfKc7LwfhhvfDi6v/DiBt7mNgvXntnP21sECMMWYmSPms/+Azjbs3F6+/W0vOYV1EEX4qAU42tGH3TNXjrb5/SXN7vc0JwsJgyOl9b90UZ2Vk+nDwZvGxz22/LEoHyBNSTSKvpFDjkdvPThrDeLwBjzSkQ1BhX3t32OaaPKcAVmV6cbopAtaBNzPa74U91QZatpRHs/De3mx+eOHV44meaQiK6X+HDLQO60qkqK6rll9/ag7G3Xou0FOPUN6HkX1RVCn+qE7cNvArRmIR+vXJMtNNPvbodz8wos52gTcbRi9vs4ibpPzCydu+cDo5ObkuSil/9YSd+eu8AiDEz0xzPMchIddv6s8/jsJ2G1sdXQPOxlkjM9BrLMvHBqjbg8pr3P8O4W3vhjxsPYuOOo3jxkcGW6zrLAE9M0ViL2sulyHNA8ofaIwFMGplPax1WPZ7vqs5s+3zl5uZ2sfub3mpra4+d43d3BfB1bW2tHD+OnJubeyz+OtPO386qCdipk/eM78nK8pleS09X8YtZg6AoKuT4/ziWAQPQBhfQhuipGJ6HJ6aWgGWAV9bsxZDC7tTxyQ0PtsZoU4w0MYioKkHujxzUg2r6pHkFpKU44RBYVP96S7uLDUkg5sX1U4jI8NzyQqx5/zPD+drxl9efbkXtkUA72kFRbNxx1BC0AWDbvjp8cawZC6aU4Je//xgAMH1MAXIyUuAUONOxAsEIGAaQFQU/+01bc/Jn9xcjXVGRleVDIGh9ji4nT6/VmUxRVBw+0UwTTfId3TunUlT3xW5W/tsUilgmGxzHUP0oYuk+AaoKaw7iBzTKKatjOWyad3bafyzD4J+19SjKuwKqqoJhGGzfdxwl/3Il5t1XhEWv6gpx9xWBZRg6najXxZl6ZwF4XqPpYhlAUQFZVRAVrSdCwDCWz6+iqPjZ/cWme5+Z7mn33pPnPiYpcPAs0lKcF42vWP3Oi93ONf4m7Ztb8rp+czub+CsILNK8nm/0fXbrnuDgcGtxd0iygofG90NmuovG2B+VXE0pWQAjLceiZduR7XejuUXT7CGTeGkpTix+sAySpIJltTj7x3drsXHHUeR282sb0E4pYFkG4agEwWFex7P9bpxq1CY43vv4KEr/pQtmx+mH7Jp3Po+AJdVD8PXJEJat+wTpPoEWaSKihBljrwfPsYiIEloiMazccMDUkCETjzPGXo9IVAbPsWgKifD7nHjqVTNQ5OczB4F3cN84pl+Kz5Nd/D3dbKZdmzW+Lxw8g4yMb+93KoqKppYovTeZFvdGv5a//OZe3D/yOjAMg8df/nu7eV0gGMFTy7aa/GH6mAJkpLrgT3VBkhRAbWNK8Kc64XU74HRqrAYtkRhCrZqmCcsw+PlrHxn8cGWcrSK3mx+Vo/Lxg5wUiKJi0Oi02yjrLdvvNk1h2m129Tnw9yHHbc86kj8A3+2zWR9oNeWm2/bVYdKofEpvG5NUnDhlpqUNBCM4WhcEAPDpbkwa2Qf3j+yDw8eDBrRyfSCMrHQX7huRZwCWEsv2u5GRqvnEwmmlgGqmrH5mxUd4ZsaNeGp6KVRVRWtUgsfpwMMT+kJWgFCraElFRgow9YEweI61jBvNLSLGDO6JRcu2WwI65kwsxOrNBw2/Rw8IIRp0ad6U8317vlO7EP57tnsbklNYFZ9+dn8xPG6eNqv9qS6cjDfJTsZlSxL3aJNG9gFvsw/kWAZOJwsGDMSYDI5jqT7wzf3bCst6oHC2342f/ngAZFm1ZCB6YkoJTgZaKZUi0UZN/C1zywuhqCqCLdo0q9/noud+/FQIHhdPzyXxs3MmFuIvH36BWwZ0g8/joCDUxqBIazFet0ALetl+N7LS3VBhXZw/mzrFxW4d9eGzNau4SZoVy9Z9YtkceGXNXlQMz6P3DwDSvQKemFoCRVHR0BTGjk9OoOaBUqgK6HRxuk9Ac0sM/jQnFlWVQlEBjmEATdLNEvSTqF9GmioE9LCoqhQMAygKKDVzY1DEjLHXIyvdg+MNbaA4QsdIzGqCVJNJKcVfPzqK2wZehYrheeB5Fp18LgRCUcz59VZaLyTF8JwMD6Up19ulmKteSDtf10OfryoJE0v6AYfTzRFKCx8IRjB9TAH88fU5kYGlJRLDjLHXIydD2zuebAxjSGE3pKY48epaDRT07EODMGdiIW12Ex999g87ke4Tzsp/xwzuiWXr9lrGwD9urMXk0fl07SaN9uljCtAly2vIS7441oyf3jvAtNZXlxchEIxCUVR07uTF6s0HsfvTUybaaa3JB1zdJQ2LHyjDyUZNT1l/bS5UHL2Uno+LtYZmlYfMu68ImWku+Dxt+zh9TbX6/22Jx3gzpedzq3bhiaklWPr2Hjx6XxHdyxPfZBnAKfB462+fWjbRVsb1Y4ll+90ItYqm1xw8i4fGawDkFJcDHheHKaMLEAqLKMq7AsFWEWw79e+f/WaLoa9D/b6iCIKDpfraib7sFDh6vYj8RZdML1xOjUr4u9qvtddk/wqABmW1NxX4TmhMO2wNDSGq02BlWXHUjJU5BA6BoGiYSnpiqnGKjiB6iLgvSVZS4lzf9YEwHQ3NSnchxd2GwMjt5jcg+IvzcjDxjutw28Cr4BJ4tEZi4HkGXtZ6mu6p6aVQFBU8x6IlGsPE2/Ow4p19hkBLhGn1ry1fb06wCCIUgOUmbtb4vvjtGu3vdtzqoXCb3s+Cpdvw9INl8Ho8psmueRVFcDt5zH7ufUMQWPjbbfjFrEGQIjFwHGs5laXGZNv7lWgqx5qQZgt/u02bHrNBSl9si4OV/0oMgxXr95s0aKorBmD8sF6mKTo7tKKiqGAYwClwBsSyU+AABqaN2k/u7g8Hb10E4DgG7+86hix/Cj2n93cdQ2HeFfC4eENTj+cZOHjG8lwdDhabPziCoUVXAQygqsDm7UcwvKyHZVCGCpw+3WKJhE9z8aZJ0YaGUIeulWsOaAAAIABJREFUOwNAkmQ0JCBJvitrL04lvu9ism8Sf78ru9iu4bmYGJMhODq+NEeiEoLN4TO/8QLbxXbtzyb+zr6nH8TwN/Nlu3WP8NoTy/a78YtZN+KGPl1sp7MJLfis8X3hSxGoNom+aJaaIoBhgNZwDMFWEfMqiij4KMXDI9gSg9vJY/2Wz03n9fCEflQnpXJUPl3z5/x6iwGRrV8noqIExsWja44PFcOv05p/MRmzn3vfdC1qqkrpb55fORChsKg18Djglbf3mK7HQhuGg0hUwn++9KHhep4tDej3Lf7yLgf8qU7Duu9PdYJhmQsWjxMn1gSOQYOOUYLcm04+QaO8ZACoDBRFgdflwDMzyiDGNAohgqAH7PM6mWUt/cHrEfCH/z2AsUNz8fSKHSi4JpNOmZDzeKzyBrSEY1AUFTFJRUs4hjf++qnhmV+54QAmj87HbQOvwqoNB7H07T2oqSrD40vaB+w9cu8AuJxtTXWS+2zc/qXhXAntaHs58LnkuO3ZpeK/evvOcwibhsjX9UG4BB7VL2wFoO0V7TT6Zt/TD6+s0Qp+8yuL6ZS2/ngelwM1yz+0LEDMu68IUVHC4y//A/WBMJ5+0FpzOxqTMbVmE5bMG4pQa8wAzntk4gBkZ3hM/krifLZfo29OjBseJ4/fvLEH94/MA6A1nZwCh4fG90OndBd4lkUwXmA5WheiRRGfR0BNVakWe3xOyGLH93Z2drn479nsbfQ5hb745OBYcFDRGpawYOk2AMBT00sgOFjMLS/C6+8eMDHIPDxB89NJo/qYwJ2zxvdFKByD08HR+ExAEPqmR30gjFfX7qOTLgwDhMIiAkHrKdRAMAKea4vlqzcfwr2396bADZKvtERFPLPiIzw8oR/8PpeJHWFueRGdViU1mW6dfThyIoglb+5B7ZEAtu4+bsgjao8E6LTq0w+WUd+dF5cwAYBHK4oM0+aPnmWdQm8Xm/8CHfPhczKbuBlsjaH2SABej8Ow3pKC6n3D87D2g89Q80Apgi0xVOsmRx+rvAGDC7si2BJLYPIqAs8z+KouZKpdXJnlxQe7vsKCKSVojcSQ4nJAVhTDtAhpqpB//+J3HyMQjKC6vAgpbt7gL//50t+R282PR+4dQEFxDgdrmMJOZMgC4gD9pghu7PsDHDkRxH+t3IVAMIKF07RnkkyY6Kena6pKTX72Xa6HF6P/Ajgv1yNRr90K4BUIRnD4RJDeI2I5GSl4d9uXGNSvq4GBZea4vvjrR0dxc/+u+PqkBlQQYzJcAg+WBd3jnGyMIDvDjcpR+eia48WJhhasWN8GEgI0RpbmVhE+t2DyX30TOs2rMRIQgAN5vjiOwdihuWjVUXwCbVShP595o+F31QfCkCSFshmoqjYdFhEl1LxszHGO1oVMtNNa/U5FQ0MIHMfSibFzrfd21Np7Pi5G/72Ya2hWeUia12V5PnqN3fZq0j6PAK9H0MAUfg+ggjJkFOflYNytvfD6uwfo2p+a4sSWf36FETf2MLFceD28YZ81+57+kFUF/lSt6cazDALNImqWGxuOH31yAtXlRYbXNQYC0dTX8Xkc8Ke68OravZgyugB//egoCq/rbPJlSDLSXDx+MWsQIlGprVYdjaEhev7qzGfrw+01Ac3wkvNrRwFcmZuby8Un/TgAXeKvM+387YKYFaWOKMOkyXH8lBGFaYfomV85kL6v9kgAqzcfwvhh1yLVK+DxyTeg7nQrcjJStE2Vz4X6QJgG/PtH9IGsqOjEucBxDOoazOLWgWAEX9UHsWDptrZCn0ewpNBMDL6BYATRmIQFUwaiMRilPOtk0QgEI/D7NMSUGFM0IfpWkf7dDi0aaI4a/tvvc0KNKcj0CZTrl2UBB8dClKwnu2KSRtd1PgSJ29NOuJRHzLn4OLN+Qc72u6EowKoNBwwL+6oNBzB5dIHl/WIYBqoK/GnjQQwp7A6XoGla/mnjQUy9swAOB2PY7DscDFRFhctpbBq6nBxYBrhvRJ6paegWOBypC5qnZrK8luf6wL9fjyFF3VAfaKMVHVLUDQ4Hg59NKgbPsrSZKCkKGBYIhGKmQhkp8l5o6rckFVfSLkYTHBxGzH67w+9f+8tRuLhasRev2cXf9qiGO2pW6x4YmNZ1v8+F001RrNxwABXD+1jGd3+qhoRfsX4/xg+71iQev3j5Djxy7wCcbo7iikwPJvxrL1rUu/OmH+Kmfl2xaNl2+H0uTLyjNxRVNaBcl637hG5CScNRjGlRlpzvE1NKwLAAVCAUEeF2OqAqKkRFwfZ9x9H76kzwLIv5lcVYtaFtUkSPXN22rw73jeiDzDQ3OI4BzwLlOrAUEZm3m044dipk+N3t0UhfLhaTFOw6YJ7cvyG/yzldF7IO6ht3rG49TCyg6NGbBBA3ZnBPyIoKUVHR1CICYAwNE9IgDNtQ0ybmdazNNKrHqdHyE1R1Ud4VeP3d2oSC4ye4beBVNL+uqSq1pFQPtoh4cfVuzC0vgj9VMNHm6ikfFQX4+mQQL7+1F11zvJhfORAcx8DBsXAKLAZc1xlbdx+nxx85qAcy0pzt5sDf1xz3UjIrGvmf3V8MnmOoDhrZBxLtEZfA08J2IBjBqcYIJo3sg0mj8uESODN7RkURGEa7t36fC6qqasA6VtO1PHaqBYt0k392U6Q8y2JoYVewjJkd5JkVH2lThAAWTisBAJxqjFCgx8xxffHbNZ9gbsUAesyYpOI3b+xBIBhBpzQXfjlrEBqaInj5zb0AgIl39LZkrCH6VU7BA6hqMm8+SzubvY39XlqGDFB2l4JrMtEpTWP8CUdjGDXoGmRluFHzQBkag1E0NEXomv/FsWY8PaPM4Mv6qZdEEIS+iQcYC8s+t6a/Uzkq39JnI6KEmKQanqPX3tmP+0deh06pbijx9YuLgz6CrTFLzUJCqUuoIpe+vQdPTS81XKv6QBisDd17RqoLzz40CIKDh4NnIAEQOAYOB5uwT/6OxH0uMeMB0yRJdUURVsUnOsSYYgmG4HkWQwq7Q1UZw+So3+dCUyiKkwEZ//P3Lw3r+evvHsCU0QVY+IoRMPPcql1Y/EAZBhd2Q3NLBGleF1RFhdvFo6aqDDFZYwoIhsW4rqWx+VKzfDsenzzQ5C+BYARH6ppp/vDk1BKDVIvXLVj6WFNIhMBr/kNAcq1RCTwr2EqVIKEGcUEatkkz0X5bAbzsJpJYlkHvqzPx/s6jqKkqg6xoYLYlb+3GkMLueP71XSi4JhN3lP2Q0hi/8MhgFOflYEhhd8pQsXLHAfzbkGspaIPYtn11uH9EHzAM8Fh82nr6mAJ0jrO5xCQZlaPyMWlkPhRFtWwoL6oqRVNLFALP0e8lz8+mHYctp6qCrTEEghEt3+Q0vyVAJKCtHj59TIGBOpSAJQgF4vmo9ybt27eO5CF0b6iomF9ZjK3/d8x2bxYRJdxeejWOnGjGi6t3Y8bY6ykDANBWV5g8Oh+youLw8SB+u2Yfao8EKBtLtxwvTjdHkZnuQrA1hvmVAyHGJDSGonDwLFpbJUMjP7Eu8vSKHXhiSglaoiIFTPE8C03txZiHEKYlMmh11y1h5F+TBZ9XoHs+nmXAQaW+nJWRgpOR4EUjR2K7T6ytrY3a/e18WG1tbX1ubu4/AUwA8Lv4/+8imn/t/e18m12BwuMyC66u2nDQsBjbIXpaozGK1iRFNEJRcd+IPAMXrl6/Z9u+Ooy+6RpUv7AV8yqKKKXowxP6GVD8+sk9ksw8M+NGzK8spkn56s2HaENPH3zJZu6hCX3pBq/mgTI8MbUETaEomkIilq//BBOG9QIYFS1ByTD5cGW211K37f2dWo+WXj8nh2hMARTVhMyaV1GE4rwcE5rfwbOQJO3R0AcYDlrSLcd1BDuyQJyLLtylYHbadSpgWagSHNbTezynlfvuvb03TsavkYNncO/tvcGyDJa8sRdjBvekzcElb+zFf/y4v+U5qaqZJvdXf/gYNQ+UmWiJnlul0V40Bo1JBdE7aQqJJq7o1BQnJEnFwuVtE7dzy4vAc2enFXg+zS5unO2USdKSlrRLxy60dmhiYq1aNLfGD7sWi+L6KVbULjPHaVQxpFhhJx6f5nMi2CqCY1mcatQASX6fC8NuuBrzl3xIUW8r1u/HwxP64vCJoGVhJiJKVP9iaGFXFOVdoWlQMBrI5K5brkEkKuPp5R8acoZVcTpFPdqaFJyJZka2340jJ4K4+opUMLIMmWMRiymGNeLRiiL4XNb35cXVu02/+3JvkjAM0P2KNEpjRXzmXHS5yDq4coN5coSsh1a6acR/G4MindogubJeL0L//oXTSmzpEE15HaNaPhdiTDLk7T6PA9v21VFazzGDe2L0Tdcgy+/BssduhSIDqkXThID9SIG5pqoMnEUBORCMQBMSUGjxpvZIABt3aPnykuqhkEUZfl/CZGYcRMfIiu0m+/ua415KZlXAykz3oLGxFVd3STXEJE17xDh1OnNcXyxfr+3DnplRhkBTBCs3tCGd/T4n/vLhF7it5GoU5+VYPmO+FOM+1Ir6cW55EdZt+Qy3l17dTvNYwZr3P8Ndt/REVoYb0ZhEi9GkYVl/uhUOnsOzK3fC73Nh/LBrcUWmF/Wnw8jOcKOhSQOLjhnc05T7P//6LtRUlQGMCllWkZnuQUND6KIohlyuxgN4fPINiIgypegifrn0rT2YMrrANKlfHwgjFlNNxWgABr1TAoL46b39LeOUzy2gqUXTPt2+7zgWTClBc4tWg9i04zDuvLknrsjyQJGNem7pPgEOnsM83fpFagrb9x3Hvw05sybxrPF9UR9opdo9xL8dnFmXfm55Id77+Ch6X90JNQnU5IQSWv+7LneQUUdMlhV075xqiJsCx2DK6HxMGtkHLidneR9WbajF7k9PYcGUgQYAUdccL1QVcLs4yzoIGOuYF5MUKKpKmy+kvuZx82hpjdG629MPlpn8vT4QRjSe+ybWVwgdY30gjGOnQgbgYG43v4W+dxE+2HUUna7/gWGKZM7EQqiMatkkAWCqQTx6XxEyvALVPE7a+bHENZPEtpoHyiBJCo6dCmHdls8xcpBxIonQdpJ9DRgVvKqCg4oJw3ohGtMGIoryrjAMnaiqYsoVqiuK4BZ4y1gqKxoVMgEKxSQVzS0iMtNdOBkQ8fzr2+hUvxWdoqKqaA6JYBkWk0b2ocwE5HtT3MapKgLomTW+L371e+33kWdSb/WBMDp3SsGfNx+kNeSIKCHNJ0DW+Wh7DaUk2P7SNKsa6dzyQvzvP74w9xDKi5DmE/DSG7vRGBQxc1xfOHgzmwvpkUREyVCLIMCep2eUwePiDdrCM8f1xaoNBzH7nv5UJxuwr4sEwyJ8bgcaGqP406aDGF72QwqInlM+AM0h0aD1/fKbeymIY+nbezB9TAEFgJA98MVqHaqD5ObmsgAqAdwEIBM6itDa2tphHfj88wDuAtAZwMbc3NyG2traPADTACzPzc19DEAAwETdx9r723k1uwJFTVWZIdjmdvNj/LBr4UsRUFNVBkVRwdpswgPNUWzacZgiKolGSOWofFOzJFG/hyDgCe2m3+cyoc143phi+n0uNIaipuaJy8nB5eQt0acnGlowfti1yPJ7EIspaIon36s3H6JoP/I5xK+RluCW4f2dRzUkMwvICrBx+5cYXtYDPyq5miZzp5qitNCjf1j1BR39YjmvoghpKU4TBeO5NlsudLH2uzJ90QEMQ5G0ko323+IHyiyn94iFWiWD3/zk7v7wugXLaReGsW4Ozr6nv3VRQbZG7SuqGSU8a3xfSLJi2TSsqSqjUwHk9cXLt6PmAWvao2+jyGsfN+w3gMlkJmlJu7TNLv5eqOdYv46RouuVWV7UB8K0gaGndklNcWLFO/sMVDFW0/vFeTl0kklfcPClCFAVlTIUAFqCLSuw1OKZV1GElog2CZDuEyjNoiEPEXg8t8qIEK2J5wbb9tXROP/ktBIw0HSVCfUW2WxOGtmHxs+nEuLuU/G428knGJC2DkebCDixZJME7eoEn23xkqyDlaPyTcck66Fd06FTmkZtuGDp32l+/NyqXXh4Qj/L9weCUazacNDSBwWOgQi2bYJWtdYdvm3gVejcyUufB6KBbUUhV11RhKw0J8KiYtCYIiC71jiNS31Ao7Jxu8yFy+qKIjgFFmLMWj+K5xjIkowUB4duOT7L3MAub/i+5riXmiUWsFiW0e6dDHSK654Ggtr+6oNdX2FRVSlOxn1Pr/0nK22xjTQWCNKYAYP7hvfBY0s+ND1jT00vNfhW7ZEA1n7wGZ6a3lY0fj2u+bp193HT3pZ8z4mGFoy7NRdpPideWr0bI27sYQCfklj/3sdHMWt8X7idDsO07tzyImzacRiT7+yDFJe1bMSppjDm/HoLnZhMc/HJHPgC2pn2z7KswOXgLSc4KkflIxqTLX1FhWr5eqLeaSAYQUNT2DJmv7puL4YUdkdxXg7VDNT/fccnJyA4OmPx8u2G6RYAVIKFnO+iZduxYEoJTjSEcLrJWluZTPOdbIxgxfr9qBh+Hf2t08cUIMPnAmSNvUjLIzT2ovVbPkfvqzMt1zdrravLG2TUUSNsAWAZjQUAWgxlVBVSRNLdBwUMw0CMSSj9ly74UelVcPCsLShi7QefWYIPrHzi2KkQfR/5/1/94WPMGHs9fvcXDYzR/QofeJsJ0ZONEazefIiC6DuluvDz331syL9XbThoyAsCwQg8Tt6ggR2TJEt976dX7NCugSybmiSqBQj6qVe12pqTY5Nx9TyaFeAqEIwAKqjcAAAcrQth+pgCXJnlw9cng4bJUQqCgZYzaNT3RC+9bb3M7eaH4OARCmtSC6QmW7NsO2oeKLUEt0VFCS6BN8lMvfDIYPpvMrmnpzIkUgBf1YdMwymNQZF+71PTS7WGp6yAZ1mAUXHbwKsMv+9Eg1n3ONuvacdv3HGUas6PGdwzzjLHnnHvnATbX7pmVSMlEmXrt3yOmgfKEIspON4Qwm/e2G0AAL/2zn7MHNfX0p/I/stKe/r4yVb816qdpvg/fUwBWBaGY0myYnn8UKuIQHOUfgfRn/f7XBBFIwD54Qn9kO4TcO/tvfHaO/tRHwjTnklHasLftXU0T/kFgOEAXgHwnwCeBDAZwKqOfLi2tnYmgJkWrx8AUGzzGdu/nW+zK1BwHOjCrZ/m0weiTj7BxAk/t1wrpA13/hB/3HgQYwb3pMe309PT6/cQBJGiauOzhDY0kS6LNA6B+GTAq2ZR7YXTShCJSsjO8GBBPMnXTx8+MnEAQq0inlhq/lvtkQDSvU40hqKUCsGXIgCMiv69O9PCjR5pxasqIKsQ0Zac2P1mBowJ2WQljnkuzRbg+z1iTooOhA9aBqDYaOBIigo1od6pqprfw26Cr6oMT04bCFkGpd/kOIDn7KnwrBHp1okzzzJY876xQLfm/c8waWS+bVPPuslovRH9Noq8Z0vFlUxmkpa074dZxd8L+V1+j6aJ1hgUDRSgpIGhp3YpzsvBhGG9DACbVK9gYhOYNLKPZcGBgHZILkJyjpgsY8SNPQxaPKkpToqwrhh+HVJTnDQvADRwEs8xUFQ1zqkfoxtakhsQqw+EEWqNQZIV3D+yD+4f0QenmrTpmRE39sAra/ZiSpwGxCrugoFJY+7RiiI8PvkGWuAkMReMVkD5vuQDZ2tyvMmb2NQ6l+IluR92eR7JvSwnQTwOBIJRU35M/Drx/U0h0aDp5PM4kOV3w2mhL7hweolJd1ibmnJg3Qef00IK2eiJMdlU5K1Zth2LqkpxujlsCVoi9Lck52iNSPj8q4ChEQ1GRUSU4RY4y4adwDMQJXsk9Jnyhu9rjvt9sZgow8mxyExzwe9zoseVaag7bSxUEICpLCuGoh/QNsHUEhbhtmmsKYp56nXEjT3wi3gxuqaqlE6e1gfCaG6Jmgoo+mkowtShqioWTBkIB8+ioSmMF1e3FWoURbUE5s0Yez2iogxZViyZaZpCIn0/1a/8tm7GZWgd2T9LNrrCaV7BsoE3c1xf/GnjQVNOUV1RBEVtK6xl+zUdHo+Lx+/+st+QN0iyjG376uDzCJg8Op8i9/Xn+PjkgbQAVx8IU3T945MHWq5fLANcmeXFL3+/0/Kcl769ByNu7EF9kQCu6wNhdM5IgQptzxgTZR0LEYvhZT+0zTustK4ud5BRR01R1HbXtpgog+dYhKISntLRI8++pz/ComQLirBqzCqqaqvJWjH8OtqcIP7kdTvodMkTU0ugwJpZgMTMhqYwWsISBerp63WBYASpKQ48NL4fGEYD5SlQ8bu/HEAgGMG8iiKk+5yISTagaUWFlcK7nU8GglFkprmScfU8mh3gSlHMFPALlm7DkuohlpOjsqqC41iqib1ywwHMHNeXAjUJGG3p23swpLA70rwCHprQD6s3H8TGHUchy6otuM3p4E0SVS2RGP23niGADHXMLS9EVJQtp/ZJjZnk8WyciU1WFLRGJdPvW7XhoOkZ01OkJjYoO1IDO9f6b9K+eyPxKTG2ZqW7sHHHUdxa3B2//P3HhudH73fPv77LNk8lk7hPTC0BywCBYBTpXgEx2TomdonrD5PcJLebH24Xj1nj+2LN+5/RZ83vcyImK3jzr59i4h29qQ4goLFbkHyHHPfZlTsxv3Ignn99FwUuk7yCvEdRAJbTAKqBYATcRQTQ6Oh+fyyAG2tra7/Izc2dV1tb+3Rubu46AP99Ac/tWzO7AoUsqxSJpEK1TFJrqkqR5hMMk3YvxTUSKkflY/enpzBuWK4JdZz4XRmpLjw5rQSyrAm65nbzg2NZvLja2vn1yWe2343OnVIsHb+hKYJnV+7ET+7uj4XTS9HQGDZMA0aiMk2uyGfIQ7hpx2EoKkxTYhzLWqPI4wgXwJic2P1mlkW7VEdWx9L/to4UrL4NXbiLxdqjhrLT/ZPsBFpVFS1h2YTyTXE58JO7+5u0/xx2lKMO1rRRfHhCP7CsNXUpz9v8Bs7+t50LEv58TOSdLRVXMplJWtKSdi4mywrUOLBGTwG69oPPTIWJCcN6we8T6CZRkhWoKvDW3z6lRbg0rxPQCXUT0zdznlu1y0Br4XHyWPm/B6hWRFNIxBt/PYSK4X3opP/TD7ZNZud282PynX0QFWUTNQfJP/TJMmkKkcYkieX/NuRaLH1LmwycNLKPbdxFgkZMfUCbEFxcVYaaOD+/CtVAc3O5gjAEnrVsagk8C0U8t3XQLs8j66vVOv3KGm0SJDE/tqIz1BcT9HoMNVWlEGXVdO9/9uKH+MWsG6m2jyyrePO9QzhaF4pTGKZQRg+Hg4FoozUoySrEmGLQpiDPx4yx19Nrx3KAwLHonOlF9QtbDHlTTJKQme6mNI9kM7xywwFMGZ3f7vp/przhcspxL1XT3yNJUbB8fRsllxXAVL/Xy/a7kZbixPGGEMJR66ksKV4YnF85EKGwiKaQaPh8Ypwl0yt6jVf9RCLDWOv5AW37vccnW1N/dUpzY/n6fRh90zUmZhqnwFG9QPL+5NTUhbWO7J/t1lS/z4mTjWH89aOjqByVj6u6pEKWVSxbp62hwVYRi6pK0dCkNXfXb/kctwzoiienlmgkCQD+58MvcEN+F0wa2QeqCpxqCmPZur2YNLIPnQBsaIpYniPPWecoTofN+iWwkGWVTrtYPQ+E4cjBcwbKcUUFVrzziSkek2eXs6BlJ9dI3/RMTmJ33JpaomduUAOmGtUvf/8xpo8pgNdjLcdj1ZjVtNEkS1YsloWpOTFnYiGK83Jw5809NekUFVj7wWeYfU9/pHmdOH4qRD//WGUxWsKSgcYzkd5+yZtaU0evw/bk1BJIsooV7+zDlNEFYHVFav25azUF8/Wze26bQiL8Pmcyrp5HswNcSbC7Z9bx4stjzVj69h4snFZCfb8xKGLiHb1RXV6ExlAEaz/4zFQfmzOxUJNu4Bjc/a+9DE1xwvqWmuIE12SMmYHmKD0PAqAjE9WKAqx4Zx/K78iz3QuS8z4Sl4Ig+86xQ3NNkk6BYAThaIzuMzPT3BA4UFBqYoOyIzWwpO71pWscy1hOa8+ZWIjcbn4oZwDWBIIRpPuceOTeAUhNEfD1yZAhTw0EIzh+KoSru6TB6eBQ/cJWW23hhqYIUr1t9esxg3vimRUfoeCaTBN70aP3FeGeH/XGnP/+wHA8O6BrKCzSXFs/yAVooGyGBU7Fc6RNOw5rNZqLpO7QUQXjlNra2i/i/w7n5ua6a2tr9wEY0N6HLhUjBYpsvxsADIlcTJTByLKts8qKViBYsHQbql/YSkWn6wNhdMvxYvqYAjgdHB6NH5+gjhO/61RTGL/6/U5s/b9jWDitBD+5u58lbeiYwT3p5zLT3Hj6wTJUjsrHqcYwPSYxsvmrD2jTXRzD4NmVO7Fo2XYEghHMLS9CazRm+xBOGtmHPhjk9V/94WPINp12RTduRpIToA19kvibBa5jrQ/9sfS/jbOYGrycjWW1yVX9da6uKILAsxg5qAeWvr0H1S9sxdK392DkoB5gWYY21/RGEmYrlG9UVOBwMJg+pgA1VaXaZsqhKd44Bc7wulPgoCgqLT7XVJWiclQ+3vrbp5BlazoylmEw+57+ht8w+57+4OM6DabfxoEmZkuqh6CmqvSMwZUg66tf2IopNZtQ/cJWBFpj4LizE3RvL25YWXvJTNKSlrSktWf6iatt++rw2jv7MaSwO1JcPOZXDsSSeUNp/JNkFZt2HEawNQaeYxGOSLjrlmvwg2wvmkIinv3DTlpw0Ju+YFwfCCMnI0XTQplSApZlMOJG4zoyYVgvLFu3l8a1ppBIjzlmcE8EW0RLhOn4YdeiukKjjiPfS5pCiRtEn0fAmME9UZyXY2goJcbdREQuOYakaLpqLKvRspNNKzm+kbzs8jBFUS1pt5VzWIvI/SBUsVbrob6AQtZpX4rmx/r8kOTHpIg7fUwBXpo7BIsdpSW4AAAgAElEQVSrytDJJ2DCsF6G4//s/mLt+DZrayQqQ1YUTFu8CQ/8fDM27jhKkdpajqBCYAFFlGnRRm8EDMhz1iwLWekaI8eK9fshxhQoMkzXdfHy7WiNSGgOxdAYn1Yke4Vt++rOuP4n84bvl3EsY6DkevjufpYxcszgnrT59ufNB9E1x4dUr2C5f3Q5NR2fjdu/RExSsPTtPbQoMS8hzs4c15dOGh4+EaR7Qj3LjCSZ44N+/1kfCENwWD8vLMtgSGF3E2L6uVW7IIqKgSYvuY+78NaR/bPVmjq3vBB/3HgQz63ahZv7d8WmHYfBMQyWxSk8n31oECbengdJVqmMyMYdR/Hoix9i6uJNaGiK4E8bD+LGvj/AL3//MabUbMJ/vvQhUlwOTBqVD45ncN+IPnj+9V2GvEF/jopinaOoYCzXr2hUwaoNtZhbXohAMILmlijm/HqLwb/rA2FcmeXF2g8+M1COr3hnH0bc2AN21Wi7vMPtYM9q/5m0NrObfNOvbXbrn0vgaYNDb9l+N1JTnCZfdgosUtwCBAdnqIPNmViIjFS3qR5BWDEy011ak7s1ipGDeqA1EsOra/ciJqmoGH4dKkflozkUM0y0EH+cfU8/zK8cCKfAYUhhd2Sluwy/4XSz5qPb9tVBiTc1Hk3wsVnj+yISkyzrE1Y+OXNcX+1ZTcbV826Egp2L09dKAASOsYwLAmd9b1ZvPgS/zwVVBR6e0E9jJgHw6Isf4jdv7MaVWT4MKexu6Y8Vw/tgyZt74HE5MGPs9XjhkcF4YqomObXkjb1oDonwugXDM5FYc9YaKy4sW7eP+l5Dk339WH/eJA8YUtidnk+ir65Yvx9L394Dp4MDDxWKrCLdJ2BRVSmuusJ31rlssv576RoPYNLIPpa+PGZwTzr9qjfS29DW0zIoqopwVALLMnA7HVTeg/hblt8NMSbThrpVv4Hobj+xdBsy0zVa8W6dffD7XLjz5p6mPsdTr26nUlb645HnIfF8vW4BNVWlmDH2ericHD3H4rwcjB/WC/Ne2Io5v95CmQhWbjhw0dQdOtpIr83Nze1fW1v7MYCdAObl5uY2ATh+4U7t27OOUOrY8YHzLGvLjX+kLoRFy7ZjSfUQpHscVAdCUVXMGHs9MtPdUFVAcLRRZ9YeCaDudCv+fai1sDWhDZ1bXgQwKub8egsAYGhhV8yZWGjoZhM0KflsTFY0xDLDICJKCEdjaAmbtYKIU5MGYuI5yIqKpx8sM+gHZvvdUONj7olaJQDg4BksqiqFJCk42RjGyg0HUH7HdXA5eEiKYhA6TrSk7onZyCRbfaAViNOaSTJwrD5ooKI68GUDUlwOrFi/34BAX7F+P/7jx/3hdlpTVAH2hae/bP0Sd97cM64H6cSb7x3CuFtzsfWfX2No0VX09Y3bv8TIm67ByEE9TIhNRbUX6X7jr4cM5/rGXw9h6uiCNn0AVQXHMBA4UPHrs0HCn6+JvLOl4jrbycGkJS1pF6dZxd8LXfhJnLjSU4Bm+7WJKEZWAI6FU+BMVIhzywvxxl+1Qh0psCVOdetzBlLQJRN/U0YXoHMnDxbF492xkyF44w1JYvoJLp/HAd5C2Ls+EEaXLC8kScbE2/Mwdmgu0rwCWAaGY5H3BpojWuMxDhyKifJZInK1+JpElLaZZKPVK8nnQAcaXwenjM4HGOj0smF4LhIn1tT4ZIWe3jPNKyAnw4PFVWWGvFCWZcRkmO57ZroHDQ0h2ykNwcFClmGZr355XEM2k2lQVkf/T56H6ooi/PNgHa6/NqfdHJ/4mR2tnkvgUbN8O52s1R/jTOt/Mm+49E3PPMGDoRTFi5ZtN0xPEyMg0spR+XTa5JYBXcGAMcRgop9KJpvnlhfC6WAxv3IgWqMxhFpFpHgcGFLYHaNvugYZqS786g87aUNk047DqC4vQo2O8ePhCf3QrKNA0p/TD7K9qKkqRUSU0BqVTNO6c8uLwLIMsjPclp/vlOaivmxo4p/hmiVpbs/dzrR/JtfZl+KgOnhfnwzipTe0RnJuNz9ikoxJI/uA5xhMHp0PSVLAsozB9xKnVyOihKK8K7B4eVuBze9zIRCMwO3iIcWAqCgZCm2Jeqwf/PMr07nPHKeBRKz8qyUSo7S3WvPFel3gOBZTRhdg7NAoGpoihinBZ2bcCJkz+53dfk9PHZqcxD47423Wbf3aZrf+RUTJ0m9mjuuLDf/4Ak/EgWuSrIDnGfxl65eoO92Ku2/rReNnSzgGRVXRGrGudZ1ujlD90vmVNyAtxQlZUbFtX50hV62pKrXNLfWyOWQChjwjGakuSLKK4rwcsKzmZ4nMYmSa0Ko+QXTl9Jqzaz/4DBOG9fpW2JAuN7OjZte0yM1xgcYLFYjFFIgxCRPv6A2WYaiOoD52BoIRcCyDNK/1hGsoLGLbvjpMGtkH67Z8TllZWsIS0n0C0r1OcJyRHUujotV8BGBwqjGM9fHP+lO19fh3fzlgYuyaV1EEr8dBcxA9iIJMREVEiebtWekau9vse/oZ6rn66zW/svisc9lk/ffSNVnWtFzt8tumlqgp/5w5ri+eee0j1B4JYH5lMWWTIMxCD43vh3SfE1x8iIVhGNqwA2DYT3bL8eJInXF6UFE0ynCeZzHlrj5gGVien6Kopv1pdobb0hdD4SgAbV/t8wi6/StLWWHIcQnT4sVSd+joOfwEbfio2QBeBuAFMP1CnNS3ZRzHIhCMQGIYqGgTJLZK5FgOlnSHLAcwsjlIkaCuBThWE/XlWAM6MrebHxPv6I017xspvXZ/egpjh15rGSwzUjUe/NffPYD7R2goDL/PhZv7d8UfN9a20X2lOPHnzUYNweOnQohJKi2ovb/zKAZc19nyIdQjURPP4euTQUoRRkbDiWbP1NEFAMw6RonXxucREAhG8dwqo1ZPerp5IUjqnhjNLhHJTHehS7bPQEVVXVEEnrfW8WNZjQLLkqLqzgKbzRODWwZ0NSS2s8b3hUvgcGPfHxhen1teCJaFZQPyp/f2tz4+Y6Y6ePS+InBQERO1IuIVcR2u2DlmAOezGHw2VFzJZCZpSbv07bvS9iTxg2hIJFIl8gDAsWiJyWAllTYzAKMg9+5PT2HmuL5oaoni1bX7UDkqH1l+N1JcPF5Zs9dAa/HsH3a20Rm9tRsjB/UA0Dbt9OKcwYY4XnskgLUffIZF8YJFTLIGSB07GaI5RHVFEVwOFtGYtUg3ASPVnIECkefY9oudyWYKNbviG88xOBeIIrkfxDgAkNtf1/TrIdHemVdRpDWybWjiE+870ZC2Wlsfn3wDmoKiQa9bn6++97FGcReNyZAggNfR/xMQlUtggR9mYsU7+0zPHEE9Z/s17UmO0/YR7flwl0yvoQlCG9vtXKhk3nBpm9V68WhFEZ6ZUQYxptg+i8cbWmiD+ZGJAyBJqgGwsXBaiUnTdfHyHQYK57nlhXh9Qy0FfjwxpcSApB45qAcUKHhofD90SndBVYBX1xkpevXnVHe6hR774Qn98N7HR7XPprlw7FQIL72xm2pcJVKFZfvdcHCsZRO/I9fscqVu/qbW3v7Z6jo/ObWEAhX0Gk52tLWNQY0KixS3SH1BURVIUttey0oPauG0EksgiNctYMU7+3DbwKvgcTtMFI7jh1nXRwhIeuOOo9j96SnMKR9gWdzmVRWiomL2c+8brpXf50JjMGrrd4nrDzgWalzr53KvSyTamZpMHMeiNRIz1dUeTVjbrNa/R+8rgsPB0olqEr9kWUVUlFDQMwuKqoBnOThZDis3HKDN4aN1IUy9qwCLl2+n/mpHIUf0S/0+FxpDUTy3apfle8lES+LnTzS0GOJzoub2r+L5tT4PIMxiiSYrAMMwYBOuZaLm7AP/fj3UmNwhNqRkfD07axdAbpGzyrK2vgfDxkYYaWyQYzz/uia94Pe5NHYrHcUwsWy/m06+Cg4W427tZZLricQkLHjhH/D7XJTyk43LAW3ccRTL5w+D28Vj96ensHHHURTn5VDg27J1n9DPBJqjcLs4yIpKKW7150GAqC6Bp/SGnKoCctuUJOJNGv31WrXhoOl5P1Mum6z/XtrG2uy7jze0ICPVBX+qk+65vjwepA273G5+CA7OsEf808aDuG94HwTDIkKtIrIzPFix/hMML/uhqQ6x9O09mD6mwFT3/vpkEG6nA14Pj0hURnOchcCc67ZSXyXHq64oQlaak/oiz7KIxCQsWrbTsH6lexyAqrartXyx1B0YVT3zSeTm5vpra2sDFq+n19bWNl6QM/vmdhWALxoaQpYUR2e7CEoMg1/+fqdB3HL15kOYfU8/8GQCjmEQkxQcOxXCqg0H6eKe6RMQE2XL73x88g1wOXiojAoGbZ//9GgjCq/rbHgvKTqQxl5xXg7G3ZqLplDUsKgAmhPrN4OkKTn7nv6oO92CIyeakffDTCxath1+nwuzxvdFsFU0oKWL83IwYVivds9BQ0i1iWIuqR4KXm27firHolqnpaj/DGkY6V//+cxBkKNt+hXftmVl+S6WGfOrYOO/dte0pqrMgDogrz8z40Z8VR8yoeW6ZKVAkhRMqdlk+vJljw3D6eaoKcnITHfhP5573/zdD5Sh+v+Zv3vxA2WYa/G6VXOYIKqCEQl1p1vhEnhERAk5GR6kODj6XGbFm4DnavbXLz5JcwHtXNF3Hf3Nl4L/6u2b3ssLYVlZPoyY/XaH37/2l6Mu6Pu/je9Y+8tRF8V9uBT892KIHxzHQJZBmxVkKlrlWBypC8LjclCWAL0tqR6Cr09q+cn4YdfSvIFQxhFkqSQrEBwsUj1OHK0PYfXmQwCA8cOuxZVZXnx5PIjVmw9h2l35aIlIJr7/P26sxfCyH2Ldls9NegBzy4vw0hu7DTlETVUpBI5BQ8Ka8PCEflBUTZA+2BpDjx+kgpHaL27YxddvUvj4vsVfVuDx9cmQCdR2ZZYXivjtEZV8UzR6VpYPp0+3aH1LBoDK0ClEBgzmWuRD8ysH4s33DuHm/l1NjfREX9A/60TcPs0rIDVFQHNLFH6fGzzLQFIVfF0fQrrXCRWg0y+JKO9H7h2A081RuofYtOOwpkF1ht/8baH2LxX/1dvFmkOQc2pvveABtMTkOCCyzRdn39MfPM/QyROOZQya9IA23WoV41+qHgIGWvFl/ZbP8ckXgbgGphdNoSgkWaHxdPXmQ6gYfh2CrTEsfXsP/D4Xxgzuiax0l8mPrfZ+08cU4Mosr6EZSf6mb1LaPV929+5c19ik/56dWV1nfZF6XkURLQLr/00s26/RIZNC25LqIfjyeBDb9x3HLQO6IifDQ/3W6vN33vRD3NSvqyWAuvZIAC/OGYzVmw/hlgFdDc/HIxMHIBZTDM29meP64uP9J3BbydVQFRU8z+Lnr30MAIa6DckhzvTb9b/Ryu8uRCPlIvJf4Cx8ONE6cm3I9Scxx+dxICJKuLpLKhRRNh0vcf2TGOCzr5rpfT18vAklBVfCwTOISZr+78YdR/HiI4Mx/+W2WlNuNz9+cne/uBZwm48kNqj1fqj33dxufky5M9/AumUF0qguL8JvdHkusSXVQ/H1ySBWbThoyoEZ2dovE+t57flZR+LJhdrDXIz+C+C8xVeJYSzrZUuqh4C3qaUnXuuaqlJUv7DV4hhD4YxPgzoEzrQX0gPYWIbBf63aaesjia+R4Y/Z9/QDwMQnqVgoqoq/fXwUJQVXUu1UPVvGwmmlON0cMbHFkPNY+8FnmDK6ADy03271zL+42vgM5Hbz46f39ofyHTX02ns+Lkb/vdRqaInnY7cWpPsEcAD1c31PIBF8NH7YtejcKQXhqARfioCWcAwOjgPDqnDyPAAVoXDMNDzi4Fk8/vI/TDE9EIxgUVUp5sXXH7vYD5Cahw8ca2SfA84cR+3+vnBaCZwsc1Hs4To6+HIYQKrF658DyDibL7xY7GwpAYmOQ2JXmWMZcGC0BEVWkOLm0S0nNT4SzcLpYCBGtKKKfjpOklWNA5xlwUGFHE9KyYg4MUIh6k914c+bDpqakAyAnIwUy25z54wU1FSVGgSQZUXF7/5yAGMG96S/vz6g8ZdPvKO3Qdh4wrBeSPcJqByVD3+qE36fE6s21JrOobklShcNloUB0mE3dcWx1iO4kagEV5xSNGnWZq9PaY06ECUFaz/4zDCNRxZv1obmVpJVvLP1c8yvHBin9wTefO8Qxg7Nhd/nMhxr9eZD7U7XPT75BlNTj5HVOIVCmaGQLcoqlq//BEMKu8MlaJMky9ebxdq/iX2XyPqzmRxMWtKSdvGZXfxVlPgE1AU0giwNWAAofCkOyLIKl8BTjZ3EuM6zLLrl+DD7nn4QHBylAyU6g4l0nC88Mpg2AK0S5daoZFhbJFlBulfA6JuuQZrXiW376tAYFA3rhTcuNq+/drICRKFCEDQqO44DTjdF4XCweGbFRx0usrUXX5OI0jYTY4rlhP7sH/f/VilKvul6qCiqbbExapMPhcIiivKuMOlU6PN/h8BBjDfZ9ehSkv+TQs6S6iFgOQYnT4Zp8bg4LwcLp5VAVYFjp0I09553XxFe/LO5KDhpZJ8zXvNk3nDpWruajiyDx1/+hyGnjogSnAKLUKuEp5drBQQrylC7GC9JKsSYhN+8sQcT7+htarLMLS9COKrl7YFgBJKs4KorfHh88kCwLIOGpjB+88YeAMATU0sQaI7An+rCszoaUfIbrszygbGRDmDAnHWs1Rf77a7ZxUChdCmZVQMFAH2tclQ+LfwC2rQG2R8R2jcAhn8Tqw9o1HCA5nuk2Oz3udAS1ihjrY4FaMXgorwrsHLDAcyvHEiL0HpK0VONYWzccRRH60Ka9pWq4khdCC+/uRcANMqvzj4cORGkRbuCnlm4MssLhmGQ7hOwbV+dgTZ9UVUpwLFUy0v/bHTJ9HY4tztfshLfR7O7NouqSsHE6fOj8Wec/A3QNQgY5swxQ4WhMXfv7b0NTET/OakYY4deCwB4anoplr69B41BERPv6G2gYiTghtfe2Y+F00qgqFq9ryUSw5jBPbF68yGD79YeCYBlYMidiD8+MbUEwVYRHqcDHnebNhQxUiNLnPTTxzar+gQ5R/21/CZ+loyv52bnwiaSeK3JFJ1pb8YxkFVAZhiw8UYd0USTZAWRqIQhhd3x2jv7UTH8Osv75xJ4y9c8LgaPVRajJSxR7Uqyh/tofz0G9M5BU0iEz+OgPl97JIBAMAKHg8GTU0s02kVFmx6/b0QfNDSF0RgUISuKxqDHcZbPfGJjMhCMaLmsDete0r5flrjv5lkWbJxuW1YZmoN8uPtrzC0vxOLlml4g2Z/VB8IU/LCoqhQvv7UH/z70WkRFCQ6ex+NLtsDvc2HamALKGBARJTh4FgzL0ByW9EHaaEHb1h/CQuDzOJCZ7sYvfvcxfd+CpdvwwiODAbAIK9rAF6UIP0MctZtidztYQzPxu7SOxnvTWpObm5sC4JKtntjdPDCwpHewaxzo0etWdBlk0o/om7Acg9MJFEWP3leENK8AMWYsWvz+f2vR66oMrNvyOe4b3gdjBvfEiYYWbNpxBKX/0gUPTdD0/eoDrdYLE8eYkHLL1u3FxDt6o1OahrInTZzaIwGsWL+fBntAxStrNFoYQpegKIoJkUf03ci/eY6BovNtu0VTUa1pk46dCqFbju+yT6LbM7vGHWf7OoNxt+YaUL1zywvjfMpmXaif3N0fPMdQygD9sX78o96YclcfNMdpMhw8gyl39bG9z4RylBTIyHOT6nHgVJOZdiXdJ5gmR2aO62sr1n4uliwGX17mS3XD5UxubZJ2fswu/rIs863w89kVWCpH5cPBa4Fy047DlpShKqMCKuBkGbBQ4Utx4KHx/ZDld9tQYrTg3tt7Q1VVQ9PE73MhJsno3CkF9w3vg1fX7aUFliVv7cGQwu7IiOtNJGoXTh9TgHtv720o9n15vBlL395DUaajb7oGmekuE93dNy5+JJspAACeswa18SxzSWX1TS1R20IsxzIozsuh061k8s7vc9L36o1s3twCh1NBETXxZ8rquSCFHI5lIMkqzYkBTdeSaEvpAYHkmuvtTAWkpF361l7hULYohAPA0keHYtGrbVpqVg2/TTsOW8pQrHhnH378o9748Y96IcPnwonTLfD7XPR7Fse1KSfe0RseJw8wjGFib9b4vph8Zx/8aeNBHD+l0TbPryzGdVf7MXNcXwoK3Lj9S3AsANjl/rCkSLO9TjrEuN1zl3xWzmwG/UmWRUtMMqDhH598A2IxxUSTTNbjQDCC9LjGlZ7e2K54TV6fV1GEjdu/xCMTByAqyjQmFuflYMGUEnCscc//4x/1ovWJxqCIiuHXGUDID0/oh2XrPgGgFY6Pn9JoYw3PSbw2sWjZdku60eqKIgCguoX/8eP++PlrH1PK2kQtLwbWa4aKONuTbo+YbKTYm921ORkI49mVO+M1AMHgD0Qeh0yOEp/q5BNMU1HkdUKPP/H2PITCIm1oA0Bzi2ioVVWXaxpnj77YNplRH9AA8KRREQhGoKoM3nzvEIYUdkeaV8BDE/ohGjP6/snGiOVU7JETQUrhPPuefiaN4Vnj+6LutHW9jmUZqPHM1u9x4OkZZYiKClgGqDvdarqW38TPktT452bnAiBPvNarNx8yUWI+PKEfAqEInl7+kWUdWd8EHjO4J91bJd4/VdXOTx+7NKraFDSFonj7/U9NwwA//lEvKLqGun7az+sW8PzruzD7nn5gWOB0Y8R0Xk4HB8gKIjY644kU+Ekq+8vL9PmI4GDRGpHQeFqEP9WJEw0tlDVRm+Svw/zKgXDw1jqCkqRg5KAeiIoyxJiM//m75s9dc7z0WMbp6jIcqWu2nO5XdT0IUqPI9mt06HrL9mv6sxq4OgpVBXI6ueNAlfY1ba1qzXYU+N+VtbuG5ObmHgKgAnDn5uYeTPhzNoA1F+rELrRZLYLFeTkItthTGFg1DkRZpe+vHJVvKAZoIthG3buF00po8g1ojv3Uq9vpyHbiOe08UIfxw3rhsSUf0oQ6saFjxXtfXV4EjmcNAZ8g5dxOBx7TIaEIMnTN+5+BYQDBweCR/95Kk/OZ4/rCwbMQYzL++4//NCVQC6eVYPqYAmT53VBkbWRejzgkiyYZ6+2S6dXGdCffYDmqO/uefpd9Et2uMaqlGDbHwfJ1hgFef7fW4Auvv1urjfE7WGSkOjUUMAMoqlYgdPAM5k++AfW6Cb7sDA8YAJGobGjqzRrfFw4/S1EciY1G6yJdme3riQj951/fhZqqsvN6CZPF4MvHXE7+rKkxk5Y0W7OJv2C+nc2zXYHF53Fg2bpPMOWuPrjz5p54871DVGPH73PiLx9+QanhumR64WAYbNx2GEOLrsLLb+3BnImFBoojPXXG45MHGoo1VsU2v8+JF1f/HwVxFFyTaSqCVFcUYf2Wz7H701NUG4V8D4n1laPy8ezKnVgwZaDl70wW2b658byNfqKDhSRdOqthTLIuPMiKCreDxfhhvUz+53Fy4FjrpjfHMhBl0M+s3nzI8llf+8FntJgRtXkexZhsaK48PvkGPFpRZCi+/+z+4mRB5Htu7QFIRTB4+sEyEw2Xohib1FZ+OOLGHtjxyQksqipFQ1OETlEBgCjJkGQFjaEoAGDynX3w8pua3mt9QJsOeHblThONv74ofv+IPuA47fw8bh6D+hm1wKsriuB2chAl5bwwa+jBLVa/N1k8PLNZUW/NGt/X0ASuO91qqUml1/PjAChx1gFyb62K13PLC5GWImDxA2VwsMBN/bqiNSJZgiIWVZUa/CQzzRh/HQ7WgOQXBBYA6G/wOHnIaluNJNvvxmOVxWgOxVBTVYrUFCcWLP27Yaq2MRjBpJH5uOuWnkhLcUJwsvQ3L1q2Hc/MMO4rBQdjWjPmlhfiLx9+geFlPzTq3iYbKbZmd22INi659j+7vxgLf7sN9YEwxg+71uA3Z64VlKKTT8CEYb0McYnUPBKPVbN8O56cVmK5VhPWrLQUF15dt9cERJ5XUYTq8kLUxOsb/5+9ew+Toj7zhv+tqj7NMM3QwMxEjXhgtSEIzw6GQWFMdjXLtesJXN43DskKYxxRxgMxvlEGfBY1wGCOq5vFBIlB3QTcfVjFU57Fw5sEMAE2sg9IsHVdBeOBYzP0MNPT3VX1/FFdNdXdVX2anpmunu/nurzUmZ7u6u67fvWr3+G+X99zyBjvMKerO35KG6O77oqJePKFP2KM34M17bMRTyj47MQZYyInfbzuW19LnZweX+vFqdN9Kent9Elx/RoxkDhjneHiFLOA3PxZG7EythqddzTjVKQPJ7qiUFQVjzz1H5bjyPo1+d6vT0c8oRopEtPb4o7WJnjdopEmVG+7RlW5cfxUL/yjrBfYN4ytzpgYf+zZvXho8Sz8wyatZuWHn0ZQU+W2PK7VS7QFd58cj1ie8+l1gLngfuRI7488cufslEWT5nEGvQ/y0IbfYWXb5ZbzM5Ikom5MFT4+1m30ge1SOB8N9yKekFGXnCM53R0z+hajazz419ffzWiH71kwHU++qG2UevplfezjMsQSCh58ov8ao0/Kj/F7MsY40ttRuzr25SLXWMqd0Pbg/BuAu0w/VwEcCYVC/2ewDmywWV0Eb7n+kqwrz/Uv05uc2e5TtC9U72Cnp7tYeM1kxOJyyo67cKTPshPi87iwedsfM2585lx2AVau7z+mq2acZ0y06H/7yFP/gTXts/HgrZdDkrQJuO898wfMv/KijNVKK9tmGnXe9L/XV4a2zJmEaq+EvoSSMmH06z98hBv+4iJ0I2Z57ABwwdmj0ZW2w1GfQNVToKbXgFtxcxPu/fp0KApSUpayE52DCtv0nnY/91d7cE5dDSQRGD3KC3+1B4qqQlVUHDvVm7m6x1ODuMUOvoRHtewIdLY32040Wg/SKTZpRa0H9RRFHfRUe0REOdm2v1OH5OWzDbCEDu+GTKcAACAASURBVIfxq50f4m//8s/w15efD5/HhZ5oHC6XVgPKKqVnLJ7ArgNHsPDqyVi9ZDaOn+rNSJ3hcfeveDOn6gCSAywbd+M7t83CVTPOM373l188F4qqpAzsKaqWTeC1PR/h/LP8aJs7NeV1zP0oUeAg22BRFBVy2ncjqwoUh32ubpf9SsyYrBo3Z0B/nOq12OwGwfrSUoDqqWLOP2u0FnuCisXzpvZnCZEky2M4fiqa8toPPvF7fPeu5rJeFUqlZzVwmJ5BpmXOxfjmgkaET/dhjN8DV1obHzocxovb38fqJbOhqCoUBdj40tvYdeAIpk9qSKkNuHrJLMuFeguvmYwVj7+ZMhgvy0pGOkj9flSFiuXrtHvPf/r2lejc+LuMc8lcV2XJ/Gna4hIpWeKiwIE+8+KW1PPOz8HDPFllCXh0896Uun0+j8vyHmtCQw3a5k7F5m3vGOUX0mPX45Zw301fRLXPbaSO1e7zpiIe0x47qso6bWjkTAzVPjceuvVyCKIAlySk9Cn0tN+6+oCW/kurhyTgTG8MggA8vHgWhGTN167uPmPQ+5E7my3r+yxb1IQnXziAcCSK79w2yyiFEvD7LOvSb972Ttr4yB60zZ2asfiIEyn2rD4bc50lbZGMgvM+N9qILf3nZvpYgfXPVcSQGe+PPbsXD99mPdkn2fQpIWhZs7590xdT+rD6363ZqE0grmy7HD6viPDpPowd7cUjdzWjKy2G7l+oTRqHDocRnBAwap/FE/19q/QJb1cyg8fRcC82bXsHbXOnGhOA+s9/tOkt3PXVP8c//st/DjjOmA2peIUuIDeXgTrVHcOatIldvS6v/l3bpV0eO7rKmKw7Gu7F0y8fNGryfnysGz3RODo37s1ou/SYWdM+G6t+tivjXOlsz0w1fjSspc0PR6K4b+EX0RtNYOxor2Vf4fSZGGqq3Ni87V3LhTt6X4AL7kee9P5IbY3P2IAEpC5AWrNxN2prtN3d//nukZRNJTOnNGDBnElG/Oux9eL2922fqz5QBUEUUON1IyGrKf3hexZMx0dHurHxpT+mpCLXF1l88MlpfOe2WTj0WQSnIrGU+ptWfar+slbOa0ezTgKGQqF/B4BgMPj5UCh0cmgOaWjoDfP3l34J0b4EJFHIUmutv/Nnt9Lu6ZcPpqTLCE4IoMrrzpjxTshK1gG8Z145iKUtjTi7bhQSCRWKmnpMdheIY+FedKzbifpAFVa2XY5wJIotb7yH+xZ+EZEz/TPgn2/w205Cdm7cjbXtzUaRePNxv7b7Q/zNrAsy0je0zLk4+XkCv0zrPBsTqLICFWJGZ231z3dnFI9/4BszkytkM1OyksYFYMGcSRk3H263ddpPr0fE1bMvSFktp/1cQiyu4IXfpg5ov/Db93HrvGmWq+9W3T7b9hyxqinVNjdzd2t9oAoet2SZ8sAl2aXaQ0nvrKzqZDDGiCgXu/Z3MAZ/7Or5ZBtgmf0/zjZ22Ov0foF+DQD6O80r2y7HzCkNiMYUHA1HUnYJ6Nd4RYXRKbfrg8iKitoaj/G7cbVV+PufvomA32fUET7dHcO5Df7kRI1omVJJ70e5XELGzikOspVGQlbwL6++m1J7919efRe3zpsGcbgPrgC1o7xZJvPs+/OCqtoOgklpk3qhw2Fs2LofnXc0A6oKWdYer5OgZsZpsv5f+mvH4gpcqlq2q0JpcJgHDiVou0374jKWtmglHcyrkVe0NsHvy2zjr7tiIjZs1VItb3njPbTMuRit10yBkDawrbe76YMWD982C/WBKmNQ75E7mxFPqHh9z6GM9MzRWAKSWGUs1LNNzyT3pzPV67Z0ts8uqi+dvrjFOO+S95Bs83PLliVAp6WHy7zHOnyk2xjUMtcpNcduLC7j/3tsOwCtbzD/yovw/1x1MQABqkuErAoQRTUjpebBD45DUZFSi211+2xjN4u5T6E/r37MsYSChzf83kiT98hT2nOsbJuZ0lfp6o6hZc7FGRM4a5/abQzYyYpqPG/LnItt06qb72OPhntRW+PJWHzEiRR7qZ8N8PGxSMpiL32hjigKRspg1ebe35xyTY8N7fsQodhMEKqK9bjDidO9GRMVyxY1Ycd//gkPL54FUQRGV3uMhf3m5zzZFcWPNr2FZYtm4Inn30Y4EsXqJbMzYuiRp7VJ44+OdGPhNZON8a2ZUxrwzQXTAaiWqev0GL1qxnlI2GQ4aEjuWCxFnDEb0tDRvivJmAAEUid2zWPHdmmX08eCQ4fDeGjDLjxyZzMe2rALne2ZY3MBvw/1Y6vx7Zu+aPx/elybd1ebX29scrdhPK4YGeDSd1vVB6rg87jwyfFuhCPRlNpq0VgCY/weyGVS+4yGXnp/xG6OxV/tRn2gCuNrq+CRgBlf+Bx+9sLbaJs7FXVjfPCP8hhpovW/sbtW68+llyeTFdXyvNPb29Nn+tCxbmfGMZ08HTXmMLL1qfzVHtNvBK3eoYNCPt+sSl3BYLADwE0AzgHwMYBnAHwvFAolBuvgBpssK6gbOwrHohHthty2E9Lf+bNbabdk/jRs3vYulrY04oXfvo+FV0+xHHD7Zsv0jLSJ5nzPAODzSvjk2Bljttl8TNny8uuvE4snjJRF6bu5li2agZlTGlJOHPPK0ISioi8up6z4eOxZLeVntVdK2daePomzbFETrv/SRDz9cv92XDlZSNPu5BcEIbnaD3CJAgKjfTj02WnblKyU2sGGIADJQaV4XMHBD05g9ZLZUFUVgiBg94FPUVtzdsbu0bVP7UHnHc0QBFhuqRYE61V5tilQJPuagFZpRVXFekfh9+66YtBXV1pN5DPGiCgfdu1vqduObO2U/vqKAqjJ+r36TdnZ42ts2m7rNj0aS6D12kuwcr02YacPkqRf42dOacCa9tmQZZsBlq5ejB9TbfxOUVTLlfnLW5uw8tbL4POI6LxjNhIJFbKi4lSkDx6PiH997V0tXZ4oYMwgDLJxAQggCIL1db/Ei20GmygKWSbzctdrsBoEc7uFjD56R2sT+uIJPLj+95Z9hvQ4lVj/jyykt+npExlHw71YbUp1t+r2WQhH+tDVHcOL29837q/CkSjG+n3weEX0RhMpqcFUm3stURC0lGIWg3ovbn/fyByztKURNdVuROMJ3Pa3U7H2qT2W6ZnqA1WQ02JZv+crJl0zd1YNnN39WTSWMP67YWx1xqIF8yKibO2U/vxW13U9jlrmTMpIqWle7AtocbLh+f1YdM0XsGT+NASSNa6snldPZzr/yotS7hnTdzRueeM9fHNBo2Xsf76+BjOnNOBUpA/dvfGs/aTaGk/Kz7Tj8lrGISdS7JkzZ2mlcbTrofm8NrNPmwyj9l96n2XV7bMs493rkbBsUZOR9Uof+3pl5wf46Ei3kSZ/7Ggf4nICky8YZ5TcMY/JmSfp9DEyfWeoPqlsN0BsTm8anBDAdVdMNLJ6pU+mmAeVa2s8EGzOY73OKuPMeRI2E9bja6vwD5v3Gtdwq7TLd9/YiBOnopYx0dUdA5A5NqzX2PyfP8ke16qiZrze0pZGiJI2aainQdSP15w6+u4bGxGX5ZRdgPourOWtTRDY1x3R0vsjJ7p6bfsn2jVBRTymQBAE7DpwBKciMdx09WTEbBZFWF6rR2tZKbweCeuf249b503N+rd2i6L0OZVscy5fmXEurp59ATrW7Ui5V6yr9SIWdcbUWL6LfjsB3ABgGYAvJf89N/nziqF3QuoDVQCsOyt2F/1z6vy49+vTcW5DDRbMmYTuXuvUmeNqfRg72ovv3DYL6+67Evfd9EWM8XuNDlLLnItxuru/oLFeG0E/ptf3HEJH2jHefWOjUQy5PlCFY6e0FRk3X3uJscJUf/21T+1B67WXWP59faAKHx+L4P4f78CGrftx09WTEZwQ0CbrICAWTRgDLt++6dKMSZy1T+1GLC4bf1cfqMKHn0bQsW6nUWDcrD5QhT8djaBt9Wt44Cc7cbonhtM9McvVec44nYaOLCsQZAX1gWqtUygr8EgCvnDheKx4fCcWd76OFY/vxBcuHA/ZpmCvPvBpVYMPsP6+JEnIiD89F/nSlsaUny9taYTHJSKR0CaiO9btxONb9iGRUJCwraPTP8C+vuMqdLbPLvnknNVEPmOMiPJl1f6WWrZ2Sn99SVXgFQUsnjfVaC/dyckPM20lqXWbPqbGa0wQmtOw3fO16Rm1fU50RfHDX76V0iepD2g1iP/5V+9gyxvv4v6FM4xJQauV+Ws27ka1x4WuMzGc6u7DJ8e70dXdh754AtU+lzYoWO1GPCYb79OlqiX5nPVB+I512jWyY91OhHvikCQn7X8rDcvrvgPv2e1iJJ/+vBU1rqCm2o0Hb70cP7n/Sjx46+WorfEYE4BAfxyrkgBVEtGXTGfmTe5sEGS1qNemypYAjHRvne2zcdb4GgT8vpTH6BNp8ZgMryhgfK0P55/lx23zpuGculG49+vT0dk+G2P9HsgJFQ9v2IWnXz5oPKeYHHgxqw9UIRyJoqbKm3FP+Nize3HVjPNw/ll+rGlvxufrahDwe+F1ScZE+HO/fg/LFs3I6Pe/tvvDjNeRitzdal5cM1h9/0pn1eataG3CBWePNj7TUW7JWLTwRMdXsOr2WXhx+/vGIqJs7ZRHErDq9ln4Zksj4gnZiF1zHJ2KRDPSMFuVQdl14AhkRcW5DX5EYwncv3CGZX/h0c17jZ2B5ufQB+d0ocNhhE/3Wcb+kZNncONfTcL4gA8TzxmNJfOn4Wi4x/Kxo0d5M9rtKrfIOCxSvue13ePiMRmBajcWz5uWERs/e+Fty+usJAp49tX+drZt7lQ8+2oITVPOQuhwGGs27sb9P96Bk6ejcImSZczpWa7Sx9j0CTt9EYRVDAVG+9AwdhQCfh+WtzZpO6oszpf5V15k/I0ezwG/F13dUcvxFJfEzAFOZXdddrsl3Pv16fh8XQ1WL5mNxTdMRd2Yaqxpn41H7mw2SiY89fIfU2Ji5pQGrLp9FsbVajG2+8CnKfdlVjU20+N6eWsTeqLxlP5D29ypePrlg/jseA8iPdbj2BM+p5VyeHH7+6j2ulJ2AXa2z8aS+dO0XYBsM0e09P7ISzv+27K9vuDs0QgkF0GokmgsgtBLj8Ticl7X6vsXzkBvNI54QsUTz2np8uNxxfJvx9dWYd19V+LcBn9G33ZpS397r0/KW/3+b//yoowNNp0bdyMaVxwzppDvPWkLgEtDodCx5P//n2Aw+HsAbwH49qAc2TDIJ72DfTFoQJBVxOOKsU3VeiWPgPv+sX/WeHlrE2pNrwkAJ7r6a4mYB+UmfM6Pw59F8Nu3PsKq22dBEASoauouAPOKPhXWEy090TjW3tGMeELBJ8e7jVp85h2J6Ss+9HSM+uouWbBOD6MXnF8yfxrcLgnPvHIwpbNmlUZV/9s1G3dj9RL7dJMcQMnOvgZOs03M2u/QVBQ1I23G3Tc2QlZUjK5xp+w2FCUAqoqA35uS5z7g9yKeUDJy26/+uf0xiaIAWZYHdXWl3UQ+Y4yIykW+7VT6anRJEjOutStam1BT7bLZaaECpn6NPkjyyJ2ZtSK6umOWaV/8o9wIR6IIHQ4j0hPDw4tnwe0WEbdZwReXVUR6Yhm5+pe2NKK6zjVoN492E6t63eeRItt136m1d9N3eAIoKl2bLCvwSiIkt5ZKxi0KkC3i2K6mlD7AyVRxlMEi84bV6nzr3aoyFLm/nY9BNCZX9HYM0HYAdLQ2pezEumfBdDz5woGU2kM6fVX0x8e6cV7DaMixBFRJTJm4eW3PRwCAlW2Xw+3S6lvVVLvwF5eei537Pk27ngysVhV3VhXPtt2JyRmfqQBAgtZuLp43Fbdcf0nWdkqSRJyMxCx3EKbvZrLqN1je7wkiTnRFcf+PdyA4IYClLdY7+QKjvcYEn/57qx0zY/werLi5ybjnNB9jOBLF2juaseyftPGX4IRAxj2uNrj3bkZKuzhT2g1IrvNav3b3JWPWKwqQTTveZFmxHHPadeAIFt8wNSPe++KyZXmSeV/+M+O/zbuorGKuYeworLvvShw5eSYjlWk0lsCyRTPw2u4PLWPoR798CwuvmZyRLcvqfNHbTf8o7bz1SAIS1R70xhIZ4yncWeVcLkmw3HHnkgBFViHHEvBIIsbUeCArWt1fc61fAHj65YP4zm2z4HIJOBWJpZRSuvvGRvzh4GdYdfssnIkm4HVLtnHd2T4bY0f7cLyrF7G4jHAkavQfgNSdUFbttscl4sJzRuP8sy6B1y0ZO8u5C5DM7OphW/VPzFkyAslUtK7kLvIqnyvj3NHqafZfq+sCVXji+f0ZWQ6Pd/WiY1ETOp9KnXuQJAEPrvud0RfQd4ePqfFCVhRjY1Y4EoXXI+GbLdMxfowPLkmEKAL3fn06AOtrR0JWIUiCI8YU8h3zdgEZG2USgGPHC2zl6qzkSlkiJ1NgVXklPLT4ciP3dzgSxfLWJpyJxlNSbZrr5rkAQJIytqf210ZoxvlnjcaF54zGia5ePPnCHzHG78Et11+CxfOmQVYUY0JwZdtMfHbijGUD7q92Q1JVSJKACQ3aDkZRFPC9Z/5gdHQALZjPra/Bd26bBQECJKl/NZzdZKieMqFh7Cg8unmv8XzpnTW71xNtJ1mZRikXu0FjSYJlSk6PBMRk+zSeL25PrRX44vb3sfiGaeg+E0+5yVpxcxOkGg9e3XUIX2k6H5IIyIoXr+76EFfPvtDymCDCcpIRwuB/x/YT+YwxIioP9u2UiESWItR2A4EJ007+9IkJl2niMOD3oWXOxRhX68t4/df3HDJSJeo3fEtbGtHTp9eYUqCqwPEuLXuAfsyZ1xfrIuGPbtYK1UManJrAXACiyXoNdOA8VbbUuUbfOo9JBbvBSKtSAXY1pfQJZU5oUAZVsNx1smT+NKOmnvl+Uo9HCNrfKooCUR/oVlTLyZVwJIqA34u1dzRDVtSUhaKqat0e19Z44XIJcLkFxJP12GuqPCmPfW3PR9j3X8eNhaHfbJmOMX4P1rY3Z70e0dAqtN3J9/EyBGMCEEhdKKz3BSI9cbhdmdeW1/ccsqxjHIsnjBgOHQ7jT0e7rccsqjz49999mDIQaMR5WvzBLWFN+2wcC2v1tcwTOAlTVhzzAuvzz/LDJYqIxhPY91/H8dqejziYPUTyLc9h12eBioxrvF0qcHNa3PsWfhGyrGLs6Mx+bn2gCn862o0tb7yHm66enJrK9OYmnOmN45WdH6BpylkY5XNp9QQlAZIAQADG+D1QFNVIuwxYny91gar+Gn8J7b3GZWCUW0K1z4XaUV6jVI4ElW2rgwmy9UJ5c/tibotFj4SVbTPh87gQ6YljyxvvIRyJ4tBnEVx4zmg88vSejNjqbG/GSzvex3O/6d9xZRXXG7buN8ahb73hEnzra5fih7/8Q8oEy8aX/gggc5xuRWsTorGEUXe+PlCFB2+9jP0AspTev4jLsOxvmBfoHg334umXD2JpSyNa5lyM7z79H0Z9an1xjssl4rU9HxnX6qUtjfjqV4L44JPTKX0Mbdx6aup5N9oLdzKrgZ5u//U9h3DdFRPxw1++hfsWXpoy9v3Ec1r/+YmOrwAJGUry+NW0+vFAf5p8Uch/gm045XuMzwF4PhgM/j2AwwDOA7ASwL8N1oGVq1wrfD1uMWP1T0drE0aPcmP9c9osdfqKIPMAlCgBo2s8GbPeK25O1iQxNbz6czzwkzfx/aVfgiuuGiv6AOAHv3growFf3toEj1tEQtZe0yjKDOsaJp+dPJNyc6p3zKwmQ/XjqQ9o6TfME3zpnTW71/O6M3cxsC5Efmw7yYCRktMcT4qsQhAFy8k4QUBGXQc97WeHxc6+tXc049LJnzPqYOrPYzepCwXWk4zzpg7658TaI0RU7qzaqY7WJqx/fp/Rj7CrZWo3sJft54FqN757V7Oxu0lfjWfuh9z4V0Fs3/sn3PXVP8f42iq43dqOkV/86iAWz5uWUvcnOCGAxX97iWVfRpIExOM2aaoVJWWFaynrtXIBiMbnse5n+TwiognnXQVLscMz22AkkHku2tWUGmkTypQ/xaYu0Dl1fqzvuCqjpmW4J25ZC2t5axNGV3vw+p5Dlvd4gqwAycnr9VvfxlUzzsMtc6ciFk9YtsdnojH8aueHuLb5QiPGZ05pyKiNqQ+qLG1phAoVDz7xe20QW1U50V3h7Gpa6buZ9Nj4f79yccag8vVfmoiXdvw3lsyfhs+NG4Xjp3rx4vb3cdWM81ImCO3qYf38pbdx67ypcEmCxWBz5i5HUZJS0t4C2nXelTY51L/AejYgyxjllrh7e4jZX7ub4TItPC/kvt3qsStam1Dr9+KRO5uRkBXIsoof/OIPlv3cZYtm4NlXQwgdDuPF7e/j4cWzEOmNobsnBo9LxKbfvo9dB47gtT0fGbXXzH+/vLUJ1T5X1vNleWsT3EDKjkedLCuArNVrEqG9QbatzibLCka5JUxo8OdsXyRJxKlILCNLitcj4V9fexcTGqZYxlZPXwJXNH4eO/d9atuWvrj9faPGZuhwGP/7zQ8x98sTUyZJ3G4tlaEe/53tzcnNG4BLEvH4lv+Tcr6yH0ADlb5AN3Q4jEc378W3vjbdmBg071Z9/P4rEZwQMDZYbdr2DvzVHjy0eBZOn+mvo/31v55sZFk0v9axU9GU68P9C2fgV29+oM1LqAI2bN1vuXjZHNweCRlZN/Qd4tc2X+iIMYV871XvBfAwgF8A+ByATwFsBvD3g3RcZS3byjlFRkYe5s6Nu7Fk/jRjm6q+auOur/45EskLgCqJyTQGCtb/29tYeM1kPHzbLCiKihNdvaip9qAjmcbC/Bz6qqJ4QquLoh+Xls4lM21XXzyB1od/k9eknlW6TvMq50C1G2vbmxGXU9OKrmhtgtvd39m26qzZdej81V7IMZkd8SLYfaaKAsuUnGvbm6HaTcbdMM0y7WdPVLbsfCRk1bLG0CN3NdtOMi6YM2lYJuKYqouIyl1mOyUaE4BA6VNZyrICFWLGarwl86fhc2NH4aOj3di+90+Yc9kF6O6N4bOTZ+BxS3h0897kdUbJ6MSv/7e3cd/CS/HwbbOgqipckogNW/fjVCSGe78+3XJC7vipaMp1pJTvkQtANNGYgt+89RFWtl2e3LkPvLb7Q1x3xcThPrSilGKHZ9aJRIs+gwBOKFNhJNF6h4pLFLST0GJldNvcqZZ1Vb97VzMWzJlk1BisrfEg4Peiyi0a6Qtd6O9n3/XVP8c//st/ZqyojiUUfPux7VjZNjMl/vXrzMq2yxGNJVBb40FPXwJXzTgPT798sD+1qKDdb7IvXdnsFnSOrfVhZdvliMsyFl49xagpv2T+NJxdV4NPjnUb6W71VftL5k/DdVdMxIvb38eCOZMwzu/RJuIEAaII3PXVP4dLElN28t1y/SVQTBNC2QabRQmWqfckMXP1/4I5k/ozOXH39pCzu3Yf7+qF1y0ZY1SF3LfbPRayAq9bQk80bsSGuZ97Tl0NFBX49999gKtmnIfWa6bgs5Nn8KNNb6WkA111+yxjx4lV7TV9EtPqfKkLVGNtezN39o1Adu1Leip7q13Xj27ei2+2TEfLnEm2bfGnx8/g9T2HsLLtcpw+0wdRhJYRQNYyv6nQNop4JAEL5kzCB5+cRtOUs/Cdn+3KeC59x792T6DigZ/sTBnDOxWJGecEF79RLukxnk+ptXAkCrfLus/82Ykz+NbXpuPQZxF4PKKxUO6jI91omXMxzm2oweIbpsHrFvGtf/htyt+vbJtpTLADWvw+8vQeLJk/Ddc2XwiPlN84QTwmo67WizXts5GQVciyitd2f4gvTz/XMWMKWc/ZYDC4IBQKbQqFQlEA9yX/IZOMOiSCdYfG53Fl/Kw+UI3/+dPUFe+jqz3aRNrjbxqPrQ9UYU27da08fVWR2yUiYVrBbR7sMqftevKFPxp/azWplytdp7mh109gnyQaaUWNzhaQtbNm10kTk+mX2BEvnN1n2idbr+BMKCpcUmaNkrtvbNTqRShAIjmeJQqASwFU0T69m3lwQU9fEI+r+MPBzzIGG89qvnBYJ+IYY0RU7sztVEJRMmqclPLmy+2R0CeruGfBdKP9Dh0O46ENu7C+4yvwV7sx+YLx+AfTgMj6jquMdEYJZF4bwpEoYnEV/7DpLbTMuTil4/0vr72bkat/+c1NePx/7Ru098gFIJqErOC53/w3nvvNf6f8/G9mXejIG/lS7PAsdCLRneeNYsHvJcfNMjmX3eSEKGkLSM30ePRXuy3jMhbX2rLF86amxIq5fllqewfLFdWd7bMxc0oDzqnL3Nm668AR3HL9VHT3yvj0+Bm4JG2HwBi/B5GeOGZOaUDkTO5UfuRskiQCgpBR4uTuGxvxw1/09wc622cb/631G67CQxt2pTzX0XAvzq6rQW9fAovnTYOUrIklyArq6vw4evJMShpFoPC2PBZX8PTLB1PuR59++SC+9bXpGWMu41jzb1jZXbu7umPGLk19AVgh9+12jw1UuzN26en93O/dfQXG+r24tnmisXskPX4Dfh8kUcSq22dBFAUIgnVdKAiqZbasJ57fhwVzJhkZBmhks8pA8Z3bZlnG1Bi/VmZn7pcn2mZiCx0OY96X/wwd63YC0O7RXKqKuoAfx45FAGgpZ833QVavNaGhBm1zp+LF7e/jry8/33YDCjDwxW/s81a2fFI+2y3QdYv2WQdbr/0C1mzcjeWtTXh9z6GU6/3Glw5g8byp6LPIOOTzZO7SDvh9OLdhNFRFQUxWjYVJuWIyFk3ALYkQJAGiAFzbfKGj4jfX/f5PAWwaigNxIrvAnjmlIaM4pZ6L3PyzT453W67utBxYkKw7StFYAstbm1A7yosT0bjxu2In9YydhDbpOq0aervOVq7OGidiSs/qM7WqZ6NP3KmqTe2/edMsn8vlkSwHMTxuBfi73AAAIABJREFUKSMlxtKWRnjdIr40/dyUNKEdrU3wSALiMZnfPxFRHgYzlaXbI+F4JJaS1kLvaIcjUUiiYJley+MWIcsq+hQVLlHEg7delpGyfONLb6NlzsUZ6RNf2/MRPjrSjTXts6EkO9qSlH+/o1jsdyAjLRqgp0sTMqt/O0ApdnhmO78kCJZ9/XxvFPOVb30kcia7yYl7vz4942Zcj8dIT9w2LvNpy/TH+Hxuy+epH1uNBXMm4eNj1vXYvG4RHrcLP/hFasqj7Xv/hFuuvyQlBXSpd27T8LNrk/riCTz5wh9TdkhFeuIITghg/pUXobbGY7vz9dCnESNOfrTprZS0y6Vqy8ORaMpkt92YC2N1eGUrLTMYO4z0VMtWcdndE8PY0V5opf1UiGnxq6f+7Fi3I+eYH1R9oqUZx7t60dUdMyZpPvjkNOOOAFhnoPjkuPW12OMWcW3zhVp9QZvY0tth/W/s7p30foHduXD4iFY7cHlrEx7fkrkws7bGYzx2eXJML4bCMwKwz1v58inXYLdANx6TMcbvMdLV6tkBwpGoEed67dbHnt2LgN+HljkX4xvXXQIBAjzuzPiOxhKW7frytHY9UO2GkEeKWyePKYg5fs9rVBZ2gd167SVaJwBaA7ni5iY0jK1O+dmyRU3YvO3dlOczr+7sbJ9trLIPVLshyKpR6FV/juWtTbjg7NEIVLshiplflSxrKUJdqgoBsB9cs6B3zNJfz4mrxEc6lyRgaUtjyne5tKURLkmAJAE3/lUQG7buR8e6ndiwdT9u/KsgJMn6uczFjTvbZ2PJ/GkI+L1IJJSMlBiPbt4LWVGxOZmuqLN9NtrmTsXmbe8gxnRZRER5K+SaLEkiVElEQhCgSqK2kj+LmAxjAhDoX+3ZMufi5A1e5ms/eOtl6IrE0LFuJxZ3vo5l63YgllCwtKXRaOufeeUgdh04gnPq/HAnbzbNwpGo1nlWtZ0Adv0c9jtKy+MR0ZH2OXe0NsHjyXVLUJ7MN5DmfnO2QYT0c8QjCbaxZ9fXjyV3sOjxO9BBC7vXceC8LFkwT050rNuJNRt3G4ss0untvV73b6BtYu0or2V8S6IWc5u3vYt7FkzPuE+IJZSMa8Pap/bg2uaJEGC9Cya9Bgs5l12bFPD7jDEF/fpx8IPjuOnqydiwdT/u//EOrH9+X8Z15u4bG7HljfeMwWpzG6coKhIA/KO0Ae4nOr6SV1uezq6vZDXmwlgdXv3X7mY8cmez0W/UJzS0xWGF9WdzcQFYkRYfS1sacU59Dbp74lj2Tztwa+frWP/8PixbNMN4nF3qz1uuv8SyfZZlBbKi4P4f78Cajbsz0icSWe3E27ztXcv2yw0Y/UytjJMKr1vChq37jfNlaUt/+5pPP8EFYMXNqa+1bNEM1I+tQmd7M8b4PZZjx+Nrq4y+9ji/BydM94Id63Yi3BPP6zxln7fyZcuykvI485yF6X5KG3f24Ueb3jL6zHqcA/21K7971xVYMn8aHt+yD7et1cYkTp7uw4O3XpYS36NrPCnnl127PhJiMFf7IAWDwb9ElsnAUCj0RmkPyTnsAru7N2bUaBhX68MTz2s1cJbMn5ZcDd+D3r647aRctjQGGauO80xjUejqOqbNqhzmiTu98G/A74Ugq0hAwLOvhlJWJj/7agiL502zPOntihtnSzlqlW6UywuIiPKX7zW5mJWVsmLdfp9T54dX1Fbj6a8NQQBUFQIEPPhEap3iNT/X6h+bUyhp/RpAQmZ6pPQ+CPsdQyMWU4zFOfp1f/O2d3DbDdOG+9CKVshqTLtzxG5nnywIBaUKLfo9lKC2IZWvQu7D9LZw8bypgAB0tjdDUVSIIopqE0VRsC4XkEyXdDTcC0VVU1ZcP/3yQXzj+ik2MakM6u50Kg92bZIAISWWPJKAa5snGrukgP66kp3tzUjICj453m2s4td3e+nPBwE49NlprHpy14B3hFj1I4YiywAVR5YVuCTRmNAwf/8eScCJSKykO4VkWcGYajfWtjcjobepkgBFBlb/3Lou6ukzfait8eZ1LpjbZ7aRlI1dLbQxeWSZSG/nXKIIUUJKaaZ8zhG3S0wZH1RUFT/9X/uTGQoEmz6LauySiplqyQOF7bJmn7fyDbQNtIrzaDyRsgjpa3MmIZ5QMuJw1ZO7sLa9OaOtB2Dc/9q16yMhBnO9Py+An8F+yF4FcGFJj8hB7AI7fLrPSEPxyJ3NRkfioQ27UB/QimJv/tW7uPvGxpTJkVwpL/Id5LDLr5xrcC2jviG0VSdO3OI6Utl991YTd7KsQBEE7DpwJKPWVNv1Kjw2z2UVh3YpBSRBMGIc6N9h0tnePBQfBxFRxcinD5BP6g0g/Vph036LAmRZTnntujqttkTCZmLk7PE1xnOlr4rOZ4Iv/T0iuQqck4KlI8sKTkViKT87FYlBllXYJAEoW8XUE8l6jlj0eYdqII8DhpWt0EUOeluokwBAtr5HzOc8yNV3FwUhowZWV3fMNiaLSd1oPs5wJApJEtmelzG7NkkUBWMiWE/bZbVYQqsrqcAnCZjQ4Me9X78UHx+LGLu99OeDKhgTgEDx6Tr1+OpLngdeY2G1OCg1XKk07NrGmKzm7M8W0wcw16KCrNVkterT7jpwxKixpu8esWoLVVhfn0uR3paczy5G7eJD0LNMAFnHX/UJdIgCEooCCf1tXj7xlQCMEg46fZxa35SSq88ykIk89nkr30DaQOvruYxRbiljkc+Rk702m1G03YUuABJEJGQVsqLC7RKw8aU/Yv6VF43YGMx1fp4JhUIjdpIvl2y5zIH+4sZm+iBZOBLFM68cNHYHuiUREtQB3wzl2gVgN4CYbWV0LHnCcACuvBXz3Ys2F2C3W0C4gNV3enqN1abHr2htgiBYdw4UxXmDjURE5S6fG7L0a8XMKQ3oaG1KqQnYkUwDGrfppXvcIla2zTR2jWx54z2EI1G4JdH2hrHQ3PmsFzE43G4RN183BT/85R+Mz/VbX7sUbrcAJZb778uFoqhFxUehgxZDNZDHAcPKNxj1QwbSTppjLr3+YHBCALU1bvuYLHBSk+2581i1SR2tTVj//D7sOnAk5TtUAcyc0oCrZpxn7DB/fc+hlAxHXknMSCW6vLUJik02gkJW4+eKL2YZKG9WbWOuXfi5vvNCJgjtJiSiMS0x3Ot7DmX0k1e0NiEaT6TUwja/PuOOBrNdyueaKkkiwpEoEoKQeU9m0xc+e3yN0e/M1WcZyEQe+7yVr9gYL2RMO6YIWResQVYtn29pSyP+///4CEtbGo2UoCmpdItYYOIklb7TcVCZA1tRABUqfvbC20Zu5uWtTdi07Z2Uv6kPVNkMkskFN3hWKyrz3QWQzurvNm17BwvmTOINm0MU893bXYAVufDncrtTUwq43aLtJKMowvYKX8yqPiIiyn1DprWvQkr7bk7bpa3uF7UJQJt045Ik4lQkhse37EvpTAf8XmMxUykGuYvtz1B2igJjAhDQPtcf/vIPWHuHs3bod53pKyo+Ch20GKqBPA4Yjiyl6usOpJ00xxyE/vuBgN+HhddMxg9+8RYCfp/tgtVCJjXZnjtPZpskGhOAQOp36JEEtMyZZLGYSDAWE9m1cQlgwKvxc8XXYEzA0+DKda3O9p27ClzcbjUesqK1CePG+LC+4yoj7W36DpT7/nFH1jaNcTeyDUa7pPcd4jmeu3/iY6f1JLndRgBJNLLA5DKQiTz2eUeGYmI813mTns1Ir59tzrC44ub+OLR6vkc378Wa9tlwSUIyZWh/dgMAFb9oLdckIPvlOeiBLUELyMXzpuKW6y8xOgsL5kzCB5+cTgmgUgyS2c2Qj/K5ilpNZ7Ua5KoZ5/GGzUGK2ZJvdwHuK3BVpl1KgbXtzQV1DrhSmIioeNluyJBsX/vism3aLpeqArJsuwMQ0Nr71Rad6bXtzXnfOOaD9SIGR0K2/lwTsrM+13iiuN0jxQxaDNVAHgcMR4ZS9nUH2k6a044GkrWyFKhYvk4bODwa7jXKWXS2zy6+Fhfbc0cyt0kJRckoH6F/hzHAmADUf95pMWZg1ca5JBEPfGNmRk3AQnaEKDbxpShg5hmHynWtztamQBQsx7BW3T4LD/zkzbx3ZdXW+BDrjQCyiriMjB0obNMom1Jf98x9h3sWTM/63LkmUuzOLwn59z0HOpHHPi9ZyXbeeC2yGbXMmWTUuq+t8SDg96JuTDXC4TNZn09RVCgp6aG1GFSl4mtdOkXW9icUCvmH6kAqQXpDFpeRV8NY2pomzQWvppMkESoEPHJnM7q6Y9jyxnsIHQ6jtsbDzo2DSKJgm4pFgmAbYwXV+LNbKW/TuCaUwjoHXClMRFS8bDdkeqe2be7UgRXqztLem/sGVn0bAANOzzQScvUPJlG03nUhioKjcvC4XYX1U3RcfUzDbSB93cx21b6d1Pv+R8M9gCTmjHP9d2qONHzFYHvufHZpwCVRyOgXBCcEMP/Ki7Q4zRF7sqzgvM+NHlCbLNrUNnbadY365bpW27UpLlGEAhX3LJhuxGnocBhHw70IR/oQ8PvQNncq/NVuhCNRjPF7ALnwCQm2aZRLKWLEfM1XIWDTtndwNNxrmcK7Zc7FALRJDAjIeh0vVV+YE3lUavbnjQhVSu0/6wuTFs+bBllR4HGLUGTgZCRq9HtVFJZtYCQsWhOH+wAqnSwrWnFXVSvyajUBGO6Jo2PdTizufB0d63Yi3BOHJGX/auyCE4JqFC8G+vPt2wVs/+vvwP0/3oENW/fjpqsnY+aUBgT8XuN5dMYJQ2VHT8WyYet+dKzbiQ1b96NlziR43YXHmL46KO84SjbWZnqs5DoHzLKu6iOiQRGLy6ir8+f9j390Ve4npWFj1+bq7euWN97D3Tc25t2+p8vW3huPsejbnInLOFXAtajQ6xDlx+OWsGzRjJTPddmiGfC4nXVLUDvKW3R8FNIvISq1Yvu6Vu1qNJ7ACovzwCMJxmNvWfVq3veXQH+9cLOB3v+xPXc2SRLRlUwDrt9jLrxmMh689TKtPpspZoITArjp6snYsHV/3vedoigMrE0W1Ix+zd03NgIC7x+dLNu12qpN0ev0LV+304jTm66ejOCEAOoDVYjFZSM2O9btxONb9uFUJJZXu5iObRrlMtAYybzm78B1V0xEcEIg5V4uOCGAhddMxuNb9hltbuRMHDOnNKQ8X/p1nH1hKkdW583dNzZi/fNaex3w+1Iev+vAEciKAq8o4FQkhmXrdqT0ez2SULJx7UrB69QwK3Y1qN0MOdTCdh9a5ZN+7Nm96GxvhkdiwVYnicmqTSqW5oJjLNvqILvdHaWIFa6qIxp6HreE6+7dmvfjX/zBXEQG8XhocOjta+hwGM+8ctBImzG+tgouU50nIHuGgnzSKVr1bY6c7DHqCOo/y3Yt4o6twZFIKHj21ZCxEj7SE8ezr4Zw2w3ThvvQCiKKQtHxwdrDNJyK7etatasPPvF7fPeu5ozzICarefX9B7NPb5benvu8LqhxmeedQ+RKA+6SRCNm5l95kVGbR3/sYGd1kUQBbpeAh2+bBUVRcaKrFy9ufx+L500dpFek4WbVR7Sq0/fYs3uxZP40BPw+9MUT+MEv3ipJbJZ7H5X9nOE30BixuuY/9uxetM2dijUbd+OZVw5iyfxpOLdhNJavy6xPuer2WRllqXJdxxk3NNz6z5tmHO/qRVd3DM+8chChw2F88MlpLJk/DQ9t2GU8Xu8/282rrG1vLug8HEitS6co+0nAYDB4MYCnAIwDcALAwlAo9N7wHlXpFLvd1AXgwVsvw5GTPRhT44XX44IkCRAgANBWc9hty84vn7SCuKyWdeeGUtnHUnF1c6xkq2NSilgZCY0uEdFQ0G/ktG6BAEVVser2WfjZC29j14Ej2LB1f7J9zZwAtGvngfxuaq2uRz5P4TWLmWam9BKyVtcpvbbTLdc7L81JMfHB2sPO5/ZIiMnAp8fPQJIkeCQgHnNO61BsX9eunx+Layv5zeeBnEdKz8Hu02ccv+l8Dfh9OHaMy4mcIp804GP8Hqxpn21bn0+PPbuJ52JJkohTkZgxuVMfqMLSlkYsuuYLvH+scOl9ALs6fefU+VHlFtATt+6HKgogSmLB7V259lHZzykfA4kRu3b3/LP8WHfflTgV6cMYvweqzVifAAHfX/olRPsSecU144bKhSwrkAUB9/94R8rPj4Z7cfb4GmMhnbn/3GdzvsRlRZsnyTE/0p92Fxjn9xh9YJcoQpSAvriSM725Uzjhfv8nAP4pFAr9czAY/DsAPwVw5TAfU8kMZOdTPK7gf//uQ1x3xUR0PrUn78baPEuenk86/fXLtXNDmVw29RAk259nrxNp1QkYXe2xX1lcglgp91V1NPz8o6vg8zrh0kU0fPQ2fNO2d3DdFRONVfl6W774hqmACsv2NVuGAl2uvoFV3yYaS3CndxmwrfkrCdqXX+FYe9jZ3B4JxyMxI/NFfaAKHa1NGO/3OGYisNi+biH3jPk8Nuu5wPs/MskWTxKElHvGlW0z836s3icZM6b4PkCuXYo0ctjHKaDIKgRY14ZSoaJj3ZuWC9+ciP2cymAXzx8f68ZDG3ZpKXBvboLP7bKpiZpccBON5HUdZ9xQObGLf7ck2mars3r8J8e7MaHBbxvD2Sa/XaKAcE+s4ibGy7oASDAYrAcwHcCm5I82AZgeDAbrhu+oSqvYXNF6h/eqGedZptzINo5jXlUy0NpAVD5ECVjakvpdLm1phNtdWB5kwL4TkMiyq7BUmJ+csvF5Xbju3q15/0M0EultuF0fASps29dS1Ga16ts0jK22rF3F/sbQcrtEy5qAbldZ3xKUDGsPO1tMhmXqe4fM/xmK6esWcs+Yz2N5LlC+ssVT+j3j5m3vZtyP2j1W75N0nekr+tiy7VKkkSVXnP7shbctx71+9sLbBY2llTu27ZXBKp6XtjRi87Z3AWjf6eqf74YolaY+JeOGyoldey7pWQ/T+s92tQQ3b3s3awzbjnvn+J2TlfvYy7kAPg6FQjIAhEIhORgMfpL8+bF8nmDcuJqcj6mr8w/kGAdszBgV31/6JcQTCtwuEbWjvBBzFJ48Gu7B0XAv/NVuy8YagmD7vsKRqDFLrtcGWjJ/Gj5f74fXI+X1+oNpuL+PclJI/B4N9+Dplw+m1Pl5+uWDuG/hF3HB2bUFxZgeX6k/67VdYeHzujKKtA6mkRgjTnzPTmh/qTgj4XtzcvyWqo+g09t5IP/3bNW3AVBwf6cclOv3nI1d/H56/Axe2fkBVrZdDkkEZAV47tfv4at/FcRZDnufxXwv2eJ7KPsxgDPjaqhki1/LQSpVLZv4HczvtZB7xlyPHe5zoZLjP5/+A+Csz8AuntLvGUOHw3j65YPovKMZALI+Fkim60ooqC/ysxjuOK5U+cZwsQYr9rPF6a4DR3AqEksZK/GP8mSkR9f7yYN5nINBP1aeExonfXd29HiOxmQoioof/fIthA6Hjd9rk3TIOtaX7+dQ6XHjpHhw4hjEYBxPofMkkieKJfOnwedxIdITxzOvHEQ4Es0aw3b9Ev0aUOg4ip1y+r7KfRJwwE6c6IaSZea3rs5fNjUJBACJhIwT0XjuBycnY+zSeUJVbd+XZCrefTTci3AkioDfB48AJKLx/F5/kAz391FOJydQYPxKIsKRqLbLI0mPhRMnugEUEGN26cJE6zomalwesu9tuGNkOOT7nh0dv3kqt/c4Ug3GOVhu362T+g8ZSthHMLfzQOHfvdV1p6D+zjCrtPZXkiTs+6/jeG3PR8bP6gNVWDBnUvnGs4Viz79s8T2U77/c2g8nxa9l/1QQyuLzHKrvtZA2tD55TOmPHc5zodSfk1Pi16zc2oB8ZcSexT1jOBIFkqv0cz22PlAFt0ss+rMolzZ9IMotfoH8YrhYQxH7dnEaOhw2xkrqA1XobG+27ScDg3O/MxjMn+lQnxPlGL+Ac767fEiSiI+Pd2ttq0musb5CzrVKaEvtZPscyjF+nTYGMdjHk2+fV5JEBPy+wmLYpl+iXwMKHUexMtifT6ExXO6TgB8BOCcYDErJXYASgLOTPx/R9O2um7a9g7tvbMyo95OtEDbrrlUmPSbSG71iiqLbPZcgq4wdIqIyxz4C2fFIQEdrU0ZNNY8ExB2WUrEYjG9nG+nxW0o8F6gUCrn/tHts7Shv0YuCGMeUD7vY80j28etUPCcqjwtAw9hqLG1pxKOb++/pVhQ51meFcUNOp8fw95d+CdG+RF4xnK0Pgyy/c/ItR1lf30Kh0NFgMPifABYA+Ofkv/eGQqG8UoFWMj3AF8+bCgjA2juaIcsqRBF5NdYyC75XnFJeuHM9F2OHiKh8pfcROtuboSjsIxAQj8kY7/egs70ZsqpCEgRtAsVpRdUGgPHtXIzf0uK5QANVyP2n3WMHmhaccUy52MVePCZX5MQHz4nKIssKRrklVNeNwpr22VAUwCUKkKCWNFYZN+R0sqygbuwoHItG8orhXH2YSrw+lPUkYNLtAJ4KBoN/DyAMYOEwH0/Z0BtpwLTFVHb2rDQNTCkv3OwEEBE5l7mPAAASwD4CAdAGvQQAZyX7jtxBRU7C+CUqL4XcM/L+koaLXewxJskJZFkBZECE9g/v6YhKI9s1oBKvD2U/CRgKhd4BMHO4j4OIiIiIiIiIiIiIiIjIKcp+EpCIiIjKRywuF1SAONqXQOR0b+4HEhERERERERERUUlxEpCIiIZFoZNJVB48bgnX3bs178e/+IO5iAzi8RAREREREREREZG1Sp4ElID8Ck0PtBh1ueD7KInzAfwJQGI4DwIjMH4Lwfds63w4KH4LnUwCtAklcp5KjN98H1Np+J5tnQ+HxW8hjytHTj52oOyO/3wwfkuCx5SfEh/T+WD8OtoI/yzOR3nEL1BgDBfLKd+3U44TGNZjPR9lFr+As767wcTPQZPlczgfZRa/ThyD4PFkN8jHcz4KiGFBVdXBPJjh1Axg+3AfBDnSBQA+HOZjYPxSsRi/5GSMX3Iyxi85GeOXnIzxS05WDvELMIapOIxfcjLGLzld3jFcyZOAXgAzAHwKQB7mYyFnKYeVIIxfKhbjl5yM8UtOxvglJ2P8kpMxfsnJyiF+AcYwFYfxS07G+CWn405AIiIiIiIiIiIiIiIiopFKHO4DICIiIiIiIiIiIiIiIqLS4iQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRheEkIBEREREREREREREREVGF4SQgERERERERERERERERUYXhJCARERERERERERERERFRhankSUAXgPOT/yZyGsYvORnjl5yM8UtOxvglJ2P8kpMxfsnpGMPkZIxfcjLGLw26Sg6uzwP44MSJbiiKavugQKAa4XDP0B3VIOH7KI26Or8wbC+eakTFbyH4nu0xfivDSP1cGL/lj+/ZntPiF3D29+nkYwfK7/gZv6XBY8pPqY+J8etsI/2zKKP4BQqI4WI55ft2ynECw3us5Ri/iYTsmO9uMDkphgdTts+hHOPXaWMQPJ7sBvt4Co3hSt4JmBeXSxruQygJvo+RaSR+XnzPlaNS39dA8XNxhpH4PfE9VxYnvzcnHzvg/OMvB+X4GfKY8lOOxzTU+Bn042cxsjjl+3bKcQLOOtahwM9Dw89BU2mfQ7m9Hx5PduV2PCN+EpCIiIiIiIiIiIiIiIio0nASkIiIiIiIiIiIiIiIiKjCcBKQiIiIiIiIiIiIiIiIqMJwEpCIiIiIiIiIiIiIiIiownASkIiIiIiIiIiIiIiIiKjCuIb7AILB4DgAzwCYCCAG4D0At4VCoWPBYPAyAD8FUAXgQwB/FwqFjg7XsVqRJBEJALKiQhIFuADIsjLch0U05HguEBXPfP6EI1FIksjzh8pOLC6jrs5f0N9E+xKInO4dpCMicj72n6hQjBmifjwfyCkYq2SFcUE0/EbKeTjsk4AAVADfDYVCvwaAYDD4PQBrg8HgrQD+GUBrKBTaEQwGHwCwFsA3hu1I00iSiHBPHGs27sbRcC/qA1VY3tqEQLW7IoOFyA7PBaLi8fwhp/C4JVx379aC/ubFH8xFZJCOh8jp2P5ToRgzRP14PpBTMFbJCuOCaPiNpPNw2NOBhkKhk/oEYNLvAZwH4FIA0VAotCP5858A+OoQH15WCcAIEgA4Gu7Fmo27kRjewyIacjwXiIrH84eIaGRi+0+FYswQ9eP5QE7BWCUrjAui4TeSzsNy2AloCAaDIoAlAF4AMAHAIf13oVDoeDAYFIPB4NhQKHQy3+ccN64m52MKTW2lOxruMYKk/2e9gCAU/ZwDMRyvORgq5X2UwmDGbykN9blQDu95qDnxPTslfodbuV1LSMP4LR2nf05OPP584hdw5nvTOfnYAe342f6MBgt1AAAgAElEQVRbc3L8DvYxFRMzI/FzGk5Ojt/hUinjMZUi3xgullO+m1Ie52DHqlM+06HilM+DcTE0nPQ5OHEMwunHM5LOw7KaBATwjwC6AfwYwA2leMITJ7qhKKrt7+vq/Dh2rMhEVZKI+kBVSrDUB6oAVS3+OYs0oPdRRob7fZTTyQkMcvyW0hCeC2XznodQvu+Z8etQZXQtGU6M3/JX7Hfk5M+pUttfwNkx7ORjB0zHXybtP+O3NIbkmAqMmZHwOTF+na1SxmOKVW7xC+QXw8VySuyX/DgHMVaH8zMtx/gFHHTvUaFxUU6yfQ7lGL9OG4OoiONx8HlYaAwPezpQXTAY/D6AiwDcGAqFFACHoaUF1X8/HoBSyC7AweYCsLy1SQsOwMgbW24zq0SDjecCUfF4/hARjUxs/6lQjBmifjwfyCkYq2SFcUE0/EbSeVgW7ykYDK6BVgPwmlAo1Jf88R8AVAWDweZkXcDbAfzrcB2jFVlWEKh2o7N9NmRFhSQKcCV/TjSS8FwgKl76+ePzuqDGZZ4/REQVjv0nKhRjhqgfzwdyCsYqWWFcEA2/kXQeDvskYDAYnAKgA8C7AN4MBoMA8EEoFLohGAzeBOCnwWDQB+BDAH83bAdqQ5YVCEh+kLIKeZiPJ1+SJCIBVHyAk2Yovm+nngtEuQz1+RPw+8oqpQIRVRa9TTsa7gEkkX3AYcb+ExUqV8yY+y3hSBSSJPIcp7KlKCpUSSy6n802lIZDMfeHjFWyUq5xwTFjcrpCYrhcz8NSG/ZJwFAodACAYPO7NwFMHdojqnySJCLcE8eajbtxNNxrbHUNVLvZqFcgft9ExeP5Q0SVhG0aUWXjOU5OIkkiDn12Gque3MV4JcdgO0uVjjFOTscYtlY2NQFp6CQA40QAgKPhXqzZuBuJ4T0sGiT8vomKx/OHiCoJ2zSiysZznJwkARgTgADjlZyB7SxVOsY4OR1j2Nqw7wSsdOW4hVpWVBwN9yI4IYD5V14Ef7UbkZ64zX5Mcjr9+zY7Gu6FrKhwoXQxWo6xTjRQuc6fYg3l+cJzk4h0sqJi2p+Nxw1/cREkEZAV4LlfvzfgNo2IyoQAtM2datzfbXnjPYQOhy3PcfYPaKjYxVp6P1sfn5AVFRLTVdMwyCdlutX9YcDvgwpAFgS2p1SwUlyP059DUdSij2ewxkCIBps5xXjb3KlGPxjIL4YrvW/M83cQDcX202LqukiigJlTGnDdFRPx2LN7h21rrNXJRQNj9ZmqAOoDVSkX8fpAFSRRgAQBZ+Iyjpzsgc/jQjSWQMPYaoxySwXFAbda01AbqouzJAq25w/k4jrW5vMl4PehZc7FOHt8DdySBAnFd9ZzvRbPTSLyeSVc03whHtrwO6NN6Ghtgs8rIREd6WsjiZxLkkTIEJBIKHC7BGx86Y8IR6JYtqgJ8UQCHrcIJSanPJ79AxoKdrE2zu+BKgOP3NmMru4Ydh/4FH9x6bmDMj5R6YN6I1Eh32m+j823XUy/PwxOCGDhNZOxfN1OtqdUsFJcj62e44FvzEStz2U8R0HnTJYxEAkC21MqS26PhA8/7cLqn/efB3ff2IhnXjmI0OFwznG8vK8BDu5TcN6lxMzBoEKw3H7a2T47Y9NdehB5JAExWc0aVMVeLFwAbrn+EjzwkzfzOrbBYHfsY8aUdgB8JMl2g/XgrZdlTPS5AKiSgPDJPjy+ZZ/xN0tbGlFdNwp2lVCtGjy7rdZDFU80shTa9hV6kTY/3gUBD956GR584vcpr+WC7SmSk5y8NgT8Ptx09eSMwY5StoM8N4nILJFQ0ZnWJnRu3I21dzQP85ERUbHS+0UzpzTgmwumo7s3hq7uKKp9LnRFYhhj6ifZ9w+a4ZJEy/tOpw540PCyi7VVt88yxiPqA1VYtmgGnn01ZDwu4PchHNHiN9uuwFyxyQnvylPId1rIY+U8x+9cAJa3Nhn3c0tbGvHgE7/j/RZlZddWpbeR+bZ9Zlbt7KondxkxWGg76AIsxxA9koATkRjbUyob+nkFAeiNK8YEIKCdB489uxcr2y5Hd28MAb8XHklAXC5+XNvpfQrWBCwhPRg61u3E4s7Xcbyr13YLdba/W//8fpyIxIz/71i3E6d64pCk1K+r2By3sqxASD4+17ENFrtj7zrTNySvX4nsPlMZQDyu4PEt+9Cxbice37IP8bjWOMmyikc37035m0c374WcZQLQHKsd63Yi3BOHkiVdAFGpFdL22cVsentq9/hl63YgHlfw3buasb7jKnS2z7ZcCaRKIhKCAFUSbZ9bf2xcVnA03Iv5V15kTACa30cp28FsqTyIaORhm0BUHgrpO+Ri7hcFJwRw3RUTsXL9m/j2Y9vx+JZ9cLtc+OW2d1L6SXZtwfGu3ox+UqF9KRrZ0mPb7j4xHOlL6QOvfWoPrppxHgBtZ9VNV0/G41v2ZY25fGKTdYEqTyHfab6PdXsk4x7N7Gi4F0rauK4sKwhUu/Hdu5qxZP40RHpi7FtRVtnaKvP1ON+2L12u/r0qCQhHorhnwXRtwsLvy9kOWo0hxmW2p1Q+JEnEmbiMw0ciiCfUlH6F7mi4F929Mdz/4x144Cdv4kQkBrdHsjwfIeSeJ3F6n6LkPfdgMHh5MBicWerndYL0YOjqjqE+UJXyGGP7qc3fBScE0HrtlIygWr1xN+S0dUQDGcgRk9u7cx1bofK9obU79nii/GfOy5XdZ5qQVay2iCdt1YNNI6eqlt+lXYMniuKgxBORlULavkIv0laPX71xN2RZhUtVIciK5eriXANj+vnUp2g7vGdOaYC/2p3xPgJ+H+IJpSSDgkB/Kg8znptEI5coaCnhl7c2obN9Npa3NmHmlAaIAtsEoqFS7KSa3X2WuV9ktcBo7VO7cdWM84w6a2ry71a2zURwQsB4/vpAFbq6Yxn9JKcPeNDQsYptvTSFmR5rZkfDvTi3vsaoC2iOY31nTF+y1o8e+/nEJhe/OFO2caVCvtN8HitJInrjCj453m0Zq6LFfZMsK5BlVVvAmee4H41c2doq8/16vm1fumz3/JIk4lQkZkzobdi6HzddPRkBv89yg4o+ZnEyEkXA7zOOd/XG3Ugo1hPlbE9poIpZHKdNbmuZ7cKno7Ztsd7f0M+7mMVk9qZt7wCqgEfubMby1iajf5zelju9TzHgdKDBYPA1AA+GQqEdwWDwHgAPAEgEg8HvhUKh7w/4CB0kPRi2vPEe7r6xMSPVW3oaOX11nL7q4/SZmPH/86+8yCjsDhGQYN6yKhZdq8qcwiDbsRWaaz3vtAw2OabdLhGJRLFJ9kY2u8802y49l2QdQ16XiFPdfSm5lFfc3ISaajcCfh/a5k414nLLG+8BgppXPBGVQiF1+uwu0hBgFAw2t225imCnt4mqlDttjFXbuGzRDET7ZMt6Eh3/tCPlPBrn9xjpoV2iCFECYnElr3Rc+bb1RDQySJKAljmTjJSgek1AySVAGcJGYcjqujKFIZWB9DjMN+Vc+nPY3WeZ63+bFxiZ7yXHjvbB55Vwsqsv5TmWtjTi6ZcPIhyJGnVTzP0eIPuAh1XfiOfZyGU10P2zF9627Itu2vZOyt/WB6rw2ckzWHjNZHjcUsbOmPQxlXF+D2KKmnFfGjocTonfwajvTYMr17hSvt+pJIkQJREr22bC53EZMTLG74EkikgoCkRRgCiJCHf1YPO2dy3H7yQJKX0kc5t3NNyb97gfOduArnUCbNsqrygYbWT6Ndyq7bMaX7W653/gGzNtUxw+9uxeLJk/DS5RhJ4GTD/vNm17B1fNOA+1NR58c8F0bHnjXby25yMcDfcam0nYntJAmc8nj1ubqF6dx1yCWcKU2S7SE8frew5ltMX3L5yB9c/tN/5G67+mTmYHJwQw78t/ho51/eNw9yyYjud/819YMGdSSlvucWdeU8KRqGPOgVLUBPwfAH6f/O8lAP4CQATArwGMqEnA9M5I6HAYL25/H53tzZAV6wFbSRKRUFTUB6qMVR9tc6di5pQGXHfFxIwGv7rKhQce13Lnz5zSgI7WppSBnHw7G3oKg8722SXLn19I7Sm7genaUV6ciMYL/egJWQb7s0wWCyKwtKXRaDj1wQBZUTNyKa/+uVYzaOE1kzMe7xLFnPFEVCqFTGxZ3STOnNKAyJncA2k6cxFsyzbR70t5fPrgmVXbuPapPei8ozmlDW+Zc3FGel6rminmAbtcnaN82noiGjkUZfhrAg5VLQWn12ygymAVh9+5bVbWSTUr2e6zPJJg9CciPXHUB6os6w6vun1WxnM8unkvvnPbLBz6LIJnXjmI0OFwxoBetgF3274Rz7MRyWrCeNeBI1h8w1R0ts+GogAqVPT0xXH9lybig09OG3GjT0KHI1F0tjcbMWeXPn/V7bOQkBVs2Lo/5Tle3P5+SvxyQZzz5BpXyuc71dPEnT4VxeNb9vUPCC/6IiRBTBns7VjUhISsIByJ4plXDhqTNdFYAn3xBGTZY7m4s23uVNQHqhA6HDb+rrbGg/G1VXBBZRtYQQbSp5QkEeEzcdu2SpYVjPN7sOr2WVBV5Gz7rMZXre75x4+pxokT3ZAFwbLP8blxoxCNJzDKLRm1CTdteydjHPr+hTPw0ZFuhCNRuCSB7SkNWPr5tLJtptFOA/nXVTVveNnyxnu46erJeHH7+0ZbHPB78as3P0DocNj4G313t7lf+3d/Mwk/2vRWyuv/aNNbWHtHMyS1vy0376o1j80F/F7HnAOlmAR0AZCDweAFADyhUGj//2Xv3OOjqM/9/56ZvSbZJMsleKmgVQkWQgVMkCRqK8ppKwKWcxBsC7EGhChS66kQbAUsErC1VtuDVWkFPBXsOdQLan9FoVUBBRRbgUrwUAUqyHWTbLLZ28z8/pidyc7OTEgEMch+Xi9fksnu7GTn+T7zfJ/L5wNQXFzc7SSc+7SBJInICPz01nL2H2lm5ZpdhMLRVNVYRVBVLRBNjbgqioooiiQFlSMNUe6eeBmy3NZJdNd3BhtJX2hbBHMnDzOObdpxEIC6mkoQAFU1krsd6VLRtQFdALJqMdjOFPXg+F2imZ9tl5i2o1rIomNw+k7BeUovllBZ/tL7pq6k5S+9z13fGWJ7L5OKvYbgwtsq4Tj21FG4PRJxmVThXMQjQSJ+OrjTLE4VOlPYstsk3jJqgK1/raupaHdT6eQTp40dyLwlm4zPTE+eSSk6Dbv1lEgqrFyz01h/BXle29elc5sHAz7iCZkZ4wfxr0PNrFizkyljStoNjmRZwSWJIAoaTYEo4JLE7MY0iyzOQCRlB+rwdooPJ/0a6Fx82RU/Jzv5lEVHYWeHOuVcZzrp29tnxcGIJ3oW+pg5sZSmlpgleeiklQKYkpOZCT2n2MgjCcRliCVkqkeXGJMNn8V6zuKzwYn6ssz3eyRzYq24d5DxI/oiy9rv3W6Bu3+1gWDAx+QbBvDTW8s51hQlHEkYRWgAhDabs6PP1+05Ek1Yplvun1Zhst9sQ9zph+PllTpyT5PAwWMRS2K5qTluOVa3bDM/GD+YWZPKWLhsMwuWbjYKNb974R/853eG4EvlKGKKgsctMfCiHqYJwPq9IZY8vy3lGyER75h9ZeOJ0wMnElM6TeLNn1quxd6SSFyGUDhGUla4c8JgHlqx1dH3OTUMZeZ39dyqUyNPc2uC5kicc3vmadSLAgwv7WOJHRYt38K0sQMJBnwIsmpaezpLUSyh5aCz9ptFR5C5JnweV7u2rvtJvY6CoIIK3jRmO70ZY/yIvpzbM4AkgkcSuGrweew/0mJMtwYDXjZtP2CaGOxR4Lf9/KSsIoltDGIqAk+v2WnNh9dUIsunR876ZOz33wJ+AZwDPAeQKggeOwnnPi3g1BVSGPAgyLoQq+ZUQxnTJ3oHyJirLiIY8BmdRIpqr9WWWSPbtOMgt4xS6NUtlyMNEWKKikuSaEkkmfvEWwQDPsaP6Ms5PfJwSxIS2vXYBRqZAUh7oph2htNZqo3jFSGzODloL0h2uSRC4SgLlm42Xq/fM7t7KTp0ESVlhRyfi1hCNYp3XrdAPNo5tRC3R+JIOG6hKesR8GQLgVmY0FH/YWf/7VHkCqrquF6cuujO7ZnHotsraWyOs3bLHoMygNSzIRSOOq6nTTsOGg0ds6vKbF+nc5jbUYLcceMg2tt56A0qUVmhoTlKPKFtXIMBL36PlF1XWWRxhsExVjuFmoCdaRo7VZ/zWdHfZ5GFnR2uXLOr44wGKdtEUZlTPZSVa3YZhRI9ZpcV1RRPFPcOMmP8IMvn6lopmevf7RLTmGtE3G4BNdFmy3axlEcSOBqOW/a1eiHnZK/nLE4+bH3ZzWUU5nlJJGTE4/hCt0eiNaEQCsdobI7z/odH+Gb5Bfx0ajn7Dzez4e/7+WbF+TQ1xznaGCUaT9KrWw7BgI/6vSGeeHY7d9w4yNR9D5pNqqpKINdN9egSgvk+x/g4kOM2XdOhUCuKooJkfqZl8w6nFzqSVzrePZUV1Tax7JRs7lHoIxJLMG3sQIPmTZ9M9bhFjoRjphzFrEmlvLzhQ556+X2mj7uUXt1yEEUBWVaJJBQj99ZeXJCNJ04fnEjs6vReIbWJz7SBuydexvRxlzr6vnQKz47ArpHnvluHIQoCbpdIIqkSjicI5Lj5Uq9ch3xHAK/YljcGLQWRVBR++9x2Nu04mLXfLDqMzDWhs1hk2rrHLaLIEJUV07CVXke5aUQ/5k6+nLlPvJVqDorSLd+H3y2gyCpxWSXX7+aWUQP47QvbaQjHGT+iL4P79aKpJc6CmgoOh1pxuZzZ80IRa6zbEI4bsbjWTKucNjHv8ZUWj48qQAU+Au5NHRsALD4J5z4t4NQVIqcCFF0ce/e/mmw7QIaX9uGhFVsJt8a548ZBFAX9yLJGEZqOoqCfTK1JfWHs+aTJEOCetXg9oXCMgRf14HvfuoRHV73HrQtTx1sTtCRkahdv4MHfb2XvwTBRWQWXZBzXRbzDLQmG9u9l+TxdXDZTtFN/uOjXnb6hzeKzh50QeyiSSHHhC7RVCoTUzyCm6EDT79mM8YOQJMH2uEuyFxz2e10caYxRu3h96rPXc6QxhsfXubsfl7GlKcvWKbI4EciygiAruFQVQVaM8f90GBvL1OtdYCTWkmjry0lw++PDzcz89XqWPL+NCSP60T3gMSg1FizdbOhLpK+nuZMvR5LMwsOr1n1gWXezq8p4/8MjzK4qY8b4QSSSskmg+5Fn3gXVPnmv+4RZi9fzi6e3kpRVfvWHvzHz1+v58W82cjQc75DgchZZZPHFgcctMmtSqcnPzJpUisd96nyBky+VMjvd0t+TEXcqxxFf13y22KHPaS9+skN63F/cO0j16BJiCZkkQtanZmGCbofpz3qAUDhKLJGkrqaSx2uHU1dTYUqa6fauusy2+eiq95h43SUU9w6a9lmZa6p+b4iDx1os9r92yx7LXu2em8uIxpOmGH7fwWZaErLJnjNjKTn1d9w5YbBBjf7IM+8y9uqLj7ues+gasM1hPLmZD/c3Mvk4vlCSRI6G4/z4NxuNGPiKQV/ity9s59aUrY684ssAPLrqPWoXb+DRVe8RCse49dslzK4qo2rkV2hojvLDm4ZYnkmCAKgCa7fs4aGntzJzovm5dceNg1i7ZQ/RuLnhtCjoZ/+RZjrXhnryYZcryaLj0CmO0+95bWr6GOy/38xjHrdINJ60+EG7Y/p+TkCgV7ccHlqxlQVLNxvSC7INlfrCZVu44WsXAxqb1W9f2M4nRyP85LGN3Krn5CIJ3B7J0Rac8oift/1mYcWniV2P915BhCQCKzImix5Y/jatMZknV2+3xOwzxg9ClDp37TrdaF1NJb+ZNZxF0yuJxmR++8J2miMJ5i15k7sefp0f/2Yj8bhiygEX9w4yp3oooNmr2yMZccnkurX8+Dcbuf6KCynuHczabxYdhsctsmBaBYvvvprfzBpO90KvJRaYO/lyGsNxHnvuPfZ8EibH5+YHEwYz8KIeRh3l/qWb8XlczJ9azqLbK6keXcLTf95JOJqkQbfTBa/y499sZMxVFzH5hgE8uuo9pi1ax4O/f4fmSAJRhIbmmG3+W1ZV2xrO2KsvNv4Wp72l7vdD4WiXigFOuD5TX19/EPhhxrHVwOoTPffpgva6QhDbhN+dxrn143l+Nxv//jHzp5bjdUsWvb/aqjJyfJJl4kSRYf7vNhnnHnhRj9QEYDGzF2+wBPb6KHfmRInGZatpW+kOfP7UchNXv079ktn5qXd8ZKk2Pj84BZELb6ukOZrk0LEIPo9LC3y75ZDvcxFNKPzl7X3MqR6GJIKswLN//YAJ/1aM1yMZnXDReBKvR0JRVVu7TCQVx8/uDDIFWvVzyadRZ0UWXR/p3XDp09JCWgLXriuze8Bj6aLT9fmgze4fmF5pUAbo9Fjp+hJ6l9FDK96yTIXn53qMblJJFPC6Ra4cfJ5pzaV32usdz3Z7gXSfUD26xFZvMEvXlUUWZxYSssIzr9SbaMCfeaWeW7898JRdQ2f1mew65X/8/aEU+Fy2Mab++hVrdlrE4e0+59PS39tNZ2c7oLPQkWm3Q/v34gcTBhOJJsjxuVm1bhfjrumLKyUZIdu8r3p0iUHTCW20QwtqKhAFARWVpKolzDPXVCDXY1CK6cdGXXkhL67/J9PGDuSs7rmEmmIU5Hm5+1dvWD5j2tiB9O4VsF0DkiQSytBE0WOTgjxPWxNoluauS8Mph+HzuIx/O/lCJ73r6tElbNpx0JR3sLPfhcu2GOuienQJ991ajiBoyfInnttmTJXUVpWxcs1Otu48yPyp5cbU4eo3djN+RD9y/S4jrk63w7u+M9hEIXYqbTA73XXiiMuqSTIhHEmwMiWB4LL5fudOvpxEQuH+tGP3VJVxblEesyaVGvam+8Yf3jSEXzz9jnHs3urLcYkiLdEEeTku6m6r4EhDlMbmOCvW7OSWUQNs14okCYame/XoEguN4oo1O5kwop+jLZwMZgTNH0dJCkLWz36GOBFtUbv3zhg/iJ899Y4x1ZQ5WVSQ52HCiH68uP6fNtI9gzuVG8uc2i7Ic/Pg77fa2mx6DnjgRT3496v70tgS46MDTazdsodbRg2wLYpUjy4xjmeZALJoD5Ik0tAc55crt5rWQ8+gn4W3VZKUFSRRQEBg2Uvv8R/X9CXcEkdRVD452szoqy5k38Fmo46SlFVD6qe4d5CxV1+MJIrMXfqWyU4fWrHVEpPo0j75eR5yvC5T/tvnlWiJxG19dEGeB8DWD3T1GOCE12ZxcbEbmAVMAHrU19cXFRcXXwNcWF9f/9iJnv90QHt0BekPdqcRV/34J0dbGFl5oSYiHE/SI9WtIauqRtMkqHy4v8kYgdWT0q2JtsLJNaXn8a2KC5j7xJvcOWGwY2CfLjKrLxSXJJo4zfUR9cyiXly2VsONDUKWauNzQ3tBZEM4ZhEvzfW5cbslrinrw7wlbxq/++FNQ5BEkQ1/+5hrys5PFQe9vLr5I0ZWXkjPAq+JMsjrFojEZNvPTsqdCwD0rn3rWuoc5UEWWaTDQnUMBHPcPDC9kgabhobCgMfRx2U2Ojzw1DsmoeFgwGc5p56Q0PUl5lQPswgP6wLGsqKSlBWN+iAuE8M6HZseaBcF/YgitruPdJ/QWU2BLM4MBPL9+LxZCziTIMtm2kAd1aPtmwk+m2vonD6TXbJ5/u82ORbp0l/fEI4b4vA9CvxajJ2pkX2cJJyT5lV6LK2/J9tckYWOzInR66+4kDmPbzTF4vqz3ul9PQt9puSfrrl3tDFKJJpg3pJNRgI8P9fD/KnlSKKIJAk8uurvNITjzKkehijAJ8daWP6S1kD06pZ9FAX9VI8uIZ6wj+F9HpdjjOCkbzRt7EBjnYF9Q1Uwg74xi88PTjmMcCRh/OwUKzr5zXR6zvSCImDkHPQmuT0HGhncrxf3PLrBtC4awnHj/XVLN1NXU4mKyhPPbWN4aR8COW6Gl/Zh5Zqd3HrDQFv6RkkUkBA+l0TcqdK9/SIjk+JYxy2jBpia7EH7fu20/+5fupmFNZUU5HlMfvSJZ7cDUHdbJfGETFNLjHBL3NQw8cObhvDk6h3GHq96dIntWnFLIuf2DBi2HwyYfXau39WuLXRWTicTbcnmDafUxs9EnIi2qPm98PHhsNFEXD26BLfLnIctCvrpUeBHkuC9/zvCq1v2AW0aq6DJTXXk8/Wp7XQ/OGuSNr3vlB9obIkb+cJ70+KWO24cRCSWbNf3d8Z+szgzkQTuf9LsF9Obz/TmuKQgMOrKC4nFZVMuu3ZSGXd9dwiHQxEjF5bZnNleLcTu2KJlb7NoeiUFeV6SsoooapqygK2P7lHg5/Ha4Y56tF05BjgZmZ+fA32BGlKagEA98BBwRhQB7To75k6+PMXx3KbfkC4crL/uzgmDEUWYN2UYTS3xlKiqpuunpAoo4VarjqCeUK6rqTAFDzd87WKjoONUdIzGk4bDd9KY0gNot1swaE11yIpqCXBWrfsgm0z+nNGejl/mBFB6F7HeBaf/7hdPv8Oi2yu5YtB5puLgrEllSJKAoPGzGJ8hCAKiQ/FOFAUkOt6B6ZGwnTT0SJDI1gCz+BRovxPHvqFhQU2FY0JYUFWj0UFF67xMx/gRfR2749Zu2UPVyAEIGXqrenIwPQlyz81lBPN9RNsJtI87PZPmE5yeB53VFMjiiwWf18X1dz3f4devfnD0Z3g1WZwKiE6xgijAKcwXdUafySnZrCggpqauTfqtaa+v3xsydI8frx2OoKo2kyHOSTi7JPI9VWXMnXw54UjC8VkhSSIeSSAuq9kpqDMU6XZoVzB+eOW7LKyxMmbo+6wZ4wchCIIxCZjOGpDn9+BxacwFwYCPUDjGwyvfMsUQ467ty6Jlb/PIM+/ygwmDmLdkk+lz9E5ml2Qfw3fL92kFxU4Uzs/pkWcU2lVJdEyCZOVmF40AACAASURBVNE1YJfDmDWpFCF1fNW6D4yCWmZCtyMFRD3vAFZd66H9e3HLqAFG9z60rQu90Q0wKPAVx6KQyjk98th/pNm43rbY2FosOtFEXEcmC0+V7u0XGY55DVFABYO9SoeTzl9S0SZK0ieq9XOhwpzH37SduP7F0+9w/7QK4gmFSCyBZDNtPWtSKZIEQiqGUFSVidddYuRd9GR15rXqtuCVRFSb83Z0ugy6frL5i4bOaoum+ws19T4ZlXlLNjnmYVe/sZsJI/rhQkVE4P5pFSSTCm63SLglQd0y+8YaJ79kP7WtTT855QdyfW4COR7ufczsnx955l3mVA9rd7ClM/abxZmJ9Gek3hwUyHETzPeR7rhcokiPAj8/ybDDupT9BnI8PDC9ElkhVZATqV28/ri1kHTotqvtK1XCLeY9350TBnP3xMt4YPnbGT5ayws66dF25RjgZFzDfwDF9fX14eLiYgWgvr5+X3Fx8Xkn4dxdBnZdwPrGXoUUx7LWFeJxizSG48x9Yr2pq235S++z+o3dzJ9ajiAIKIpKQla4b0nbpm3mpMuItCbxuCWCAS9et9TuuLWsqHhFgR9/fyjzf7cJSWxLLjsVHbUHSNyxi/mRZ97lp7eW43IJNDbHjSq9bvDdCryWAMepmzWLUwcnigIyCg7QljxTBPuCbkJWWbjMGiwsur2SQw0xS5GuW76XGeMHWWzCLQmEHKhj7RJhibjcNgGbmjT0SNpxfQ0eCkWgg51PWWTR3ubI6QGtqjCneqjRVeyYBBFUC9XWWd3txbTPPzuf718/gP1HmvG4JVNQYueH739yM/dNKefA0WbbAKZn0M8D0ytRZIgpiqHLmr4m0n2CrjeYvkZnTSolqSp4JTG7lrLI4oyBaokN77hx0Od9Ue3CKRmoolK7eKMlvlCx79p0iSKihCUumTv5cu6pKjPRiOlJDLtniD5d4C1w2X7ORwfCrN2yh/Ej+pnipWx3/pmFdLt16rZP2tDde9wiU749AL/HTTgSN2jF6/eGeOSZd5k3pZylL25nwoh+PPSDK/F72zr19fPe/+Rm7p9WYUxIiYL9GioMeI2O//T4QKPK22oUVDLt1uMWbeMktyQipxqL2pXLyKJLQJYVCgMefjB+MN0KfLgkgUPHWhEEcLuElB26bBO6dvtOnboTNPu66ztDDLrOzFh3eGkfQuGYY6MbaMnBidddQu3i9Y6TWB8fDjNvySaG9u/FXd8ZjCAISIKAKEE07iQz8ekScR2l+DrR6a4zBe0VVI9Hn6jn1vRJPV3nz+4790iCiUpWl9QRRG0Kq3evPIf9oGpqiJ456TKmj7vUyNNt+ccnDBtwDqIEs28uI9KaNOjt9HPoyer0Jgw9HglF4oY0xbSxAzmnRx4+j4Qsq457u0x09WTzmQI7Wwb7afj8HE+7edgFNRV4JQFFVjkWjhux6ZzqoZZpV11+JykrFvvWi4OKap8LPKt7Lktf3GHZE9RWlbHspR2Mueoi2/dF40lb3x9M5cOzObos7GBeIyJD+/eiIRy3lVUoDHiQgNakgiQJ3DlhsIkN41BIm9574Km3+emt5UaRcNHtle3WQu65uQy3u63xLX0ASmsMsTYOPbRiK/OmDGPe5GG43SLulIZWezbe1WOAk/FssOh+FhcXdwdCNq89LWBX8MscodaDXJ2vXg8ABVVFllXDWYN58sroHAFiAkYBELSOpmhM5ld/+Js5sLTpHjr/7AC/mXk1UiqhcV5RgPlTy00d3vV7Qzz18vtMGzuQc3sG+PhwmKUv/oPCgIcpN5RQW1XmSAFzrCmKKEIkmjQtugUpSg67ybLO6r9lcXLhRFGgYJ8Mc0sCiIJtQdftEm3tIuHAzz9t7FcJBrwmDuVgwIsgOhdgXA6BfyIup3VYySTkrs+rnEXXRXubI8fEsqry6Kr3DL3AH0wYhCs11WGaSFVBFDHREB1paG03SWHXUVSQ57G9xsaWGCvX7LLVtPK6JY41RS1NGoUBD4KsdeHrPmFhTSVJRSEUjjJ93KW4JNHQAfvGsPMdNX+yyCKLLx4EBIN+WH+Or35jN7fecOo0AY8Huzg8M+Fwz81l/PaF7fbxBdbk4T1VZUQTSQ4etFKGzX3iLRZNr6SuphJF0ShgjKlCQXAs3nhF63Xpm8mxV19soXLOduefWUi3Q6eOZLuEgIBANCazaNnbFruq3xuiuTVOIMeDxy1pDB3YJ/hQoXevfI40tnKksdWiizVzYilNLXF+9tTbpobAaDyJrChGcj3Tbt0eiaMZeoCarrxXmxpMva7dJEgWXQaCrJLjc5GUZSJRxaLR0yPfjxy3pHuQZYXuAY+puPL61n3cMmoA1aNKkCSBUHPU0MTuc3bAZAuBHDeNzXFbGynI81JXU0FBnpdlL+3gUKjVNqGnF4J0Rg19qlCPiWMJ58JQe4k4p+JUR6euTkQ7rKvjZGksHm9v70SfWL83RHHvIPGEzA/GD2LfoWbWbtlDr245ts08dnm82VVl9CjwcqQxxpLntzkWmNW04smhUCuLlr1N9egSfvLYmxQF/cyfWk5SVfh4fzN5OR66F/psffHZPfJMSefZVWWIUpstHQq1GoXs9vQDbb/HLp5sPhPgZMuZ8iLa1H6UQK475Z/s87BHG6P0KNAmoNNzyk7TromkYhRB9Gf7a1v3MbLyyySTimMTkEsSGVn5ZfJy3NTVpHTYJIGjjRqVvlPcEshx86eNH9rqdQqyctr7uCxOPpzWiN/rskz56Rp9vc8KcDhVZ8iMhUPhqDG919Dc1kyUHlOk10J6dcvl4LEWkoqCX3Kx8LZKEkmF/UeajfPNnXy5Y96wqSXOzF+vt0zfOqGrxwAnowj4R+C3xcXFMwCKi4uDwC+BP5yEc59y2Bno/KnlloCvLiXWbghfpwWAzrRFKi61bXMkZCQWxl59saW4tmDpZuZOHsYf//IBZf3PNjZnoXCUmb/eYBQkFQR++8J2xl3b10SnGApHKcjz8trWffQ5u4CqkV+hZzAHQYDfrHqPO24cZOvck7JCrsfDo6u2WhadrJi76vQR3qSs4JIkW9qYLE4N7CgKRI9kEb/+4U1DEESNWsWpoOsUUF5/xYWWCQJZUXll0x6ThuArmz5iZOWX7RMTAoRaOl7Uy1JdZPFp0d7myDZRfHMZkViC+VPLUVQ4cKSZX654l8KAh1tGDUjR32rvFSWBwjwfM3+91jh3ce+gY5IC2jqKpo+7lOrRJZx/dsBRCzPP76Fq5FdQVJW7vjOE/FwPnxxt4dFV7zF+RF/bbsBpYwcSDPhMm2hVEgmFY8z89QbL9zPmqouy3aJZZHEGQRIFxl3bl6ZmTXPJ7dJ+lkShS2xMnDaK6YwbkiggSaKFFk5v8BBU1dIUpWmkvcek6/rbxiWfHInw0IqtllikvWdIEoHCNPaCjw6EjUJNMN/rsBfglGkvZvH5Ij2JjeDM1qHr+oAW7yZkxRKb6ywwS57fhqKoXFf5ZeY+oU2ozKkearHRof17IYoCsqLQ2Bxn1boPmPrtEiPu+OhAmMef3UbVyK8YSWidfhEwUXbq60rXx2xNKJaYvI3aVEVNUfR6JMFxwjaLrgO9mNeaULhvySbLfV1QU4GIffEnLqsmOk+ADe8dMOi5kkmV4aV92LzjAF8+N59Ft1ca9hiOJFi7ZY8RM+uNd+f0yONoY5RlL/2DUDjKHTdqGoF6Qk+f3HK5RH6W0uWeXVVmq886fdylto107SXi2itOxTo4dXUi2mFdGSezKfd4e/t0exNFgZVrdhkFQLvJkbwcN4mkojXzqCqiIICgEpetn7NizU6mjBloHHcqMB9pdJ5SPRRqRRAEmiIx4/eiIDC0fy9TbFIU9HOsMWpoEwcDXvxuzY9m2tLw0j6dznd09WTzmQBnW640hik27zjA14acZ6JDnjzGvvjc2BwnGPAa59LhVJTbf6TZ9Nl/eLWeyWNKUFQ43BABEUsT0OwqbSLKJYm0tCZojSZNzEZ33DiIv76zz3aSyuOWKOt/NuFIgqUv/gPA0HrtyPRqFmce9DWS3nAWCkfJ9Qdsn6m9uuWiqtjGwtPGDsTtkozpvcbUfhas0396LeSPf/mAV7fs02jIR5egqCoul0jvs/K56zuDcUki0USSjw+HHdekfg3H88n6syuQ6zaaS71eCTUhd5l1cTLi8Flo+n8fAW7gE+B3wJyTcO5TDjsnfjyqCv1nY4PUwY6cTF0WJ6qYeFLmWxUXmBz3nRMGU9w7SP3eEHVLN/OzGVdQNXIATS0xRFHhp7eWIwigKPC/63YZYrJFQT91t1WSTGpTIc/+9QNmTixl0fItJqcvCFjoIPVFl56wdgrEshNaXQeKouJyCaYpPZdLQFHa13fMDBZmTSrFJQq2tAUPTL+CIZecZaLMuOPGQZAKhnURd33DZzdq3d6EYEc3XVlkkYl2N0dpm3RFAUkSSKoKh4+1suBJs791u0VTh/E9qeC5IWzuYq7fG2L1G7tZMK2Cww2tBPN9PPT0Vkhdh74O8vxufvWHvxmT2plUXLVVZSx/eYcxbT5vSjlz0ui+nLoBfR6XJTiRFdWx2zoaT560btGT1R2cRRZZfHaQVZVkUjVN8dz1nSHIatfoGG83MSgrRpOTmEGrDOZY29IUJYhcf8WFHDzWYvs+vaM00386UZI9kKIk0/Vbbhk1wKQplON1236OKArZzNwZBN0OAVO8oaLy2xe2G8/4e6rK8PtdfHyomXN72lPTFeR5uOPGQXQv8DF78QbjNSvX7DLFEEP792L8iH6GLooek0diSZY8v4051cMMW3VKKmbquunrKgmO+2JZUWmKmgsEcydfbrARpMtpZKn9Tw06GpfFZdXxvioKqIJAUmmzWV3PDzDR1YI27dKQNnk1tH8vbry22LBZ3R7fef8Tbry2mGdeqWfG+EHkeN0mrSu9+ThdBqV+b4glz29j2tiBFAVzDV1upxyKxy3xuxd2mKZcCwMe5HbkS9p7BnVm6qqz2mGnA05mU257TC1em2Kj3lBpR6GoN0Hq03TpVNzp9HDQpsN+pLHVtHczCsxnBThwpJn8XA///af3Tden+8bi3kHGj+iLKApIgmiKp2ZNKgUwfPuM8YNY9tI/jPVRFPQ72pITM0x7+Q59L/vzGVcSjSWz+6/PAU62fKSxldrFG4zpvD+8Wm+8Ti8U27FJrH5jtzZVl6FXvWrdB8ycdBlNzXEjp1cUzOGRZ/5mfK5u3+n+dnZVGW+8+y9Tvm/Fmp1Muq4/tYs3MLuqzKKJqfvd9Ekqr0ciFk9y96/eMM5998TLSCQUUwExmws+c+EUc+g5Z7u6gV3jxMFjLfTqZi+xc1a3XH658l1C4SizJpXyzCv1xu/1PNyc6mE0tcQM5qvhpX3Yd7CZ/7imL4dDEV54fbdlsGXG+EFs+Pt+W8mMp15+33QNTj7ZqVGmqFsOR482n+Rv+9PjhPPn9fX1UWBacXHx7cA5wIH6+norZ8RpAjsn7pQ8ddoguYB7bi4z0bTdc7O1I8cFpg5JJy5ztyQx78k3TY75oRVbjYA4GPARaozZPkCqx5RQ8dVzGF7am2g8yblFeUaQtiDV5fnS+n8a3UkFuV7+d90uhpf2Nop8ulBnOJKgd68AHqntgeUUiNl1cWWDks8HqirwP6/uYnhpH3weSCRV/ufVXUwZMxC3S+Tm6/tbpgTdLpFnXqk3BQvPvFLPLaNK7INTWbUtDi68rdKiiVNbVYYgYnsepwnBwoDHtpjYXvHiVNhe1r67PjILfaKodYYmVXCltPA8HolWRSEpq3x8uNkyYffQiq3GhJ2+Jo6Fo5xbFCAvRemRbrPjrimmOZrQKOUkgWn/PhBZVk3NFrMmlVJbVYasKIQjcf7y9j7mVA9DEsHlEnlp/T+NgOhQqJWmFnNyxilxl5QVS3AiiQJrt+yx6Bfq9F0no1s0S9mbRRanCVR48PfvmHzcg79/p8tQundU36Yg12v43oEX9eDbX78YlyQAAm6PhJIqWOjPZ1TBmDZpb4OX+VntUZIBPPLMu8ypHsafNn5oehYkZNn2cyQJZMRsEeQMhiDCPYszNPyWbmb+1HLjNXaTfT0K/DQ0a1Mn6VIR9XtDLH/pfRbUVBJPyIiCYNEI1PXe500ZRktrgnurL+epl/+B3ysxb8owPjnawso1uyxJFT1u1+nQ22sqEkVrg9/cJ97SGvxUFQl7Wr5snPDZQJJEWhIyB49FjIRxr245eD0SqJh8T3v3taklxhPPbWf8iL5UjezPvw/vSzKpmBrj0ulqx4/oa7KD4aV9jKZSaLPHuZOHIasK3x81AEkUmZ0qWqe/Rs91FOR5AG0d3Hy9VnxsjsSZP62cjw81E8z32V57MOAlFI6yYOlmw96E4zS9tVucsqGAPpOmrk6m/lz7U/bWYuPDK981GprtGph9Hu0Khpf2oc40ceJhwbQKVLTpwPxcL/OWvEn16BLb3MLkMSWc2zOPP238kOuvuJAP9zeZ9k1/eXufIaWiT2enX+fCZVuYUz2Mb3/9YnoU+HngqbeNeCH9+/K7RYstBQPeDheZTfdFVujZLZfD0fAXpuB8OsHJltOnhxYt30L16BIawnFTbjUY8JoolVe/sZsJI/rhkQTC0aSJzasw4EG0KToXBjzG52bmZgde1AOPW+Kb5ReQSKo8+9cPjOGQ748aQFHQ79hEoU9r5edqtMxTbhjI3CfeMtl7uCVuy0yUZes689BeLggExo/oa1s3mDel3PCzQ/v34uaRAwi3xhGEtlhYr0kU5HlwuUSmfruEHJ8bBJWxV18MaL6/IM9Dfq6XVWlDUKAxX429+mLDXqtHl1iuRffpekNIIMdNUTCHx597z+TDi4Kapiuy2dNqeWGBWEI2NUctWLqZn8+48jP+9juHEy4CFhcX76+vrz+nvr5eBvalHd9bX1/f+0TPf6ph58TXbtlzXOHr9ABQcou4EqJp8srvc6EIAklBMBULggVe5k4ehiiA5BJNVJ5tnZsJR8cMWIJtPXCePu5SWiKJjAdFGc+80qZl+MObhvCtyi/TEI4Raorxx798wPevH0BSVhnav5elQj77Zm2SJT/Xw13fGUxBrj3dkaxof49dQad7wENcVrOFk88AdkWppKDaUniSogPVAwvQ7t0vntaSgZt2HLRQbU0ZM9A2yJFV502BnYbglBsGdmpC0KmYaNFoS/sePuuCRLbocfpAlhVckkgoau+P9KTUvCnDHCfs8nI8tt1LCVnj2l9QU4EgAbJGv+V2ixwOycxevMFxk7jwtkpUVARB4OuXnWeZpP3HhyEj6MhMzqxa94FlenDWpFIUVfPd6RtGFzBhRD9e27qP+6aUI4paMbQjwsYdRZayN4ssTg8kHZJ4yS4yWd8ZNg2t+/0KjjbGDGpEPT7I9bv48aNtSeqfTi3nUEjr/Nc3eF8qyuPgsRYjeQ2k/KdoTC6ZvxOVeUs2mY4cCrUiCjDi8vPxuiUemF5JPKEgiSIr/twW/yiqit/rMk3IZOOGLy7a05e/c8Jgx5hZ1yNOT/zZTfbpUzG63WoTUSoHj7U4ThI2NMcMTZO5Uy631Z4K5Go6baOuvJCbR/bncEMr8USS1oQrRfMlmCgc0/eHCPYNfvpkTxJnFpBsnHDyoUoCoWMxi3YjaBRb6b7HJYq293XWpFJkRWXyDQMIt8RpCMcoyPMa/hasdLXnZNifU4LZJYkIChxtiDpqqQXztaJI9wIfj8++huZIgnvTtK9qq8r4f29+REM4bomJZ1eV4XGL3P29y8jzuznc0Eog121qArRDe8+gLyrNZ0dxMvXn9Cn7FWt2GsnbYMCL1y0SidlrpZ3bM4DbLRhFuHS77pm65z0LfbYTJ7WTNLmHpKyde/OOA9x4bbGJ9ai2qoz6j47R55x8Li85B69Hoq6mkobmGJGo1vw/7pq+RgHcybabWmLULt7Ab2YNN6ZV078vlyQSjiaJRJPMnTxMsyOXgNdlLQxm0kafKbZ2OsGOMcJueqiom982l9A94EEq8BEMeJkypsTIKR88FiEvx8O8KcPwuLXmjdqMZomFy7aYiijp06TXlJ7HtyousMTHN3ztQg6FWnG7tHx1z6Dfdl13L/CxoKaCltYEN18/AFm2Utg65U0+S7aubBP+qUFHvmf9NYdCEXCI8RbWVBJNJDm7h31sGokmqEvRyYcjCaOJbWj/XtROKmPlKzttp/bycj08s2YX3776Qib8Wz8WPGlef/sONlO/N0RR0I+iqpxXlGfoB7ZX+NYLd0VBPz+bfgU3jehnaQaJJpLkuqU26QibvHB6c1QiqXSpOFc8CecIZB4oLi52AXkn4dynHLoTLwr6Ac0BThjRjx4FXupqKnm8djh1NZX0LNCctPZzhWkDH0uozHviLeYt2UTt4g2sXLOLw6FWZv7XeqbUraV28QZCkQRuj8SxVOJi6qJ13LN4A5KkJZMX3V5pVKJDTTHjenTok4hFQT9ndbcfle1R4LcsxIXLNjO8tI/x8y+efod/HQzzo0feYMnz27j+iguJRBMsf3kHt4waYK3WP7mZ3f9qYtZ/rcfjdnHM4do+Phxm97+abDnYj4bj1C7eYPouJOlkmOKZDb3jc+/BMEcbo+w9GKYlIQP2FJ6okJSdk4F299XlEpg1qdS0PmZNKsUtCbav1zUElzy/jdrFGwwbc0kC40f0Mx0fP6Kf44RgUlaNAqB+rG7pZuIOGw6ngsTJHFE+FZ+RxcmD0/3StSKCAR+SKBgT2ekoCvrJ9bltu5fyczzEEzJNLXHC4QS1izU//9H+Jn7+3++0G2jIsoqAYKvN+cgz7xqdTdDWjKJfWygcxeeVmD7uUhbdXsm8KeW8vOFDHlj+NpPHlCBJAqok4vZIJIHCgIerBp/HvY9vZErdWmYv3kAoHONkob3u4CyyyKLrQBTsn9ei0DW2J3ZxuJOOmCwrJBL28UEyqZqO7T/cbJxT3+Ate2mHxqaRStKlF1v0GLUhkqAlIVO7eAMHj0Vsv7tPjrUwbdE6Zv3XehrCcbyigAuVCak4R9dMaWyOZeOGMwB6MiB9r3M0HOe1rfuoHl1iTC2loyjo55OjLRwKtVK/N8STq3dw9/cu47FZw5l0XX+LjT+88l3Gj+hrvFcv7jy66j0+OhC2PX/6VMLBoxFbW9z9ryYWLtsCQEssgSAIPPj7rUypW8vjz21DFTS7Xv3GbqpHl7Do9krmTy0nx+di7ydN9nsHUfs+0un3dGTjhM8OSdle971XtxzmVA/jta37SKLZazSRZPy15vuqx5UFeV5icZlHV71H7eINhCNx2/t4/tkBHpheiQAmO9DzFenQcwXTFq3jlyu3GsWlzNfk+tzMmlTKz556h48PhS1SJXVLtbyGPg07bexAHq8dzrSxA3l01Xvc/av1CILAn9/6iJ6FOcTiirE/dso9HO8ZJMuKRk2tqggnqZHudEFnns/Hg65FqT8nZ/56PT/+zUYON8Y40hB18CUCqmzViXp45bscONKiaaaqMOm6r1j2bHXLNiMg4Pe6KAr6Ket/tmVCtW7pZtxuF/ct2UQw4EVRVBBUEkmFX/3hbyx/6X3TfsfJtvXjggAzxg8yfV8zxg/C5dK02n+5cis1D6zjJ49t5JOjEZJKm57xE7XXMH9qOSvW7OSW+a9mc2ZdDJIkokoiSUGbXNV1q/Vc8eo3dlumh/L89rmEuKyafApozb+PrnqPHz3yBnMef5NwS5zmVnvfG08kDb/dvaAtvrjhaxfb2jho04QN4Rgr1+ziiee2cc/N5nVdW1VGUlaYvXgDM37xGvc+thFFwWLvTnkTSfxs9hR28VV2XZx8dOR7Tn/NLfNfcYzxkorKspe0fZCdrQRy3LhSTfHpcemmHQdZ+cpOJo+xn9pTUrGjgGQUAPXfP/LMu9x502DmVA/lvluHkeNz88mxFsNenXx3NJ40/j1rUikt0QSFAS/Txg6krqaC6tElLH/pfeY+8ZZp72aXZ9RzeUVBP25X17LPT301xcXFrxQXF68BvMXFxWvS/wO2AZuOc4ouifQOL73A1z3g4UhjLC0psJ4jjTFcgEvVuhySQFLQkq4I5mTo2KsvtgQr6cln/Xgw4CPcEuel9f/EJYkseX4b9XtDrN2yh1mTzI555sRSehb6mD7uUoMvOh1FQT9ut+hY4U7/WadP0I01L8fD8NI+tMaSju/XHyLn9My1BIOzJpWy4e/7bRPfToLH+iYkFI4a32PWmXcOqiTQGjenklrjSRSH5LyS6uqwfXALgm3QqqoYNKG6I3zmlXoEQeCHNw0xvf6HNw1BcNAQTCbbJgT186xcsxPVJrgoCvoRHYqDugCxHoTpdnMqChLZosfpBef7pXW1jb36Yn77wnYCuR6L7c++uQyvgz9VFJXaxRssyd30zjinQAMRZv3Xeg6H7IMmnf6oKOjn+isu5LWt+5g7eRiL776a6eMupTDXi0sSaWyO88sVW3l1yz4OhVo52hjlaGOMF9f/kyPhOI8/t41dexttmzKSCCfF5zr6ks9oE5BFFll8OkiSfVJKkrrGWrWLw50m5bTnvbUz+VBIm85Lx8o1u5g75XLmVA+lrqaCOdVDmTTyK0Zh5md3XKHFJBl+8v6lmzl4LMKhUCs5fpdtI9SGv+8HMAqKMUU1JYV+9L0hPLzy3XY7prP44sCp6WjE5Rew5PltPPT0VmucUVXGyjW7jHPU7w3h97r5yWMbaWy212o7q1sudTUVKbpyL088pzEOrFr3AXfcaD7/HTcOYtW6D4z3O9mivnd7eOW7FOb6cLtEqkeXcE3pefzHNX05eLQVr0di8pgSLvxSPj0L/XjcEj9+dCMr1+yyfO7sqjJESfs+dEaDdOhFwixOPpz2f4dDrcxb8iZXDPqSpoUNzH3iLSKxBN8Ydj6BHLcRV773f0cQBXPRpb37KMsqW/7xCfOnlrPo9kpmV5VxxHvL/wAAIABJREFUONRi+nlo/17MGD/IsPdDoVaaWxO2Nuv1iLzz/kHq94batVnQ1sy8JZsIhWPMW7KJ+r0hDoU0Gr4Rl1/AvY9vpOaBdTy66j1C4RiqwzOvM8+gMw0n+7uJy6rFV2qFOJE7Jwy2xCnRRNLxmZ/ndzN93KXkeF0UdfMTDPgsrykMePntC9u548ZBjvp7ug8MhWN43S5efOOf5OW4mDZ2IHfeNJhPjrYY1+Xka9du2cPsm8uQRIHlL71vyncsf+l94gnFtpApKyAjICsqoigYGpxgji+yebLPF06NPm25YZWbRvSz2K9T872igNsjoUoSSUFAFgSe/rM5T7ZizU5yfG5b35uX4+H8s/PpXuCjsSVGbSpvLDnkzyRRs6fG5hh3fWcwN48cQDDfx09vLTdyDJFowkL9+eSL25k50RwDB3I9lrX6aRsDOoJsE/6pQUe+58zXOMUGoqjVAJ5cvd02RszxSqiSQCKp+fbi3kFmV5VRV1PByMovIziwTKgq3HhtsUUyR/99qCnKo6veQ0CgbulmVq7ZZeT5dOaD9Gu5c8JgPG7JWHMCWmwUS8isXLOLcCRBIMfN2KsvJhjwaXrJqRy0U56xIM/D7KoyCnK9J3ZDTjJOZH3+b+r/VwCr0o6rwEHg/3X0RMXFxT8HxgLnAyX19fXbU8c/AqKp/wBm1tfX//kErrlDyBRyTiAZkyI6rU8oHKUw4EV10DBLF7h0mgJJyG2GPvbqi/lSUR4N4RgVl57LH16tN3T6ggEvH+wNsSClafXx4TB/2vghVw3+Ej0K/TS3xrm3eij3LdlkXENtVRmRmL3GoC5orPPq5vk9FPcOGsHykYZWljy/jftuLXd8v/43RKJJXtu6j3lTymlujRNqihnim3aaVU4Bl6KQouozi9hmg+6OQwUSCdVE+/LDm4YgCoIt9aYoCIiSYKFPmTF+EKIkmDTKZAWe/esHjLum2J4m9NsqOX6XQW2rqJBUFFRVteXtR8CRotReQ8eefsTjFgnZUGvl53hsX/9p6EqccDIpUbL47OF8v0SKgn56FvoYXtoHSRQ5q5uPupoKYgmFhnCMPL8bOTUdGwz4DC7/aDyJ261twjKTE+n+T98kptv1D28aggD8KEVTZHdteX4tgRyOJAw6gW+WX4AkinQv9AGCpnGYgi5UH8jxcPBYC6OuupBjjTEmfqu/hVZaFw5Ppxc7EZ9rR4VyJumkZJHF6QJJFDm3Zy51NZXIikZbKUkavWZX2URnxuF2PkRRVEKRBKFw1NZ/uiSNVkvXZPjKBUHiccUUI82+uYzyr57Ln9/8iG+Un08yaZ9c1Jvlcn0enly93aKXrAvO29E8BXPcxFKbQyct12zc8MWCUzJAT1QcCrUaU0vn9gwgiW2NkOnwekRjcnDx3VcTiyc53BBl1boPCIWjeDwS3Qt8JGUVVVWM2Lx+b8igvO3dKw9ZgeUv7zBNJTjp0Kfv8dLpQ++vqaA5kiCWiNMQ1t6fn+fB73Hh9UjG35WupdIz6McrCbQmFMdYyI5WKYuTA1cqvrW7x4dCGo2c9hxQDZv83rcuMWlHz64qsxQT27uPgVwPl1zQ3aBL1Ker0/UDZ1eV8eL6f5p10o61snbLHqaPu5TuBZq+ZDSeQJZVKi89lz5nF+ByOf896T83NseNPIfup3UKSP24RgfqTAvakWfQmYqT+d04+co8v5vm1oQhrROOJFj+0vuEwlHqaiotdjC0fy8EQeBXf/ibySbTKZOH9u+Fxy0y5qqLSMoKwYDPNj+iP6cbm+MU5nkZcfn5zHlco1P82R1XsOHv+5k5sZRFy7dQvzfE6jd2M39qOS3RJB6XhKwq3DJKY2SJx2XGj+jLyjW7jOsoCvpRHAqZ8YRsfJaeA2kIaxPcTvFF1m+eerQngeFK0SPmBzwm+/3L2/v49tcvZtHtlTQ2x43YtCjoBwGTXu4Ts6+xzZN5PCLzppTT1KLpB77/4RFGXH4Bsqzw8eFmNvx9PxVfPYdze+Yxd/IwR5+pYrUnXe+y4qvncFb3XI1yP2Cmad604yDjry02nvEFeZpWYEM4bhyLxpMUBjzIcWfPcCJ0nidTlzQLZ3Tke858jV1sMLuqDJckUJDnYdOOgyZbCUcSBPK0Yat0RpZM2581qZSh/XuZ9DSj8SThSBzFRs9Yz4cVBrxaLlsSDI2+J57dzsTrLjFYsxbUVKIoCkcaoix98R8mP109uoRDoVa8Xok7JwymMbXu1m7Zw8TrLkGUoPa/tNrFnOqhtmutR4EfF6opX9cV8KnXSn19/WMAxcXFm+rr6/92gtfxHPAw8IbN7/5dLwp+HpAkkWgqUHDiFreb8ps/tY2bWd9oZSaPPW7R1tBnjB9kaIaAZkDzp5Yze/EGaieVEsj18PXLzjMVbn540xCteCMIhu7aLaMGGEFKenHw9a37LH+LzlkbCkeNzcGTq7dbkrp3ThiMoqrU1VSkFl+MIZecxdIXtxtTfqCJby598R8WR+AkeGwnJp/ViegcFAVbfb+fz7jSwnk/a1IpHreIilaYTdevLMjz4JYEvllxPodCLcbxb1acj9ttH0xIgkC4JW4pJhbmeW15+yVRMChn9IfA6jd2M2XMQPYcaOT+aRWoqjYWvnnHAb5UlGtbYFBk+yDsgemV3FNVxv1pr7/nJBckskWPzwafFc+70/3ySDB/WjmR1qSh25fuE+v3hhjavxdTbihh5qTLiMZkiw5fce+gJbmbrtmnbxLvm1JOuDVONJbE5RKYvXiDkSTJ1IOdNamU5S/vMBXci4J+PjoQZu2WPdx4bT/mPr7e5Js9HpFFy942PaMef3YboXCU+VPNTR2ZwuFtPreyXa0UJ5zpOilZZHG6QBThaGPcorHbM+g7/pu7APRnxJHGVqNBzy4h/bP/fodQOModNw5i9Ru7GXnFhdT+13pTIjgWlynI9XLTN/tx4HAL4Za4bYyj08MoimrbCPX9UQP4/qj+PPj7d2zjWL0JxXGDTDZu+CIhvenIqemyfm+IlWt2cff3LtOK8RL853eHGDTiQ/v3ojmSsMQlevLB55VoaI7y+B+3UxjwcMuoATw440qONkaN5OKS57dRPbqEtVv2MD5D0yQ/1Z3spGFUFPSbmGJEIBJNWPTlkkkFrzvHkkx/7rX/49YbBnI0HDcK9enFSf37eOSZd1OJ/ex+72RDQrXshdLvsZ7Q0+1Vvz/Tx11Kj0KNnvbRVe8xecwAk19Mj2kVVUtuHW1sZdlL/2DKmIEm+rnhpX0s09ULlm5m2tiBvLpln7E+irr5mXTdVwiFNZmUYMDHxOsuYcGT5hzGzEmXmePcqjJWrtkJaMnDW0YNoDWW5AcTBrP0RW2KSvez15Sex9eGnJctpHQhODVofnK0hV7dcvnRIzapQcFq17eMGmAUmqFtsm7a2IHMW7LJKEbr+y5tj1TK+BH9LHuvN979lxE3VI8pARnunDCYcESjhfv6ZeeZmvUL8rz4vC5aoklt7yNKHG6IWHIfehGzdlKZQato93en/w261ibgsGfL+s3PA04FEtKGQ+6cMJh5SzZR3DvIxOsu4VsVXzZp8+k2dv0VF3KsqZUHf7/VOKcgWO/36jd2m3R8dZue8/hGk/0+80q94fd+Uj2UWZPKDBpl/TWRWMJy/hde3824a4rNeeNUrlsvphcF/eT43Kxap+UW5k6+nJtG9OP+pZsNDbXZVWUIaU1t7ekjfxo/nG3CPzXoyPec+Ro9NphTPYymlphW5Mt1I8iqUQPQ5Rj0882pHmasl7Vb9tr68oXLtlB3WwWfHDX71dqqMiKJhEnPWI8d0l+nx87f+9YlPPXy+yx/6X3La2ZXlfGVC4KGnc+aVMZjf3yPof17EWqKWfQGX3h9N6OvvMi4zpVrdtnqErtQu2R8IajqiS2W4uLim5x+V19f/3Qnz/URMDJjEnDkpywCng98ePRos8EXa4eePQMcPhy2/Z2UEjHfe7AJwNj46NCLcx8dCJumnOr3hnhi9jX861AYn8eFyyVqtG4qyLKKKAkIgoAkaNNbekCSft7q0SXGAgF4cMaV3PXw68yuKiPP7+aXK7ce9z11NRU899r/cfPIAdpnAi9v+CfXlJ3PvCVvWjangRwPkViCJ57dblTBf3fvtbS2ykiSgFsSicQT/DRt4vDOCYN57rX/MzZ+tYs3UBT0M23sQFau2cWk675Cj0Kf0WkuAcfCcUtxJi/XzS3zX7Xcg8drh+M6QRvtDHr2DHSVWOp8Omm/sijy8/9+x9T5uGrdB9w98TIj8aWjKOin7jZNt+GxZ9+zdMFNG/tVPj7cbAlgv9Qzj6bWOJIgGhN/sqrg97iZvdjmM2oqTQLG6Z8dCkcJt8SNImMg10P3fB+RWJJDxyLG8aJuORTkuJETijalIAiQouGNKSpT6tZavpcnaq8hIcscTDtPr245n7rT2KkwdaqEidvzUxmvO23tF+xFdTu7QW/vntj9DiAO3HMcP7x45tfxuCRHf71q3QeWgGLO5Mu1CcFjEboV+PjF77cC2rRrc2vc1Ak4tH8vJl3XH1EUcEkCsYSMxyVxrEmblNbXyBPPbmfs1RcbiUFo63g6q1su+w41m7oLp4+7lNaYTPcCH4Ect0Ets+j2Smb+er3l+1t0eyVet/S5JEVOd/s9HdGzZ4Dr73q+w69f/eDoTr1ef8/p/D190fyvKkn2z+WaSgS565aiJElERiAhK+w/0kxejsdIEKYzahw81mLputenXabUvUpx76BtR/2KNTtpCMeZfMMAU2zSq1sOoFHCTB93qTFpoKMo6Nc6riXBNh55vPYa/O62pEcw4GP8iL6c0yMPt0tEFCGeUD73xonTxX7T0RV9cM+eAY4dayEUSbBizU7bbn69CDPxukt44fXdDC/tQ0Geh275PsKROAKQn+u1XafVo0tY8vw2wxb1BlB9emto/158//oBqKqKyyXikkTNviSIxRVtP+cSORxqJRjQ6Ikkl0g8rpiKJjMnllKQ5+GfHzexat0H/Od3h3DPo9b4575by3G7RMIt5qRebVUZPQp83PXw67aNtOmNVnDi+72s/dqjLe7VmITsfKNHgtaEQiisdbkX5LmNhHRx7yCTbxhAMqkajaa6zxQlgaMNraZ9XH6Ohz+8uosbvnaxMeH68/9+xzT1B/DYrOH8bvV20/pYMK3CyG/Mriozxbn69eoxrd5Q3fusAKqqbQ3DGcxM6TZWFPSzoKbCNoavq6kwdLg+L3Qh+4VO2HBnodujvpe3Kwjo9+3OmwZz72MbLfdrQU0FXrdEayyJqmLEA0ue227JgcwYP4jG5hj5uV4j96VjTvVQ29xe3W2VhJqi5PhdtMaSpqLzA9Ov4MP9jRTmefG4XURiCZojcYL5Xg4di1KQ56F7gc/Wzu67tZwDR5o5t2eARDJJKBw3ktbjR/Tl7B55HA5F+O8/7TStl0W3VxrSE5lI95uf5/OwK9ov8Jl9H6okUmvrS9ryXrOryli7ZQ/XX3EhiaRsa2tzqofxyDPvUjXyK6b7++jMq5m2aJ3pMzN9opOPTM9dFAX9/OIHVxKLKwbzx1vb99O3Tzd+9MgbponpYMBHY0sURQFFVVEUFY9bMvLD//PqLkZdeSE+r4TP7SLX7zb8Znt5F7u8jh5vj736YoL5XnJ9brweEVSOGwd/2lxRe+ujK9rv552D6Mj3bPcaSxP9mIEoioLHLdHQHOPpP+80Yl4tBlWZUrdOkxfr5sPvdXNr2l5Kt9Evn5vv8PyuJBpPsPzl9xle2oc+ZwX4ic1zY071MJpb4+T5PTQ2x2xrKfOnltPSmqChOUaPoB9JEHFJAh8fbrbETjpb3t6DbXm34t5BfvS9IYbkVrotf9b3q7M2fDKmZqdn/HwWcC7wNtCpIqADfl9cXCwA64HZ9fX1DZ15c/fuecd9Tc+eAcsxRVHZ80kTrbEkK9fs4gcTBtl2fCgqli7N1W/sRhQE5i3RZBHvn1ZOS2vS1Gk0Y/wgvB4Jl3R83b6h/XuRn6vRwiVlhW4FvuO+pyio0WNs2nGQD/c3saCmgqONUZ597Z+U9T/bCOwzN2V3Thhs+tymjMBsxvhBxmj4oVArD63YanRC6aO486YMI8encaenv/fH3x9K9wIvbo9omjzzeCRckmTbbeDzuiyc7mcSOmO/Rxtbbafu2tMEBGy72iePseeq//mMK0kkVH66rK2TadakMnK8Tpp99nQXiqIaIvPp1ypJIg3hmOV4ns9Nt265lr/diQZMkgTu+c1bluM/n3ElPW3O0x50XzD/d5tMttznrPxTOtpt56e6Ojrrf0PhqEEJDG2djh29b3b36p6byyjI8yKKAgG/h3BrHJIKbpdIQa6XcCRGMpJo16cWBf2EmmJ0z7f3vcF8L6FwlLwcN3W3VZJIKsiyyqubPuIb5Rfw3Gv/R9XIARQGPFx/xYXGRjQ9WNIpNiKxZDvdo6V895v96FlopjxoL7nWs9DPvWnUMjMnljLh3/oRcKDMbWyOs+T5bSy8rZLu3fO6HH3BqcSnjR+ysOJ0/55Ox+t3st8DR5rtn9eqytld9O+08+3zprRNN+udpYturzRibx2HQhrFls5k4DQFrTdzJBJmylD9GbLwtkpcLpF7bi7j/ic3m2KgZS/tYHhpH1uf+vHhMN0L/PQ5K1+LoVLPn4Dfw75D4c89tuiq6Ij/ha65Nrt1y6WwUOXWGwYyK60J71CobbLD7RJ44fXdliLh7KoyXC6RI432WsG6xIS+f+xZ6De6pnWabz0BoscQ+Xke5KhqFKEz9wq1k8p4/d19DC/tw78P70ue32MqCN5x4yDHyQdVUYnGZFtdr4W3VRqMH0lZYUFNBfGEwsFjLaYC4Bdxv9fV7DeZVGiJJgzKLb1QGwx4+dfhZpMfml1VZuz1J153CeGWOHk5HuZUDyOeSNLQHCOY7+Vfh5ot+7Wcbi6+VXGBKc7NpGUsCvoRBJh0XX9jMgYw6f44Sam4JJEFS980jj0682rmPP6mURy3W2u6bTrthxGELulHPm901IY7Cqf9dPdCn4k2UWem8nkky/M2nbJQFETuTU1B3T+t3DYH0hJNEI4kCAa8lnvvpDEZT8j8z9pdTPi3fvzhlV3Ga4IBHw3hGP/vzY+4/ooLqVtmnlJdu2WP0WRpd97G5hhul8RvX9jGuGuK+es7+5gxfhC5PrcpXzZzYil/2vghr27ZR1HQTyDHg+gwlZPpN7N2bMZn9X0oisqPvz/UYsvQ5sNWrfuAH0wYzJzHN3LnhMG2NhGJJRh79cUU5nmZUz3UKDQ02bBSZEoqOfnI9HxwMODjcChK3TJzg05+rtuRje4vb+/j65edZ6HWvWVUCQ/+XmPYmDZ2IOd58vG4JQpyvUbMqigqDc0xZFWbElMdmN5mjB+EqmLJXax+Yzff+cYlx42DCwtVUzydfg3t4XRaH10hB9GR7zn9NYqisuT5bUYB8MZri02yM/fXVJimWXV7vOGqL2uDK9+7jL0HmwzbT89xOa2hI42teNwSt/3HpRw8FkEQBNvXNbfGDXr7+24tt31NKBwjEk1wbq88WiJJfrrUmq/T5dOi8SR3Pfy66XehcBSPW3KMZbuS/Z1wEbC+vn5Y5rHi4uIatELgieKK+vr6fcXFxV7gl8Cvge925gSftoquSiLzf7eJ6tElhMJRW2dcFPSbkil6wHn/tAokqW3Mv3uB39TJpBdUpo0dSFEw1/a8BXleinsH+coFQa4afJ7RfalXqe3eo9MVZXaaHgq1kkgqFKbGcHXaOrtEiF7UW/L8Nm4eOcAIrtKvO73D5FCo1ajkF3XTuk2ONEQNCpH0987/3SbmT6vg4NGIEejpuhYPTK+0pepTE/Ip7WrqSosTOme/qkuyLdzV3Wblzi8K+g0nfsNVX+aasvMN7b9XN3+EqtoX9RIJxaAU0I8tXLbZ8TMkyZ4+VBQE+2utqbQ9vqCmkmPHWiyTgIAt7aeK/UYvGktyONo5e9J9QaYtn8rO0U5MopyCq+k4Out/kw4P7o7eN7t7df+Tmw2fptMGbdpx0KANEkXBEHnPtNP8XC+Lbq8kP9dLayzBAYfXBVOc45FYgr2NTXjcEvc8upHi3kGuLuvN5DElNLcmbCkO9CTFkue34XG7jE2l/vsXXt/Nf353CM2tCfweFytT+lP6ddj58fRzHsigllm0fAsLUvR0mZtrfeN5KNTK4YZWmlrip3Qi8HS339MRp+o7P52/py+a/5Vc9k1Xkih02ftk59uXvrjdQnmfn2tPOf9Jajpw5qTLyPG4bbWKi7ppsXP6tEDmM2Tu5MtRVIyEpa6Houtc2Gka6xtDPWYQgGRSJgyfe2yRjtPFftPRFX1w+jU5xTTnn52PLCsML+1jocZfsWYn3xh2Pi6H+Fnfw/UM+ll899VIUttn2MUDD698l7mThxnJjOrRJZY4uy4Vx8fjMi6XSDgSZ9SVFzLmqova6PpvGGgfz0sCzS1x+z1DUjE1ys6uKmPHP4/Q5+wCUzHqZOz3svZ7fPQIeExasB5Ja77L9EMr1uzUqF4VBUVWLbRaK9fs4kffG+K451yYEcem0zLq91uSBJKyea+WlBXDxpz0UzP1/0JNMUP70ikhrrNlSA4aiajWGEWfPE8qisHQIcifHa1XV7Nf6JgNdwZO++kHplcSDPgsOSBRhECOh/unVSAKAoqq8snRFq4afB6RWBy/t62RXlFU05S+bnc/nVrOz556m+rRJZZ776SLGmqKUTWyP00tcSZ+qz8N4Tj1e0N895v9jIahTD9bt3Qz08ddyqYdBy36VPp5dfrj+r0hPtzfRPXoEuIJ2bKOFi3fwrwp5YQjcW68th9//MsHXDX4S8ybMoxPjmqxTCgc1fIdaX7zc54E/Fw+93j4tN9HR5ieCnwuFtZUklRURBFckoAit+V/6/eGaG6Nt+vP8vwefvZU26SpXoQL5vuonVRmKt5lSiodz0cW9w4yY/wgU6OFbqsLaiqYPKbENFmlr5k51cMscfDDK9/lvlvLjUYOn8eFrCgcONJKIikjICDLCioYjEOZDSU6DoVa6VHgN01r6bmLOdXDWP7yDqaM+f/snXl4FeXZ/z8zc7YsJ8kJkIBK2IQAATRATiSJSwFpBRSUVoJWElrWWMXlVyHYFhAEl7ZWa3GjbxFbDb61igvtC2JVRMtuWYRgQQEVEhJOkpPlbDPz+2MykzM5c1AqKra5r8tLksyZM8vz3M/93Pf3/n4Hf6E4WI+nawPhzz32czoBP/fzX7edSzmIz3vOkiRit0uEIzIzJw7h+tFBXA5bzDj6pMrP39772BTzVqw/wIyJg9m8ezMRRaFi/UGDwjY6po033nXw+vKbi0hy2ePSmNY3hozrOF7TGHc+etxOJEGMoTGPBhVleMx0+Y+s0eJsSRSIhBVOnWoy+QtJEhHsEoFg5CtjfTnTMfxV6Wc+DpwE7v4yJ6msrDzW+v9gdnb2CuDls3Bthuki7BFBiHkhOuJRp1MUBJhbnGuibfG4nTz/+kHTOat9GtJMQmDRjEuoOtWMGIVsiz7O5bCBoJoEXvW28adf28ctky/GYRNjksa/fzlWq++OG4YhKwr3/6SI1CQnf37D3LLqawiS4JSMJPitk3Ox26y7EHt2cxuabPECat30Ren51w/ibw7x42sGGby++mf1Nl5PihNUlb+997EJXfrMuv2Ewpqe1K9vu4xASEZRVGyiiEaY2mFfxOIhHB12MUZvrLzUi8MuIggwOr8H1afatP9G5/dAFAVLsex4nX2yorJo5iVGgTcQipDZKRGnQ2R+SV6MHqEgxuscjIM0VlV8LbEt6Z3cDux2c2ep3S5ii7PRs4kinCHdWYcA8ddnp+MflxA+NyCP9650tNzy1s1bnT/ED0b349OTjXTrlITDrgn+6nRa+hzRNfmuvbw344p6I0BM0nl+iRcVTKjnBaVe7p1TgMsp0dAYYkmFtun91dzLLJPP3TOSuWvqcEIR2XT9Oqo/GgRy6+Rc9n9Ua6wbqclOywA7NdlBeYmXx/+y2ziXTvkRkVWeeumf/HjCIJbOLjAooJ5/vZKrL+2DvzlEKCzzqz/tMImcd2j9dViHfftNEKC8JI+6xqCxbqYlOxHO4eYzK9++ZV8VP54wmKWzC6itD7T604OGL9cptrp2SqKmroXumcmEQgqRSDCmY2BeyXBQMRI20Ra9hlSdajYB3JaXFRpMCtF6Zz27uQlHVN7d/anhd1Uw6a2GI/HjKRtfnT5uh319Fi+mEUX4+HgjF2QmWdKFdkpz0dQSjtEX0ZHyc4tzefAZDZG/oBVFPaBXZ7Iyk42OVn0PWO1rMe1Dddqv9nFIKCQz54E3yPAk8Ivp+bgTE6lrDGK3CUy7OodgKMIdNwyLoYRsaNLiBav7/Kym0fRdPn+ASwZ349VNhw0WGY/bSYJdJBw6d6mI/1MsHJIRaE3+yDJhGeR2hWo97lz0VPzuuvkleUCbTpo+3qp9LciytV87v4ubx+aNRBQF/qc1SbxsTiEZngSGXNiZ74/sh80mGMm/aF3t6P3jmg2VQFsno6worKzYY1nkyfAkEJEVZl43iIbGECqqSTdIXx8QWot+URRndc1hE8BUY0Jy/tuyEh0Wf4+m54DuKysy6L5ffecw3xnePcb/vfrOYUrGDQRV4LOTbYnceMxadf6gkc9rD9I5v0uyaQ+0cdsRJl5+IXa7yKpXte5+gDtvHMpbOz8hIz2Rap/G/lLtazHtq/zNYbp2TiI7y2P5XeWlXt7d/anJL6cmO0iz6FCs9rXQ0BRkxsTBSJIQ8xzKS7ykuh1EZAVRElAliYisFUglScIuQUhWO2KHf9Pi0SCmuR0xQICGZjNj2qIZl5jA6Y3NWkE4nh50Q1PAxLD28tua7t/PHtuMx+3ituKhpKe6OF7TyPOvmzXHNm47EpPfWzjjEmyiyOPzR2GTBFpzVg8OAAAgAElEQVSCkRj/PmlkXxRFRZat56MUJ0cnCvCr2y4lyelAFEESRTLTE/H5g6ZruHVyrlE41/VfK9YfNOZKIBQxdX1Hf0djS4irL+1Dh9Dlt8fa5os5V2W3izFxZlqy0zLmFQRYVlaIokDxmH7IisKcSUPo1inJGCdWc+j2KUNRVJXbpwxFVcHl1Gii2+eeo5ujQNPva19LmV+SZ+T92ndz6/MmKzOZhdPzcSc5CIUjxt+1ImVbp2G0vwBins/dpZoP/yalIM56Djs7O9sO3Ah8qdJ0dnZ2EmCrrKysb6UDLQbePwuXCFgP2Gie22iR7JZAhN8+/z5DLuwcI5h6xw3D+M7w7oiCYBRKPqtppEfXFMIRjVLolusvtgxMVRXCYYVlq/7Rloho7cTYsq+K743oSaIrttW7zh8iNdlhTJZPT/r5wyv7DC7a4jH9mPSdvnhzurFx2xGuuawPCU4JFUhNcjBz4hCag2FcDpvldX183M/KtXu+UMfhglIvz79+kNe3HWNBqRdfa7Clo/ni6UDoi8MjazR0oNTalXaqIRhLSdIh2P2FLB5VRCisULH+QAzqYua12nO3ot/snulg8pXZMcU7h926g8BpE2luCZvOs6DUi5oAazZUmr57zYbKuIhiSbIuPopx6ASWlxWx6KlY2s/7bi5iXslwGhrbdH1Skh2IEihnmGfoECD++swGlh3BX1REOt67UlSVBaVe3Il2OqW6uGvqcFO3coYngbumDueW6y/GJomm7o7sLA9FF19goOXyczJZPLOAxpYQjc0hwpEIn1QFjO/0uF34/AG6pidht4s8v6EtgaKoqiVdTUNzEKfdhifFSX5OppFUtkL1v7JJE+6OFgK3olpyJzpIcEn4/IG4lKG+hoBJiDw7y0M4IvPjawahKNq9KAr4Al9Op7HDOqzDzh2zSSIIgsn/lZd6tWJ/+NxMxMfz7Z9W+zm/S7IB4MjO8mC3i9x541DsNpvBXKDH18+/Xsn4ot4xHQMNjSEee2F33ESyjqxuTx/WHplaedTHyrVaQnrjtiMUj+lvSo7cXeol3e0gJGt6K9H0T/p36aAXPQll0hCUJKRzVGS+w2ItXkxjkwQ2bjvCzIlDeGTNP0xj8ZE1GivGwtXvGQU0QzPHKfG9ET1N6/1z6w/E0Cu110Kz2dqAcfHiEIdDZEGply5pLsJhlXtWbjb5h4pWDZ9brr+YzmkJRgzl8we4fcpQ7po6nAdWt3U0lJd4eW3z4ZjYo7zEy4TLL0RWVIPVo6MA+M1Ze9Dk1HEDCIVlbp8ylNTk2AKFx+1CFAQjJo5OxNkkMW63XTiisHjle8wt1nIAACoqP5+eTzisGMxD+TmZLJ1dgL85jKKqLJ1dAGh73JZQhPFFvZl4+YUEQhFSkx3Mf/SduEWeBaVe0lNdHKvyG/5947YjzC3OJcFpN60Pd0/zkpagxbURMJL4YO5mzMp0d+Sn/0073X5alhVUSTS6gxaUemM65PRODB2M43G7DGBmvC6RUGtMo4N0brn+Yrp4EqlvDFBbHzCBP+dNzQNB5fkNB2Npmqd5EQUtR5Gc4LCkUpxf4uXOG4fx/OuV2G0C987RZHwAgmGZK4Z1Z/v+asMvd0p1oajqabtW0pKdlp3btxUPRUWlW+ckjtc0Gcfk52TGxB0de7YzswhY5pzmTBqCx+0ynqXVcYue+gcP3FLE8rIiauq1fKgeAzyzbr9W2OiczKn6gLF+Rq/Xo/J6GOf0uF2kJjtjdDHvmVlAfWvzyNs7j3HPrAJEQUCSNF3UxVF55QWlXiOv0D4XsHB6vuXYE+LlE1s7/n4RlX9Y0BobWM1T/T66dU6OiTni5ZiNrq6yoq/wDXfY2TINrBibo31lk1bMjmaCmDc1D3eSw2C80gtrdpsIqsBTL+1u06QuGY7LYTMxcOk+fNGMEQZY3W4XTXGnng9LcztYOruAlmAEmySxet0+k86qzx8gGI6wvKzIAMTqBUDA1M1tlUO744ZhBKMSyhmeBAAj16if32m3kZLoiHk+97b6k2h2hK/bR0uLFi36UifIzs4OP/rooz/X/wN+ARQAs2+55ZaDn/Nx/RyPPProo08B5wHff/TRR6ehdf399dFHH70Z+AmgAD+55ZZbGr/gpaUBt7W0hLDSGVdFkYVR7dFNgQg7K6sZmZeFoKrYRIG8nG7srKymcMh5vLzpMMVj+vPb53eZPrP7XycZmp3Bz594j8ojp5h93RDe2HaMAb3SWbxSc8KfnWzi9huGsquymgsy3PzkBxdx9aW9SU3SEs0CArOuHcLlQy+gKRDmwu5pvLH9GN+7pCcNTWEqj5yiKRAhO8vDbcW5jMzrzifVjTz54l4G9ErnZ4+/R219W6L3d//7T57f+KFxPRu2HGFnZTXDB3TlVH2A2oYWkhPs+FvCXJZ7Absqq2kKRIyNY8X6ShKcNvpleRhb2Ith/TM4UdOMJArcccMwLshM5jvDunNVQU8kSSQ7K50rvd3p2ikRu03kstzzSU9JwDsok77d0/jd//7T9Mz2Hqrhh1cNYNP7n9IUiHDDmP44RK3LZ+GT8d/J12FJSc7FX8sXfb6ddvzqlpTkpLlZCxQFUWBg787sPVRjep+JLjt/3/4JA3t1wmmXCIUVdlWe5JLB3VBVlWV/2Brzfr4zrLsxfvXf76qsZrQ3i6KLzmP4gEy+d0lPii46j/FFvZDizKfvDO/Oihd2s+n9T9m47Rib3v+UT082cd0VFzJsQFfT2Jtf4iU1yU6v81J5ZM0uXt502BjDSS4bf/q/StO9NwUijC/qxa7Kk8y6dghjC3qSn9ONjz5rYMTgbvibwvz2+fd5edNh9n90Cu/AriS6bAhnSG0S7Qv0a11Q6iXJLqF+xeNSkkQUUaApEAFBwCYKp/3Ob/P4BVBVlUSnjZF5WYwv6sWV3iyS7BJhFVOxN55vsIkC3nbv6q6pw1EVWPHnf/LypsNs2XeC/JyuvPDGhxw8Wmec7/2DJxlyYRf++u5H5Od0Y1Cfzlx9aW9GDOpmoq/59GQT2z44wUV9O2tc+MlOfr92H7X1AUbndedH1wxCae2i/vMb2kZSFGDa1Tl08SRYzrerL+1NKKJgk0RyszOoPtXMpyebGF/Ui7VvHzY9s5JxOTHr0N5DNUy7eiBv7fy0DV3YHCIlyUnewK5c2D2VdZs/4vsj+zG2oCeD+nTmb+99xCWDz2NNazd79PpRseEgWz84wazrBpPmdhqagqd79mfDvu3j99toSUlOnltf+fkHttoN3+1/Rsfrn/k2P6cv+p6/LeNXRjDRA+nr+3eGdz/j9fHrMqt1+NbJuTz7t0r2f1zLbcW5DB+Qyai8LE41BBAEgV/9aYfpHt8/WM33R/YjwWnj5U1mv/q9S3ry8qbDVJ9qZua1g2PiqIr1ldTWByi66Dz2f6TF5ADVp5qZO+ViRgzqZsREVxX0JMEhkTewG0t+H7tuXT6sOwse20zFhoPs/+gUs64bzKfVjUiiYMQWEbQ1TwfS/e5//8ma1w/y3t7j5OV0I9FpO+vxx7dl/EbbueiDo68pXkwjqHBhVjo2SaBig3mr3BSIMOHy3vQ6L438nK6Ewgp/+usBVq/bz/jCXvy/RzZRWx8wji8Zl8PDa2Jjgh9eNYDKI6e4dXIub+08xg+vGsiuymq8A7vyyJr3Y44f7e2BKAgkuGy8sf0o372klxEvvLLpEOOLerP7XzVcMaw7i556j3XvfkxtfYCmQIQ9/6rhu/k9GZXXnfGX9mZodhc8KU5Sk50xe0Dd12SmJ9HcGDxr4/i/afzqewMZECTxc/cG8T7nsIs0hyJc1K8Lew/VcEGGmxFDzuOh53by8qbDDB+QYfJ3AD/5wUVG4QQw3v/Q7Ax+9vh7SCLGWNN9aHmply17j/OPvSfYe6iGeVPzOPRJHSMGdyMpwU5jS5jhAzIZ7c1iQM9OBMMROqUmYJNE6hoDrd2mColOO3a7yFMv7uWFv/+LcYW9jPlTWx9AFKDs+xdzWe755PTuzMubDnFxvy5G3F19qpkfjO5HOCLzm3b3sPOAFtciCiiKajkvv3dJT1xOG+IZv7HPt3No/MIZjOEzMX0d9/kDlIzLYXxRL8YV9sJlE1FkFRmM5z62oCeVR3wx+/v8nK7YJJGXNx2mtj6AoiiUff9iOqW68OZ0M+Xarrm0N8kJDj48WkdtvVb0uyz3fB58Zjs5vTuz4s//jIkRxhX24oIMt9EtqufnGptDJLgkhvfvyh9e2Uvp+EFGU4D++V2V1Ywc3p0e3VL488YPeWP7J/Tr4WHNhkoy05NQVZWRw7V82bVX9OX1rUfI7pFOvkVs87f3PuK8Lm5Skxysef1D03NsCkQY7c3iNxW7GDmsuynBXDIuxwQe/bpyaefi+AX+Lf8aPQ510+f/g3/cbjzL6OOyszzGWElw2nA5JGySiKJAeoqTS3MvYGCvdDzuBJ5+bR+r1+2ntj7AiMHdGNo/k8KLzmPCZX3o4nHRs1sqTrvI5DH9SHDYuLhfF/JzulF9qpkr83vwq2d3sPbtw2x6/1MOHPGxdd8Jsnt4qKkLxsQCOyur+ekPhzM0uwuj8rJoaApy6JN6ausDnKhpZtZ15nj3jhuGYbeJFAw5L8aHJ7scMbnBnZVaXL3p/U9Nz+rG72YzpG8XQuEIF/frYqKIbgpEqPY1UzbpophxX7G+kqNVfq4u6o14ltngTrfenovj91zPQegNVf7mkGWuqv1YfP9gNaPysnhu/UGyszyUjh/Iij//k7VvH+Yfe48zbXwOn1Q1crTKz/uVJ5k06kLSkl3kDexqjBNJFLg093wEQaA5EDYBJKJj34oNB9my7wRXFfSkJRhpXTcErvtOX8YX9eJ7I3rx6juH6d8zHUkUkUR44sW9xvVH7wV/eNUAHnuhba24IMNN/54eunZK4nsjeuG0C9zwvf6kJbu05prGEBu2HGFI3wwqNhzg4n5d4vqTjduOnTUffaZj+Gx0Ag5q93MT8FllZeUXLmVWVlbeCtxq8afcL3Nh8UySRAJx6Cp0Gh5Z1qgJlpcVoqJ3VlgLsEZzwt739DbmTBoCYEJwpiY7mF+ShygIJrTmXVOHEw4rJuqiTqkJLJk1AlGEjduOGPQvVu2z0fz5Vl0j9z29jZ/eNJxgSDaSPnpX1583HsSd6GDRjBHYJIFwROXFN7VA46axA/hNxc6o4720BMP84ZV93DV1GLKsmlCAd00dTk1dwEQTc/uUoXSNauONfmY6pWiGJwG7TSSiqh20i1/SBIQYbZFXNh2ibNJFlqhfp00kFJeOSrGkC4rIKqGwEtPxZ7PFeXeyNcJNllXWbDjQrkPwADMnDonRkrjv6W0sL7PWHLRJouW92W2iaZNa7dP0Lv8dZFG0L/g6qTXi0VH8p6P55FbNJI2uSAuy29MVgbVvECWB5CQ7S2YVoKjau6qpCxi+TP+crumgI+N0ioqunRPp4ulvEjFePHOE5Xef3yUZh10iIitGt91VBb1MHXq3Ts5lx/4TXFXQi/tXb4sralxbHyAcUXju/w4w+cpsfnzNYCZefiHJCY6YcR8tDB597V3SElh592hq6wOoqqbhsqDUy1s7jzH+0t6W60c0KjeeltDym4ss6UY7/HKHddi30+LRtcnyuTun9XV4WVkhJ32aNoSOnM7O8hCKmOOS+SVtOiTRfjI9xUVNfUuMX9W1gaIpPVOTHXROTeDJl3YbyP0unkQTlaoogiiIpu++44Zh/OGVfdxWnGv5nCNRcZfuZ5eVFRrrniwrxppnpT2ksSAUdnSjfEvMKqYB8CTaiRCLuM/PycTfFDYhqPVYwqrLKjom0K3a10JWVzfTJww25slnNU0sKyuM0WHT50c4LFNbH+D5148w+cps1myoNEk3nNcliZvGDohLmVvfFDSokOZNzeMfe44zfEBXy2OV/9wQ9iu3f2dvIEkiqiTgs2DUeGvnMT74yMc9s7SOu1888a6x/0tLdsbQap3X2Vp7T8+FvPiWlgy8d06h5stateYH9OpsHNvYEmLGtYNQwZRLmFucy8ZtR/nO8O7M/907eNwupo4bYHRu6/kLfd8nK+Y9pjenmxGDZ2d5+OFV/VEU1USR+8y6/cyN45tP+YP8eeNBZky07ggPhCIdLDBfwmRZoZPbEdO5rI9fPd9W7WvBZrPe3yuqaqIffn3bMY5VNfLTm4ZhswksmVWAChyvaeSh53bh8weM7hCfP2DkpXRKz2jT92NJLjtXerMY0KtTTGep6NSoyL8/qp/l51Xgvqe3MX3CYABefjs2f7eg1IvLJTFsQFcWrNBoH+dMGmJQl7+y6RCTr+zPmg0HmDo2Jy47QbWvxQCd6hYvV9mxZ/viZtWxmp+TSUqSU6MdRGPU0MerFeuZTl2sr6F3TR1OKCwjJQtGt9HovO6MLewVk5/d/1ENE6/oQzisGp2x+jqc5LLF9b8uhzXFpqKophg1uvNw9Wv7WTKrgFMNAQKhCDabwOtbjjC+qA+LZ45AEATqGwNEZIVwO8kS/fypyQ7T7zI8CRytamTl2j3ML8lDsZAS2rKvipnXDjE6JusbQyb2AlEEOggCzmnTO2GtGFTixaX6mv3Dq/pb5mlvuf5ifv6ExoJRWxdkzYYDjC/qzeIZI7C3ylg9+eIe3IkOrh9t7YP1GoPuz+c9+o5lh/Tc4lxEQcDXFLBkdXll06EYeTSrrsCFMy6huSUSI92zZsMBRuX1iMvUF61v/E346C8NZqqMtU/OpAD4TVgE+KxVEDLaMjyaZpgqiag2rb1VUVTEVn0/PUnQ/jPtX2LXTklIrcWJlWv3sPKlvZyoaSYlKbYd1N8UMgqAN40dwGMv7Gb2fRv57fPv47TbKB7Tn1c2HWLq2DZkT3aWh+kTBmO3iaSnuCgvyTttkbJTqotwRKP20AVa73t6G+OLehuITo0SrolJI/ty25Rci2LiVppaIvj8AUJhxYR+0u9DLwDqv3vouZ0oCnGfmU6/EQhFKF+xmY+P+y2P1alCO+z0JogC11zWh5Vr91C+YjMr1+7hmsv6ICuqpXi7rKiG9t+CUi/LywoN2gCHXTLGr36uqeMGYLeJlhQJkiDEfXe3Txlq/C2aNmbLviqWrdpK+YrNLFu1lS37quJqDup0jtHn0UTDhbj3Fi8QOp1pG2WRiCCgSiKSpLlIWVYQZAWbqiLIyucW4eKd50wsHh1F5PQf+480PSCPtva+QZJEav0hyn+3mVn3bWThk1qHdHqcjV5qssNYzPVx/vFnDSYhYI/bharC/T8pYkGpl9F53VlQ6uX+nxQhigKiJCAgsHjmCOYW58b4xUfW7GLMJT2N3+t+r/19JCc4sNtEpo7NYc2GSlRVpXzFZh5Zs4tbJ+eaxr0uDN7+2hc8tpmqU814UlycrAsY42W0tyeqSoxPf2TNLkRB4O5p3tOuH6GQzG1TclkyawTZWR7LZ99hHdZh3x7TCwnRdi7PaX09DSoqAgJb9x0HoHT8QBaUeikZN9DUYa3HrMVj+sX4yZ8/8S6SKHLX1OEmv5qZnsj/++EwoxC4cu0eVBVsksDMa4ew8u7R3DunEJdDMqhUy1dspjkQiRGP//WzO5g0sq9BqRRtOtVStFX7Wgw9xqCiorZ26JzOL8vnaMdmh53eomPDCJDg1DS7o8eirq3efr2+qqAXT760OyYm6JzmYuH0fCOGz87yaEm4E36WrdpqFMpH5fUgElFM8VT0/Jh9/xusXLuHqy/tw5oNlYYeVnS8EI7IuBMdLJyeb8QD+nXo9HXVvhbuX72NLp4k/K16SNGmAfgEqn3NqJKI3SF96Xj5v8nOdG+gFw0/+qzBoBdeUOrl9ilD8fkDjL+0t1EsUxXVyEesXLuH23/zNms2VLJ0dgEP3X45cyYNwW6zXj+icyEvvnWYmroWZt//Bjc/+AYvvnXYSMzpdIruBEcM6PPhil1ce0VfY183aWTfmD3efU9vIzM9kUUzLuHwJ3UsmnEJy+YUsuKukfQ+P4W5xbmMzuvOTWO14uHM5RtZuXYPN40dwOi87kwa2TfuniI5wc7Vl/bhqZf2xMyzucW5ZKYn4pCEjvH6JSwkqzHj97n1B4yc29LZBVx7eW9SkhyW+3sBgS6tnUn6Xqhk3EAEASIRhZ8/8S6z79vIYy/s5qaxA/C4XTxcsYuf3jSMucW51NRpSdpEp91yDNQ3aoXyy4dewCubDsXMM1RtDMf7vH6sO9GOO9HOqLwelkAemygSjsh43C4qj/pYvHILC598jwxPIiXjcghHIlxzWR9efPPDmLF46+RcXnjjQzI8mrRQ9HXE22eeq/HduWg6jbf+HPNzMpl8ZTaLV76n7bdXbMbXHMYhaewNxWP6WTZhjC/qzYJSL+UleSQ67WSmJ2KT2nzPtVf0tQS+j/b25KRPo31tHwc4W6WcdMvO8rBwej6pyU5SkpyW7/6zmsaY80wa2ZfsLA8/umYgoiiQmuzEJolsfv9Tii6+gPIV7zDn/jf4xRPvYrdJuJwSkmTt+/W8hP6zPj71+wHrz6mqig0Vp11i5do9ACycns+SWQUICB2+9Rw3PdeqU3FHj4H0FJd1nNAUorzUS5c0c1EM9JqF9pmScQNZs+EAV1/ah98+/z5zHniDBSs2U98Yos4fwpvTjU9PNsadC3o+W49Lr7msD3Wt1PV6LeThil2oqKS5nXTPTObeskIjts3wJHD1pX345R93mOoTVoD56lPNPPjH7TFzbFReD1KTHdha/UT7eOKFN9o6vL8JH/2lC47Z2dndgcXAxUBy9N8qKyv7fdnzfxUmKyoV6w/GcMffPc1LIBzh6dc+iEHt3F3q5cIL0mK0HXR0kW75OZkGt3koLDPkws5cMaw7v6nYadkFouuLxEP7LpldwNSxOUiSYBQAY/QVSr0smJZHcoKD+39SRH1jyEC85edkGlon7REgnVITWPTUezEIlmgxzPYI6kUzLrEUX9bvo71IsiBqYp3RnOvzS/JISdK4eu12kXm/PT2fvw0NDKLxDtMhdBzHQmGZDw7XGqgFQRB4c8exuI42Iqu4nKIlIk/vJGoffC+/ucjyXIjEiBOXl3qx20UcDpE5k4YY2nwOh2gEQe1REZIkWmoCSoJA51Qny8uKjPfvtAs0B+N39FqdX0cWWY0l4Kx03p2tDr6Oztg2a6+rk5+TyfQJg5EVECVtPAmiYGjT6J2rDz23k4XTR1iOhdRkZ0zgnpxo7rK7aeyAGITemg2V1PlDhkZTbX2AN7YfZdJ3+lq+L1Fs62K08nHRQsS6f7bZ2jYJoiCwdHYBoihQW9/CWzs/YX5JHvWNQcvOvWVlhSQ4JbKzPFQe9dHYEqI5aN1JGVEUVDA0fqznjMCRE342bjvCTWMHGDzvul+OZx3+usM67Nw0p0O0XK+dDpFQy7kFvZUkkaawTNWpZiOGuKqwFzU+jQ7RbhPolOay9G9dOyVZJmd+/ewOlt+sabbIqqZL5nJKKKpqilVSkuwggK8hQFKCnRO1TSQnOnjwme1GvJuZHp/tora+hbnFuTGdDIFQ2NCNUFQtARKJqHxW00jF+oP4/AHuLvWyaMYlVJ1qto6VOrpRzmmzOyRCMsiKgiSKOCRQZNUYy53SEkhw2GhsieBOtPPALZcSDMvIstpKlRU7pnz+IFv2VeFOdLB0diGiCIIg4G8Oxeh6e9xOnn7tAyAWuZyfk2l0d1klNHQ9H71oAxogyko/XO+u0feV0dd7QUYyf/n7h9w9zcu9fzDvm32NAZ78y17S3A5L/ao0twNB7tC+tLIvsjeIHn8qAvsO15CbnWnZsbKg1IvDLvJwxS6Kx/SL8Zlb9lXx0WcN3HnjULp1TkZFjZsLyc7yMHXcADqnJoAAS2aN4K2dn1B40XmkJjtZNqeQlGQHq9fto2RcjuV96PkOiN/VFA5r46JvDw8tgYiJuWhucS4/GN2Pnz/+bsy4XjyzgIVPap2O7X3zrZNzkSTRuPc6f8jUEW63CwiK+oX0yTssvrUfv9lZHq6+tI+JgWVBqZeWoLUfTHM7UVUFl1Ni+c1FhCMKx2sa+exkU4zWb7Q2mcaSAm/t/IRbJ+cSluWY/Zjux3R/Oyqvh9G1pZ9TQaF4TH9Wr9sX8/noImNEVkhPSTDtAaPP42v1p7dOzuXNHcfw5nTDnWhHkgRsdoGmgIhTgt3/quFYVaNJS+6N7UeNPagkCcwrGc79T2txycZtR2Liu+hcWod9vunME/eVFRGWFWRFNVHo6zna5WWFeBLtJMbpzuuclsCqV/dx9aV9DB20ay/vzdLZBfj8Qew267Fhtwl0i9NxbbOJ/PSHw3nwj9uNTuloPcj2ndsLSr089sLumPN0SXMx87pBBIKyqYPpnlkFJg1CvZC3dHaBBgxpl9NdUOrFZheNTvKjJ/y8ueOYKRfscoiGdmf0XLFJAnJIxpNo54Fbiqjr8K1nzb6OHIwOprFiULFJguX+x5Piwt8cQhQFS010h11kxoQc0lNdlgCK+57exsLpI3A6RKpONVNe4mX501tj5oK+r33tncNkZ3lIcNpj1vtn1u2nzt/GYKHRMH/MnElDSHTZef71SiqP+ti47YgR81jFJO214vVrTU124HE7EWQVT6KdX869jHBEBlUgoigUj+ln7Pm+CR99NnLJzwIngCVA81k431duUmuy+M0dx1g8s4DmQJhEl52GpgD3/mGnZUHu3lZn38ntYHlZERFZQRQFGpqDpLkdWoU3PQFU+NnjZsHUYDiCx+3C3xyOKXCI4umpRhuaQjz4zHaWzNIEVK02bMtXbWXxzAITpYZOIfqjqwcZreT68Y+s0cSt9cCk/f3qYphWm4U7bhhGeqpkJCX0ol9aspP7f1KIohCzOJyXkWQkVvzNYZ74yx58/gCLZowA2oo40U6kZze3yWn9t1Ijnom5nDaGD+xqWszLS73Y4hXWJIFIJBaRt2zVVqx6BosAACAASURBVJbOLjyj4ppNEHDY2xX77BpVgh6YRh//wC2X8vPp+UiCiCiAooKsKjjtYkxCoLzUi8MhUt8UojoqEZiRnkii02Z9Pa3aOu3Hiw0gzliyEm79d2i34qF0z/Q8pxNQ/29L/kVTsiJAY3PYNM71pNff3vvYVEx7Zt1+wrIcU0CcNn4QLcEI3TPdJsq4aPrNePTKt1x/MYKgUe/qKJ/rR/ejOWgtSh9Nidte1Dg5QUuG1PlDRlI4EIogCFrwlOi0G0hA/Z62769m+/5q7rzRmlo0FFb47fPvM29qHu+8/4mBgrK6tupTzVpHoyiwcu0eyw3tr5/dic8fMM43c+IQbJw+Odfhrzusw85dC4YVKtab6bgr1h9g5rVDzjmKSVUS8J0KtqP6zGPt2/8yfP09MwvirpXnd3HHja2ddgmbpKGNVeCelVtMcW1LUMZhl0hJdqIoKhdkuBFFDTTx1s5PGJWXRdWpJsvv1oEoM68bZIqLungSaG6JsHLtHmPT+sAz200+/pl1+7l31VbuKyui13kpcWOZjoTeuWmRiEKNPxQTx6a7nTTUBfjbex9z9aV9WLpmS7vClxNfSwCH3TqurW8MkZ3l4XsFPTlZ18zDFVqCW6cNhTYw0L1lhUwZ0x+AqWNzWLyyLYG5ZV8VQy7szPKbi+JSA3fPSNaoGku9vPDGhxSP6RcT1z5csYslswqQJIGnXtpjJHL0663zBxnt7YEnxWXaA+qFw3tmFSDLquna9Hh5zqQheNyujpjBwj5vb2B3SMb402VG8gd1A+C24lxOnGoy4l79ed9WPJTbpgxFURVcdhs/vWk4voagASj2uF047TYjOZyfk8nS2QX4m8M0B8KkJTtJczv4weh+BEOyicKuvNRLxfoDhr+eVzKciZdfGNd32qU2ytv2FF36MSrQEoqQZncZBWZoG5eLZ1jT+Dc0BY37Xv3afuZMGkJmehKfVDfyyqZDTLt6kCknsWzVVkBjA3HaJdLcZ2ef+N9s7cev1V5r2aqtJgCnvianJmusKXabhM8fYuETbSDNeNIN7kStYy/N7aS2LsD1o7NpagmR5LLz1o5jLCsrpLY+EENHWN8YsqQ5dNltLHpSK1hGF4rT3E5CYRm5tZsxGJFZvW4fpeMHWeZgUpIceNwuA1gZvUf98TWDSHTaEEWBe+cUIgjQ0BTizxsPcmV+FuOLeptigrunebn/liIiERVJELBLfO0SJv9ppj8vlyQSFKxpNmVFRVBVo0OuvZ86UdvENZf1IRTW2NgiskKCy2bkiRdOz7f8XERW+aS60fJvqCqJLok5k4bQPdPNsSq/Cfy8ZkOlaUwHwxFDskQvzAVCEdJSnHz8WYMRW+v3VN8YtLxXnz9InT9EUqKde2YWUN8UpL4xxHPrD1A8pr8GmlMFNm47EtNIo+cPFs0YQTAUwemwIUkCSisoX5YVVKyZxjp865nb15WDiQbo6wwq2v5EJRhWWP3afmOfGZE14Ia/yVzojQaTzS/JQxAgf1A3jlX541KKBkIRAiF4uELTbb2teCgZ6YksaAWS6MctX7WVW66/mJagzH2tuTR9HthtInOLc4koiin/9v1R/fD5AyS4bEy+Mpuxhb1IdNlxOSTumVlggPSjr0tnimw/V9NTXLhsAoqsEgEkRcXfFPtevinQ29koAl4EXFFZWfmt2Y/qg9bnD7DwyXeZPmGwSa8pPv0OKLRqBoqa4HunlARj8bbajOmbmZvGDmD/R7VMvjLbhNAob20Tr2sMWg6g5AQtSPifV/YyvySPcBwNt4amoEnDLRCKMPPawciyNWLwvM7JBlVR+/vVu1XCEdkSQX3vnEKjIyba0S+cnh+zmOjdjItXbol5D5IkYGunb6E7keVlhRrtYuuxZ6uw8p9skYgSQ0u1fNVWfnXbZZaFtSSHSEOLNb93PP5imyjEoIzml+QhKyqLn/pHzPH3teqJtdcWBJVIRGXJqvdM1xSRVct7WF5WZIlCdic6LJEmoijE1fFTJetAY+nsgrhB3pk4ytOhdJ1ngMxp3/32357803V1VEm03PTPmTSE8UW92bKvimpfG9gh0WkjwS5yX1kRqgD+5hC/iNLt0wOQSSP7surVvQbKLT69sibq3T7InV+Sx11Th/PA6u1Rv/PS0tr1ob9Hnz9AMKwllxtbNFoDK2R2eoqLhU+ak2OPrNml6awoquG/28+52notwXH/ag25V98UwiYKMSg8bb4ppCY5jA2JvqHtnpHMiVNNrH5tv5HYu3/1NhbPLABBRY4op0WZdfjrDuuwc9dkRaPjjka4A/x4wjffZd7er8hyLCuBjgSt84eoPOrjD6/ujVkrb52cy/OvH2TKmP6WfjIpKhGj+8MhF3bmWFUjM64dhL8pRCgsc6zKr1HA2SVCYYWIovDqO4eZdvUgQzvLCjzx9+3HKB7TjySXg7RkF4qqcqKmiRpfm0bt9AmDY+4tunMhoijYWhGkHQm9c9ckSURGQ/aKokB9U5CK9QeM96p10QVISXLw62d3xGV+WTRjBC6njZZgxLKT47n1B5g0si/+prbOv3hxSo2vha37jjNlTH9Dw09PfnhSnKSnODnpa6ElDnjpxKkmFq/cYoznTqnWjCIAoVbauo8+azDNAadTpKExRCAYoWunJJqDYdzYmTSyLy+88SG+hkDcLhmXw9YRM8QxnYIu3t4gJGMUAHX2BitNaL3gUe3T9NFWvbq39bh/xBzXvgisdwcuv7mINLcDWVGZMXEwx6r8MXmA5VEa3NW+FoOdKJ7v9LcEjd8fOV4fc6/zS/LYsOVjhg/sipxovd+KFx/roDjAoGBcXlbIyrV7mFucS62FXqz+uZVr97CsLD5A9pteO78t1n5ve7pErw5obz9+l84uiMkVnKi1LioHQhEN0PgnDdBYXuKlORjh7V2fcFVBL556SaNAjtZg1QH8GekJLJyebwLxRGQzeF0vFD9ZPpo//nW/UeyeW5xLnT/EO+9/EpODmV+SRygiM3XcAJpaIsaz0Lsio2MT/RlMGdOfKd/NBlWgfMU7ptzKqYYAqckOunZK4uRJP2EZSx3aDjtz0xsRrIEXIhIaKPvuUi/3tvPJ2z44Qd7ArrHdUK0gjIr1B2NyWPNL8vjL3z/kWFVjzL59fomWuwaoWH+Q2dcNsWR603XQQOu0nVcynEBQNn3PglKvif1IN70RxCofPXXcAGrrWkw+HuCjzxqYPmEwG7cd4cfXDDLGL7TRgy+dXYC/JYSAaGJY0otTwbi5M0AQOmLfM7CvKwcTDdCXFRWX04agKIRkTW7K5w+wbNVWg40implQv66HK3Zxz6wCjp7w405y8MDqHfzomhwq1h/kzhuHWo5Fl8NmgMc8bm1/FQ5b57M7pyZQ11rYjsemuHHbEcNvLyj1mhoK5hbnGs1L80qG45YcMXnwjFY5iV/+cYdpnjtsIoqsGAXZeHUivebxddvZINt9Fxh0Fs7ztZk+aM/vkmzaREVkxUDwZnhieWxr6lqoaw4j2UWaWiL87PF3OXi0zpho8TZjLoeNR9bsomDI+THcz8tXbaWxJYzDLjG/xMwXe+vkXFa9updJI/uyZV8VAhidKu2vLRSWTbonj72wG39TmJq6gOXxNknE19DC7VOGkuCSWHHXSB6fN5Lf/XQk3TOTeWXTIc7rYt2KrqgqazZUmnQKIX47rNLaPdb+GhyStnhaab21D6ZPV1jpMM0icQq+wZB1cbA5pBidqNGmjQ+BO24YZnovd9wwDEGENRsqmT5hMMvLCpk+YTBrNlTG/W5ZUZl2dY5JW3Da1TkoCpbXFPc9x6EnDYVlA2miX8/q1/YTCsd3pvG+Qy98tn8WZ8rRHE9rwiZqyJzyFZuZuXwj5a2c8vE4z6MX19//7EqDduI/LQA6U/3EeO/P5bAZXOKgJd66Z7oRBIGwDIFwhKMnGmK0o3QKJE+Kky37qlj16gcmesxoy/Bo1C7xKApkWTXG4pJZBTzxl93c//R2khPtxu/vmaV1n1esP0BygsOSrm7Zqq3YbbG0y9W+FmrqWvjFE+/S0BRkwTSz77x9ylD++NcDxrE+f5DG5hC3/+Ztnn+9kntmFvBk+WjmTBrC4y/s5sFntpueZ+VRHy+88SGCKOBy2AzNAP18DU1BUAUDZRZvLHf46w7rsHPX4mr4Ct9sut3Kr8TzJY0tGngiO8ujUSQmOVheVsiT5aOYPmEwb+44xqi8LEsNtQWlXn7/8t6Y+OO67/Rl6rgBBEOyofn32Au78fmDVJ1qZtFT7xGJKFx/ZT8DLR3NYLG8rJBlZYW4nBJjC3sbGt/lv3vH6LoWohDl8fYMeueCHnucqSZxh319Jkkidc1h5q94h5nLN7JgxWY+PdnID0ZrepR60uGxF3YTDMmn3SsKQJ0/SH1jEJtN6wB5snw0S2YVIEoCU8b0JzXZYdprxduv+pvDDOjVmWWrtlLfGCI/J9PYI/70kU0cPeHn18/uMKQxoufH3OJcKtYfNK7r4YpdqKr1Pq62PoBDssXE4R8crsWd4CDRZefj435WvboPAYGN244aGuOKqhoJR6vr74gZYk3Xvn6utZP7/p8UsXR2AZ3cDiNZraLJcOjFA6t4VdeEgraOlXjH6bSDljGdrBCJqPx+7V5kWY2bB9CpZaMplNv7zntmFbD6tf20BGSeWbefucW55A3sarrXxTML2LTrEwb06szDFbvirmX1jRp7RXu/v3HbkZhju3gS+NmP8gFwJzlichLR+laKYr1n7tBb++Km721/OfcyniwfRedU671WksvOK5sOxeSa9L1N+3FWsf5gTB5tQamXRJfNADRW+zSdtVBYZtiArrQEI2zZV8Uz6/Zzy/UX89i8kcyZpBVWfvWnndT5Q/ztvY+NWKCxOXLaXIEOrNL95qSRfenRLTUm33Hf09uo8bWQmZ5I94y2uRWPnnlUXg9Dj1BWFJNup35tdf4QSoe//EqsvUag7heefGk3vlYt1LRW+tAny0dzW/FQ3El2vjO8u2UHve57K4/6WP3afpaVFfL4vJEsKytk3eaPeH3bMSqP+hAFTOPyib9o49JuszF94iBLzcDiMf1iwA7NLZGYHNqyVVtJcsXqWurUh+3vdfW6fSS57HTtZE2Bn5WZzKi8HnFpfFUVauuCRkdW9HVEiJ87+/Sk/wvlzDqszb7OHEz0/iQ1yUmtP0T5is08+MwO5hZrsaXu1+LFB76GACvX7uFETROVR33UN4bw+QPIqhqzjt86OZdQOBLjM+NpsNvtIl08CabraL/3i9a/XtbuZ32+VvtaiEQUPq1uxGYTuXdOIU/MH8WiGSNITrTz+7X7YnLRwbBsFGQ9bhcXZMSJo74hv302gEv7gf/Lzs5+Ho0W1LDKysplZ+H8X4lFIzt0ms4El425xbm8/PYhS3SawyHy7PoDzJw4xFjQozdz8Wgr9M2MJFq3k9skkfIVm8nPyWTh9BE0NAXxN4cNfYVe56Xw+LyRSJLImzuOWfIxB8MRfvWnnTGOdW5xbsy9lJd4eeCZ7fj8ARbPGkEgKMfoXjntItVxtEhAQwFOvPxC09/i3b+ANS8wIoTCKmluB/eVFRFRFFxOG2pYjklydFAjfr7F695TTrMY6O3Q7d+N3SbgTrKbaKzcSXYiEesOghkTh1h+tygK/PrZHaZx+etnd8SnG5Wt6UalOGhhRcVAmkQf77SL+PxBy1Z4FWtqRNvnoGu/qMXr4BOlM0fm6N1vXbq4OXnS/x+H5lMU9QtTFugdIiLW7y8QiuARXQCGLkk0RfL8kry4HPtdOyWZuM1//sR7jM7rbtH16qW+MRAXuZqarNHBiKJApNUvVftaOFblN9A/984pIN3tYsu+Kur8IW6bkms9thXr+9TXk/uf3m4EGxdkJFN1qolVr35g4lavbwwZyRcduX1b8VBTZ7aOntWp6RKcdoPyKRpZ6PMHSE5wICsKiPFoO4qQBQFJjIea7PDXHdZh37QJIpZaOEKrZu43ZVboVR3I1t6X6J0ZOrJSUAFZQZIkNm47Qun4HKOTun2Hc3KiPSaG0WJxgS5pCTEo5ocrdrFkdgHTJwwmHFHonKp1SunXpXcDZHgSWDKrgNq6oCVF49LZBUSiYpx4MXMgpHWCOSQNwNKhr3ruWgQM9D+YmQn0RJ8+z0RRID8nk5Qkp7HmRtNzNTQHKV+x2YjDEzvZKP+dFsPk52Qyc+IQklE5VuU3xo2VzvC8qXmIAjgdNjxuFwlOiRkTBxvxELSBNqt9LUYhxp1op4sngQef2WGi9qz2tRAIRiw11J5+7QNN4y8qDs/O8jDrusEx3Sw6gBA0DfOunZJQlJYYBgU95uiIGWIt2kfqPizDk8DyskIN3Nsunr51ci5OhxS3MKfHtU/8ZTel4wdaHpeZnkRtfZsfjqZmDEdUVq/TmDEkSYxLi+VvDjM6rztXFfQy0YBG+87pEwaT5naQmuykdPxAUpKcBto/+l6nTxhMj65upk8YjCARkxOZW6xp+/313Y8MqsaUJAfNwTCTr+xv6li9dXIuT720h+tHZ/P37ce4Ylh3Xtl0iIXTR9DYEoqhiDyd1MR/2v7sqzRZVuiSnsTJgN8Ag5u7Pb38+Y2DjMrrYZk7s+pW8vkDhCMRg6ZW0wBUmffoZtNnq30aaDRaM77yqI+WoGxiXwENrHzvnEKmjc9BVuD9g1V07XQ+d9wwzMht6GMuGI5w75wCREEwWI/0/ZfVvHI5bITCimk+nA4YpOVtgNac2tOv7TPNx2BYpra+xaBX7LCzZ22g7CJq6ltMfuGjzxqMTh4N1CzgSXFy9ITfstNOf5+6+fwBgiGFxSvfY/qEwez+V43xt5N1Aew2IWZc3ve0Ni6tzn1+l2T++u5Hpt877NZrgNMemwO89oq+SK0gpIamELX1AdO9LpoxwtLHH61qZOXaPSyOQ8+vquBJccbNRzotfKvO1KQfd6bdbO1j5/+WIvnXkTOPfrY2UUSUoNrXjM8fwON2GQXuJbMKoBX8GL3niY4j3IkO7po6nKde3Au0MRKqChqAfVYBvoYAKUlOVq/bx6i8HjE+M54Ge9WpZl5++1BrrcS6WzB6Plr9nJWZzJJZI0hKcBi1luhY9c4bh1nmom2SSERuA23Eo0D/puLcs1EE7Ar8HejS+p9u5/xMswE/+1E+f/rbfkrHDzLEoieN7EtGeiKLZoygKRDG1xA0+Gq1JEDbIIoe0FabsejNjM1mnRjVizfXj85m9bp9RrCrJ7Kjta907tjbiociCBoPbWKCDZfTurouCgKrXvvA2OClp7ioqdcC7+wsDzZRZPkqM+XcfU9vY1lZIa++s9+ShkMUNDFPp0My3c8Lb3wYMwEXlHpJTJB46Lm2TaauCfGja3IMMU498e9xuzh50m/cg+5kEDqoET/P7DZNHLqhMWQU7lKSHdgt+It1pyOKAqnJDlOxLzXZQSCkWNJ7Lr+5yPJcdpvA/BKvgfDRg/h4xbt4BUtJFLjzxmH86k9twfWdNw4DwbogYpeEGAqGu0u9yLK11uHyssK4RTrhLNFutW+P188TDFtT+f4308jUNwW/UGE0mt/c43YZQsDRC73LKVHfqNFkFI/pZ0kht3R2oUHtom/SfP4AigKrXt1rQk53z0imoTlodAVKogAC+BoieNxOa4CEiiFMH+3/K9YfpLzES8WGA4iCYCSQK4/6DBF5q7kQbz3R7ykUUQxtqZvGDjBoQvRj9XvRrdrXQvtmn4r1B1k04xJ8/iChsGxJTzdn0hBSk53G+nT/T4osx3JNfQvzHn2H/JzMDmH6Duuwc9VUeGXTIVNM9sqmQ8y6dsg3elnRgCV9g5jglFgwzWt0cEf7wWqfRiV29zQvEhrllcshMmVMfxqaQsa59ERzdpaHucUXo6rW8UQrvsHStwlgogv7fz8cxsLpl7B4ZRt13h03DMNmE+KCRFTA3xw0YqWt+47HAE3KS70oqqbZOHPiYMvEfoe+6rlj8RDXmelJhCIyLYE2xHJTIMTkK7V93l1ThxMMmem5bp8ylOwsD5VHfTxcsYtlZYWaLp7bxdWX9qF8xTsMubAzxWOyjRio8qiPVzYdYsmsAgRR0x75w6t7W9fpQqZdncOvn91hyF3oFr13jS7ELJoxwogjdMvwaHRKGemJLJ1doGl4yyqhcITiMf1oCoRNsUrxmH4xrDc6ze2phgBjC3uxZkOlQbd0xw3DeOCWSwmGZD6raTRARx0xg3USM94+AlGIiacfWbPLpK2mW4ZH64CbM2kILcEwPn8gLihBFAVkRWFeyXCe33AwhppxQatuu00SyOyUaJkHeGvnMSaN7GfkWaxi2x37TzD5yv4GKDlenJma7EBRVew2gVBIwWYTufPGYbgTHTjsEqDy1Et72LKvit3/quGnPxxOUyDMA6u343Fr+pRdOyVRU9dixNMNTUGuH92PmrpAq4ZXBJskxlBESnTQM58tUxQVVRIJKirpqU6Wzi7A5w+SnOCgsSXI7n/V8Pq2Y0ZXUvRY0LuV2q+L7kQ77x+sZvCFXYjISlx91ZQkJx63y6QZH68Ad6ohYOSqyku9rH3rX4wt6m2pczpn0hATjbIoQn1jfLBPpF4xOhjve3rraZsJMjxaV5R+/lsna5IoVwzrHjMfO+KDL2/tfS9oXZjzHn3HpK/nbw6DYK3DFq8gFghFjH/PLc7lxTe1/On2D06YYsKN246YdEp1q/a1GN357c/96clGLh/anc9qmow1NjXJOmehqNDFk8iSWQXIikptfQt/eGUfPn+AJbMKSEly8Nd3PzJAQR63C4ddjMnBRMfkqyzo+ecW5xKS5bi5E5skIkdkk28VRYEHn9kBYMxt/Vl/0ffX/n387Ef5pLps//Fz46uWE9IZMKJzr3fcMMwYO9G5qlMNAQMcpNdJrCie77hhmHH+yqM+duw/wTWX92HKmP4cr2k0KMR1inM9htB94+rX9jPjWrMGu9Mh8dSLe6k86mPKd/sbbIpW/vV0P+sF7mgqXz2+WjRjBKBy7xyNVrzOH6J4TD+6dkrSitt2yWD8sop9vsk490vnnisrK6ecjQv5JkyWFXp0TWHmxMHGRi46CV2+YrPh6EvHD9QGWnoCclSHRnThT9+M3TOrAIDjUZuZWyfnUt8UtEQPuRPt3FtWyNa9xyke04ZSs0pk6xqDdpvEM63oiOIx/eie6Y47sKM3eEtna3QbOkVNPFF4RVEpGTcQl9PGktna/QjA71/WNpf5OZlMHTfQFOz7/AGSEuxGIKcLxk4Z098Q046+Nr1dPfqZR1t7B64LkQsIiCIdgXc7EwUBURBN/ODlpV5sknW3nygKiIKGEMpMT0IUQFGd2GyCVsiy0PIT4hRjUWHNhgOm49dsOMDMa607BG2SdXeozSaQlGAzOfGkBBsOKTboKC/xIgoCaVYFNyV+wU1QVTq5HVrXkqIgiSIOCcIhzQWfDR59vYMv+jzxOeX/e5HO8TRO2xdGo9HP1b4WXtt8mKWzC5BlTRuvvjFAgtNGcpqDlXePRlE0Ws4X3vjQFLw2BcIxupIup0QorFHCuBMdJoF2PTEnKyonapuMz47O6x7D1T9vah5/eNVMMacnvlau3UNzMMzUsTksXvmeKRD4418PxMyF8lIvYUXmzR3HTJ1+OhoP2saOXvh3OiQWzRiB3S5yoqaJVzYd4prL+hgoOv0zaruh5vMHcDpsPFzxj5hEoX4f53VJ5n9afT/E1wzQfbp+XNsc60iUdFiHnSsmSYKlTrAkCciRb+J6tGSLqsDC6fls/udnpsRWfk4my8oKqa0PxHRmdE5NIBiOIEoCEUQCIcXQXmjvo9LcDkIRhadf+yCWIaPUy6b3P+GKYd0tfVtNXcDk23/5xx0sKys0xSrJiXber6zmon4ZludQFfjVn3YaiejumW6eemmPKW6qWH+AUXk92LKvih9fM8gysd+hlXbuWDzEddWpJjxuF107Jxl/b26JGCCh8UW9jX+D9l4fem6noQdZ7Wuhtj7ATWMHoKqqkUC4Ylh3FqzYbIyh8zonIwgQURScoo2fPab9bUGpl9QkJ8drteton1yOC9p0STGxtgbmtPP8hkp2/6uG8lIvFesPGAnG26cMZcf+EwZwNiUpHlOCw9TBq2vE/frZHdxXVkSiQyQrM4U7bxwaE5f/N5pVEnP5zdZANkkU4hakw7Ic4+/ml+QhiAKZ6UnY7SLzS/JYs6HSkgXpoWc1LbXbpwxlzqSLuOu3m2L80eKZBTz2wj+5aewAElrzBgJQUxdAVhWuzO9JQ1PQiOH17lNPipN0t4umYJhxRb1N3arx4syUJG2fqicF9YSbryHIeV2SUBGYMXEIP56gIgpQW9/Cr1bvNL5bL6LoXantNYLml+QBEJFlI/9hE0VsqEYM26G39sXNqpMd4MiJBpb+zxaqfS0snJ5v7K+yszzcMvkiwz9Z+aprLuvDq+8cZs6kIXTrlMSphiCSTcBmF+l1fprRhWwFSNSpDaeOG4A70c4/9hxn4fQR2KTT60hW+9r0Lev8QROjim4uh3Z3HreLUFimS5qbjPRE7p7mNbTs9XmVmuzgpTcPAeBO0iQjuqS5YvaWetK8fVeUXuDXu2X135tZWTr2Xv+OWflevQkjPyfTEgiRkuiIidVWvbo35n0uKPXSFAizvKyQSOt7GZWXRdfOiXyvoBf/8/JeU0zY2GztB22SGAMii2buuWdmAVO+2x93ggNQY45dUOpl5do9TLz8QspXbI55BqcaAjz03E7DHx6rajQYlvT44/wuyXx83G/KTWzZV8XM64YYzSrRRfKlcwot8+CBUIQku2TKnakIpLkdls/6ixS5rZhFlv7Plv+K2DleM8LZ8gMyQgwDxq+f3cEt11/Mz594z8h9ATz03E5Tzkun+tbBPtGf10EU+TmZXJp7AfN+q2mf/uiagUbuWdcozuyUyLKyQiJyWyf5XPJw8AAAIABJREFUUy/upXhMP9JTXFT7mo0CIED1Ka2obrX3q1ivyejo6/+aDZXGz/qc0n363OJcPqluNPKL/uaQARL5xfRLCEcU03pz9zQvWZnumNhHY95IxB4VV3zd9m8VAbOzs7tWVlaeaP33efGOq6ys/OzfvbCvy0RRMFq4o52sThH6g9H98DdpAYDdJuCwiWz+56cxhb975xRqL1EQqK1v4e/bj1F8ZbZRPHxm3X5Kxw9EUdW46KH8Qd1oaApxy/UXk+iyk+Z2xBRhKo/6DBqDn96kIUkfWbOLIRd25p6ZBdQ3acW3jduOUDymPx8cruF3Px2JJILNJnKqvoVJI/saSWWI15El0hyMsOipd2ICNIDxRb1Z/NQ/TIWiQChCotPOzx7fbDrfR581cM+sghgKDh0lAG30d9HW3oEbQuStbfcdgbfZgmFr7b9lZUWGXkd0J+adNw5DVgS27TuBN6cbqqoiCQJb9x2n8KLzmXndIBoa28b+zOsGIQgCr75zmIXTRyCJICvw4psfcv3ofpY0odMnqJbFPkEUSHM7TQm0NLcTVYVn1u1nVF4PXA4IR1SeWbefmdcO4e1dx0zf+/rWjxlf1AfBouBmkyTroKlVxLnWH/rakfVfNTLn22j2ON3R7Quj7ZMbr287xrGqRuaXDkeWVTzuBEQRTtY1c//TZlqpN3ccw5vTzaCCi0bxPFyxiyWzCpBaN3/enG4xQeNDz+00xqn+e/37p08YTFZmMkerGnE5REbl9WDi5Rfibw6zdd9xvDnd6NHVzbKyQpqDEUMPKjYQ0LpsZVlBEATe3HGMDVuPcueNQ6lvDBOKyHhSXKZOvwWlXkIRmUhENRU2F5R6SU6wM238IJqCIdNn5hbnkpGeYDxz/RnpQvfxUKg2SWTLvioDFBNvoxrt07UktsYT35Eo6bAOO3dMVbWkUzQQRhXUGIDA2bBoNgdUAUVREKM2pFbJlntmFvCLJ981xX4AxVf2N3Vm6DrFT7/2gQHe0IEM0QA9PVF8fpdkPj3ZSJ0/ZPjf1GQH6Sku1m0+zCWDuyGKAvfMKuB4TSMV6w8aHUmPvbDbdF/VvhbCYcWUCNQTyw8+syOme3FBqZf/+8dHpjisvjFkGTdNvPxCYx2UFdUSkPXfzCBwLpkNYhK80Ym45TcXsaDUy3PrD9AptW1ttUltmr/RXQWeFBfZWR58/oBRMFs8cwTVvhamTxhsJC+iixm3FQ/F5bThb2oxkNLtu6ze3HHMxA6gj/tfzr2MUFhBUVRsktBKP6bpndQ1Bkl02k0MNYCRCNeLeA89t5N7ZhUQisg0NodwJ1qjrT1uJxu2HKHaF0u3JCsqDR0dryZrvwf2uF2c9AVigGwet1PzBXEK0nZJIsmlGoUOFXjo2Z2kuTXQWygi09QSpmRcDg67yNLZBSAIfFrtN7TUQEvmLSuzpqBraAoyKq8H96zc8v/ZO/PwKMqs7f+qek2nO0mHLKyBEEhIQgLZOpAEUTYVAUVUwAWissZRR/1GAccBN2DmnRlHx1fcZgSXAZwX921Y3FCEhE32sA4gQkJCErJ1ev3+qFSlK10NqDiDyrmuXFfSqa6urjrPOec5y30z5eoMqmqdiq1OSbDzm1tysIYZ+f2viqhrcLHi432q5uTXPtzNhBF9VLZO5t05ddqp5DVuGJbCyvWHGJDRSUHpOFHdyF+WblFstdViwOX2oddL/NXREWr4MdmOdokL1+QIWrikjClXZ0hTOKP6IuokLtcLH9/qwpMzFVNe+2i3UviyhRv5zS25OFskzj2jXkd8tIWFdxTR4vJiMIg8Mk2ClTte1aDo5eqyo0rMIOXFclRTyIENiVowjvffkkuPzpGYjCI+n5/HZhQoze6hclU2iwGX26sqxkt5k85EWk08On0gYWa9CuJ43tQBzLnVgcWkx++HqjppDzh6UBJ+v59vAigjUhLsqtgEYOo1GZowzaFohgJRWW4fI+VuxIsFwXMWrQLS/MWlLCwp4vYxfYMg4+cvLtWkudmws4IJw1Okhp1YKzpRsr+B5wYUPx4TFaZQhci2qrnFw9ypAxR0LrlwsaW8gn7JcTw+s5Cq2mYl1yzriMEo4kev6P3uQ1XSIIUg2TNRJ7TGAibmTsln2cq9qiZjmXpk4ZIyHplWwPHqBiWXJ8cfc6fkq6Dv5fceOX5aGVYJ1Fn8fqIjzJp58PbFOT2EvNfnUsg7Ey/eLyF21hpGOF/i0Ri0sNvMdOwQzoKSQuqb3MRGmXF5fArCosmoY+6UgSBIsabWs+kSa+O5WUPR60Tqm11KM/8DT7dRpgkCVJxqZPnKcgZnd6VTTDhLV+5hfkkhfj94PD78fnjvi4Mq3VtTdpiJI/ooHMORVgl63GwWmXJ1hmInt+2rZGhed24f01cpcENws5CMXhAdYeZ/7hpEzekWGptdQdRsj79UqkLRCxzMWlBS9F+1x993HewDbK2/f4MUGrVfj35A9z3P/x8XPahgBdeUHWba2AxOVDcFBdxpPTsoRZVIq5H4aAu17fjH7hqfRVOLR8GpB6mwGGk18uCidUGfb7UYOdm6mZo3dQAWs4Ha+hZVwkPewMmG2W4z8cJb0nhqmMmgJE3kICvWboaeMUqXkOw4dh86HgD9MiCoMDG72IFeL9DU7FES5uZWbgm5c89uMymYv4ETfs8+MERzYfv9UjEoPtoijZzXSonpQExgQZQWryy/dAP+XSUUTIzP59fEKhZFAZ1OIDUxRgU5O2uyNBGgJaKAAtEReK6Jl/fR3HyKgkCE1ci8qQNbJw3B6/fh8/nYc6ia/snxShJy694K+qfEBXX93DU+CwTISe2o0uUzcRiJOrSnH3Xg8aI4AXkzuLQVeuvH7A76sTtzfooSGW7ShHNtXxjV6rZPS7RTp1HMnV9SSMWpJj7ZeBSDXmBUUc8g2ywHyvL68CFt/kKtITlYDbyG8iM1vPj2dmaOy2TFx/u4c3w/DHpJgyKtBq4q6hnUfRoIzRsYCMwcJ8HwGfQ6pWj5m1tyiQw38sYn+9mws4JnHriU+a264/X6eeOTfRT266xqzpAD5EemF+D3+3n+jR3cPSGLDpESN2h1nQTFO7+kELfHR1VtM++uPcDUa6SpGS1I61mT8/D6fIwd3JOc1I6q6Zy2yWyB59/apgq4fulTrhflolyoIooCzmYPJ1ttm9PlIbZ1wuJ8eiM5Abh05R6VXw9MTPkRWLpyj8qG1TW2BBW+Sncex2AQVY1Der2A3+dnaF53xcbLdrr8SA2fbjrKYzMKaXIG82S98sFuJSZaUFLIrkM1DM7uxuz//UIVC4kiRFqNmhCJgqiOGCprmom1hzFhRDJRVgltwOOVip4mo6iyn3H2M0NFzZE5AQWBX0/M4kS1xPlaU++UeJsNIj6vXzOGuMgheP7kXO6lQS8VTqrrnEGJuMpTTZTuPM7EEX1UfCCynmoV7e6ekIXFpOfZN6T9n9wsFQquLiYqjN8++yVTrs5QYIcC15Pclf3ppqOMH56iJMnz0+ODJoLvvTGHF9/aRk29k9nFDswmUZM/0x5hUv6228zoWhP3AP+3Zm9Qt/+syXl8uO4QjvRObNtfFQS3JF6ceA2S9nvgcUN6B3GsP7lsCwtLivB6veh1YtB+/q7xEtScI72Twr1TU+9Umo87RJqprnOqYOznFDswG3VBk05SvIyqkCdPZMv804EF3sBrP93oCppYeXftASaO6INeLzJtbAZeH0y6KpUnl21ROKrb06HodAIjC3vS0OTS5OaZv7iUR6YVUN/kwm4z4fH6EQS0p3dudZDUNYJ7JmYrzRXyfU7oaKN4VF8FWlerKH3Rzp79HoQqpvz+ziJGD0pSYOEWBEJiT3ZQ3+xCQMBs1FFxqgmrxUi42YBeFDX1UhAlVCytvNGGnRXcOsrLA09/EfQ+o1GHThSV6aYJI5K5bXRfplyTgU4UeO6N4H2Nz+9HFARVblCeHpF1pT1s3LwX1vP4zEK+qaxn/9FaBvXvyu1j+iIKAjq9wGsf7lENF7z49nbmFDs43dSCLcyIKIqaMUgohKG6BhcpCXZGD0pScbPKOiw/m1+y7p5JQuUfPT6psKJVAAmFClDb0EKUzcxH6w6RmhhDh0hzULH5/km5NDs9iGIIW1Xs4L6bsvH5JBqocIuezN5x+HySLgbmmkE6R32DK8gX/O2dHVwxsAfLVu5l+rUZqhyzPGnaHs5RikEEEjpGqAr1Ymthed7UAcwLKFAGNkHJyAbyvThZ20y0zaw5Rds+tys3RX/fPPB/ghfvlyrtKZ1C0ZfFR4cx/doMle+fNdlBXYv2dGt7uOM1ZYe5ZWSqkhfTiRKNUHy0hctyu/Hksi3cMzGb2nqJvzewMX12sYNrL+ulrJnoSAlaf+o1Ere7yaBDFKG2Tr1OZk3OY+2Wb+gaZ1XWx5xiR1BcLccv7fPnst2XRc4zauWkdToBX0Cy8z8dU+jmzZv3nd/09NNP/+nOO+/0tv6+EHhc60c+5r8kUcCvm5tdIbubdToRp8eHy+sHQcBmMXBpbjeuKkgks3csOlFQOnkBGp0edhyoYlRhTxa/v4vyw6e4LKcboigovCCBx11VlEhmr1i27T9Jo9ODy+2hILMzG3aeoNHZhrkUZw/jyoE9MOh1DMrqgtmox+P1aZ7znokS5q5OFBiSm0CXOBtuj5e/BDiARqeHzeWVDM7uquJ1a3R62FJeyW2jM/hg3SEanR7KdlUwIKMTI/K7c/UlPRnmSGD1hsMsWLKR7QeqmDkuk28qGsjsHUO/5FgWrfiatz47wPodx5l+bQbHKhuormubNBmc3Y2yXcHfb1heAk1OD/NfKmX5qr1sP1BFyXX9yEuP56+vb+Xtzw+yfsdx+vWOwWLU4/f7EXQi63ccDzrXcEcCwo/Rsg6Eh5se/lFO/N3lrPoLEB5uoqlJmtYT9SJfbQ++XyPyu5PZu00P5Y1+pNWI1+vn4YCRbFlHhuUlUHO6haf/+TXvrD3I7kOnyE2Nx2oxkt6zAzsOVCnnkooMZrJS4thSXqm8PmtyHjarkcYmD4/9fQPLV++jbNcJCjO7YLMYMBr0PPziepat2sv6HccZPSgJs1GvueaG5SVovj40NwG9KOATBbyAoBPRiwIur59nV2zn5itTGVnQg75JMSxbWU5+ekdEUaBzrI1FK6TvVn74FJNGpmELNyD8yKTBfr8ERyoCgt+P/xz1OPA5n+W4n5T+WixGGp1uUhOjuWJAD7JSYomwGjHqRNW90YsCeemd2NyqX/np8dwwLCXIRm4uryQ5wU64xUBK92jqm1yq4FjWm5uvTGXt1mPE2cMYkpuAs8XDydpmwsOM5KbGcaKqSWXXslJi+efqfUwbm6HS/XtvzEEUBS4f0J3qOqeyXgozO2t+bm5qPAMzOgWtH5vFyOL3dnPkxGmuGdyL9744QKcYK34gv29noqxGOkZbmffCepav2kvZrhOMH55ChMXIG61wMrI0Oj0MzUvAoNOx53A1yQnRLFxSxutr9rHzYDW5qfE8tXwrH371bwZmdMKR3pFNuysYe2kvVpceYe+RWm4dncaE4SlkJMXw5qf7iY+2kJvWUbWZP3aykQ07TzDC0R09fnolRCvPRw4Aww26c9Zx+Onp77muywtZwsNNLF1Zfs7H33h5n+90vPyen/J9+tnZX1HkZG2zyr/3T47DZjHiP48bDp8oMO+F9Vw3JJlFK76mskbqcr9+WDILlpQpvv/WUekMye3GcEcC+emdsJj19E3qoPLRd1zXn3kvfMUH6/7NmrKjfLb5GFv3nmR4fnfCzQZeXyMlcCtPNTFtbAaNzS4uH9CD2gbnWX1A36QY+vWOCTpuS3klKd2jibCYyOgVE2S33R4vq0vVDVFXDOjOP9fspVOMlfmLS1m+ei8bdhwnN7UjKz7Zx94jtcr5q2qbKBnXT2U3Zxc76BhjwWrSUe/0cOxkAx6vH4/Xx8DMTuw6eIpPN39Dao9o5i8uIy+9U+t0gfSg5cLrvBfaYqv2x4SSn4z+BsiPaYPP5V76RIGHnvuKnl2ieOb/vuaj9YdVsUPfpBhi7RaeXL4Fl9vLraPT2VJeyaFvTzP92gxSE6P5339+HaSfI/J7sOSDXcTZw+ifHMslWV1pdLrZfehUUIw/NC+BZav2UnmqiWGOBF5fvU/1PRqdHkYW9KBzbDh/WdqWyJhxbabCvy0ft23/SeYUO+ifHEtDs4vIcJPmPuyqokQcafGMGdSTnNR4HnlxPctX72X3oVNMG5uB2aQjLSCuMxp0/OurIwzM6MSlOd14d+0Bjp1sVGIFk1Fk6cq9Qdc9qigR8Ryf189Nf9vvgUcW9OCdtQdVxzQ6PVzVeo/8fj8Wk54heQmMLurJkNxufL7lGwoyu7Boxde8/flBynadYGheAqMHJRKmF/H4YG67PaCUP9Dey6d0t/PbZ7+i/PApxc5OGpnGR18dokucDZfbgyO9E2aTXoml20/byTp+/y25vPHJfl77aA/pPWPwen38+R+SDZ4+NlPxGYHXlZwQzR9f3UhOajx7D9dSXedU2fSP1h/mqsJEfv3EZ2zYeYIB6dJ0d//keCVBqJxvj7RfeOg56fvMHJep5CSWr5bi7Ekj0zhW2cCRinpq6p1k9emIFxB1OprdXh567qvvbGfPoAsXiv7COebQzmYfvcCyVcHrenRREvMXl6piA/l/W8oruTy/B00tbpqcHp7+p5R3Ktt1gmGOBE17lNQliuff3M7wEP+/JKsbG3cH6/PlA3rw8IvrlWaM//3n14rPdqR3JKdPHGW7KlT7Go/Hz1+WBccK1w1JZu3WY0ExhnxMQUYn/vr6VsYPT+HVD3fx7BvbWb/jOOk9O5CaGM3qDUcYPSiJkQU9KOzXCZvFiF4ncrKmmc82H+WmK1JVOZa7xmfxucbr90zMxqAXGZKXoJqKlNfQ8AHdqW38fjHCmeRC1F/ge8UHZ8w/CoLqf3IB5B//2sOkkWmqOHHWZAcxkWGsKT1MTmpHFq34mjc/PcCGnSeYcW0mVwzoTk5qPH4fPLl8C5v3nGTmuH4sbG+ryitJ7xnDvBfWc6Kqid7dopi/uJRlq/ZS2E/ax6tyExNzeORvwTnk64YkE2k1kdg5UtMm/25KPskJdv7xUblS/M5Pj6dXNzsPv7Cetz47wM6D1Qzo24kl7+/m441Hye4TjyO9I5cP6M4VAxP5cN0hynZXKP57dekR4uxhPHR7Pm6Pj9qGFs04Riu3+0PywO3zRnF2iRPQohc19fxC1N8LNQehM4ikBeSCf3V9P57+Z7C/LsjswuMvbQiylfl9O1KQ0Znt+9V7qtc+Klf5dNk/3HNTNhaTAYNBJMxkQBRFauudHPimjqSuUfTrHcNflm0J+pz+ybE89NxX7D50ikH9uvD3d3ewaMV2ynadIC+9IzWnW/ifVzcGvW/muH78c81exg9PYceBKgZndw2KvSZflc6Ty4M/89bRaXy2+ZhynJyHd3t9ZPaKUWJiS5gBk1Gn5J1/yN5Nlu+qw99roKq8vNwV8HvL9znHf1vaIAraKrgL7ijkRHWTUqlddL/2VJsgCDw/exg6ncB7aw9Q0K+L5nEul4+X3t2pwB0YDdLtbt8BIsEy+nj5g12MHpTEax/u5tbRfVXdafLUSkOzS+nS8Hh9PLV8S0geJy1erMoaCT4g8DiPx0fJHz4OgvysrGnjqDAadDQ0uZRrKt15HJfby68nZHG0skEZsw0z64I6EWdNzgNBCOI3/PM/NrGgpIj5JYW0uHy8+ek+aWy2tfPzu8In/tK78nQhePaMBhGbxaDqoLdZDAiCgNfr1e528vqCnteTy7Ywv6SIE1UNKhixrXsr6Bgdzu5D1Tw+s1AqdLXCig6wdmZZu6m7ZSslrsDA4LSyRoIceHymNtRMyKlQv5/65mCokYjWbtf20486UQC/oNkpvaCk6Lw/k4tyZqlrbFE6yGSRRuTV3d/yFOXCkiLcXh9en5+a+hZNnTAb9ZxucLFoxbaQttFmMSjro7HFhbPFGzTxLXfESXw4eqJsRvx+ifxXFATcHi8na5t59cM93HNjtrJeUhLsxEeHa36uXiey+L1dPNo6dWjQi/j94G3tShua150vtn7DbWP64gvgO7w0p5vSbSSf66nlW3h8ZqEmjEe4WU/ZrhOaUBoy1NGKj/fhcnsx6nXk9+3M13srVLAif1kqdaSmJNjpFGMN6U88Pj/41VOuRoOIzytxc+p04i/OFl8UtbjcXmJjbWc/sFWcLR7qTzef/cCL8r3E4/XxzucHVH75nc8PMPWazHNOuJ+LyH47cIJJC35NhlyWO0Afm1EQZLfqGoPtvd1mpq7BRW29k/z0eIbmdcdmMeDx+rj96gx+u+jLs/oAeSLl1tF9NY+zR5gQBDAZdaoYymLSYzTqlGmuCSOS6RxjRRRFbroylcf+tkF1/TJPYeBUVW29C4NePd3o80ud5l6gpr4lyC9J3bbrFDjJ9tNSoSYvfskTVd9XzuVeyugBKz7exz0Ts5VCspyMXfzeLmZcmyFBh3e08dK7Et9Pzy4RrRQI2rEt+JUk4qFjNeSmdSTKZlT4S4bmdScuOgxrmAG/H+bPLGTJ+7uoqm1WdFKGE5Mnfd1uNYRTIDxp4GcLAUagxe1lwR1SXCB3VHeJs9LQ5Oavr29VOI8D71FVbbNqLwkoiAcxkWEYdTDtmgymjc0Ev9TR7/Gj2RluNIh4vf6f3b5O3q9W1jRBiBip/R7Y6fKcdbJBhgDTtX7G1YN7cejbOu6ZmI3P78fn89Pi8uL1SudvD+slo/OIosDD0wpYHDAJF4qT7OUPdjJ6UBKbdp9gwog+vPzBTobmdadrfDj335KL1+dj7pSBvPnpPlaXHWVYXjfGXtobn8/PuCG9ubKwBx6PH1sAl2SoqVf59SeXbVFNmQTadHlCW16vj88s5HSjK+R+Qf5d9kPt42w57h09KInZz7RNiref9vql2dlzsY+hpnF8GrFBIFyrXi8QF23hgb9+oTp/dV1z0JSxPHlUWdPMhh3HNTkA3/w0GOXkrvFZ+P3SdQRCLSvf5aVSHptRwK8nZBNlM0n2Ry8QZtaH1M1Qf+enxxMTFcYj0wbi88MNwyUKFZlnKtpm5trLevPksi1E2YyMH96Hh55bp7rWtVu+kXjf9SJuj48Wl4fUxBg++PJQKz+bDZ/PT2OLi98v2Rgy9vF4/RdjhLPImfKPtPvfhBHJyv6/tt7FozMKONWKCvDcG9soHpVGamKMJuzwrydkEx9t4WhFvZJfbXZ5NJ9bQrxVmuSMMKnysas2HOHqS5NUiFtiCJjYSKsRa5gRQBtm3ivBzwfSiNw2uq+ii/J5Au3vn/+xSfk9Pz2eSSPTGZqXoMQdMjykyaDj0aUbVPxwgffWqBNwt0vu/hAaHS30rZgoC9XVDd9RGy48OZf44ccUwevHHkDpFGU1aepbKLhivU5ErxcUKjW9XmTZyvIguGObxUBmrxg8HumYhlZkGRnS/r6bstm+/yRpPWPO6t/nLy7lzhv6M+aSJDpEhuH3+QkPYct9Pj9D8xLw+f3ceUN/7BHmID8WadXmvu4UY1WOjbOHMXfKAACsYUYiw6X9ZE19C16vD6/Xr+TI/ht7tx+MqtjKCfggkAVYA/9XXl6e+UPP/2OJ1s32ePyqwkdVXbNm8KLXCYgiuNw+3vzsIMMcPbQDc51Eahpm0mE26TlR3ahwjMyanMeE4SnUNrRgDTOw5P1dDM3rrkAj/K6d85dHq20WiSfwlQ92M+UaKWkRisfp38cljPFA+Ls4exiBdiLOHqbAsgRyXslSWdNMj84RNDa7lU1dfno8t4xM5WRNM7UNLRj0AreMTCPMpJe4Jfx+Hp1RwOlGFzWnW4iOMOFya290q+qacXt8vLv2AOOHpwBtI+HfBT4xFO78L4lPwuX2aXL/PTApVzUxBdJzX3hHEfoQUBI+H2T2imHspb1V3H+iCD272lUbodnFDkwmkdTEDu3GovMw6AVNeE+ZGy1QKmukArvmWgrxuj4EhNAf7iwKGTi4NLCsZaP/k8Ev/pmI26P9LLSgHrxeH36dyEPPrVOCXy2dqG9yKxvLUMfYI8zMLynC5/fh98HvlwQHuPNLCjl47DRLV+5h0lVp3D6mL00tHk43uoK48ERRgqxISbBzy8hUFexXIOSxNcxIlM1IVW0zfj9B6yI60kRMlJmHAuBb7p6QFbIILifb2sN4/O2dHRSP6qtAR7d/X49ONu69KZvqWid/em2zBP012cHJmmZVh6vc4ajlj2R/IrZC8srJJ5NOpOa/wLl5US5cMRp0jL7v7XM+/t0/XU39j3g9v3QRBIFrL+utTAHJzWih4LW/r8gJwEA7HCq5K2/W7DYzfj9BTXB1DcHwMRNGJLNgcSmZvWJUMIeyzcnsFUNEuCkItmbCiGQ6RJpZUFKEqINJI9PxeHyaviLaZqaqrok3Ptmv4ir+fMs3DMlL4L6bsjHo9SxcoobUz+wVo4JNlxMwgTJhRLJ2bFZShA+/ZiPWI9MLVHF7e395EUb//MnZ7qVOJ+LxScU6IAiu1mAQ6RZvxeeHF9/ezj0TsxW+n2ljM/j9y1JDjpbeGfS6Vo4gM4Jg5zdPrSWzVwzXD+vN+OF9WL5KgtgN5J2898Yctu8/ye+m5FPX4FI1BM4udhBuNqg+KxDSKTBO0YmCCnpWrxPweP2qxqhlK/dgt5lJ6GgLWquh9pKdY6wYdeB2eRGA2FgbJ0/Wt/J4B0NZzps6gLp6lwou/ucQS5zrfrX9HthoEIPg88+WEK1tbSSQ4TXbw35G2YwqHWgPTfvAJClXYQs3anOS6QQmX5WOySgyalASz7+5TSkIxkSZmfd8G0zcrMl5pPawk9glSkXtMKfYgcEs4PX6yU+PZ8POijPG9/JnBxZZ4uwSjPK9N+ZQXRcMxWVpp/vtzweS7+kUY1VObE8BAAAgAElEQVSS1rI+2ywGzeYVrULkL8nOnouvCZXENxlE5k7JV/jIvvz6Wy7N6abSvdka0Grb9lVR2K8zM8dl0qlDOEcqGhTYwkenDyQmKozmFg+PTCtAFAVq6ptxuX0MzUvA4/Vx303ZRNnMHD5er6JCCBWX1De58fn9zHuhTV8X3FGo4gNc8fE+auqdQRDHTpc0vSTDLgfmR2YXO7jp8hRSEzsEfeeoCGNQ8VMuRtc1tNAh0kzJHz4G2gqnZqMeURRoaJYKgGfa/4aivfgl6e7Z5Gz5xyibkZnjMrFajEQENC+UH6nB4/HzxNLNSiOOPcKs5AgCpbKmmfgOYdQ3ulXNXqFg4o9UtA1cBB5/z8RsPG4fjy7ZoLz2hzuLeOb+IYhCW/5u2/4qIsJNLH5vB7ePyVCglwNzDbUNTqwWI7+ekI0gSPzhhMjXBcI+2ywGBX420Lbfd1OOEkvMmypxG1fWNCuc3DIP8kvv7mDiiD5n9YHftRGoPS+eKP70y9wXQr7b6/URbtCREG9rfS7auWSvT7u5q0OkmfpGt8om3j0hi6MVDUG8lBOv6ENdfQt1DS189NW/NaFy3SH2b/VNblVzSaw9jLrGFiWnNXdKfogYWMRs1FHb0IIt3Mi/vjoU5Mfa7y3lzxQFFN2Wa0UPv6huHoq0Gnnlg70qqHFbuLYP+jHt8veCAw2Up59++l+AG/gb8BGwRv658847953pvT+ynHGU1gtsKT/J9LGZjCzowZDcbtjCjTjSOjJmUBKX5XbF5/dT1K8L2/a1wSg+WOzAZtHj9oAgwPodxxmU1YX+yXGqUey7xmcRaTOS1DWKP/9jM6+v3sfuQ6e4e0IWw/K6YdDrMOilZOlbn+1XOpi7xNmCoBF2HKji1tFpjMjvzpqyw8TaLeSnd8QaZmTj7hMc+vZ0EEzdXeOz+PLrY4welITFrGdQ/65U10nQQ59sPMKewzVKgG8N05PTJx6jXsf21nPIEmcP49LsbjwaMFZecl0/WlzedlBSsThdHu7+82fSCG7vWLbtO0n/lDjqG91U1jRpjn+n94xh0YqvuW6IxGMxc1w/DHpRGfM+V/hEGXYq8L5tLq9kSN53gw69gMbBv/MouKATee+Lg3y0/jBryo6ydusxdKLApTndVLqen96JQ9+eZkBGJ/Q69Ui3bKBiIs10ibPy+EsSjOfG3Se4dXQ6ZqNeCYahbfz50pxumtCzl+Um8Nmmo9w2OoMxgxK5JKsbX379DckJ0azXgC69sqAHOX3iVdAWsyc7iLAa6J8Sy8C+nbhiQA+K+nVmqKMb4WYDG3dXBn23/PSOWI06huQlMKookeGOBMINOikg+C/AzP5Q+dnB0bWKwajny6+/Peuz0OlECfLV5yejVwz2CDNvfrovCHrjrvFZLFtZTp8ednYfOhXSNi5fVU6cXeqMt5j1mnA1Vwzswaz//QJrmJH+ybE88rcNpHSP5q+vB0NoDM/vTmFmZwb178ofXinjwDd1ClTS9cOSFSimjbtPMHNcPwRB4Il/BEPUXZ7fQxMGenB2V01opry0eN774hA7DlS18ptEsWxlOV/vr2JIbjeq65yUHw62uynd7fy/p9YqkM/lh2tYVXqY/L4dVT5PC+Jhx4Eqpl+bwaD+nRk9qCcGnYhOEFTwaN/XFv/U9PciHOiP854LDT7052Z/BVHk0YBJtUanh237TjI8L0FqI/6BIttrn8/PkNxufLX9W8Ze2psdB6romxSjaZP6JsVQeaqJ4lFpzF9cqsCAThsrwc4fr2oIgs4cc0kSy1fvZcKIPry79gDXDUlW4L/fWXuAmy5PZcn7OxU/0TXOxrRr+9Li8uL2+Kg+3YzJoCPCasSg146FnC4PBr2OoqwuWEyGVk5WH7lpHZn7/Fek94wJglWS4WTe//KQ6jteVZio0AEEXn+gSPB+PfH7tWHUZLqCZSsl2Jz2/vKHxDc/Ff0NlB/TBoe6l1cM7I4PAbfPT1Wtk0uyu5CcEMWTy7YEwdXePSGLR1p9en56J8oPn+LmK1OVOEKGr1VDiOXx72/riI6Umk4ffkGCqyselc6J6kb+snQz1w1J5qOvDql0/oN1B7luSDKiILBgcVmQTuamxnNlQQ+K+nfBkdYRk1Eku098UJyyfsdxpo3NYO+RWj7d/A1XFiSycEkZN1+ZyqFvT9OrWySDc7qRkRTDY3/fELRW5fgriJpgQHd8PqkJV9BJsE7NzdKzC4SylGN2g06nCVUZKpb4qejvd4mRAvfAfm/wPZL3NbIosTKAILLk/Z3sPVIbEl5zaF4Ceekd2VJeyc1XpgYds3VvJRm9YggzGfjoq38HPdM+Pey43D52HqiiS5yVjKRYTje2kN2nYxAc3ZbySm6+Ii0EjH80f/7HJmZcm0ltvVPas14bHLsH2r0rBkp7waJ+nRlZmAj4iYkKY9H/baO6zklKgp1fXd+PiHATHo+Py3K7qqAd757Qdj654W3hkjKVPjc2u+gSZyPSauTtz4OhWEcW9GBNa7PHD91HXkD6C+dgg8/ka2SaDrfPT5hRz4gBCYwe1JNhed0x6EU8Xh//XLOX59/awe5DEtx3ewjELeWVPHirg8xesVSeaiImMozxw1NY/P5OuneKpEOUmYZmFwMyOnFJVldeX13Oc2/uYEu5pLMHj9UQaw/nT69t4p21B9l5sJrLcrohCBLaRGG/zpiMetJ6dgAEzfzXsLzu/OGVtuvqGmcjOSGav76+VdGT6ddmcMXAHrz16X4F4vieidkYDSLXD00mp098kM5vKa/k9jF9lYnFwNcHZ3XVjAtGFSXS3OLBbjOzfsdxusbZuGWktGbLD9eQ0NFKh4gw+iZ14NuTjQo0c+Aaml3swGLSa1LH/NAcyIWov/D94EBBsr16UQBByj0gCOhFaa8rIoAocrqxhfoml8rfDXd0o6BfZwXSfuvek1ya3Y3SXSfoGmdT8lVF/ToTaTUH5dSqapuYcW1mEPTrspXlXFXUUwVB2DXORp8edmKiLAzq35XLcrtS2K8zLrdPoeGR83dXFPTg/9bsZe3Wbxk9KDEoRpApS/7wykYuH9CD597cTr/kGEwGvWb+oW9SjApSPy2xQ5D/2LbvJLeOTmOYI4GGJreyxqrrnKzdeozt+6ukvMWqvefkA78LjY6WnClevBD1V8v+nq989w8VURTwIRWKDXoBR1pH1f7sgUl56HUwoG9ntu5VU8U4Xd4gqGK51vHZ5mOKzn/01SFyUiT7OdyRQHafjnh9PtJ7SvvFIxX1bC6v5KqiRE2qqi+/PsbVg5MUaon1O46TGwAlfqKqienXBufqKk41kpvWCaNBJCLcSEr3aEwGHZflduOSrC6kJXZgdelhJoxICfpMl9vL3OfXs31/FVcMTOTRvwfn9NISO9C9U6QCH725vJJhed1/cG76PwIH2k7SgaLy8vKfVFue0SAGEVC/8Nb2IILih6bks+COotaRTQGTQeR0o0shKn5shtRt9NqH6gmsd9ceYNo1wZCHr68u54ZhKfz+5baOjbvGZ2G1GKg81Rx6vLRDOOEWA5dkd1OChvz0eAX24JUPdkudUTFWTtY08emmo0FdVQ9MysMSpic1MYai/l2xWQwqWNK5Uwdw/y15SsATZ5fGWPV6QdXp2SEyTKmiy9cndyjLfz+xdDML7pBgPlvcEmH5/ZNy+cPLG1XfW4ZwsFkM2G1mCUbODzqdDh3+c+/2uNjZhEEvMmtyXjsC1jwMBkGz40eGlPhk41HmThmomvibeHkfTbjOx2aEgOv0ak906UQYlNVV1Rk0a3Ieep3Ab2/PRy+KCnyBx+fD4/WxbFU7+NBVeyi5rh8uly+o+8nn9zPt2r6cbnC13gOBadf2lSCEWruN5Q4guVNWD8ybOoCKU01Kx3Z8tOWc4AUuyvmVyHDTWaEeArueZFu9+L2dCqn8nTf0J9Zu4XhVgzIxHWE1KueVbWPHDuFU1TYr09bysQtKijS7eeRu4nFDeitrIVS3qMvt5e/v7OS2MemqTre7xmcpui8fu2CxBDGjdR5PiHXU4vIE3ae7J2RhMupISbArx9ojTK18EAJeH1gtBn57e74CTSe/LxDSSYZ8nr+4FFEQ8Hh9/HpCNvYICf6m/fXYbWbCzQaVPXmw2EFUaxfaRVt8US7KhS0er/Ya9ZyHNRqqSzXKZmRBSRGCEDwZINukm6/so8Apyl2ZBr3I3ROyaGpxY9ALrbBXVkRRUJADYqPMmogDTrdHmbyacnUGSV0iOHGqKQhi02TUUVXr1ERSKB6VxvJVctxepsTet4/py29uycVmMWoSwet1bVNW8ueEmfXMLynE55Mg0+ub2qYbA7tQPV6f6n+yxNnDMBp1vLv2gDKJ3d5f/hD4pIuiFq17qTWdds/EbDp20IYA9wSgHaz4WIKkM+hF1eSA3BXfo1MEOlHAh5/I8A7MfuYLHptRoPBVNTS76BAVpsCJdohMCUIlqG920ezUhhOzWowKzG6cPYw5tzqIjQ5j8lXpqkRkZU3b5Mn8xaUKZF5slFmZFNOCAZUaOTOxhRuDoFHvniBB781+pq3z+7e35RNp1uP1+jTpHFpCoHb81GOJHxIjtZ9sCIyT/TohCIXhrvFZ1Na7QsauHq+fzzcf5dEZBQhoT3x0jrHS4vEG0U3MLnbQ5HRzoqqBtJ4xzAl4tnOKHcyenMfJWqeK0sQb4pnK17dwSRkL7ijC7fFR1+DksRkF1DW6sIYZWfzeDsXuzS52sOT9nUr+QobevfembGrq24p6gdd77405rZOtInabiRaPV4G9m3xVmgoFQ9bnx2YU0NjsxmjQa9pjedpLtg2CIOARBHSiqEy9/lwllK8x6gSq2+nhvKkDcLt9Krsp62b5kRpNuO/KmmZOnXYqiFYGvYDT7VH2fjZLL5UvDzyfPOUn66R8PhmW+8W3tzN7sgOTUcqBXD04KVi/Jztwt6NMGTektwJFKp/zyWVbeLykkKF53blmcC/qm9wsfm8X5Udq+J+7BqkmxQK/m2xX27/ubZ0ub69r0RFm7BEmDAaBOcUOauqdPLV8i+If2sc/r3ywW9n/xkeH801lA8tW7qFkXL+LMcI5yNkmruwWAxaznj+9tlkFb1nb0EKk1YTL7VXyVE0tbuaXSLDEgTm6R6YNDNKBDTsrmHpNBo/NkHKq/z5er0y7Bk7el+48rvDrBsa/WtROC5eUMb+kkNVlR4mzhymvB4oUt0qxSUOzi6lj+6ITpUaS9vCdsyc7ePaNbar4Xc5/BE5e1Te5SehoY8+hU6QldQjSO9luy5/vbc3/+nw+xNY4APhFUz21lwshx6K1Nh6bWdBaL5GejVxfyE+P57EZBTS3eKg4JfGbXlmQqB1rxFp5fvZQdKKIHz+TRqbj9UlceoIgqHLJgahU7nZIeB6vD5NRR2G/zmec4C8/UsPL7+/mN7fkKL5Cnmid+7waAevdtQcYc0kSZpNOmf6+YVgyC1ppinw+P36/j4ZmN4vuH8LRygaanNpoXGajHrNR/ZrP5/+P2+XzoS8fAQXAF+fhXP8x8XlRnP2UqzMUpQhUFrvNzOkGF4++2JZA/d2UATQ0qUe3/9/NOUy6Kk2ZhJILHVrB7tC87ipyajnQnF9SyNKyPRSP6qvp/I9UNJDYOULVNSRziywoKeJUvZMoqwlRQOFpaK/4v3+5jLlTBjJ/cSlzih1B1/HwC+t5vKRQgbMRRQmuT+5gkhdCqMDFF9BBLt07NwuWqI393ROyEAUBe4SZJ/6xWQnofX4/k65KVW0iAhPLZ5NQuPOBXAk/d3G5fSxfVa5KYi1fVc70sZmasFIL7yjCoBe5LLebyrDePSErZFFPDHWfQ8CKer1oFhMX3FGEx+PnsSWBxUEHoiCwYWeFijcHYOo1fiWhIJ/niaWbWXiHNo+fcBYEZbdbXVB8sNgBhotgoP9pEUXhrFAPgdDNsq2urJGw98cN6U2UzYTZqMNk0Ev2RRSormtm465jzJs6EIDqumaMehG9TmRoXnclcAA43dgSxDFx7405vPTuTkDNTRIKXuVEdSPjhvRWQdaVH6nhdIiNLYRaR9qv1za0kBBvU2yznKCuqXdy5w39EUVBBdkZCA86u9jBA5Nz8Xj8REeY+eOrwZBOMpeKDKU6+5kvJYgmffD1TBiRHATB+/jiUha2cmpetMUX5aJc2BLKj4vCD4fKOSOvQatdt1sMzC8p5GRNs7JZq6l3EhMVGpbu3htzqHW5+PLrbxlZKE0m2W3m1iKeXmnekz9T5qsCqdAyf3Epz84aqhkLPTq9gLoGlyaPcH2TWxW3yxvE3z4bbG8DYWy8rRyqciz2ycajjCrqqdrg/W5KPvfdlMMbn+zjmsG9VEWT2ZPzuO+mHBVk64O3OjCKEp/a7WP6avpLr9dHh9aCq8zb/HNPRv9YogVFJSAw7wU1VNsTSzczd8pA7Rg4AA5JLvjdPSFLdWz5kRpefHs7d97Qn7++vpVZk/MIN0txhyAITBghIaX85pZcfF4/L769PWQRbu6UgVQ2aVNZHK9qUK/Ll0oVyNFQhZk4exiiKJCfHo/R0LbOQhWVusRa+ds7O6itdynNVzpRABFeeEt9vY/9fQMLSgrRaySUHix2EGnThlv6qccS5ztGkhNyNfXOoOSvXLANFbvqRIHctI58e7KBhPiIkPu7197dwZhLknhkegGiIFBV28yzK7YBqBJo8ucGFlsCKU1C+R4VvLHXr8Szc4odvPj2dgVa75rBvYi0mpQCoPwemc+vutap8G+2b1YO5K6Ks0tQdQ9PK6DF7QkJYVvX6OI3T60lJcEelAi/98YcYu1mXpg9DKNBpKa+RbENcqEyxmb82dreUFB9Lg3OuYqA5hv5tcBGAy24b1kvAvNkLS4fTy2XdKt9TiCQv/Gawb2ornOGtGuVNc0sWFLKgpIiCvt15tG/bVBxpDldHjw+H1aTUXVdoeye34fKHsvX39DkwhqmDUUbCkKvtr4lqKH77glZ1LdOMOla982WVj4rrXxfYKOGQa/jyWVblPjkttHe1hjh+0Es/lLkbBxdcvNKTb2TVz7YzdwpA2lodqHXC4iCoIJhXrikTNNnH69u1NQBGVJ03JDeiv27ZaSamuPhaQXMfX5d0PMPZcvk4vJd47MQzmCH4+xh1DW4MOgFZc3KjXSRViM2ixGjQaR4VBqeVp25bUw6MZFh5KfHBzXkzZ7sILFLJA/89QvsNrMSF9ScbsFs1NEt3qo0wIkCPP/WNqW5Q6t54OcACf5D5ELIsbRfG3abmZM1bQW2QD3fsLOCQ9+eVmzzLSNTOXayQfM7fHuyQeGGlwtvM8Zlct2QZH73/LqQNs7j9Qft3+RmzVA+QJaaeqeqsKoF/S1/1pPLpEa3e2/MRtQJnKpzqvZoclH77glZdIg0E2k1an5Pp8uD2+NXvSaK/CDo2+8j4tkPOavMBJ5PSUlZkZKS8kzgz3k4948mgWTYsVGS40+ItzLl6gxlsmLckN5BCYOTNU1BXUB/fHUTda0GckFJIVOuzmD5qnLFwQdKqEk/v1/CkDXqpQ4f+X2ywQsP02vyqG3YWYHb6+M3T63l1Gknf3hlkwRFGuJzmlrcIa/DbjPj8/pbMa5N2G3mIAf41PItCK0J7ECRnIeLOcUOFpQUSjAbq/YEbZQbmz08sXQzx6salALgXeOzEBCC7vXji0vxcG4id6S1v28/5W7R7yo+n58NOyuYv7iU2c98yfzFpWzYWXHGrn+vT5t3Ri7qBUqcXYImmt3uPs8udmA2isydOoC5U/JZUCLh5c+dOiBk16fX62d568Rf25rZg9fr1/zcM2HYO1u8LFqxjdnPfMmiFdtwtnjxEdoRekAJKOTzfBdduyjnV7xeH4LXh97vR/D6ghxeoHMO3ICVH6lhxcf7cLl9VJxqYs6iLyn5w8fMWLiGBxet483PDlLX0EKLy4PHK2nEE0s3K90/IOnWyVonL727k3lTB/Knuy/h8ZmFRIQblA5hp8uj6KTcyR+o/xJMx15sFkPQ/wPfK4v0t5+7xmeRnx4vNWT8qojHZhTw9d7KIDt294QsoiPNuDw+Hn5xg7K25c7qmMgwzTU8bkhvaaO7uJTIcIlr0Of3c9uYdOYUOxQ/Jwcfj80ooEOkmYhwE/np8dgsBpat3Bv0fTvHWEPaE7hoiy/KRbnQRacTlEIEtNkZve6HFwHP1KWqHNM6zfLE0s089NxXvPDmjtb4V0ecPUxzE/bnf2zC5/Mz9tK2yWy5i1MMwVkiT2nI4j/DtWnZ9lmTpdi7Q2RbkSQUN9SEEcnK++YUO/C3xiCL39vF/MWlFPbrHBRPP/KilHicek0mb322XxUPLVtVjigKTLk6g2cfGMLMcZkY9KLEnwYh/aVOJ1Jd72L2M18wbcEaZj/zBdX1LnS687Hd++VJ+/jEEyKmdbk9QWvqd1MGYDKKzJ4s+UOZj1KvE3hsRgH56fHKsXOKHXSNt/KbW3Kpa2jBaJTWwqebjtKp1edGhhsVHQqJSuDxEhcdFuSDZ092sGzl3qDjZd4frTgl0mrikWkDqa51MvWaDJpa2rqa5WRh+/dUtR77m1ty6RxrRScK1NQ7Mel0Qc198trTSrY+vriUqtrmoDX5c4glzmeMJE1QStzooZK/kVYjKz7ex7035gTZfK/fh8VsYNGKbZxubNGMb10eD+OHp/DO5wc4cqIen89HdISZKJuRSVelhtyfyTr61HLJPt41Pov3vzgY9N3vGp/Fio/3KX9D2/lku1xTL00UOl0e9DpBU5c6x1hZ8r5kb2tOhy4Ayb/bbWYWv7eDylPNHK9q0NTnmtMtAMp02SPTCnh+9lAenVGA1+fj+Te3I4rSdL2swykJdqZcnYHL7cXl5Wdte7X2b1oxgKybKQl2JU805eoMYqPMAKwpO3xGvZBsrA+ny3NG+yfzNz6xdLNSWAyU9gXnhmaXYl/lZqHZz3zJwy9uAKQm0UemFzB3Sj4pCfaQezrpuIE8c/8Qnn1gCM/cP4THZhaQ0NGGH39Q3kQaFJAmxdqvSZNJRK8XufOG/iwoKeTOG/oDYDEbqGtoQSeKUgGqtRgQ6l706BTBzHGZqqbXOHsY31Y14PL6z7jvvihnjmUNRh1+nQ5akS1q6p2cbmzh7+/sxGwwKLYgMI+s9ZyWrdzLrMlq3XhgUh7/Wn+IX0/MJtYexqMzCrj3xuyguFNuMm5/3lC+WS+KLPxVEZt2n0CvsQe4a3wWa8oOK+su0J/Ia+OBp79o5dpsYfYzX/LQc1/x4KJ1PPD0F9Q3uyge1TfoOhcsKaXiVJOyxh5+cQNzn/+KhmY3C5aUMW5IMi++vZ0Hnv6COc98yehBSaQk2KmskZoH2ufr5v/C83UXQo6l/do4k54Py+vG3CkD6dHJxv+7OYdNu0+wbOVeHpiUp/oO996Yg9GgU3zDu2sPMDSvO9W1zpCT4t3irDw6vYBGpzvIlk4Y3oeKU02aayFwgv+eidnU1rcox53Jt1TWSFN8p5tcfFNRrxQA5WOeWLqZ28akYTLosIUbqTzVzOzJeUE2Pi7awpqyw8prysTfWfKh51vOh84833qe40DzWY69YER2nnabGT9tHTyyIXzlg92aihAqyBYEVBVogF/dICpwnfK5QxFJ+v1+pbM4Pz1egdY8XtXAohXbFDJ2mTQ78L0Gncif7r6ESKtR6Uj59cQszc+xWYzMnZJPTJRZ9X8ZOuMhVZdJ8Jh6ZU2zBEVwq0NFSD+72IHP7wu6jzI0g/zeyFaYPkuYgf+9/zKMeh2C8MPHm38oeezPQfQhpohEUZuYVRSEkJs3oyFYd2cXO9DrBcLMOh6fWSjhdAsCXr8Pj0+aGg2crptzqwOzURtCRacTNOG7EAiC5JCTk6G+g1YBZH5JEQYNeCFv68i21nf2+eDiLOCFJ4FdT+27mccN6c3vX5Y67ELpvhDQkddetx6YlMeH6w5RfqSGJ5dt4f5JOZyobiI8TM+8qQMRBRBFUZnICEwE1De7qDndonQ41ze5lf/PLynE5fYRZtYFTRnePSELnU5g0+4TKog5eY19tvmo0nFnt5kwGETqm9whu7/0AdBisrRPdggCnKxxqq5D7rKaPCqNFpdPDRNW7CA8zMCEEcl8uumoqjtWr9fuXhVFwHvRFl+Ui3KhiyiA2aRTJoudLg9mkxSL/RDR6UT8CPz+V0XUNbgUGLg4e3CXaiCUmDwJteCOwiC4RFkqa5oxm/SYjKIKnh4IST5vMbd14MfZwxBDTVrXtyhTWvfdlEOk1cTxqgaee0OKvR+bUaC8L/QElI3nZg9FABXMvmxnQzVP6HQC4NeMhyKtJv7nlY2q6ZWZ4zKx28whO6HP1r1+Uc4sWrCUKs61EH7YFm7EHmFiYSuUYW2Dk/pGF4+8uBm7zcx9N2VjMuhV026zJzuYNjYDr9ePIAo8u6KtA37OrQ5+NyWfR17cQE5qPPnp8fj8bcXuUJNdFpOeh55bp+q41+tEGp1upbEp8Pj6Jjc+v18T6jEQbnFOsYNoW9u+US7OBOrsrMkORNFPfZNbtXe4e0IWjU635v5V18r1rLU2wsMkHjo5HoqJDEP/HWgiLlQJjJHkKuz3iZHkCcAWtwRZ6PH6NHUiNkqaejMaxCA0iQkjkpV928laJ2vKDmtSm6xafzgoXv3dlHw8Hn/Irv7AYkt8dDhPLtsCwDBHd2aOy8RqMWILM/JSAMznrMl5qmnBNrvctn5CxfsAUTYpx2CPMJ/xmuLsUnPp9cOSMRp01De6mDXZwcIl6omTpSv3KMdfM7gXDU5XEK0JAgp6jtYU+y9tckXLRjpdHs0poQcm5ZGfHs+YS5LQ6QUlt3DsZENQ8UqnE5TJilD2r77JrTS5a9koOb8nH19xqpmkrpGa57KGGVWQcHOKHdgjTTwwKU+1Du69MQd7pInT9Wr0q9nFDj7ffJQ3PzvI1KvTlX7ObU4AACAASURBVOl8QRAo3XmcWHs4a8oOs6CkCE/rVJlUVxJYtOJrNuysUPRJts3ydIsgSlzs86YNoKK6SXtvKArYbWbF7gd+//tuyv7JN1P82KIPMalp0IlU1btU1EwPTyvA2Eq909DsUmxB17i2uE9LZ2vqnbg9HsXHRYSb2HXwJEX9u6p0TysfKxe52593xcf7gvz5rMl5vPfFAQZldWVYfnd8Pr8Cn2iPMBFlNdHodKuQkuSCd3DeDaIjTDw6fSCvfrhHsd1ujw+TQduXm436oNfkWDoQMamyRj0hfKapxl+q/p6v+OGHSHsbHwox66bLU8hN6xhECVXf5ObDdYd4eFoBpxtbiI4wU9fYwp9fVtvqcLMep8sbGoXrVKMyOXj/pFzuvKE/ep2I0+WhqcXNqx/uCYKmn1PswGYx8Mz9Q6iua2ZN2RGuLOyh5OrO5FvkAmJHmxV9CLock0HP7GfaEAHuuymHObc6CDPppT2FTkR/FkSX/5To5s2b94NO8PTTT/8NSCsvL3/jzjvvXBX4c16u8PvLGUmN9aJAXnon0nt24I8BlVyZtHHqNX2xR5i5JEsiUK881UR1nZOifp01Cc+vGNiD/UdriYkMY/rYTEYVJWIy6lny/k6uG5LMmEt6cvmAHqxcf4ixl/ZWEUnOKXbw8ge72HukFoBjJxsp3XmCPj3sPPziBmIiw7j5ylT0epGi/l2oONWokA/Pmuxgdem/ibVb+GzzUW6+Io3939TQO8FObmq86nPuvTGHRSu2sXbrMXL6xDPU0Y2NuyWS7F9d34+n/6kmdM1NjdP8rkX9uvLO5wcoua4/l2R1Ib1nDBaznt8v2Rh0H2++MpW1W48p7x1V1JM3P93P0pXl9E+OZdGKbbz/5SEuayXNDfys/PR48lI74gVEvQ5RL+L2SWTUMjlvoJwP8tgLiBj2rKTcoCa51Rv15KbGq4hZ5xQ7sJgNpGkQpkZZTQCs1yCIviynG6s2/JvbRmcwZlAil2R145ONR0jqaue3i9axbNVe3v3iEO+uPUjpzhMMye3GkvckXR9Z0IO+STG88/kBBmR0IrtPnIrgeNbkPGwWI4+28pRBm74McySg14ukJUZzxYAeZKXE0iEqDGuYnj49ooO+g9ViZOnKctU9aXR6GD2oJ6eb3cx7YT3LVu1l/Y7j5KV3wmLS4xdCkZl3V8jMvYTWs/+GnInMuN1xP1n9BSmpEXj/JY5QgcHZXcnpE8f67cdVRLyjihJ5+/ODVJ5qYua4TLbvV+tHh8gwBc64us7JscoGbh2dxoRhKfTqZmfznhOMHtSLS3O6kpwQRWS4mX/8aw+9utpZuKSM5av3sWHncS7J7sKVA3swelBP8tI64vF6mf9SKR+tP4xOlDrqlq0sRycKlIzrh8Gg42RNE++uPUhydzuZvWIUfbZHmNm0u4LLchN4RIMs/vIBicxfXMrq0iNs2HmC3t2iaHZ6iLCaGNC3k2p9y/DM8vqSJc6uJu0empfAY38PXm/3TMym9rSLP76qtt2byyvp2SWK59/czoQRKSxbWc4nm44yIr87Voue1ES1PZk1OQ+zSY8giFJSz+v7Xrb4p66/P0UJDzcF2dAzyY2X9/lOx3+f99x4eZ8L6r7+3OyvoBNpcflapypEPF4fYSYDZqMe//fciMgJ6XkvfMXbnx+k/PAppo2VCNdLxvXDZtbjpc22i0C4Wc9luQmMLOjBJVndaHZ5WPHxPvLSOrFx94kgm3b5gB489Ow63lkrnf+uCf3J7BXD8tXlTBqZprJJd43P4sN1hxg9KIlrBicxsiARo0EkN7WjKh6ZXewg1m4mP60TWSmxRISbWPzeTl77VznVdU66xtlITrBzVWEiOX3iANh5sDro2gb178rRigb++Nom9h6pJSXBzs1XpmIx6xnUvytGg8i6bd8GxbjJCXZAUMHzB8ZD6T1jWLZSupZGp4crBvTgf17dyJA8bbJ4L7BslXriS/aVZ5tH+anob6CcTxvcpsMacWPrhch7x+A4W4/g9eMDHnx2Hek9Y3jm/75WYg9HWieeDOiQl/19coKd//fUWjbsOM6kkWkcq2zgSEU9m/dUUtivCyMLEjHoRXJT4zl2skHZk1WeamLa2IwgP/zqh7vZe6SW6jonn20+RtmuE2T2iiXGbsaR1lF13fdMzGbpv8o5fOI0eWkdSe/ZgfHDUrg0pxuvfbRbKdjJMUHfpA4M6NuJHQeqOFJRT2Ozi3sm5jDMkcDQ3ATCw/SAoBlrpCZGM6h/V2WfF2eXOAEtehEEQTMmT+0RTacYqxIPjSpKRPCFVoifkv7K+9W4DlaaGlq+1z7DJwrMe2E96T1jKD98ioEZnZTno94nGXhw0Zf0T4njwUXrWFN2lLVbj1Fd52RUUU/e+uwAAJWnmrh+WDKLVnyt2NjpYzNxe31YzEb++rpaf/PS4nli6WYOfFMXpIsSQoZkt+LsYfTqZuetzw7wq+v78cfXNvHBun+zasMR9h2t5ZpLk5gwPIXLchJ47aPd7DtSy62j0xU7rRMFrhyYyCN/k+JlLd2fU+wgzKyjf3IcKz7Zx6ebvmH6tRlB90KO0x+YlMdrH+2mT3c7OkHkj69uYufBaml/MDyF/r3jiLOHkZUSr/gnv+BXCoDyPdhxoIphed0RW3X45itTWbTi66B4OpS9DpQLSH/hO9jg9qJlI0cVJZKbGq+C7W50eti6t5K7x2fz/Jvb+df6w6R0txMTZQYEVpUeVtm2tz87wFfbj1Myrh8bd5/g5ivTgnx5dKQJo17Pxt0nOFJRz7HKBm6+MpVrBidx5cAeLFtVztf7qxQd/eirQ3TvZOOSrK5s3au26a9+2JaXU55jTgIut5fh+d0ZVZTI0LwErGGGVpjor4Ls+22jMzjwTS2XZHdl/uJSlq3ay4Ydxxl7aS827T7BpTkJLF25h+w+cQgCtLikRuV+yXFU1TZxVVFPRZ9SEuxcPyyZBUvKWLZqL19tP05BRmfCzDoK+3VV3Qt5PVjC9CR1jeKKAVJeZtnKcmrqnQx3nF0fv6tciPoLfOf4QM5DiDqBdI3cWYTVxNzn2571sZONlO06weCsbixcUkZ6zxhcbg/XD0vmdGPLGX32b27OpUOUGZtFmhpaXXqYwdnB+3WtfKzL7aFkXD/eWXtAFf/qRIEhOd0YWdiTkYWJXJLVlXfXHuCDdYfZUl5JWmI0EeEm3v/yEB+tP8yqDUfYe7iGtMRoFq34miMV9VLewNGNwdld2bxHnXNYtqqcKFsYz76xTYnxZ47rR5hJBwhBeXPJjsby2eZjyrXLOYryw6dI7xmj5Inl7zuyoAffVDQwOLsrZbuC9wLfV3/PFC9eiPobyv6ej/jhh0h7Gx9YG5HzcQ1NEmWPTJUGbXZxxrVSzWH/0VqyUmKxmA088mJw3Dg0rzt1jS2s2nA4aI83a3IeS97breyNtu49SX56R1weL51irISbDWSnxhFpNZGZFMswRwJZKbGYjTqaXB4ef6mU9744xC0jU/nL0i040juSnRJHhwgzhf26qPyB7CsmX5VGdKSZ1RsOk54Uw4Z2ceuvru+ngqludHrYtu8kw/O787vn1rF05V7WbfuWnNSOhBt0CD7/965XaMl31eHzUUjfBdiA2vNwrv+YtJG6anf1xtktqqk4pZs31ho0IXXX+CyWvL+T6ddmYgs38E1FPX9/Zyf3TMxS8ZvJZKkdO1h4eJrEVSIIAga9qAltYTbqGZbXjXFDJEdS1+DijU/2MXFEH6Zek4GAgKCTqu5PLd/CA5NzsVoMTL06gzmLvlTwze0RJsLNBvR6kXFDerPi43384ZWNzC8p5LEZBdTUt0gOqN19kMfUF7bj9XN7vawuO0p9k4vbx/QlOsIckicw0ioxX8r36cW3tzN6UBJHKxp4ctkWHplewJET9fzfx+rPyk+PZ/zwPqpqeiDP1S+ts+5cxO3xYtALygSTzw9+vw9RJxBlM6m6/qNsJkSd1IGr1Snn9frZdaiG1MQYpSN016Earizwk9krhrGX9kYnSh34b366j1Cd7G6Pjw++PMTcKQNVx98wPCXENJ6freWVONI7KZOGpTuPMyCjs4r0Ve5ivX9SrmbHhk4UQnbDI2h/Z51OoKYdmbmsZ3CRmPjHFi2i4dnFDpat3KPuRo80sbCkSHoWrZMd5UdqWFN2hIenDZTIpEURvUGg8lSzSjdkKIoFJYUKNnlgt90j0wsYmtc9iGvi90s2sqCkiIZmFxazAZNRpyIgBigelUasPYwX3tquXO89E7OpqGokpUc0Hq8fr9fP6tJ/MzinG/6Arn5Z5O64wL/lDjpRgOhIs2KzXW4vVoseo14X1PUncxrKEwWhOu11OpEOUWbVZI0MMyp3dsmTtdJUpAQ73LGDhUenF+DzS+shcPJlTrGDKJsRwfvT79i/KBfl5ygut5f3vzgY4MdNil/+vpsCrQm0p5ZvYUFJEUYd1Ds9VJxqUmKQLnFWmhvcPB6AKHHfTTlMvLwPL3+wM8hHzyl2SNBtAeevb3RpcpZ0iDQrdnjb/iruGp/F829up6beyazJeSwoKcL1/9n79vgoqvP9Z2Z29pLsJllyA5S7ECBcDJCNJMELKLbcRKkSqiZBIUIUkK+tEGzLReRi26/V+hWLqIBtCe2PVhGwBcQqF4VwqXINFhGQS25skr3P7sz8/pg9JzM7swhIq5a8n08/xc3u2dmZc97znvd93ueJiLjQ4MPGHV/gjkEdNP6TsFgAUA6IlS0o0l9MukWn1/PUgwNhMbPomGm/ZDcI6ewir5WO6oO5y3fhpw8PihMPQdcNQTSS4iGhvwt6Id9Xu5wuSnJ2XFJeiLAo4VwMW0tiVKcptmPUmWS5JEKerJdpD9yMQEiEI4GH3cZjw44T+OuHX2DpE4WUnvultQco68DCKfnwBSOocwfAAIZnyTZJFvgDYYiypDkH8DyLFIcZ99/ZAyFBxA3pdnAsC0A2HMfMc1jx9iFMHdcP7VITUdcYwMXmABIsilyFJMkwccZdvJltEsGywPyywQiFRVh5EziTclfVXcGxHTulo3oDaJ3DRkbiuj2Hz2N2SS7CEQlvrD+sOyc9MiYbte6ARpuM5COcKt1F0nU3dVw/3JDuAMMAvmAYIUE0lBAhXRq17gDe2tRyPiNxsLq7b9POk8hw2tAuLdEwJn/9mTtxusaDHw3rAbvNjM2fnNT4c7enpVuEXCfR/mMYoNETRLhZQqKVx6SxfXGh3ocP9p7Bs4/l42JzUBOne/xhsIyyViaO7kN1tmrdAdpVsHBKPkIREWdrvUixW2Axm2C3mTHtgZtp9wvQcm618opUhhDtylTb9da5ElcrMM45pNkXovfTajYhIsqo3HxMM4+3H/gK44Z2BwDwJhajCrth+dufad5TufkYJo7uA19YoHk6wjJQUeKC2cxi0j19cd8din77u9tPYPSQbnhj/RE88UB/erZq8goAo/WlZL2ERYl2zN53R3fYrCZ4fAJSk22Gv83EMYYU4ktWVeG5qQVo9IYwLLcTRFmGzx/R5BZnl+QiKbFl3RmNQzpj1237XKPZ9mLlAbg9QTxT6kJmmwTMiybiSSxiggIYajWtqfMQMycMMMw7PfXgAI1+ZAsrhTK/1237HDN/PAC/iHbkx+7Z88vyoxT2fvA8A4ABw8jgTQzuGNRB0xlHbOen5zTz8/2qU5gwvCcSrByG5XZC29QEzJ00GP5QGO7mEF79y0GUjuqNild2asapdQeQmqx0Qc8vG4wLDT5Ubj4OtycIR6IZSx4vRESUoyxKQDii1bYm8ejY226iMcv8ssEw8ywuNPh1cfS7209gwvCeSHKYKRMA6QD+09ZqhaZ8yzHNNWY4bZBkGcUje2HVRuOzQOv8/XYt1sebeRbPlLrwx83HMCy3E2xWE8ru7YdwnP3QxCnSZ2R/b/IKhu8LChFktkmgtQs1S9aH+5U9Qb0GY2s3MycMwAdVpzH8li7wBgSE/TIqt1RjVGFXOq9Tk60Ku4rDivLntwEApfR2JlngdFggycDE0X3Q0KTEOsNyO6HZJ+jqQfEYX5q8IZ3fXlJe+G98Qpdn1yIm2QTgb1lZWSsAaE4N1dXVf7wG4//bTBQlcFENktgD87kYAXUiuN7QGMQ7H/2LCsA2eQXqFInwJRHCBrSHcbX4O8Mw1KnNnZRn3HLNAj/M76JJUk8fn4M10UXmSODxwpr9mFWcCwDgGBbPLNuJmRMGoNat8N0n2kzgWJYilNSHK1GS8fNXW8S3jdrUA6Ewpo7rh8w2iai56IPZzMKRwGN5xZ3KgYyRwYCBLBsnHtokWSk1VOx9WrRyDxU6viuvE+w2HtMeuBlmnkNqslUnNE4ERxet3NNKb2RgHMui0SvoqDRtFh6rNx7BsNxOsJqVTX31xiMou7cfZFnGu9tP6Ohfpo7rj+KRvXRjWXgWIwq66Fq7GTCGQqqLywvx2b/qsbXqDL3ODKcNE4b3NJwvvIlF/x7p+KrWQxMV/XukRwt0WtFXkhQwoi2VEZ9elmUZw99cNrafYQLo+WmFaPIIGmHiZ0pdSGktQl9TM0rALY4ecHYfrtEk5EwAgqKI5oCiw7P+oxO4fWAHis4jXdKSZEyP5PGHMW5od908aPIGNfpPgPbwV3MxgPerjuHRMX0MReCnjutHD461boUffOGUfOrLqA//uzJGvGtT/3dQiMDCm+D1h7FopQLueGRMbzgSzPAHIlhUWaUTtG+bmoD/eXAAai/64UjgcfqCR/ddedmZ8PoFTTKb7A2E3pT8joYmJQH40f4zGNirrSYgn1HUkjAnz+jrKOtardVa7dszM8/hjkEdNPv4jKIcmE0sJOHq1mt8SncJIsfC7QnRgl1ediYmj+1LC4Dkvb/+wz4snJKPHwzujESrQsnMcQx4lgVYfYHDSLMEAF6YeRsmj+2LsbfdpElcAIDdpgA3yF4xp9SloxQndEQAdHHNghWfYEZRDtURv9gcQqLNhDmv7MSke/oiLzsTxSOy4Q0INDlHru3JogGYX5YPb5RK2h9UCnru5pDhXsAwMKTyulRBxKig0po0uTy7XFkCUZQgcyxNNhBT4pNCuo+rCy4pdmMpCPV+X+sOIC3FFhPH5EZjE4HKPaj3+rrGAJ5ZtgsADM9xGU4bzjf40CHTgfkqpDX5269m3IqLTUHdfDGi7rTbFFDn/BW7sbziTrAsg/UfKYl00uET7zxbo6Jtmj4+B2u2H8MPBndGx0wHGFFCisOsoapUxyGtc9jYSMHfld0Oa7dUo3hEtuE5qckbZYsxsagoyUUgJMKZZMGFBh/8oYgmyer2BMGbOPhDYXh8Atok2ZCQxIOBnnJZTRdHfFyG04YniwZgWG4n6n/XbqnG5LH9MGE4AEY/Tl52Jjz+sEZOgvi66tNuzJ2UR39LbD5l4ZQC1DXqk88bdnyB0UO6ob4poPHvZBxCKRpPJ5ZhGNS6/fjbx19q5nfstZFcTVgQkeYwQxCNqamvtwI20f01AYAoK4xOnDG9opqiNShEEA5LOgC9Atj8GE6HFUXDe6B9ul3zHmJjb7sJQSGCv338JaY9cDNSk21gWQZN3iAEgYfbE4A/GIEjgafUh25PEAkWnoLOAa0vNQL1zCrOBccxSLCY8EHVaYws7Bp3D09PsRrOsYvNQcx6eQctOi+OOf8uWVWFReUFdNxLaVWp45/F5QU03nkummxulWe4PFPnITz+sKE/NXGsYX7MZGIxd1IerGYT1amudWsBEs4kK9589xCmjutPwQ2L3lRJgpS44A0ImrmU1dGJOwZ10Eh2zCrOxYf7z+C2AR2QbOdxvt6H3/7pn3H9s/r6E6wmTU6iIir/wTKAEJHwi9/torns+WWDDXMd6vyALAORiGwYRy8qL8Brbx/EmFu7oWRkb0we2xchQUKCjcPksX3xwd4zGHNrN5w816yJPxyJZlT8n7Ie1QC//xZK8P8GU/t4SRCRmmzBhLt7UqmwpU8Uwm4zx/WLZF6RGoHR+6xmE7bs/hIjC7ti8ti+kCSFKtpkYtC7a6puDTZ6g5o5+MKa/VjwWD4F+pD9O9Fqws9/9zEynDY8P20Iikf2Qs1Fn2E8Q+oOarvvju5oaFLAIPMmD0aTN4SgENFQmat/B4nBiNW6AwiLEqwc+63O5WuhVvwDAM0AHgAwTfW/J67B2P92s/CsThx1TqkLOz89R8WL55S64HRY0ewLgeeVrj1/KIxZL++geiZAVGg6ivZ8ae0BeAICZpcofOdzSl1Y+kQhFk7JhyOR1yQWKjcf113DzAkDkOKwaiiCyLjDcjshNdlKEcFLV1fhvju6azauvOxMPDyiF4SwSLvr1GPMKMqBmW9BbBLudPU1TB+vJNeTEi34ywefY/6K3Vi6ai9kGahr9GP525/hxFfNqGsMAIysE/mcUZQDr1/ArJd3YN22zzFuaHeNGDQ5VBJB2J+9uhMMw2DL7lOXFBon/xYvQQtzPZoo6jfhFysPICIpqF4ieL1o5R7sPlxDUbtjbu2mIOVe2YkV7yibtSwbjyVKMi0akNeXrKpCRIyjsyfLeLp4EOZOysPi8gLMnZSHp4sHgWFhON8Ahed82brPUPHKTixb95niPGUYjiPJMs7VerC4vBDLK4ZhcXkhztV6gGhRWm3kIGbiGDxwVw/wURQyb1L+W4rTzSqK0AkTP7dyD8TWEvQ1tXgJuNjOOFGSEQFQc9GPpav24oO9imadHmm5h+oAkrmQl52JBWX5cCZZ0K1DMh64M0sz9yUJNFkHtBw+V7xzEFOWvI8V7xxE0V09YbFwqChx6Xz7zk/P6a5fkoFJ9/SlQtfEh3McqxN3nl2SqxELnl3iQprThsw2CQiFRZqYbvIqSMUUh0UTsBBBeyEsQZaBDTu+gCyDdhCov+vRMX10a/mltQdQNLwHFQYn723yCqjcfAwjCrrq7vOLlQcoQpe8ZjUr2i0iGMgciwij/D/HXYuQo9VardW+iYlS/P39ao0kpNWW4bTBxLIQRWD9Rwrg4pfTh6B0VB94A2FDf+/2hDB/xW7M/M1HKH9+G37+qlLggAyNX55T6kKbqO5T7HcmWqPd0yyj+xvLMmBVWg7xEmsKot74bwkWE3gTA0kGku0WvL/nNCbd0xdt0xIwYXhPzF/xMWa9vAMr3jmIh0f0or6fYYC5y3dBCCusDUTfyCj+/slDA+HxC3iuvAC/mz0MU8f1ownLilIXLDxr6FvVCF0lJipoBWNcpsWbwxyrj/XixStgZDwz0YX3q07RZzpuaHewHIPZJfozEtlnyWvu5hAm3dMXLzx5K+ZOGgxZBsbflYVT55swuySXJiVfWKNoDa7/6AT9/Lptn6OixKWLqys3H4ckKXolQMv6+enDgyCERUPwGwEpqcdZueEQxg3tTu/Ji5VKLPN151mFhvE4HZ/EQFazifocRpThdFjxwpr9WLRyD+2s7HZjUuscjmOk4J9sN2P34Rq8tPaAzo/MKXXRmNLMK+0dv6ncj6lLt2HZus/AcxwFw5Hz+bvbT6D2oqLB2ugNgmOBUDiie64pdgtmThig+75VG48YnDclnK5phscv6K6xdFQfwy5yElf+60wjMtsk6L6/otRF52HsZyeO6gOWYdAmSWHPyMvOpJ+bPj4H71edool7ozVP9OZj57f62tTFaUApBJog6+J69XuuZyPz1cgHkn+nOxNQ3xTQPBPSAed0WPHwiF5Ytu4znDrvMXxuHn8YKXYLhuV2golj8VWtFy/8cT9mvbwTLAtEDPzMzAkDIMnK6yTvl2gz4akHB1L/HTsHlq6uQu3FAOa8shOFN9+I3YfO637b9PE5eH39IZh5k+G1CmGRjqfudCVW6w6gyduyXgggwug3x/vvWncAEUkCI0owyTIYUWr1o5cw9b5uFJfNieNz1n90At5AmOauZFW8Ss7nL6zZD0mSUToqGxFRQqNH0I1TueUYMpwKW9zcSXnI6uhE0fAeuvctXV2FXl3SsGjlHviDEdgTzLr4It2ZoPPP08fnQIiIGn9fufkYGACSBCCaB3Mk8Iq+mZnDbIOYQp0fMJvYuPGQEC3ov1h5ADUX/fjibDPmr/gY7uYQGpoC6NUlFUe+aNDk8FIdZqqxqr5/s17eoYAKW+fvd844joU/JNICIKDkcrfu+VI3L+eUuvD6+kOa96njZfK+WcW52PXZ2WgXXxihKEA1HJENi84vVh6AENbODcWH6jUniU+eUZQDSZKx/qMTMPP6ua6On4hlOG1wOixYt+1z7D5cg5AQgccfhtVswsXmgOHvNRrDxLGIgAFv5q7NQ7gK+8ZxSXV19eBv8vmsrKxfARgHoDOAvtXV1Yeir/cAsApAKoAGAMXV1dWfxxvnSo03cxBEwB8U0T49EU8/PAjhiKKR4ky26OiBSLCYnKgc2hMsLbQaxMjrQAvNm5lnMWF4Tz3FoMOqcXCrNx7Fs4/lg2EYhCMShHAkbiGMJCfe23WSvmbiGM3G9eSEAZi7fBftCowdQ4iIMIUZ/HrGrfAHw2BZBmaew7zJg2G1cJAlQIaM4hHZ2LrnS4wo6Io7BnXA6o1KB2FSohmlo/pg5YYWGrh5Zbfg2cfyIcsyOE7h2B83tLuhGPS8ybdQSiY1vcZLaw9gQVl+XKFxQulBD+bXEbLu6ywu5d8l6KFYlkHbtAQqxs0wDFgOcYt6JICOpUHgWAZ52Zm0Q9XjD+P9KkUvLRKRNQjP//nxQHCMcTfe5LF9abKQvL7+oxOYPLYfJEk7zk8eGgizicUNGQ4NbeycUhcsZqU1PbZ7zwQF+cAxrGasOaUumE3GKMV49zVyHdG7/Ccs3jyNPeBwLAtRktAuzQ6nw4rbB3bAxeag4TMiXN0LHssHxzHw+cP4xXKFouOpBwdQkAXp9kuwmgAGeK68AF/VeGDmOV2gsXjVHiwoy8feoxcw/q4sTSfdjCKlkO3KbgdHUkiyHgAAIABJREFUAg9ZBlhGSfY+OWEA1m07jq1VZ5BsN0OWAWeyBQun5EOSgdMXPNi086QGQZ2cyCMoiJr5Pas4F2xU8N3MG89ZSZbxi9/tQkWpC2aeM+wgiDevb0i34/X1hyjKefr4HPxj3xmMHtIt7n1WF2rJMyNIJzU1QyuNc6u12rdvYpx9XBSvfk8z6kB7ptSFYDgCISLpYsBZxblxu42yOjo14LqwKOGNdw+hoiQXQkQEbzJpQB7qWH1OqQuegEA1qokPe3f7CYy5tRt4noUgtHSIxxOBT0u2QYZx14oMaOKH2SW5WLulGsNyO+H9qlO6uGbc0O5Y8c5BePxhhaHDyuPFygOa6yc0fG1TE+FuDoFllQS2JMloDgjIcCZiyn19UdcYxEdRBLgRdbkYTfIRhC4HRqEyZ5jWLoCvsSvpoowXr0AGOmYmoezevhBFGQun5INlGciSjLVbqjU04lYLp+n0nDPRhYgo4f2PTmH0kG6ojM6piChRbZwFZfmQIeNCgw8bdnyhQdG7PUEk2XnDjrqzdR5Mua8fJEgQBIlSni99otC4mAkG0x64GSaO1XTTTri7ZzRmkSmgU/356tNufLD3DBaXF0KUJIWhxBfUjZ/ZxoaLzUF6jotHIShGlLna2gGoN3LPIlDyEtWn3fjHvjOK/ALHgOdYWM1KDuLkuWZEwrKu22jlhkO6HAXxl4+O6YPX1x9C2dh+8AWUWJrMCZYFbBYeEUnGovICeHwC6hqDCIsSndPEMpw2NPsEpXP7YkDnI0lHtNqcDis6tnXghZm3IdFqwnu7TmJQ77ZYOCUfsgw0eoPw+gXYotT8pOOa/C4ZsobGeU6pC2X39kU4LIPlGDwyug9MJgafHDyvp5ub6AKYltjWaH10bOvA4vJCXVdK3Hnc6nN198ZiNgGyjKceHAiWZdDQ5EeTN4QNO77QPBNCRasGe5ICTaysxz/2ncGIgi60yyTDacPTxYMAWYl7hLCID/aeoXtts08AxzG42Byg3V1OhxUlI3sjxWHBvMmDNYB5Yuq5sXR1FSbd0xcsx1AKuQQLDyEcUcAOFlZD5Xj0ZD1+mN8FoqQUjNdt+xxCWDTcT/zBMH7/3jHqa2OpyOdMdAEATTSPubUbVm88qhmjNVd2+abe12PpkTkWMHMMAgYUh8NyO2HRm3toXM2wjO5ZVZS6sGrjYZoznV82WDNOVkcnRg/ppjkzV5S4YLOaLjn/rGYTFq/cg6ceHKB0OLexwW7jIYoy2iRZsai8AEJYQkNTAPuOXkDhzTdq1sf08TlgWQb1jX40eZUmEqfDiicn5ODU+Wbs/PScQgGeZgcAvPluS35g3uRbIEoyeJ7FK08PhS+o0JGu2/Y53J4gGppa8ivtUhMBRsldLFlVhWkP3Izf/umfWFCWj+Vvf4ZhuZ0o1aMpTtdw61z+do3jWENppAigAzLsOXweIwq6YPuBrzQxiS8U1pz7iDQPyQkn281ISrRgxz+/woCemVi54VD0/NgSoxitnXFDuyM9xUZ9KpmjRl14vInFvMmD0dAUAMsCo4d0o76f7A0cy4DjWDxwVw/8YHBnyk6X7rTBZjVh3NDuSE22ItHGo3JLNRo9AoqG90DHTJsSE/nD8PoFpKZYaQymzhW6vUH8eetxFA3viTSHGWHhPx/lfhdy2G8DeBHA9pjXXwXwf9XV1b/Pysp6CMDvAAy9Fl/ImznUewQdhaDNwkGSZYTDkmGF+dnH8uH2BDGjKAdhUTQMQISwEihnOG1w2Mw43+ClyQIyFqFLm79iN70mtyeI+qYAbBYT3TTi0aokJVrw+vpDGJbbCVurziiotZiNyxsQ4iY48rIzIYqyprV8RlEOVrx9iKKM1Tpc08fnYO0WhbqleGQvmFgGp+r9lPa00SOg+rQbqzYcQcnIbDR5Q0i2W5DiMGsKkuQanA6lBf7FyhaO8pkTBmDlhiOoPu1Gky+k0b6ITbBf6mB+PZuJi1/si02UzSjKAcMyYBig2RPGYpXuY0WJiyLUY8cy88Y0CBYzi6LhPXVriudZ/O8f92nm///+cR+WPlGIMbd2018TwxhqCzIs8Kvfa8f51e/3YfHjhYYo5iWPF4LnWZ3+CQAIomz8mfJCw8IhF+e+sixaJ+A1NKMEHPFFQAvyd/nbn2H34Rq88vRQFA3vQanbjJ6RzWzCq+s+Q/VpN+aUumjgO+mevjRgMaJ6UUSAv8SE4T01gA0giizyhZDf7wZKp0deX//RCcPCINEynVWcC49fQHKiBTJk/PTFHTQRTK6NUOdmOBV6UTI2+f+lq6uwdFohikf2wmtvHzT0kw1NCg3J4igFHaHMJfQG08fnoL4xYHjPmn0CJo/ti0fH9AHDMFi7pRqu7HaXvM9BoWXfU1PWxdJqt9I4t1qrfftmMcffx8XQVdKBGiQ/OY7B07/dgbmTBtMDHNDix+aX5WsORtPH52D1psN4eEQvDd3auXovGj0CgoKIoBDBsnVKcrfWHcDqjUc1lPWORB7L/3pQV4grGZkNM8+ioTGEtVuOUb+5btvnuviootSFTw6dw5CcG3WJnEfH9KGxM/ktS1ZV0SSdUfxiT+CpXywa3oPucerrb9smEWdqvfjNGkU7Ji870zA5T1g1vk67DjDW2W0FYsS3K0ngx8YredmZeHRMH0iSDG9QgNev1btcOCVfR1+X1dGJReWFaGhSOj6SEs2Y/fIO2o1lVDj3BAT88q299NmfqfHSJGV9owL6M/McLfKp92S3J4h5kwdj6aqWuCUeDdPZOgUERc5lgHJ2hAwdLZm6mE+oy2L13Cff2wev/bUleWizmNCpfRK4KFUguf+xFIKtdmkTRQmmKKvEms3HcPtALc3znFIX0pIttCgbm0xu9AhItPG0uFbfpMSxRXf1hD8URtHwnppnqXTRncbtAzvgF8u1OQTSHfLUgwPx6z/s057dGYWO9P2qU7p5vXBKvmYOZnV0onhkLx2F1+qNRzFuaHc6BqG/U89xMr8uNPi+Nu+S4VSovtQAOY8/DEeCGe7mIAW0GZ7/GMSlpWudx/FNfW/aJFlRV+eJglVYWHgT/vLBMdx/Zw+wDIPF5YXwBASqZakuyKp1ITu3c+BsnRdvbTqKh37YU8Nw4nRYERJEHV0s8YdzJw3G/BUf04IE6TZUF5DjAZbUlIjJdjMu1Pvp3CQ+mqzBNdGcWl52JoqG99T4UCVGMBnmaUwcQzuhsjo6UXZfH01eQ5QkvLfzSxT0b68UtjlF55VcY2uu7Mosdl93e4JKR1yUxKbBo9ByZzhtcDqsVJOsTZIV/W5Kw+0DO1DfRpiHGEYB1q/edFgjF3KhwafxLUYdp4tX7cHcSYPjAqTVoFtJaimoLHpTD+oYc2s3jCjoimeWaaWWiHQPy7LYc/g8xt/VU+Pb1evlqQcHYOKoPigdlQ2ziUWzP4zKzUd0Pn1GUQ4SLCZs3HnSML/y1qajVD84GI4YNorE5uNa5/K3a5c6T4QkWRNLZnV0YlhuRwqO9AaUvBcYwOvT7qlEK3Py2L5oaArCbjNj5QalxkEAFrHrQr124uXwiB7lms16zcmzdR5KTz+n1KWwH7i1usBzJw3Gh/tPI7d3W13TiLs5pCmkz5wwADzP4vnVLX5/dokL6W1sOH3BQ5tbku1mJNst+H/vH8dn/6pXOnKjMgLfRl7sWy8CVldX7wCArKws+lpWVlYGgAEA7oq+tAbAy1lZWenV1dV13/Q7BRE6NNzilXswv2wwWIZBQ5NxtwPDAM4kG+rcfthtPNb8/Zgu0TAstxOdJG9uOETFU2PHapuaSDeRouE90C7NDlmWsXLDYfp+QquiDgpmFedi3bbj2H24BmNvuwn33tYVIwu7AtBuXF6/shjVaCk1l/q5Oi9Nbte6tXp7i1cqmw4p7pHkr9Vswgtr9mPx44U0GCN/W7ftc4we0k2jPUjQ0aQgSWzc0O66IusLa/ZTDuomr6AJ8AiP9gt/3I+nHhxANcFakxhaY+MU+8IRyVjc+KGBkCSGFgCBlqDj+WlDMK/sFkBmwDKAJANgZEhxaMQWP15ouKYWlRujjBVdQv01PV08yFhb8HHjcdSUAerXI5JMhbCJZThtNLljOFYUzRRbODTFua8mjoHUGo1cMzNKwJk5BmXRghTHsrQACAARSULb1ETUuhVU6KziXNrZR4KANzccQtHwHpi/YjdFkwIKBRwJWIwCb+LX4iUOmrwCUpP1nTSjCrvqKDbVvnXp6iqKol/x9iGN/60ocWmK8SRQnlGUg8VRVBFBN0UiCn3BsNxOsJg5zJ00GGFRhDmq5fLaXw/R72cYoHLzMTw3tQDNPgGOBB6vrz+ERo+gm9czJwwAGGj0AmaX5II3sfQ+xxYdn5noQrLdgt/NHoZz9V56WJhT6sKydZ9pnjFZZ9964NFqrXYdmyjK2HvkgoLOZAFRArbu+RLtUrtd1XgEHRqK+m0Ly0AUJQiSwlDhDxlTfxLdvGS7GXabGS+tPaDT155T6oIvGMZDP+yJtz/8F0pGZmvGqj7txvwVu7G4vADzV+zGb/7nNsNCnMXMQpZB6fHVOiPpTkXHKjPVBkkCgoIIV3Y7hCMi9h2t0TAlhATRsIvSkcDDajFR7SjyG4kuyrL/9xncniDds4yuX607MSy3kyFFHrnmeDGM2rca6ey2AjEubZebwFfHK2AAjy9ME7tzJ+XRpAFBKIuSjLmT8lC5+Tgtqrk9QTAAbFYT7DYzwhElniV6VUYUdAsey9cUS8YN7a5oELEAzysMF/ff2QPPPpaPi81BSLIcpSDrDY8/rOtqiddRQ/ZxEv/EK4DHFvNLRvamCXTynhcrD2DquH60I5bQ5E26py+41on4jU0UJTgSeRSPyNYB0xat3IOFU/Lxs1d34bmolALpEt1z+DzuGNSBJoVJ4upHw3qA51nUuf06EPNLaw/Qwom60CKERTz14AC4PSFYzKymG5WA4BaU5WPMrd00CbE2yVZ4fALmlw3GhQYfKjcfN6S/I74v3togf1/xzkHMLnHhd39RYk+yRkgeIbbLPDXZqtFTy3AqKP7UFCvmTHRhzd+P6dbHnFIXbDwLSZQhc2xrx5+BxesYiWdkDo+5tRtMLItFK/eg301pGFnYlYIdjTTOFFkPBu1S7UhxmJGarP27Ub5Jfb7jWMKmpfhFo4RzPMDSW5uUjjsll6fI5pSO6qMBvZM1OOmevmj0CIZ6wS0xwkHMKMpBarINkiSjoSmAtDYJ9DcXDe9BGQ6IKV0x+fQ7yfwsu7cvIKN1Tl6hXQoIJHPKvHQ6rHi6eJCuuExynuT57D5cg5PnmrG4vBCN3oAOAJRg5fHsY/k4V+9F5ebjceM6IRwx3KPf3X5CA7r1+JU42Yhla1huJ7xYeQALpxTEjR0dCWa4stsZSkiR9ZKUYEEgFEbllmpMHNUHi6Nz20gm5MmiARRAHDve1HH9aBGT5zgdSHDea5/g+WmKlqUkRan9GRkRGTAZ6Khdqb9ptSs39XmC7KuhsIgIzLDwLKX0fGntARSP7IWgEKGsVm+sP4wUhxmTx/ZDZqpNB6584M4sCBERack2cBzw6Jg+kGVg7qTBsJhZXbd/5ebjtOYRL4dHmA1HD+mm68Ij3dJq/6xen7XuADgOGJJzIwUjqd8/dVw/XQ0j9rUlq/bguakF1EeQ8Qn4aGvVGVpLESXpW8mLfVdzcR0AnK2urhYBoLq6WszKyjoXff2KioCpqXbda+frfYZOkGMZWowyQl24PSHwJpa2jMYiqWcV58Jq4bBwSj78oQh2H66hRcHYsXyBcBQpwesSv6T4RmhCF00tQENzEE1eAcv/epAi3TLbJODWAR1owjYvOxMLp+TDF4zAHwzT7o+3Nh3FUw8OgIU36VDFBDlHDp7kXngDggaNnWw3o8kr0MKLJMv0vY4E3nARLllVRdGH6ntwKXqNhVPyKc1prDCn2xOE1WKiuhbXgxnN31hLT3cAAM7Xew0La7OKBxmKG/McQ5MOaqt1BwAGCAmSrrMv0coYvj9eMU6S5ThddIzhNcWjwJUk43E41pgygGWMrxMMA4uZM/yMycTgmVf1hcP/ffJWtEmyaoqDbZKscDpsOs2hqzVJktHkCyEckcCbWCQnWnRjk+f8fbIrmb9fZ7VuvwZtznMcfFEB7erTbrAMNHOf+K5Hx/TBimfuRCTSMocIXe308Tm0wKX9rhaqjfZpdvo54jf3Hb2ADKdNhwRyJhkLwMdqmQaj+4M6QZFoM6bxqrnoo0k4EvgT+oLYQ0FmGx6vVR6igRI5GOw+XIOJo7Lx1IsfIS87E2X39kM4IqHJG8TCKfloaArC4w9DkmWKZCLXu2RVFRY/XkjvMwFnJNvNSE+xITVZWQeSJMNmNeHp4kHgTSxYBoa0UN8nH34t52+rXZl91+7rd+16Lsfizd+GJj+G5Nyo6RiZXaLQDKde4e+UJBmnLjRj4Ru76Vg/eyQPndomockXUmLn5pBxTB1FU5KDozo527mdA1PH9cOydUrx7LnyAowe0k0j3g6AaqYk2y2YU+pCgoXX6FKQA+H8ssEaLUB14vfVWUOxauMRPHZfP5oAIfdkQK8MTZJ8UXmBYRelOiZWmxK/gBZh4nVgk25qYvESQsl2M9VCjB0j1rfWuv1x46Dvw3y+HP8LfPtrU4llW9D1VrOJJkpiEcpqZoCKUhc27DiBgb3aajrtPf5w3OcvR2Nh0rESW5xo9Aj4264vUTQ8C29/+C9dx9ScUpemq4WgsJ+bWoD6xoAmdgKAG9Lt+N3sYZBk0I6D2GtiGSVZI8oSzCbO8D1WswntUi2086r6tBv33dEd7dISkd4m8d/1aL5V+0/OX7cniPpGYwCz2xOC02HFxaagIY1xbOKKFPniyYmQwgkAwzk+p9SlKXZTY4DVG49i+vgceAMCIqKE+mgCreWaFFameHH0pdZGx7YOTLqnLwKhMNyeYNwOAXXHoCOBp+uBrM9fvrVPWdPlBRiW2wmJVhPmThoMf0ihuktxWJDksMbd967VufC7YJc7h9V2qZjgUufaJq/SdUXA5K7sdjQH0egRUDyyFwVLxsvB2aza8328fJMjQeku5E2cpqsq3vu9AYFSIrIMNJIJs0tc+HD/VxiW2wksYxwDpKco/lodc6nnoiQDKQ5FJuIXMRIKix8vQDgiQ5aN8yPNPq3m1aKVe/CrGbd+b85Z/24z8q+Xk3OJNRJP1boDCAQjdF9V/tbCCBFbTIhIEpITLZrOpdJRvTXd0nNKXUh2GDNw1TUGsW7b57TjX4ZS6PrB4M40TzCjKAcf7D2D8cOzDHMDiVFKUZbVU9xnOG0AFLDQ160Xk4kFEwHuv7MHgBb9QKPPpDltSE0xzom0S7PjzXcPYXZJLoJCxDivKAFpKQlf60uuxN8A3368eCX2XcpBkPkfb89/4K4e+NMWpXmJSB6o82M8z2LOKwr71SNjemNReQEiogyGAd5Yf4h2ShsxoLxfdUpTk3B7gkh2mPHc1IK4eeMmbwgmzqopipOGInVsQs5Vastw2nC+3kdj+dixrWbTZb0Wz2eT9UT2HRPHIt2ZcNXP5mrtu1oEvGbW0OCFJGn5gznOuAjAscbdDnnZmYrINAucjXbQAYrjmT95MEwmFrVuP97bdRI/GtoDP3t1Fz3Mrdv2OWZOGKAJcmcU5cBq4ZBstxi2ZU974GYEQiLVbmI5BhFR0iSc55S6wLKMpvuKoE4WlRfAynOwWpWCpCjJ4E0sLRaqv4ugO8hkJPeiyStgxTsHKbIuKdGCN9YfRobThogo03v6dQdWUZIRioi0IFnrDhiiuTKcSsvsincOoqLUhXP1Pg0d6bvbT+CZUhckScL5eu+/DenxXdscjOav2tLTHair8wAATCbOsLDGcQzmld2CmgY/LWJlpibAxLGQJOOAQBT12hGLV+6hxQDd2onD332pLrr/+fFAShWa4VS0AtW0tupxWJYxRELxPBt3fMNAR5bBMLIOhTK7JBcsZ1w49AcjsFs4dMxMohonZk55NtfCLoeyS/2cL2Xf5/n7taaaY+OGdsfmT05imKsjff51jUHqI4llOG348rziV2aVDKLIoXXbPkfxyF5Y/9EJFI/INpwrZHNmGGBBWT6afIqew7vbT2DiqD6ULgNoQQLNmxyftoP8+0KDD2aew6KpBXAmWdDsC8Fm5cGxDNqn2XGu3kv59GMRS0oyOx/hsGzcMVteiKLhPVC5+TjcnqAGJUhc5e7DNZg4WkI4IsIfjCDZDro/LS43RgmGwyK9d9Wn3bRDR4aM+ka/xhczACIREVyUoip2XsthMe4z/6+ev99R+67dc2Lfpfv63+Z/ZROn61gmxX7177wcdK3MsfTwTcZa+MZuythAqLBi9+9Zxbm0eLB602HNoSzDaYMsKwLwpHgmy6CMFmp2i9hEYIVKc1sNsuBYBkw8HTcocQpJPqrvyZInCqlmUYKFhwwYsiE8WTQADGM8viTLqHhlJwCFUjG2a50ckNVgE6fDYjhWWrINZs5Yu07nW+PEZZBlw/n8fZm/avtP+uC4eigxoDMSOxiBI1+sPIAFj+VDjNKDqbua9hw+j1nFufjT1mqUjupj+OzMZg6Lol0KsYwuBKEcjsh47e2DmDS2L76q8WDmhAG0Y3XRSkXTWI2MnjC8J1iGoXGA+vsYRpEN+PmynZj2wM1x5jcwf8XHmHRPX/Am4zUQFCI4XRPWdFw1eQU4HRbUBa/M58Sz63n+chwb12c0eQWMG9qdJp2BSyetCfI+2W7Rda+qCyckFo+d4/EYNHiOxSNjstHoDYJlGAhhUddpSNDz8eLo96tO4dExxmtDloEV7xykFPtCWIzbMUjG8fjDmHRPX5Td2xenL3jwwd4zdM8QwpLhmWJxeSFqLvrQ0BTQrD+y7zFXmZf4rs1f4PLmcKxdKiZQ3xsy9zmOhQgG4WgXNvlcLP3nM8t2RSmUCwBAl9NauloBnv9iUh4WrNh9yXxTUFC6q9ZsPoYZRTlY/9GJuN2GGU4bvH4BZp5DQ1MAe49cQPGIbEwe2xeyDPiDYdwxqAO8gXBc+RAzb8wSQHJszd6QYac16VJZ8c5BLCjLj7u+1VbrDiAYimj86n/CvovzF9CfJa6aJl0VT5HOUbXFKyY0NAaVAoiqc0m915LnvLi8UJMrjQUN8SYOv/7DPkoXP3lsX8z8cQ54E4eQEEFB//YIhyVDn0coRTmOwU8eGkjldTKcNvzkoYFwe4IQRdCmDTXVaVCIgGWB6eMV2ZIJw3tCFCWcj1IyxqdMZhAKS1j6RCGavIJGp41lgDG3dsOmnUrePF6cWt/oN/Qlz08bAkmUEYnm5C7H3wCX3m+/i/P3O5WDiM7/eHv+tAduxrDcTkhNtum650inHAGv/foP2pqII8GMOaUudGzr0H2W+MnYvTsclsBF9Ynj+fj6xqBGomfupDxDcHqSqkivXnfjhnaPO3bsGEavxTsTkv3n3e0nFOks9trkPK50Dl9VETArK6v95byvurr63NWMD+AMgBuysrK4aBcgB6B99PVvbGYOOkc7uyQXjT6F51nd7ZDRRhF6V/MjG3G/Th+fg7vyOqIpishRFxIlWTakxlg4Jd9wE0lLsWHuchWn/0QX0ttYaVediWMBFhDCoqEgdkNTELNe3qEpOEoBY3QSqUaTCU9+y1ubjtINbXaJQkHq9gQxuyQXf/ngcwzL7UgTORzHwGEzRrAAMurdAfzt4y9pJV6SZV0BiGgC0mJTeSEmjZFpC/hjY/shGI7g6d+2aBO0aptoLR4dKMcyCAmSBgFaUepCgkVGooXVrYWKUtclKTONkk8Ws/E4PK8cTNVddE6HBSzHwJHIa153JPIAZMNiHwDsO6qnL7sxww6rhdOMY7VwAIyTZCYAQljC2i3Vmq6xtVuqMXlsvzjBO4uLHkGnFZhyjebe9UrZxXEs3J4gIgxzWckeNVe/I4FHry5pWLBiN6VmMxJNV/uyP205jsfvvxmLHy9EJCKh0RvEqMKusJj1xSo11YYvEMarfzmImT8eAJZl8EiUpsBofYQEPW3H7JJcbNp5UlkTJbmwJ5hpAL1u22ncc3s3hMMSKl7X+jZHIo/nV+/TIZa8AYH+O/b7L3oUpPecUhdC4QjeWH+E+u2//kPRa8lw2mAxszhf74XVbEKd24+fP5qHZ1/fHTeYB8PAkWjGovICyDIgyzJejyK34vniK9FXarVWa7X/nF1qfyeHgstNlFxqLEaW4UzgUTa2L8BAiWFlGV+ea6asFgRVqi5KzJwwABFJC3wjxT11fG50YFwcTUBXbj6uR6tOdGHu5Fsw/7VPNL9JkiXDLienw4omj6BBbMcK0pPvTU2xwsJzhjFYk1c5dGY4bRg9pBve23WSXv/pCx6s3HAEADRo1fd2ncS8ybeg5qIKvNUmAWZO0TV2JPJYXF4ISZLBssa0X0Y6u626Kldn6vVApRXS7OA5DiZokxBEZzJeotDdHITJxFJZh1q3UrC+fWAH/GmroqEiQzJ8dpIs46sajw7oQxhd2qYmotknoNEjwOsLa+J+8l6WZWghkWMZbNzxBY6cdBvO3V/9fh8eGZMNp8MKm1WvW/XMRKVza+GUfLAsg8rN1boYqKLEBZ5nNPR5JMYqG9sXEGXdPW49512ZiaIEm5nDMxNdGi1K0u0XT5okNmmdl50Jrz+s8b3qRPSMopbCyYuVB+J2gdyQbqcFRNL1Suj8M5w2PF08CCmORMPP+oJh3dyfXZILBkri2BsIa2j0yDpYt+24huI5JIiG43dq68CEGF22OaUu7Pz0nE7Ty+hcq/4dseuvle7+8uILYrFrfu6kPFqEUCdmibk9QZyp8cTt0KhvCkCWgUXlBXB7QpAkSfcMyfmqvjGoaLTbzbjvju6wWU1ISjDrn3mJC0l2BUgkSjIG9mqL1ZsO6zquZk4YAECfI/nFpDywrPGZLdnWN0vHAAAgAElEQVSufN+r6z7DkxNy4ubpat0BvLnhkCGAee2Was1nMpw2cCwDiHIrTaKBXW3ORR1PxTsrq4EYJOaMiBKeX70XToc12s1nN3zOQlhEgtWEhVPywXEMztX5AAAzJ+TgdI1X06G/+3ANHrgzCwwDLFn1MZwOK56ckINgHJ8XEUXMKs6FBFnDOhQUIkiwmmDmOURECZCBWSWDEAxpqU4rSlzYsvsL2mwyv2ww3lh/WJMriV0LQjiC+StaYm3y3tFDuuH19YdQPCIbZ2q8+H/bjseNU0MGvsTpsMIXCKPWrcTGCjOXtuOw1RdfeyPzPxQ2nmMmjsWilR/jl9OHGP7dajbFBcbNL8vHyg2HdHIP5D3EB3a9IQmpyVk6Xep5Zbdg3vJPNPM1yc5jW9UZ5PVth/ll+Wj2hRARJV39YXaJC0e+qMOCx/LR5A0hNdmK8/U+lI7qDUmWdY1cFaUumGNAmxUlSh489jWWhS5mnlPqQpLdDEmSUTa2H8wcEBa+nRPZ1a6PrwDIwCX9pQyAu5rBq6ura7Oysv4JYAKA30f//8C10AMElJudnmzBonKljTQiyvjLB5/jTI1XQ0u04p2DGq5tID7360trD+C5qQU4ea5ZV0hMTbJiytJtuuuI1/WkE7R+U+H0r3hVK7ROgvJYeguCCiKLa+q4fshwJhp+V7rThkXlhTBxDB4Zk40mr6AZKzXZCkkGioZnYfxdWfAGwijo3x4ZbRQ0nAwZZ2o8qDp8QROc5GVn4tExfQAAmW0SsftwjY4Pe1GU67nmok8jPq84bwkmWaaZCpljNRpv10uh5EpMCIvGOnsPD0LlZq1+ZeXmYygb2w9BACYTg3mTB1PtP1GWwMWZmxzL4MP9Z3TFuFFDuhl/x739EAprA85QWEKCKGPr7lO409U5Oo4FW3d/idFDuhnymT92bz8MyekQQ1+mJETkGJCMLCsBb7wChMQwcCSYcUO6HRwLJCVa4EhQHLJh0kUCLQACytx7buUeLCkvvCbP7UoOTP8t1nLw26m515dK9oiihFSHmYIhUuwW1LpbEPCA4lcWPJYPyLImaM7q6MT9d/bAmRqPDn1MxNbnTR6MQCgCq9kEIRzBsNxOlEvf7Qni9AUFpfPLt/bGFepu9Iaw89NzNOBo8gpYu6UaE4b3xEM/7Inz9X4sXtXym2cV58LMcXh2xW6db1tUXkC7+tQobHdzKC7a3t0cUvlG0sECbNzxBbZWnaGFyCaPoAMFECHv2C4VksT2ByOYv2K3Ru9Ifb1Gvvhy9ZVardVa7T9nl9rfEXW/l5soueRYokx9gJKMkgFZjur4KEboCOdOGoxmXwgefxgWM0cLdeS7SXFv/ordlMpz6RPGWsFtUxNRNLyHLpZY8/djmHB3Tyx+vFDp4BJl+IJhiraP/R1Fw3voNJPVgvTq33uuzosUuwUJFpMO9GQycfhdxTCcOu+he9LWqjOYU+rSdJqo6e+PnHTjtoEdNH76mYkuBCISztZ6NYXBRJYz3DdbgRjXzsh6MKLhnDf5FjxT6qJxoiJdwGkowIhlOJWOJXsUOOnxh5GXnUm1oobldqLAzntv64rF5YWIiBKYKAVdbPEhltGFZRm0SbIazl2ixfPl+eaodpqSQCZns9Ubj1LKsbN1HqzeqMzVJq+AouE9aCKTrClZBjiOwdO/3a6JFz7Ye4a+JyhEkOwwIxAMo2RkNiaOzsbpC168u/0Efnx3T01B+noFxF0rk0SF9Uftfxgo1G0en3BZSWujbqQXKxXNsjM1LXPiTI0XU8f1Q3qKzXBcwsBRUeJCm2QLztb6MPa2m+j8fn71XiwuN2aWsVlM4Fgm2l0NBIUIJFnG33Z9idsHdtAUQYhmLLmurVUKVnzZrKFxcyymqLZX7Dx7dko+fq767WRdLH48CrZgGI0uOVlT6vVH9r3r2b4uJlBb7Jqv3HwcFaUu+INhrN50WFdc+LoODcJiNXVcP3TIdIABA4YFpo7rB3uCoj28coMWwPjxZ+ex92gtHh7RCw2NASRYTRrg/sadX6Cgf3u0bZMIM8/Rc2FsMpvkBs18CzBZkmX4AopEj9H1pqUobDMzJ+TAZDJmKSNMMrsP12DC3T2pb42IElgWmDC8pwZENafUBTPHQEIrqMLIrjbnEqsDbAQefm/XSZqj4FgGHMfS/bHWHaBnaMPcr0r6Y3aJCxt2KEW356bmgzcxlBWDMAWl2C2oeGUHHZtjWdjMxmvPZjHh89NuWM0p8AbCCEdkVG4+QnO9z00tAGQG8177GE89OFDHdrF4ldLptbXqDGrdAZijzGNvbVLoncOiqKFMZlnocrakI5Hk2O+7ozseHtEL724/AV8wTOd1utMGPnq/jVjGHhnTG43ekCY2Jn5BnStp9cXX1sj8j8C46SciSvj1k0PiNgUFhUhc0FAoHDGUeyCfJUX3kCDR/BT57NLVVXj2sXw8/fAg2BPMOF/vxat/+YwC4BOtPE5faIbVbIIvEMGew+fx1IMD0CbJhnBEQqMnhL7dM/CzZTvhdFhRdm9fDYX+08WD8GTRAKSlWBGOyNi650v8sKArnp2Sj2afAHdzCJVbjqH8/v40ly5KQDAcxvK/HsSYW7thwWP5kCQZjZ4QEqw8Hnl2CwBgecUwhL/FOXq1OWbbtbqArKyslwDcB6AtgK1ZWVkN1dXV2QCmAFiVlZX1CwBuAMXX6jsBpRAx55WdqCjJRV1jEMNyO8LjD+Mf+5TDS+d2Dpyt88IbEOJWtGNfC0ckjTAmKSQunJJPkU3jhnaHM8mCRCsPlmV0m0hFiQuvRgWt1WO7PVrO7xcrWwJPNaWAWqwYUBAT7dLsMHEM5VJXO03CfT+v7BZYzByl0pg7KQ9tUxPR0BRERhuFHlIUFb2Hzz6vg83Cw2rh4EjgEY7I6NMtDYFQBNMeuBl2Gw+GYehBwmjDc3uCCEdkXGwOaHi1gRbnzYGhCCbEQYPIAMTL7CT6bzeOY5Hi0KI6UxxmgAHuu6O7Bs3+1IMDARaIiBK27TmNO12dAUYpoG3bcxqjb+1m2JHHMMCRk2706pJGE2tHTrrxw3xJV+gFgEfHaGlFASK8Xog7cjuCiZ7sGQa4I7cjOI7BA3f1QHO0kM2blP9mWUYnVrxklVLo+PPW4xiW2wlWs0If9uetxzF5bN+4BQibxYQRBV10ekg2CwcLC13CLBRH7zByjYp0V3Jg+m+xq0n2cByLBo+gQ4zG+hWOZSDL0CSaxw3tDo9PKXzF6pxUn3Zj+V8OYXapIvQdq9nw7vYTNMB8ZIyCUhLCER066KcPDUKCzYSC/u01wBEAVBw8lgJk6eqquB3hde4Alq37jCKgG70hpKbY4PWFkdFGOSQsidGTJb6/1h2AJyDAypsgycDIwq4YPrgzJFG5L7FJHpJgJ3Q7BE3tSDAr+gMcgzfWK90q8RC4/81F61Zrtf8mYxjE3d+JXW6i5HK6zYw6fNRAtvF3ZWH1psM0MRfPJ8bqs6oT2IT6k3S2dGqfZKiN4kjgERJESv1JKJCNqPvbpuo7VdSC9OR9MycMgCTLECIS0pJtSEmyKFQ1LItjXzagc/sUWKPxtXq896tOGSaS3tp0FEXDe+i0DZ97U6FyXLbuM9qNxjCMEgNzbNxCYCsQ45sbWQ+Elkj9XOa99gmen1aIxeWFqG9SXnfYLOB5Y4YMRwKP194+SPWFx9+VpQO4yZAgCBIqXtlBz3exCTVyBnQk8Lj3tq4YWdgVEVGGKMlxuw3apibiN2sORGPoFjpIsn6sZhNYFtj56TlKBxYRJbRNS6TJRgK6mlPqwm8qtTENAZ6qdYyXrtpLk3OvPD0UHTPt+MHgzki2WyCqKJSuR0Dc1Zq6w8fEsmA5Ja9Rc9GvA45Ne+BmJFhNmDPRRX0KOfeQrmRynoun+xgRZQ29Z/VpNyo3H8fs0kFxfVitO4DKLccwYXhPOk/Ufw8K+o6/GUU5+N8/7G8BOG9sASUTrcLYc8O8yYN1CfIzNV4cPVmvG//phwcBjPE8Ywy05HcfrsF9dwRgNXNIsPI6LW+PP4z0FKvhvne92pV0oKvX/J25HXDv7d3Bmxg0eoJo9Aj4x74zFFSZmmzFL99S2FFiZXti511mm0REIgrjSvs0O+av2I05pS788q29uvkzdVw/dGqXjHe3n8CPhvWA02GBM0nZO60WE8YN7aEpHM4qzoU9TjLbnmDGircP4eERvfD2h/9C8YhszF/xsYbGXD3XARmzX1aAoXnZmXHXEqCs5QSLSdOlO318Dv6x7wymjuuH9ul21DcqEiY2iwkmjsWazccuec6+HjsFv0nOhcRTAJAa1SQjxSqWAUYN6QqLiUEozACMwjx1OTFkrPTHklVK8wcAsAyjK3hZzBw8uvy0jDc3HDLsxH9v10ncNkALpJ9T6kJYlLDi7UOQZRmmqCSOI8FY3ik1WUn952VnAkwLa9dLaw9Eaflbfs+Cx4xj+GZfSNOwQpptfrNmP6U5LRvbDyFJuadmTp8nT0226aiAY+OOVl/87zFRlGA2c4bd1TzPgmNYvL5ePwdnl+TCzLOoi/537NrjOQ4vrd1j6CdJHo4wDxrv3cDF5hCeV/l3AFiySsmx/e3jLzGqsCs6ZNjRvWNPNDYLGq33OVGmmXFDu+uKjM+v3osFZfn4dTQuqSh1ockbgiTJSE22IinBjEfG9EEoJMJkYvDezpO409UZKXYLikdkwx8K4/QFDwX3vTprKP3dX+dz/t3++ari6urq6hD5d1ZW1i+rq6t/GvuerKysJQBmX8ZY0wFMN3j9GIC8q7m+yzFRkuF0KF1usRvqu9tPYOq4/khxWNHoCcataKstw2lDQ1NA08mUbDfD6bDgw/1f4eliJcGsbgklB0Di6M/WeRUUhQFfrRHntyOBp//u3M6B56YWYMU7B2nwn9XRieKRvShdUl52JhaU5UOGjAsNPg1qYt7yT/DThwdhUXkBmn1hTXK5otSFys3HaAA0uyQX2w98hREFXakTJ+OXjuoDjoUmyVy5+bhhO+yOf36FvUdrDf9m5phLJvyzOjoxcXQ23QgynNeWovH7aFYzi+KRvVF70Q9ASfYXj+wNBtBpQfz6D/uw5PFCcByLITk36gpikiQbduRNHttPp8FDaI/iBVVGDluWZUQiMhauikl8yIAQQ106c8KAuMkBsAo9jNH1SHHmgRCWjPWQygvBGCTM2Di/jWVxTSKM65Gy62qSPUaI0VjfURHtrCC+igTWydHW+1p3wJDGw+0Jwh8QIUQiFDGaYOEhhCO459ab0C49AaWjelP0vj8U0dHQOpMseO3tg3HpDETJuJgcD61MkjEkUfd+1SkUqZIpedmZmF+WD0T9uZoqJC87E6Io4xdv7tKs67VbqvGjYT0umWAn4BWFwovFph1f4O7BXeh71fdPnXjnWBYc5OvW/7Zaq31fTJIQd39no++53ERJbIc20cxV05sYgT5IdwkAfLD3DIpHZOOR0X1oIdKwg8PEYsnjhQiFRVxo8OFPW49TPZ/Ygt+cUhfe3X5CVzhZXF4IM99C00j8GQDwPIt5kwfD4xfQ5BVQ36g/sLo9QYTCESycko8mn4BgKKKTB1AXOCtKXVi96TCK7srSHW5HD+kG3sREtdYScK5e6V53e4KGBchadwBNvpBhN1orwv/fa2Q9ECRzbCFAlGQwYOBMsqDOHcB7u5R902xiNMwZf/2HIqew+3ANGj0Cpo/P0RU2lqxSChtLo/FxPPQ0kXNon5GIWy0dNOchAj6NXUP1jQEN60qy3UwpeWOTNqRLkMTnedmZGqBfPC34dml2LK8YhrN1WgqzDKcNNRcVTeRwRIYQVrSDSWLjegTEXY19HaiCyGsQikozrwB2wUATsyYnmvHXD7/AXz/8AoByrp4+PsfwGYiirDuDF4/shVm/3UFp7tqn2VHr9mue+bDcTjrf/9JaRUM1pJJm6JBhx4WL2ryEutBd6w6ANxmfJz1+ARWv7KT3ISnRDJYBUpNvxJooQw3Jx4ABQoJkPM/i6PcIYRG//sM+zJs8GHnZmYZ7zfPTCsFE56jMsddVUSXWrqQDnaz5fjel6cC5BJhEQJX/99OhND9G2LbU+7WaxapG1VVFtPTi+dF2aXYEhQjuv7MHQoKI194+aAggavQIqD7txtLVVXF1K+02HtWn3fjHvjN44M4s2khQ6w5QdjBC+f3CH/dTYCmg6jwtL4QvGIbVzOH19YfobyKdZovKC1AXPcv+Y98ZuLLbwWo2wcQxGm3X2Osmv1eSFJq265V++UpzLvES8ZIowx8Mx9Avu2BPMOH1dw5h9JBuCEdEwxiSyGs0NAWRbLfghT/u10l/AAxKRmbrtKpfrDyABWX5AKONkyOiTOMKdWxvT+QxqHdbQ+D11HH9MHF0NuoaFX3TDKcNpji6liaOQV52JoqG98TpCx6dzBPpllJA2MZ5MxJvqwv2QjhCC4BFw3vS7kbyXMj5or4pgCavgHDEOJdyQ7oDyyuGXbd+9z9lIoBAMKJ0vbEMGpqUTj63J0KBMrFz0GrhwJs4mHlOB2CfXeJCUIgY+sm0FKXe8uiYPnh9/SEMy+1kOK/CEaUgZxwfhDH2tpuoX4zHZkViI8MiIwvM/PGAaLGcxYp3DqLRIxhq0o+6tStq6gNgWQtq3T4NZfm7209AlHBZed7/hH/m5s2b940GePnll9dNmzZtscHra6dNm/b8Nxr8m1kKgCcDAUFHFwgADMeiQ1sHfqNqefYFIzh0oh4zJwzEnsPncWOGHbIs47YBHXCguha+YIQejmxmEw5U1+LGDAeeuL8/Rg/pCn8wgg/3f4WhgzqgfZodde4AwqKEP/69GgOyMvDS2n/qvuuR0X2xcsNh9O+ejp/+dgfO1npRdm9fHDpRT79vTqkL67efwNkoPzSgTKA+3dKw/Z9nkeG0YcjNN2L7P7/C8Fs602t94v7+ePnPn9LvPFvnw54jFzB0UEf89KXtaGhqKTb6ghHcd/tNkCXg2Tc+0Vzngepa/GhoD2z/51n634+M7guWZRCRRBw6cRFpyTbcf2cPLF1dhf7d07F++xd07IamIM7WevHMRBdG5nfBTR2cWL/9BAr634DTF5rRv0c67s7rjIL+7ZGTlY52aQmIiDJWbTyCHw3tgRH5nQEAdwy6Ef+sroMvGMFTPx6o6arxBSPYX12LYbmdYPjAL9MSEy3zr/rD19YuOX+JJSZa4PcrBWKZUVAWL//5U6zf/gWOnryIm3ukw2bhUbnluOZzvmAEIwu7AoCGdos837tv6Yz26XYsW6eMVX3qIkpG9kaK3aIR4CXz+O7BnZDfrz1ye2XiB7d0RmH/9hhZ2AUJVhPO1XlRMjIbI/I7Iy+7HYRwBIN6t8V8VWBDvnfooI5Y+KZ2/IP/qsedro745OB5+IItxfcMpw135XaEycSid5c2+MEtnZGTlY7UFBtsJhZSnKSBCBjej1GFXWgCVG0cz6J311TNmpxRlIMUuxnyFSYmOI6FxDIQofggE8tAFCUkWEwYmtsRowq74C5XRyTyWnov9XO+lH1f5i/DsfjkkMHzdHUEE/MB3sxBhHKo7npDCmov+tHQFKR+Ze6kWzCqsCvucnXCqo2H6UHqxgwHenVpg7tv6QS7zYyAEEZBv/ZItltw9y2dUd/ox9k6H924P9h7Gn26peMnL23Hlt2nsWnXSfz9k1N4f+8Z/HBwFyxeuQeffV6PGUU5EEUZv/7DPmza9SXerzqDD/efRUH/9li58Sj6d09H9amLut92a86NqDpyQff6zT3SccfADtiv2mOmj89B5eZqNDQF4QtGMCK/M27IcGg6EM7W+VB15AL6dksDx7H4cP9X9PM/eXAgnn19t259PfzDXkhxWA2vo3/3dPTploZRhV3wg8Fd8M5H/8InB8/j3tt7gGUAV3Y7nPiqEUdPXsRj9/WFLyDg/jt7YNm6T/HOR1/gk0PnkZvdDgkWE+Sr9MHfl/lL7HLX5XfZEhMtWLO5+uvfGLUf393zit5/NZ/58d09v1P39b/N/7I8Z7i/J9stSqUCgIllkJvdTuOX5pS6kMhzmvXNcSwu+sKY99rHqNxyHJ8cOo9Bvdtq/IAE4z139JCu4E0srBYTlq6uQrcbU/CrP+xD35vScEufdro9l3T5PbNsFzbt+hJfnGvG2VovHruvnwa9SeJBEreqv7Ogf3tIkgy3J4izdT7UXvSj7N6+6N2lDV6sPIBPP69Hn25pWLbuU3x+uhGP3aeNx6ePz8GqDUexaddJ9O+ehlBY0rBZkJjooR/2wt8+OUXjZ38wgr99fBI/GtoD993eDcNyO4FhABPH4c/vH0dudiasZh639G2Lu1zK3wb0zMCFej+N1TOcNgzqlYnuHZ1Y9v/Z+/LwKKq0+1NV3dVLupM0hARQwiYESIImIYl0ggsgnyKb5hsNqBA0BIgibiOLOiwCAZ1NZ34iiiPgjIAzuLHMNwjMMAgKUXGACAGRISiQkKSTdKf3qvr9UV03XV23GFREHPI+Dw/QS1V19633vst5z9nwL83nHZqr3T+/jf1U1m+0XSofrNwPHp/c+FX2PuX+yU/vgq17/42rOtnx0YHTGHF9T5xt8KDVH8Yv//Qp1m87hi17TuCr0y0ovLYrDp9oRE2tG0Ou66rKl4DIvVHYE+u3yTq++eldqDFFVlon3JB1NSy8QVUobPWHUefyorzoWtX9O7M4C29sOaJaT7cX9ET3Lnb8vz//6z/mfU/ck4O9VWfJ8W4d3JMaSwzPS8Vr7x9C/54dVXHJ3JI8OOJNYACEwgJCYRENLX7wJgNMBg4scEE+R8+ulPUrsoyKai3W5xz8sh5TxmXg759+jWSHBWOG9IaRY/H0y3tUMWtWWiccPiGvK6UR/Oft1Zg4coDK582JaKjlDehMfpvo+kJDsx87P/sG+6rOIqdfMjb8/UtyraMKe+K9f2rX99239MXC1/biaE0Tdn3+DQqv7YpnVnykqUuMdPbA9giV/S153al5Q3qvJLJODx2vx/Dc7mho9uPXb35Gjr9tXw32Vp3F0EHdYOQ53HDd1bgh6yrkDeiMYCiMiSMHIN7GI6d/MgZndCF57LC8bhBECRt3ncDw3G4YNKALda8ZOqgbWAAubwjzX/2Y7IXfJia+jNYv8C3WMM0kSYKBZQBG1tEDw8DAMmBZhuTAobAIjmPgHNgVA/t0wsKV2jV9e0EvrN8mxw7BUBiTR6eTGhfHMrgp52oIAvC7t/ajptZN/Nyf/q8tfzrXJPtCjy9I1rtiSu6TaDehvkmun/zv0L6a/VW5v5R1NvaGXkjvlaSJDexxPI6edOH+MelY9Ie9SO+VRHx3Q7Mfuz7/Bge/rEfvqxOx58BpsnYV++Zca6QZ+jGy0jrBObArbsrphv49OmLd1mp8eOAMstI64XdvfY4Tp1sw9sbeZB/K6ttJNxZRzqHcR4wkUf3I94kjLsf1C0DjXyVJ+o81F8WUQjztnhbAYF7Uvnt1sh19uiUg3srj2j6d8Na2anxyuE5T0501MRfb9p1E31QHRFHCuSYvWVeKKfsow9Bj5yFZV2H5Xw6Q+PTqZDucmV2x74uzqKl1Y9fn32B75SlUn2xEWqoDKR3iyH0UfZxbr++B36z9DKMKe8FgYHF9ehcYjRwyr0nS1rzsJmSnpWD+qx/h+NfNqhjo0PF65KV3xroPqtEhQWbpyOitPsbsSblI6WBFr6sSsW5rNWlujyzogdGFvXBdWjLOubw4/nUzuXc/q67DzYO6wQAgJEp4Yf1+ZPZOQtVXDZrva0Red7CiCEaSdP3t+fbby3H9Xm41CI5j0dQawvN//ARvbT+GfVVnkZ/eBRbeAIBBS2sI1ScbNWtweG4q6l1+vLBuP6q+asC9t/XHSGcP3F7QE+/t/BLX9kkmsWS0n7w5pxskicG8Vz7C0Zomkq/F+t3/++gEBvVPocYHw3O7Y2nUnn3r9T2oMfeE/+kH3shRY9qbsrth1aZD6JhghSRJyM/oigG9Omj6EPur63BzdjcsWbUPb207hsMnGlF2RyaO1jRh52df44l7chBnMeLmnG4wcgykyL5IW6/fxT9/2zX8nRk20tLSJijHSEtLGw+1PmAvAI3f9diXwniO0aVLESUR+Rld0NDsR7MnhJNn6vHsVCeaPG0aT/eO7I+KBwsRCos4U+/Bb9fuh8vtx2MTcpDS0YJgSESPrvGQRAkLpzohSZJKS0GhrfD4ghg9pDf4CBd4tJagQsdmNnMojuH8VlB/yqTUmi1VKBmVga0fn8DCMqc8pQS6ELEoqhF9QJsWYWpnu+Yaq2tcZOpQOQbHMfjmnBsJNhMevOtaNLsDpECtN2lz8qwbdquRUMmcON1C+KFLx2XgN2s/w9P358toOgZU0eVlMwoRCokA6IjAi0XR+FO0sCBqeLwVtD11ko2RG1C07xGMjPJVoUZtPFiWoa5jQZQQCqkn+OaW5IFjgeJb+qloaOdMkh+nnVeQ6BNioihppr5mFmeBZRkkWI0wG+PJFILJyCDoD+uitzhWb2qRBQQtJoMRJDjsJo3OD/MdGoDnQ3VcSZRdF4rEM/Ic6t1BFe1AtAaqy+2HIIp4+uU9eHR8NmkAxiLb77ixF27M7oZf/akNITl7Ui5Kx2XiXKMPJp7FmBt7IxSiI4Qbmn1kioNlgES7SbNOgyEZ8UejqZlZnIW3/35MQ6Hw6Phs/OH9L/Dz+3KwpLwAwZCI2sZWDXre7Q1pUKzKJEKnRItMgzBjCPwBAWcihUfafdQxwYJVFLqQuSWy/oCip6JcsySBSo/qsJtQNm4gQewpx2/X72m3drv8jQE0k8xmEwcGspg3cOFo/guhdmZ19lyGAU6fayX7uuLj1mw+jCl3ZKiuL9FuAgfAF1bHCLJmWYDq75SmYfQ5FfaKRdOcOHG6BdU1Lpw804z8jC54dHw23N4QDp9oINNbRiOHZcKGTH0AACAASURBVDMKcbbeC7c3pPLNXZNsaNI5dzRTx9XJNlnzZFwm3K1BSGA07AsmIweOZeDxhfF1g5tIDpTdmYFX3j4El9sfYTlgYOBY6jnbaRN/OFPuh0Q7T1DJKr3JrUfwwJgMuNx+3ObsBZfbj3Vbj2LanZma2DWlg5VQM9LypWSHhaCF61w+akwxd3Ie4ixGNDb7qfSGe6tqUXZHJhZPL0BLaxBxZiMEUSSTNMoezxkYXNXJft41rPy/1S8zJXTuGIf6Jh827DhKjSV4nqWiweNtPCRJghfQ0JtZO8VBDArtGpYXYHpMGtE+p2OCpe334ICAIOHn9w0iDBfnmvz48lQTFk1zwuUOwGbhiU9qcgcx467rkJQo60wLoqia+OvcMY6cJ/YazkfZrFiyw6LJJeN19DOV+2POpDy0BrT0obESKHUueVo6KdFCvT4JQIs7qMpJZ03MxYeff42uSb0RDksaJppEG495pfkw8QYAejkqEGbbNS0Vo+W786dcj1BIxJtbj2BYbncynRkMi2ApVKyx9aptlafQv4eDrNlmTxCrN3+Be0f2x6JpTgiCBKORJZShiim+MCSIVHpmURIhiBKZAjnf5HVaqgPFI/pCkvTYFDIx7c5MtLQG4bCbEWcxUKUbNu46jqcm5+HNvx1RnUe5NxaUOeHxBfHNOQ94I0fqZkBEN3FSHpo8fhUw1KZD46jEQcq5wchR3pVMv3yhNRe9+HZpeSFCUfUz2jS94ptiJ0Bf3yhPCb767kFM/99rEW/jqfSg67ZW486b9bUvq2tcWLP5MB6/JxsmowGvbzqkKxPy+D3Z1OOEI5+hyR3ArN9/iPz0FDwwJgNrNh9Wre01mw/jsXuyIUZY9IqG9oGJ5zCvdDCCoTAsZiOZZrzz5j4IhETNMVa8fRCPTshWse89/UA+mj0h6jUrk+wy5TWDeCuPRdOcMBpZPDU5TzWB+VRJnsxE9IOslnZTjHY/vLh+P+ZPGYwmT0AliRa9v772/iGMuaE3Zk3MxVvbqmExcUi0mWDgWLi9QWzYoaXInVuSh/pmH+xxvGodRcu2/TtKZ71oaB/quUOCoFr3ejG3JAGrN2t1aGdPysXWj09oehLP6lDexsq3RTMaGAwsGpv9FzTddyn88/c5zozI3zzUdJ4SgFoAD3yPY/+gpmhLuShUn/npKQiFRDIdleyQBVOfighGFg3tg+Jb0hAKiZiz8kON0/r1m59iYZkTr286pFowyx4qoNIohgURL67fj+dmDMHsSblYurqS0LE9fLecOBaP6IsDx86RogTAwBsIYWZxFmobW2E0smhyBxEIhZHTvzN+8Yq+Fl9sQTv6+v/x6Sk47L2o9KiKMLFyDAPHkBHX+VMGo2NC23k27DiGWRNzCVou+jjDcruT49S5ZH5ol9uPDnYzKsoLkJRoRUODB+A4quhyRXkhDJIEUWdc/WJRNP4UTRTpSZmRY6kNNCPHIMzQi3OiIIE3ckjpEAeWAUTJBIOBAQNQ1zHLMPTk58FCkmwpj1eslh+nN+L0fleGGpQ8cW8OmnzaxlpHO6+ik412tCwHaiLAc0CIsnYEQUSckUNqiv17FSa+iw7ef6spBbVfzrwB/kBY9zsNClBpSkZvqCvfO4i5JXl47f1DqHOpwQdFQ/uo/Ef/nkma737p6krMuOs6PLPiIyQ7LJheNJBKMfrw3W1c/aVjM5EYb4LPr6X44I1t99kbWw6TYglv5LD2b0dwqtYDA8eoCttGo6zj+dU3LTAaGPzfR//G6CG9VYW6WRNz8co7B1E0tC0ZoCUccyfnYe3fjqDJHcQj4+kBP8sy2FtVC7uVJzobzZ4g1m49gtFDeuNUrYcE3h0TLIRKOvq7rygvhAESAjr0pldCAnk5mz3eArOp/Rdot/Mby7Dn/T9wYYWS6EQlmiJRAmBQdOqi9EOi/arHFwLDtMUtbm8I+ekpGJbbPULNFgd/MIz4OBPWbK7C9KKB1BjBHwxT/V10ATo6Tq9z+eAPCpg/ZTCsZg4ud5BQKeanp2g02uaW5OHdnV+qqBCTHRYYDSySEi26xWvl37WNMiXMxNv7IxgSNFQ0S1dXYml5IViOQbMnoGmQzC4ZhGM1zXIhZkI2Ttd7qOfkjays393eQPlBTBBEQAAMBpZKF+cNhOH1h2EyhsCyDBLtPISI1s7CqU5Ioqyv+9a2o7BbjVg0zQlvIEzNl975xzEST1TXuLBx13EsLHPCFwzDwssFP4Wqc26Jlqoz2WFBICjCwDGwmGSdP5PJgCfvG4RQWITbG8LfPzmF4Xnd4QvQiyKxeZ+ZN5C8r3RsJrZVnoLbG5RzU45BzVk31m49guIR/XDHjb3wzs6vSPE62SHT8p1r8qqmVepcbWBFFu0alhdienlStM/hjSwqygtgMrKobw5oGmeHT9RjSNbVRLpj2UOF5HjVNS74AgLmvfKRHGuvk2sCdS4foVicVzpYF9gxf4q8HtytQZhNHKltRPs0hmFUuWR+eormdXMj+pnTiwbi5bcPwOX2Y96U6/HsNCeaIo3LNVuqVA0fpUDu9dPXNMcyaPL44bCbyWdStLnPNXkJUBBoqzssmtamwzqzmE6XKkGedmuPiWWj5bu1jV6S48SCJE1GjlqP440sFk514ky9B+u2HkX/nkkquRkAuHVwD7JnPjt1MFVSp7bBhwQ7DyaGEleU5P3xnMuLsCCqGs+auogkkTXrsJuptZCGZlk/kzdyqtdOLxqILkk2GA0sAAl33twHFotBA/CfN+V6tPpCJO9VmjLPPzwErpYA0bx0xJsQZ2mjrktLdRC5itjrtll4VJQXkEZl2bhMAN9PG+9KMb1CfFiUSBym6Jh5fDLoRRmeUGoVG3bIE/0sy0AQJDS5g3hx/X7MLM5CU4vsm6MplRua/djxSQ1uyulGbUpEAx9kEFwIK9+T/daYG3qT9a2A1lxuP1zuALUOaOI55KenEMmpvVW1uHVwD7jcflXjOdlhAQMgEApr1v1jE3IQZ2FQMmoA/MEwOiSYca7RRz0Gy0BFIcobOCx6TVtnUJomyl7miqnnzZ9yPZaWFyIsiu2x7iU0vfuB4xikdLBizA298f4/2yTR4uNM2LDjaERbtw+sFgPuviVNtc8r1PObPvwKi6Y5IYrAmQYPlm84gEQ7j/Ej+lH7ElPGtWllp6U6ADAaYMaHn3+N25w9VX5uw45jmnth9qRcvL7xkAq8lmDjkZRggdsXRP+eSaqaosNuhqAzUNXsCerqBgeD4gXXgS+Ff/7OcUl1dfVgAEhLS/tldXX1Exflai6RhQGs3XoEY27orUFNlIzKIPzjQMTZh0WVBsd/Emlvbg1gWG531YIJxlAGOexmBEMCOneMQ+nYTAiCiBVvH8TCqU64WvwqxLGZN6h4+xWrKC8gAXnp2ExYTUa4WvwEzbz7X6epTn/N5sNItPNkQvFUrazbUDyiL7XDv2iaE6+9fwgASMPkX0frSODNMgCiuPSra1z4654TWDjViebI9OTGXcdxx0198PrGKnL9yQ6Z61fhfZckoL7ZB3AsxPNMhHEADBxD/WwGjoF4hWaNvIHuMAA66l+CzNv9i9J8nHP5yHOdHLL2zoo/H8Cw3O7EiW2vPImpdwykThtWlBdSfy9B+HaTfQwDasADBjoBBUM0H6IR2WXjBuo6WoMgIc5qkPmsGUCUAIOR0aUPBS5OYeJKRt3RTBBEdOoQh3N+93kKzPRGU48udlSUFwBMm45CNFo+FslptxqpE6wGGVWBusj6V5B104sG4qpOdnxzzq2a/NheeRIpHfrhzb8d0azTBJus8RB9jt+u3Y/7x6Sj4NquCIUlFUUnIK/hBWVOrNp0CD8b3hd33NQH7/zjGErHZqJjghkJNh6+QBhFQ/vg8Il6UiSJbXLWuXxY8vo+lI6Vkzu9ab9mjwx8yUvvotrnAHkyOzrwlnTWqyhKAIvzTNS2J5A/pplNBox+/L0Lfv3GX439Aa+m3S5HY1kGVjMHk7EN5MNx8uPfNo1WEpXz6dSFdVDzCihM8SP7qs5QE8R3/nEMTe4gmtwyYCHWt9njeDw6PlulhTOzOAs7P/sai6cXoLHFr9EMirMYAUke/lcKbmmpDkwcma4p5ixZtY9MDkZfF8vKs5NajYtcMADmleYj3sbjlbcPoWhoH7z/z+OYPDqDxOjK8eWikggWjC6bg+KXjRyLnl3jNajZ+VOuR7M7iMVXmL7Pj2GSxGj23xfX78e80sEw8wayXiaPyiCgTMWUfG3Jqn04Xd+KiSPTYeREVDxYiHBYVOlC3l7QE89Oc0IUJJxtbMVv1n6GoqF9NPmn3vp8++/H8LPhfQlVaGwB8ZHxWWhpDYI3cpr7RynMKNf88N1ZWLXpECbe3h+tvjBSU2xY9lABEu1meLwhmHkDWc8nTrdgSXkBdh84ozrv65sO6WomiyKodPztpjUak0Y0O5CSCzOCBG9AoOb1C8ucqrXZ7Amq4jklhtabijLxLLW596s/fUamlrt2ikNjix9mXp1/mngO9U0+la9rcgfhDwh4dpoTkAAuMvH85O92qc6/4NWPMb1oIBas3EvAcNHrPnp9076j59/4lOjzxE6b6AFpXe42HVa9ovxr7x9C2biB7TFxxGj5rpk3aGpjyh43a9IgFThXAeNE65zOLcmDiVdPwaelOtAlyUamXAVJxMKpTlLQTXZYiEZm8Yi+KgAOAAIA5Y0c7GaeaAzTJkrsViNpQNa5fCRX7JJkgyjKU4hn61ux6cOvZN//RttrlVrd4ukF2LL7Kwwa0BnnGn349+lmlZ6y2xdUxSOjh/Qm51TWsMNuQm1jKxJsZhXwVS/vW7OlSgUYURh3vq023pViahYpep7LsvJE5jMP5KOlNahhzFF8S8cEbVysPN8p0aJhFPjDRln3LC+9C3lPdFOiY4IZr757UAV8iNbmXbP5MO4b2V+jC/n2349h0u0D8EhxNhgGBEjvcvuxcKoTf9l+lIAuwoKI+VOuJ1SE0T6uZFQ6lrxeqbp/f/3mp8QnJzsseOLeHNjijJqY4uG7s3CuyUfil7kleTBw+kwaynoUBS2gYP6rH8v1PElqBwtdQtNrTBlZFmaWQXxnO8rGDST6jX94v4rkXEkJMlhm3uq29eOwm9HsCeD+MRngWAaSBPzild3k+Wj/BETFL1OdWLe1mjyv+L9YgMmsibmo/OKs6jgutx9mE4dnpznh8YaQYOMRCIYxLLc7xt14jSo3WzFnGGwWIwI2gfjke2/rR1gSnpw4SKUHP7ckDzs/O0XV2J41aRDA0GMMWh34Uvjn7117VhqAaWlp8QBsMc+d/r7H/0EsQjUZjdDp3DEOvIEjIr6ql7MMikf0JUWM1BQ6jajitJo9QU3gHE3fQ53iKMnDgJ4OCIIUKSy0mR7KWUH91bl86JRoRqs/pEIQz56UBwkilj5YiLAgI4MFSULpuAx4vEF4fEEYOJYUF7p0jKN+Ln9QwMSR6Zg8Kh2iBFjNHLbuPYW0VAfuHzMABgMLUQQWTy8gYplDB8lc1nYrD97A4tbBPWCzGpBob6MlmFOSB68/hPf/eRwPjMkggU5+egqmjMvU3XghXDyKxv8m4wws1WEEwyIpQpl5IBSW8Mrbh/DEvTlgWcDnF1Tr5uf3DoLFJGJvVa0KWQwApWN1GgMSHRFxvsm+L75qwOLpBZAkCQzD4B+fnkJKByu1WFg2biCenTYYggDSuOM4wGhkqIhsGj2SUmgQOAZP/7/dmmta+mDhxfw5NNaOurswu5AAnGNZMIIAiWt7XqFSnl40EJ0c6umMaARndDIlRni1FX86PLcb/ndoX7CsXBBP7WxX+axoPxU7TdfY7CevVSzRzqPZE0S3FBtaWrV7S53LB5YFhuV2x//t+TduzL4aD4zJAMuyCIbCqgR41sRcfHq49rx7UIKNhyjSxcHtcTwSWJl2JBASqO+PDryNFGRussMCMEBrSECTO4gFZYNxtqFN+Lg9gWy3drv8LRQWIcb0hURRfvzbTqUriYrL7dcCExTgDYDxI/ppYpO1W+XJZaVwlZfehRSVlWMsXV2J0rGZKLhWBvwMy+1OaIhESYTJyOG19w+hyR1UxfJuXwA35nSTgWGipEGSipKEp17ajWenOUlyd9/I/rrFHLc3pPKn6z+oRtm4gQAjwWhk5OkXlgHDAK+9ry5AAkCnRDNGD+lNpqujj+9y+2FgWYR1gRdtQvIcJAhBLVUrAwbzX22nZ74UJooiFVTkD4bh9oZQ5/LBGwjDYjJoGr4OuxmpnWUQkyhJMPEswgKDmrMtiI/Qtj06Pgs1tR5s3n0CN2ZfjeQOVoTCcqyiRwsOyDkYwwCBoIh3/nEMB76sx62DexAWGUEEtu37Nybe3h+SBMx7pW2tPzlxEGbcdR0MnDzduv6DagzL7Y7Jo9JREwGKAoDFZFRNwjzzsnY9V9e4IIpQfT/K45NHZ1DjCgPLtAcOF2jRVM2irI6BxhYfmcRQcuEwoKKmUsxhN4OJSDK00cqbVROpSt1BbyqqttELU6S5l9IhDrWNrVizuQ0w98K6/Xh2qlOmWTQZ0C3FjlBYBG+0YtOu4/ifwT3JvbGv6gxuyumG3677TLU/2OOMKjAG0AbYA6CST0ntbEfNWbcK6JFgl2nErk62aa4vdtqkvskHX0DL8KHUdKKBd9FFeZuFx4vr5WndB8ZImuL5lRoT603sRzcsFKtz+RAMiuB5eYrUwDEIhSWyFyuvWbJqHxZPb5M3Ufbs2D11467juPuWfnhgbCb+fboF2ytrUDS0j26Ny8wbYOY5eHwhJCVaUDZuIDiOwdKHCiGK8mR9kzsAb0Ats1Bd48KClXvx8uxhGqCFwUCfCm1s8WPE9T2xatMh3HlzH3TvkkBkFZIdaoo5GtjzhXUyMP/tv3+JUYW9SA5ms/LUvC/OasTk0RmYdHs6TDwHgyQRUNCFUr5fSRZLY3vHjb1IXc1hN6N4RF90TbKBZeRJf68/rAFuRQ+LxMfxKg1R5fnZk3LBsfT6VUoHK1WqRxQlMGBw1y19CfAhPz0FHRPMWPZQIZo9QWzYcYzUQa5OtoNlGICR8MCYDHAcg9WbvyATSkVD+2DDjmMIhQXclNNNU5NWWL5U+/coOohH8cl1Lh9++cdPsaBsMLZX1hDfzEbi4iZ3kAwA2K1GDfgEkH1ussNKcoegDhhcFAHuYi+Adjuv6TWmjJzM3CUJEhgGSLSZ4PW3MRPMLM7C2cZWQrkM0HshsRSbeiAktzeIbZWnUDwiTQZidIxDkzsIhgGWlBdAkmSJLAPHokfXBNisRlQ8WIhAUADLMmho9uGvu48iL70L7FYjjAYO2ytPkrxN2UcYAK++exD3j8lAfnoKVaZMBl3JcfOOyhqMuL6nZphs6epKPH5PDs7osLjQ6sCXwj9/7yZgWlraUACvAUiFWhdQwuV6f0ZQnAq9p5k34GxDK3p2TaCO0zd7/Lg6xU5+/NKx9AaVPxgm6Mlhud1VrzkfVV2dy4e1W49g/Ih+VP2llA5WDX2hkmwp/OR2K4+5y3fHLLp9eKQ4G82MVlNLKdounl6AV+YMQ32TH4xOk+Jsg1c1gbVi9jDMKhkEry+MZk9Ag9TijawGQaKcb0l5AUpuTwfLMvj1m5+RwrFC6acgn1599yAV0aQE0xeLovG/yQRBgiCJqsaoIMnC27QpOi7SbH7+j5+o1s3zf/wESx8sJLRc0ZOALKPf1KNRbJpNWrTo7Em5MPMscvqn4Knlu2Me5zDmht4UygIWLU1B7fGNBioiu6KcTjfKsgzCOtOJYeGHnchrR92d3ziOhcQxKtqH/PSU81K3xn6nLrcfvJHDuq3VqklTBgwpMABtydSMu66TjzkpD58cPovbC3sRdLSy9iaOHIDiW9IQDAsQpTYUT+w03fDcbige0U91rbMn5WLX/q9hsxiRYKNrnogiSBEkliInlrJIKVrMLcnTHEtJBkIhEfNK87Fu61EVFZeC1DsfyCLZYcX0ooEIhMLgQ1pQwcN3Z6HVH0SrL6y6R+dMyoMj3gRGEK9oH9xu7fZTMN7AotkT0OzLNosRofC3242URMVqNlD3VUGUwEiSJpnhOYY0BpWihZ5Ot0zLYqYWTDokmDB5VAbcviA83iBa/SGs2laF4hH9UFl1Bp0ccdheeVIDLLrz5mtQOjaTFCtpcfmL6+U9IiyIsEQodldt+oIUkh8YI3+WP245jL1VtZhbkqeZ0vrNWnk6nDcaULFaWwxSphBYDjDoxFcGjiEFkegCXjQ7Qbidiu6SmdHIUkFF8TYer7xzEPnpKRAESdMg+8enp3BbQQ+cqfcg0WaCBKhoaCePzkCcWQbyHD5Rr4kJ5OJyG/CJVkRRJsIIKMeg1Z+0x/F46iV1vvjcmk8ihcvPsXCqE9sqT+HAl/Uk5gDkyVZl4rV0bOZ5i58cy6juBUBfjuKpye16Pt/WlPufg8zKkJRg0eTCAsNQi6z3jxkASYSmqJWfniLTcUkSTDyHOZPysO4D7eT1zOIsiKJEEPAKK1G01blkcKg/IGD26rYmx/wp1+OG7G6qxo2iExTb8FHWUnRzWam1KKbIpywsc6qAHo+Oz0Z9kx8r3zuIR8dnU69PAb3NnpSLFW8fBAANQ40CVhl34zXk+pTpcEBmZFKu65tzbnSwm/HcjEIEQ1c2TR0t303pYIWBowM7/cEwVm06iql3ZqLZE4DVTC/+SlHH1duzS8dmYunqfXjyvkHYsOOYisVLD1wuSiBsXUqeKUoilq1WT3nQaJfP1HvIMR12M0JhAaIokTwsWt9doV0cltsdiXYTmtwBVaM7mupbrwAuShLG3XiNaspq0TQnkh0W1dqMzvuU63dE6bwC7fTLsRZNY5uW6kBO/85Yu/WIrFtrMqq0ROeU5Kno7BVTYtaH786Cx0sH/8bH8QiEROr6nT9lML6ua6Majd3jlcaD1WSAKEFVg1XqxryRQ4s3gIQ4E+ZGQO/PPzyEGrfYrEYVS5Hifx8pzsacl3aT6052WFTxR/Tj0dThdS4fWlqDuM3ZEx9+/jUAGQR36+AeSOkQh1Z/iEx8v7vzS6oGG8cBYlCEAIDl6IBkth04dMmN1pjiOQYN7jZZmejfcv6U68GxLFq8QditPBqafefthcRKHeiBkDxe2Y/WNnrBGzk0twYw8fb+eP+fx6l008+/8alqElxPw7PJHSRUvgoLYpM7CF8grGH2iM7vlqzahxWzh+GTw3W4Li1Z957/YO9JDXPM+erAP7R/vhjsGysAvAAgCYAl6o/1Ihz7BzEFxXnfyP5Y+d5BzHlpN5ZvOIAmdwAcB8yamItkh0yjmOywgONYMABZLArtXPRr5pbkwWblYbMaMeaG3kQcU3nN9sqTmD1JPi5tYx+W25068lo6NhNubxDrIpSHzz88BAvKnNi46zgAYPLodCzfcADnmnzURZdoN6moBUrHZsJoYPHw3XKBuaU1iNpGL5ISzfjD+4fwaERLSvlcM4uzCJ+18tjpeg98PgHnXF5NErhk1T7UNno1n6NoaJ9IkT6A6c/tAMMweOKeHFSUF8AeZyRBleIU9lbV4o0thzHjruuw/MmhWFJeoJmyEQQRjCDCIEntxWcAYUHCstWfYMHKvZjz0m4sWLkXy1Z/Ao5hiI4B0Pa7ciyjizwPixKKIzzMc17ajZXvHUTxiH7gOEaz9hW6zi++qidN5cXTC/DFV/UIBEWs/6AapWMzUVFegNKxmVj/QTX8AZGK+A+ERIIurSgvwPSigTDxHIIhUaMNV7Fqn+71C5Kkc50SWLaNJlUxJRHQM7lBxSLMMJA4FlyERlLvcZpFb56vzBmGivKCdqquiCnouxOnW1R+cG9VLdZtPYKK8sLId1aIJDuPUFDeCgVBREc7T55fWOaE2cRhWG4qOiVasbDMiWUPFSLRbqKuk06JcpIUbzOi4NqrNL7SwLFgGAbxNh4d4i0ExQNoEUp56V00a3Tp6krc5uyJLp2sECWRNO8ANcXWHTfREZ8Kwl95zB5J4LZXnlTtU/npKSge0Q9zX9qN6c/twPINBzD1zkwMz+0mF1kmDYKBkzVihuV2x+YPvyL7kXItM4uz0NwagCPejKREC8xGA+IjSGzl3n1jy2GYeaPG71es3gehfZq13drtJ2HBEH3/DYa+214kCCJppkVbNNgoNl4LBQXiux+/JxupKfEwRgqEscfolGgBQKdglEQGv3hlD+KtPEJhCa++I0/hVazah+v6pmDDjmMYPaS3Kpa5b+QAiCKw8r2DWLe1GrMn5epOKCQlWrB8wwGUP7cj8t7+SEt1INlhwbkmL2pqWzB5dAaWzxqKXlfF6xaEvIEQ9bnOHeOwZvNhhEISOAOj2SPmRBo5ABAQJd044z99/+128UwUQKVtbWz2w+X244ExGVT0/8+G9YU/IERyNj+5B4fndsNdw9PwixV7MG3pdsx/9SPc5uxJXe92C0/ieb1JkZ/fl4P5UwbDHscTDUzl+aWrKyHp0B4m2Hg8NiEHLMNg2UOFWDTNicMn6gHIa6lLUluTXq9AnWDjMackDxwHLChzIj89hbxfaVBu3HUci6Y58fzDQzC9aCASbab2OPh7mF4uzLGMphaR7LCgY4IFf/v4BB4Yk6FaP3uravH0y3tQc9YDry8Ei5nD5FEZsFmNWFA2GCtmy7mdgWPQKVFbqIu2ZIcFDIXeuLbRq4mTl62pJNTQikU3QZQaggI4s8fxmth11+dfY17pYLw8ayjmTxkMnmex8l25pqFMNcZeX4d4MxZNc2LX/q9RXeOStdbsJlSUF2L5rKGYcdd1SLTzGHNDb91jKJ9dATsvXrWPMC9dqQ1AgJ7vxhk5WIysZo+bOzkPPbsm4Il7ctAp0YLUznai5xttyQ4LvqlzY/mGA5heNBA9utipPkhZN/FxPIpH9FXV7mg1rg7xFo2/rli1Dy2eoOqxJav2YfKo0ucGGgAAIABJREFUDM3+vG7rUQBtky3LNxxAWcV2LN9wABNvb4sXHr47C9srTyIsiOje2Q5RkNDsCWJ75UkSV6zbepR8P3r3lSiCNACVa/vrnhOYE/O9zp6Ui93/Oq26/jDa7XwWTWMbXY9s9YVJAxBoWyN2K0/9jexWee9t8gTI82mpDswtycOyhwoBCbqMPK3+EKkzR69f5fnfrP0Mrb4wzjX5qXHGxJHpWLP5sNzAbvSRWoEoStS4JRSiT9p1SDCr9m9lyOOpyep19tiEHE2duNkTxLI1cv3jnKsVvoCAqzrZ4AuE4WoJ4P/2nAAXAQIq7F/LHirEgjIntuw+oc5FGP16Xqx9m5pcu/1no32fsfFGUJCwZNU+DdWzw26Gyx3AMyv24Ocv7sIvVuwBx7KYMykX+ekpSO1sx6PjszG3JC+i6SdT7M6e1La+tlee1Pi1OZPyEG+T77s1mw/DbOKQaDPjhXX7demmi4b2wbqtR88bOytxhvJ/gMHeqloUDe2DJa/vg5vCFKnsN0pvpGhoH4iiDMSvKC8gny3ZYcHZhlYUXNsVvkBIVVezx/14deCLARBNAvBCdXX1T6YCyEboPenURYX48POvVTRvf952FA+MySCvjaWggASAkbB24xE8MCYTBo7B5NEZYFkGC8oGw+sPI85sRGsgiIVTnTBQJu70ChCiKMFuNamoGRX6jt5XJ2DO/5MRdnrdcpZldLveCnL1rW3VeGBMBqESmHHXdeiYIKOPw4JIRJYVx/vGlsN4dHyWaqw3+pqVsfDox5SbJD6OR356Cr4554bDbiZ6MXrIJ4ZhMC+KZqFd40TfRJ2GWCAkYM3mwyok/JrNh/H4PTn6dJ0MQ226VTxYSKXrnHrHQHTvkqCa7Hv47ixIAJVW9IEx9KBDECX8edtRDMvtTqhL5fsvk/56gU5DamC1ArGKILaBY3X0JFmIlHUVSw+hrMOOdh4NMWLF/2l9tqPu6Kag7x4dn635nfdW1eKBMWKE+11AKOpL4zhW8xtE655OvH0AwoKI2sZW6jo509AKo4EDx7LgOEnXV84tyQMYOUBRUGuxPleftiCEV945iKKhfdDrqngqRVb0/hL9XnsUajM6KRw9pDf+uucEOVYnh4WgAZX3Ll1diUXTnLjntn44W+9VoVwfvjsLJiNL8QnZKiq7RdOc2F55kkwEFw3tA+jotdY3+2Aycu3+ud3a7TI3Pa3V7zM19m0n3Wm+u+LBAurezLJyLEC7ZqW51tiiZjuoc/lgNMigpT0HvkHp2Ex072LH6XMeGFiWFNG2Vco05EMHpVL3iLMNrZpEcXrRQNjjeIRCoopKfdbEXM2kQH56CjrEmyFJ9MmAU7UeuNx+SJDw8xd2YeA1SYQSTRAlfHakFum9kv5jnNHONHDpLKxz/8gNhAIA9KlMhmlriijxgpzP9dXQB9FoHOtcMn24Es/r0YI3NPsx6/cfatDN0cegrfWEOBMEScTTL6tpyG8v7IVAUATLtL1PL+dMSrBg04fH8c7Or8j7i29Jg8cXQueOVkJZea7Jh6eW7wEgs8uYI8WldvtupqbRZ4gfHz+iH9FNV3SmRRHo3zOJUNdGW53Lh5QOFhgNMpHT0yvUmpb56SkoGzcQYUEkv3+0Hreybh4dnw0fBfigVzdIsKlBvkq8qzyfmmLD9KKBCIsiVr57SBX7rttajZtyusVMvOYBkCe37x8zQOMbZ0/KQ5PHD5vFiJEFvfA/g3viTL0HL6z7nGgGbvrwK4y94Rp07mgFyzJU/ypJEgHIKfdYMCRi9eYqjB/R74qOh2n5riCANAfBMIAkxxxCMAwOgC8g4a1tR3Hvbf10dS8VGs55pflUH6T4JglASoc2CtDqGhdWbfoCM+66Dikd4sCyMn1Zk4de3KXVstw+Nd1mdI1MD5Tx7FQnTp51Y+Ou4/jZ8L4IhyU8Q6EwVfRebVYjobSjsbEEgmHN9fbvmUSGBaIpy4fldse2ylPketqZAc5v0TWx6JxeL78PhUXNbyTTHAcwszgLbm8AcyfnYe3ftFNSC8uc1PXragmQOvPM4ixdf6lX82tpDRBfxDCyhM7M4iwk2uhg6LBOHe1MvQcTR6YTnTR7HI93/v4lhuamqhjHYqWelDqxEsfkZ3QBINMqKrWFWRNzsW5rNW7JT8Wtg3vAzBuIhpzL7cf4EWlt9Ig6muJl4zLVv51Ore5K9sHfxy74+2RAjUeLhvbRNJ1//eanePK+Qbj7ljRdaQSlSRa9v0f/9oAsaaU85g8IiDNJ1B6Ccl671YjqGhfWbD6MRdOckEAHwik1t2SHhcQ4yjFdLQHqfeIPhsn1PzkxB+7WEH71J7U+vYnn8Oo7h/DI+Cz8du1+VQ4o5ww/jl2MvWANgAkA/nQRjnVJzACgaxI9eRJFCTdld8OqTbI4a4KNx+RRGRoKA4WCYl7pYLy4fj9cbj8WTXPCFwhh1u93q46blurAYxOyEQyKaPF4cFWyTbNpxMfRqeIYBpoCtnLuRdMKyGO0IPzhu7PI6K1ecPL0A/mYPDoDAEiB4pkVH5HzL5peQJy9UrR2uf0QRH2tQimmHazcJDOLs9Dk9qNkVAZ+u1amAlUojpTv4z/RprZrnOibgdPRnONYOh0ox4BjGWrRjePoRQxRlHDHTX3w6zc/Ja9/bEIOWL2m2x0DqbSinA4lCMcymHj7ANQ1egEARoP8f/Y8enr0oiFD1SAyAGAhITFGTzLRbgIHCQwlkY6mh1C+BwUwQH+8fX3+J1MKFnUuL8CxRCxXr7Ckp5tI+21eWLcfSyK/Ac8xsFuNCIVFKq1onNkIXyAEQZBgimjg6fmdeaWD4XL7CQCkU6JZRXWr5w/tViNcbj827DiGmcVZSLDxhLtfoRHSC8IV2iMlaLbH8ZheNJDo8+Sly6AWvWTA5Q6gQ7xZgxpVKEdifUJYkNDkDpLX/XXPCQ3FqUI7E3utzZ4gVr53sH39t1u7Xeamu/9yDL4rVPzb6hfQfHd9k58KWHrinhxVDKAA4RJsPKwmI/LTU1QUcQpNvkz93QrnwK4ICQIYAJ072hAIhYmmmyPeBJuFx6YPj1NoifKw4u0DquuWi+RxaGj2EWCF8viyNZVYUOZU6bUUj+inAkdF0zU+Oj4b7+78UkWJv63yFLZVnkKyQ55Szx3QmejQKuehxRlXmr5PbAxxKT/r+fSdGUGEwWzUAWW2FR6UWKdoaB+0tGobfnpaOaGwROIJBR1P24sBNaNMNEWcKEGz1ueU5IFlGfzi5Y81a3pJeQEWrPwIDruZxNtKPBNLnbjpw+Po3zMJeeld4PaG8NY2uRC98r2DKnrHNZsPk+s5Xe9B95T4i/kTXVF2vmKdw2pE2bhMFXVXEEBqig2CSG8GW0wGPP3yHhUoT/G5dqsRgigiEBbI719d48LGXcexoMwJrz+EOLMRf9lxFLfkp2JeaT6pHyi6mbRzRtdAoouCyvNnGlqRaDMjziIX6RQtv8XTC1BwbVdNzL50tRyze3xBxMeZ8OHnXxMdv6QES2SKhEd9kxdel4+AORRT6MBafSEIIlBztgVXJdsxf8pgtPpDcLUE0OoPqYqcyrXWNrZi9JDe2PnZKYwq7A2BYf7r/fG3MaU52KmTHefOuVUgFY5lUHBtV7y38zhuc/YizTCGAZ5/41NU17jIWky0mahNso27jmP2pFywDIOwpM6tqmtc+N1bn0dYsRgs33DgvBI/0ZbskGnoovOmZQ+1AZd06TtFCd2SbRiW2x3BoKiRplB8dIKNx6xJgyBEdLXqm/zY8UkNnp3qRGOLn9TglKnY6HMl2Hgq4Hrcjdeorl8vl2432fTqkXq1CQPHYOdnp1SDI+/u/BLjbrwGLrcfLMMgzmzExJHpGo3L1zcdosrlbNl9AoC8VvUAzDYLD06n5qeAJ5IdFiTYTGAZwB7H41Stm/p6rz9EvY/e2HIYJaMGYM5LuyPNikLc5uypikeVY1SUF+Ku4QE0NPtV1M3NHpkGcvXmKowe0ht2K4+89C4ICyLuuKkP3vnHMYwq7HVe8JqepngswE2/Vtdek/gudiHfJ8exaA0KMBoYIEbOQM8fxseZCNBMeUwBV5p5A5FcSHZYsKS8EAe+rCdABkD2ucUj+qriiuIRfVUAEL17wuX2g2EYfFNHvxeU98+amIu3/36M6N0nOyzUPsvsSXnwBUIkpwsERWpNcsZd18Hl9sNoYFWDVT82UPNiNAHTAUxLS0ubBeBs9BPV1dUjLsLxL7oJggijgc4xbDSysHE8HhiTCZZlYODkP82tQWrCYzaxePyeHPhDYRg4FtaY5C8t1YGJt/fHHza2NRUhASaeixGVP0pZXLmEj1ajjzc5T5WIKqgRRVelpTWIQEiAmTdg0TSnRtAYkEd1RUHCL15r68Y/NiEHr2+sIgWKUCgM3sipuMfnlORhz4FvkNUvWfOdPDYhB/Y4I0FDK9+TPc6ItX+rxrDcVHh8bajUaL2Y52YUQhSBZ6c6cTqimUFzIO1IJrpxHKPhGp49KQ9WnqXr9fEsBBHUhhgDenLIMgwS43ksnl4ASZLAMAwESYQoSVS9HgPH4O5b+mmuyRChFY19PcNAs1kzAIwcg8cm5GiajxzH6Ew5ZhOqMUEUwbGsrCMXFCAIQLzZAHNKvOo5UZCoibQ9jr6R/RDTFFeC6RUs8tNTqJvsefmydZpfogiYWPn3ljgWr7x7ED8b3hePFGejQ4IZDICQoEa8zy3Jw/wp1+uiowVJVAX66z6oxv1jMsgaMxpZzJ2chyWvq5GrIUHEczMK0eQOasTjN+46jjE39Mbbf6cjqVlWRsk3NPvxyjsHMaCnAzdmd0OinVfdb3qI2GZPEAk6fjS6qK7sN6s3V+G+kf1JEN+/Z5JmIvi19w/pJgzt67/d2u3yN/N5YoLAt9QEjLZvM+lO893NniAVsCRBAssxRHM7VsfqgTFtQLbd/zqNmwd1U8WlsyYNQkKcGedcXviDYfTsGq/RRlE022bcdR2SEuUJQF8gRBK26OupjRG4V6zO5YMnMimQ2tkOjmVIA1B5/oV1+7FwqhNn6j1IsMugDkGUNMW7OpcPV3Wyg4EO5TnFz14pTAM/NuL7fFOX4FiwjPb5mcVZcLnbkMRKrGM0sNSGnyIhEV0gnDs5D7Y4A+ZPuR4udwBrtlRp4oZZE3PJ+RWgkTJlpcTfb31QjVO1HjIdFh/Hg2X046nmyKRMncuHNZsP45HibHRMNINjGSwscxI9zkQ7j4LrroK7VW5CGg0Mfja8L0xGTkZfS8CS8gJs/vArUuRRYofH78lpjxu+o+kV656bUShrxcdo90RrbmvWWBQgQSmI0XSpZk/KxVWd4lBRXoj6ZnmN/HbtZ+R3XTajEM2eoAYRb4/j8fg9OfjVnz5VrdmtH59QgTJWbTpEjiUzcUhwtwYRDAt4ZHw2tn58Ajdmd4MgiiqaWsUUX6xMxM6elAeWlRBn5tHkCcBqNoA3soi3msCyLPX9CovHtDsHqia+FZmURDuv2UeV9Zxo53HX8DTMeenDH8VH/VSN5xj07BqPBFtbofi3j90Iq8kAl9uvYWpRdCwlAIIgISyKmDwqA69vkhlNonXlHXYzikf0ReeOcahv8sFskvfwfVVnqPeBBKhypLkleRAkkdwTxSP6ItFuRl2jV9ZwjzdT8zCe52DgGPS+Oh6CQPexCTYeiTYTvIGwakpw9qRcMCwDfzBM/DkNgKE3SBANJP2xC84/BYsGUyFqH6etkdmTcuHxBfHOzq/wxQkXYfvplpIOhmFwpt6DL0814aacbjAatMD6vVW1KLtzIBZNc8LllusK6z+oxpgbehOGiE6R2LwiJtYIhMKwsAaiW6bUWxWQmRLTr95chb1VtVj2UCHWbT2KR8dnq+q5M4uzYDUbYDEbqAMfyh4wpyQPIiRdhoLGSMNz5XsH4bCbMa80H507xsHVEoDbG8DoIb2xcddxlIzKIKwHil9OSjRjSXkBRBEwsIysDxzlIy8U4KYXv7TXJL6bXcj3KXEMXI0BLN9wQAUSq3PpA+M5lj5k0rlDHLxBuVnXltdJqvsuPz0FJqNBFVfI9KBGPHFvDrWGGH1PPHx3Fpo8ARWrl6reazWi4kF5Mu/Om/vAYGBhj+Mxb8r1WPDqx6TP0iXJBpaB5t5r9dPrh7yRkxnk4i2XFVDzYtwXf478+cmY3LkOazbR+VOux9kGr6rRoCyKBa9+TJDDdqsR/mAYrX45CZ0zKQ+2OCM27jqOwqyrVE62eERfqlDlomlOjWi62xvEvNLBYFmAZeTmo1IYUKZP7FYjOiaY4XLLNBbRN4fcZebw1z1ycPzcG/qCxmmpjsioelAlSvzrNz/FomlOnGvyISyIYCOC40vKCxAMiWho9mHd1iMYltsdf952FNOKBuLZqU4IooSGZh9pIM4rHUxGyNduPYJbB/dAwbVd4Q+GEfLKKKRYVFIThVqRJsLcjmSiW1iQsP6DWDqII5h6h6x5Ft0QAyshGJa/w1gdoGBIhN1Kn7AzcAx8QQlnXG7SNOzksMLIQYPEfHH9flQ8WEgagMrjS1efn1bU5Q5oEq74OFlvU01BICNCqVOOrJZqLDoBCwWFqGKZTDEpcazuxB99I9OfZmxfn/qmV7BYNM2Jp1/eQzbZrkk2GDlWExBGmx4iP5puOCBKGJbbHc+t+QQOu5nQUUUjf6OvISnBojlmfnoKwmERiyLi2ckOC56anIewIOKZl/eQhLBrJxsWljkRFARwDItgKIy6Ri9SU+Kpmq8V5YV47o1PUF3jIkU5R7wJDrsJ7tYgmjwBWMwCPL4Qpv/vQEAC1m49ohEoVrjOY4vaMmXGQOp3dM7lVe1niXYTxt14DfzBMCbe3h9PLd9DpaneW1WL8f/TT1X8iUb+ta//dmu3y9v8QZFo+Cpgnn1VZxCf2fWSIWZpvnt75Ulqc+W19w8RPeFoRHVaqgOjh/QmyGTl9Wu3HiHHddjN8AcELFvdVoydPSkP7//zuMYfl47NxDMrPsLw3G4oHpEGgN7sMRpZ1DV6qX7V1RIgU096SFhXix8LVu6NIKgLyHu1sQQA6E+dXal+9sdGfOsVpQDIzcmXdsNhN7fFMQYWLCsXVZ6anIfFr+9DdY0Lnx4+i1GFdBDQ6CG9sWX3CUwvGojOHeJQ3+yDJMqUsTPuuo5MoTa5g6pmXmOLDIIyGhhMuSMDf952FEkJFrwyZxg4lsXarUewrfIU0lIdsJg4WM0GfF3nxrqtRwmaOnatmXkD0lIdBLwpShKeibrnlOaOIEgIBAVN/N4xwYxZv4u+/3JxQ9bVONfkJ8VGlkV7dfo7Gq1Y57CbNTn1omlOjeY2ADIx57CbEBZF8rhSUAuFBcqkXaVcr2CAWb//UHXuOpcPwaBIAHHKYy+s249HirOxevMXeHaaE02Rwvdf95zATTndNMCOu4anwcwbsG3fv5HTv7OK0n5uSR52fnYK7+z8CkumF+iC4JRzr//gCO6+JQ1PLZfvzSnjMsCxPIxGFp3MFkwZm45OjjgVY01Dsx9FQ/totMAUikdBlGCzGrCkvADnIk1DJRaeW5Kn0etqn0o5v3Eci0Z3EFaLUaVZbOQ4vPb+Iepa3FtVixOnWzDjruvgCwjodVW8ShpBWcvPzRiCYFhAOCyBAdAxwQITL+fweeldsP4DNeXc2q1HML3oWiyZXgBRkiBJgMHAwO0NoeLBArhbQxog5F+2awvLM4uzIrSzPHz+MAKhsGY6VtGiNBpZPLNCqyuvTFBHswjER5rpAGCz8NRBgqdK8pBg5yO+/8cvOP9UTAFTAVHUtWDwyrsHNHSrowp7AQBpzpbdkUnue6V5tvK9gxiW253qoyRR0kzWnTjdgoVTnag560YoJGLz7hOYVzqYxIPBsIAlf1AD68vukOsDHAc8ed8gCJKE+kh8ALQB7ERJUjX7lPX0+D3ZSLCZNKAju9WIZ6fKE96NzX5dhgJXSwD7qs5gSbl8b1SsVgMMgTDG3NBbxXqg1AMXljnxmwg7nFKn0/tNzgdwOx9Dw5UaK38fu5DvMyy06UzWuWSQmDyMZIfL7dM0neeW5IHRoaM/VefByvcO4leP3ACfP0wa44dP1GNxeQFEUfbd35zzwGE3k3NWrJZrd4l2E8rGDYTbJ/dSvIEQ/IEwruoUh0cnZEOM9Cn8gTBh9VpY5oQECWcbWrF8wwG43H5ZN7CDVQXanz0pFxUPFiAc+dz1TbJmZsmoDNx5cx8k2Ez4zZufUae0kx0yTb4BEgwGVmYLAS4LoOb3bgJWV1evuBgXciktDGA+panHsSxpAAJtQduS8gKy2KKbDRWRxytW78P0ooEovO5qvBVBcSjHTbCZqEKVr71/SDW1lZ+egvtHZ6DFK49Ot3hlZKWymKprXIT+YmGZEwk2ExgGSIjjNRpTRUP76BbZT5xugcNuxsTb+2umUpTgVZQASYJGQyqa837y6AxMGZeJ+iY/fv7iLs133NIawJyXdhPahi4d42AwsPAFw/j9+n9pUEnnawwo1ErtSKbzm4Im1+rvSXhrWzXuuKkPOBYIhQW8849juGt4GjhOrf0HyA5r6UOFdMpMjkGzR9uks5rpqHg91JsoShhzQ28qjSdNuLiivBALV36suc6KBwupEw28Ua+hp5+A6U+VSdRCIM99ew0emm7HlRaU633PDBgKQkY4771OQ+RHc4tXlBeAYxkk2Hg47GY8fHcWFqz8iKo9WOeS6TNj0UzJDoum6Vbn8mHx67Lf10NJ/+mDwwQh9OxUJ/V8wZCgmTQRRQmBoIiX3z6I6hoXXnpyKJas2oe5kWTCYTdDipkOUbjOl5QXoCESqG/cdRzjR/QDZ4AGeR3rzwFg+ZNDCe3H7EkyAEMPXVrX6IO1q1FGrvrbqEfa/XO7tdtPwSSqhu+lNJrvHj+iH5ISTCrAEsPIcU3xiH7YW1WLcTdeQ/yRHnVz6dhMEgfRdCmWrla/RnncbjUiLdWBmwd1I4XE/PQULCxzguMYsAwDMBJCIQm9rk7QMC9EU5Ft2X0CBdd2pfpPR7yZTGoJogSTjt6UkqBFP6cUyEVRAnuJaTAvF7scEN+0olQ0kKzO5Ytq9BYiGBLBG1kk2uT1DUZCsyeEV949QFDyC6c64YqifauucWFb5SmsmD0MYUFERaRAZ+DaJpeU3HDxdCf8wbAmpi4dl4lX3j2AKeMy4Q8KOPBlPVX3WJmEpYGJ1mxpYwjQk5ZQpnFo8fuiac6Y+68S04sGkpxWARiK7YHDd7LYYp0eyJc2xbG3qhaTR6WjQ7xZU6xWGIYenUCPlw0cgyZP23RrNE2zQvEf+56kRDMevzcHvIFFWBAJGNrtDZKCHMMweGvbUdyU0w1hQUT/nkm6fv6dnV9h9eYvqOtWoRMFgGG53bF0dSUcdjPuH5OuAknPLM7CdWnJ2Lb3JNGynFOSB1ESIYr0zyFKEgAJUkj2A9GU+wCoALpL7aMupX2f3JbjWEgcg7AALF61Dwtj8qVgWMDeqlo0uYO6GmmdEi2oqfVAlLS/196qWpT/DPB4Q6omx5ySPMwrvR4eX4haO7nzZq+qwZ3ssGBe6WAc/7pFBeKvc7WBiDbuOo5F05xobg3CH5Cn8MJhCSwj00bmpXfR1E8cdhPAAmfrvdTPpoCJovUF//jXwxg9pDfe2HIY949Jx7bKU3B7g1g8vQCQAJZFRGtRuGwKzj9FU/b5MMPorJE+5N9FQ/toGv8VET+lRyWoJ+XR7AlEZEjyVXSISh2A1kh7fdMhKiPXG1sOk/MbOJZo8UabKALrP6jGvNLBaGkNwB8MIz6ORzgs4uRZNwG2ba88SZ2gavWH4PYGIYiSBjRRsUqulSTazPAGQtrP2hogdevvA5Ro18W+uHYh32fs+lX0Wpc/ORSzfr8bw3O7qRi01m49gntv648n7s3BL/+orUkNvCYJDc1+FaPWnEm5aGjyqwa0omtYSq1ZAiBxIh759U5yPWmpDk1j/smJgwizHBhg3oqPVJ9B8bOxMevM4iy0+sKwW40IhmSg/9Mr5DxRoQ2l3edPleSB44BASKby5y4j/evvFIukpaX9rLq6+s+Rf0/Qe111dfWb3/XCfkhTEsjYpt7Ls4bqBm20RD5atNrMG7BsjYzYYRmGHHdeab7uNEXZuMyIYzRBAlQUAHNL8rD7X6epzjbOasA3da349ZufYmGZdqJQL/h0e0OEpugXK9QFbSWAWfneQRg5ljrVpehKJDssEAQJjc1+BEL0cV+3N0RNNJ+anIc5JYMgCIAoiggDMHAsAt+qMXDhN8+V1HTRQ23wRhbD87qrRNMfm5ADE88iGKJTWoYFCWs2f4Fhud1h5oFQWP5/2biBuk06PcQI7XGGgQ6NZ47OPUi/TlGU8M/PThGUlCAC2/b9G7c5e6qa/Ari7nwJmN61siyoqO9QUPhWGjw/NoXV5WKG805QfruERUHk05C4AAAGYCQGDrsJj47Phj8UJr5QDzmcEMdr1qbbpy8cTyuKKejNvVW1qHP5cLreQz1ffbOPFI5/Nrwv3K3BSFDVSlD8LCuHw0oiWDo2E2cbtDoBLrcfZ+pbERZEdEuxYewN18AeZ8TyvxzA+P9Jw+Lp8jo1cAw8viBKRg1QIVHrm9VJxfwpg7Fhx1HMmpirCqCU6711cA9S5JxbkicnsoJ4Ra3ldmu3n6YxupP7l8po01Q8x6C+OaCZXpEnl30ktlR8n96knUJ/COjrUiR3aGP6UCY/3N4Qikf0VcU4yqTBomlOzFmupo8+fKKeTG9bTUaEBAETR6ZjzZYqTBmXCYYBlX7pN29+RhCnvJGF8B9iiWh6KndrSDP52B5DRPR5WBYQfrxyj15zsr7Zhz+8X6WioJ1Xmk+KwU3uIB6dkA1A20xIdlgABujaqY3ykBa/JCVYSA6pnPeFdfvx7DQnaZ6/u/NLzCnJQ5Pbr5vjrdl8mDrlf+LFe5OyAAAgAElEQVR0C9GtosbogqQL+gvHoPDrXDL1U0V5AfzBMBx2E5h2pP53tuhi3flAvnpTHDW1HqSm2EijJbru4HL7z6s3bzDIrDGxjEd6FPUA8O/TLeh1Vbwqzg4LIhpafOgYb4YoSnB75bWngFP0miOAFgRns/BYs6VKBXJT1m3p2EwCiFOO88I6WY9oeF4PvLPzK1XhunNHm05ezUEU5BoGz2lBHA47HUD33ziV8n1yW45j0RoS4GoMEHCDEKWRnpbqgJk3INlhQXWNC1/X0XOpmlp5ioSmWZ6fnoJwSEIoLKqa4hWrZFYiE0+XB1ImSRWrc/nAsfo1ttQUG24d3APnmuSJmJJRA1R7/4IyJ6FCVN7zwrr9ePK+QYjneF36vOhaY2NLG/PRidMtmF40MGrSm0FSohUNDR5AaG98XEzTqw9F3+d668JuNRJARenYTPToEo+wICIQDEPU0WVNtJnw0pND4XL7VQ0TvXM0twaoAyfRtVuFfUtvjSlxwpyXdgMAXp07HAzDIDXFhtKxmdhXdYYAlqJp85UJqlkTcxEICbq1korV+/BIcbbqOeU+U3y5UnP/Ls2JK00X+4e2C/k+9WJxpZ6Ul95F5fMA2W8tLi9QgdaVOPOxCdmaOLYpavBEeSy2J8FxDCRJpoSeV5qPdVuPorrGRW3MP7fmEywuL8Dj9+SA1QErCaJ6j3bYzbCajCqg0ZySPDKRGN38U7GZGVj4g2E8+bvLkxac/Y7vmxb17xk6fx76fpf2w5nizKMt2SGLpdMehyQn8spzSlC9YccxAHKAkRgpMnfvbJdpTSKvs8fxSLCZqMetqXVjwcq9ONfkV1Ef1Lnk5mTBtV3JplFRXoDpRQNhtRjh84fhC4TgsJvxlx1HMXtSruralE0p9nwNzXLw4Grx6xZOHpuQA4YFOefckjwMz+0mNw9TbJhXmo8nJw7Ci+v3Y8cnNegUKWpEn39OSR62V56kFscXv74PwZCIOS99iCkV2zHnpd1weUPEkcReM8sy36sB6PKGMOel3SiLOhfHfddlf3mbgZV186J/i8cm5ECSJM2E66/f/BSCIKPTqN87wxAaAcWa3EEIks60nCRp1sHM4iwYjSz1cQPHEhrPOS/tltel20+EjmOvh+Po64NhgHd2foUHn9+Bact24MHnd+CdnV/BaGRRdmeGLFYLmRqp7M4M8Eb9315JpKOvlaBeBFEe4ZYkMFGNDr3HaaY37RrWfcd/p7EcqGuC5dSvk9GhLMIMA4ljde9bQRBh4GTNBLvViKKhfTA8txuWPSTTUsx+6UOUVWzHL17ZA0GQiPZgrN98dHw2tleeBMuyKB7RV9U8Vqayoy3Z8f/Z+/bwKMqz/XtmdmcP2U2yCUkEBQ8IAULQBJJIEtQC8msVAT8+IaiQoCFAPCBagYAtB5GArVW0RQWsgLUE++EBwbYIVOVUgkLLOSCioEAgYZPsJrs7O4ffH7PzZmZnJoAicshzXV6S3dk5vPO8z/u8z+G+HeSaHrfc2aHYTI/bTpxaQIbrjNatyaOysHrT13j74/14ZFA6gdEqW7AZr63chRAnYMyQdNTWawN/bqeVYPtH292//P0AZi7ehukLt6JNvB3L/1klz2NJ5qYaN3c9pi7YjMYAjyWr92H99m8xcUQmZo3NBSBXTQHKZpfCrq9q8PctRzCrJBfzHssnla6Dbu+IirUHybFzllSCF8xhW1ulVVrl0hGz6mNRvDjBScW2hyLXc0TW5UBYjEBj2ck9vblqD2aMuQ0uhxUzS3rD5bBi0qhemoSgWpI9DiTG2ZGTlgIAJLCmlpy0FEACFn+4G2ULNmPxh7sxYkAXdLwuVpNsUUQOhod0a/dt3dth8Ye78cwrGzFz8Vb4m8J4ZcVObNtbDVGUcPSkDx989hWKB6fjtcl9MX5oDyxbI3enFA9Oh4WhIQgglaFmvoTyHSQzH4I66zp5Jcm5+hAXW8z2lvV+TteR6nI2B/Oqjnpx9KQPb30kQ96pn2tKYRb+8M4OHD/tJ5+v3HAIZYVaf4IyCWaIohQpRpVRaWwshfYpbkwckYmpRdmaNd/ttMLrC4IXRUz+4ybMWVJJEimnvAF0uMYNl4M19sVpCg0mflKdL6T7zGqlkZzgRIcUN2KsTKvv8CNEEEQkulnMHpeLpx7I1BVqvrJiJ4b27UTglo1iGYLYHP+QJAkzxvTGwrJ+mPuYXBii1suctBTMLMmFKIpo8HP41xfHMOruNM1+X4Goj56jL76zA4s/3A1/UxjxbhZzllRiyep9oCgZBWbcvA2YsWgrCgZ0QbybxSsrdiIuxjimoSRHALkI7tQZOdl+piGAooFpmF6cg9QOHiR7mjnTzIpC7KwFatN5yhtA28QYNDQGURY1ZmVF2Vj4/i4Sw6j1cREO+jwsLOuH8tI8OKy06X7ySpMfs7flAVSfacL8ip0kSf3+p837s6F9O2HJ6ma7qPDhGemw4i+oxz0nLQXD75K5GSf/cRMWf7gbI+/uitQOnkjCUQTDUDp7OmPMbbihrRsLJvXFc2N7I7WDBzlpKbBaGCTG2Q318URtIzxuO1Z9fhgP/aqLpqDjlDeggUJU5JQ3AHcMC19TGNeluHUxHHWsMVrnT3kDuCYxBqAk4jcoRaOtcmHFLD7ksNJk3itUImpRv7Oqo16s3/4t/AEOMxZtxcSXP8dbq/foYhETCjJgscj2cH7FTjhsFkwa2QsLJvU11L2ctBS4HCxJ1ilrOtC8rid7FIjxr3X2TNGxZI8DvCBialE2Xn7qDjQGwnLcYN4GLP5wN+7s2R6ffnkMg27viLZtYtDQyCEcoRU65Q1g3rLtYCjjWJ2vSeZKaxPf7Jsr11YK8JTPmB+hw+cTk2uVs8vZxtPKGM+L1Zu+BgB4Ym2GNs/fFAYFwGZlsPjD3YROxsiPNeNfV/T61w/1BMcL+M0bW1D6wga8tnIXRt0j23glaZ7awUNidHKzFmC10KipC56Tz1owoLNhh2vBgM4AQJL844f2wJMFGbg2yQUnS8u+1KJ//6C18WLID/JHqqqq+qn+3fvC3c7FEaMW12lF2aSiLRpS4s8f7cH9/Tvj8WG3wmm3wu204s1VMmm14mBMX9hcdTd1dDbenNYfR6t9WPT+HnS70WPYUvvayl0AzCuVr0mMIYkSxfF888PdBGJuSmE2AqEwvtxfjenFvWG1UAjzEr49UY+po7M17bRTCrOw4pMqAMZVpLJzzMLG0qjzhUh3ofJ8agiFiSMy0T7FhTt7tsfbH+/HoNs74rmxuaAoCgxDIRTmMeruNDAMRSbf0L6dSFC9KcTrJsQLj+frxqisKBsLP9hFnvd8s+c/N2/IxRZelOB0WDBjjMzTIEoAL4oI8+bdfqyVNiQ7trG0pmpZcUxYi3HVB01T8MRq4UM9sTawNJDkcWjuyWKhYLNQxteNbJyi54qNpTGtKBvPR89ZkwpV2uQNUy28+Z+6iuhSgLC6FIQLiyZdoJlkHM6nspRhaNT5OFIpJNusLqj3Bwl5MNDspM4sycWS1XtAAVqOyRgrigenkwqgJav3kW6NpEhCPZovlrFQsFkthnNFlCQNPFK824byR/NlTkpewpZd35OqOooyhtGaU5qHVZ8fBiDzZU0pzEK9P4R4t8xlor5/C9Os26e8AYR5EdlpbZF3C6Wzg6s+P4yJIzIhQcKJWj8q1h4klXx/33IEu76qgSSBvKP6xiCS4p3wuG0YMyQdv3v7S02VtazH4lWlx63SKperKMU/unWT+uk9IyPbXlaUjYq1B4ivp4Z6qfNx4HgRL1c0V9RPG52NFx7vA0GUdL7uE8MzsOgDOalXcl8PUBQwaWQWXni72dd4ZFB3DQ+L4hsWD06H1WI8NkZdAaDkYDnDUBBFiXQYJHscsDA0bmwXixEDumDOkkpMHJGJmYu3IbWDR9chMG10tsxxLKFFn0PtQ6j9al4Q8Ye/ajlVruTgx7n4ED+HmMGTf/rlMQy7KxWPD7sViXFy1TJrpTWc5ys3HMKoe7pi1eeHScddXIwNG//zHYb27YR4tx2zx+XizVV7sG1vNeLdrIbbx+sLGeotQ1Ma36hgQBfMWKiFAVbg04McjxljboOFMfbzj570GcIdPTE8A41BznAPrXBoKucjPj1DIT7OidOnfa0dKxdAOEHmllJD3attREKsHYX3dIPbbkF5aR5EEZAgkVjGuspvMGPMbfD6Qjpf1h3DgqYozBjTGxaGQigskOp+5f03hcIafVG682aNzYUkyZw7y9Y0o3TMWVKJmSUy3cfQvp10HPEVaw9EiuCCaAxxOujlssJsVHxyAEBz4NwVY9XZ1qlF2QiFeazcICclzbqtghwPQbRpPlO6y2aMuQ1PFmTKFCwuG5au2Uvmrcdth9cXhNNuAUNTsNGU7OMLxggyV6Jd/qF7W54XIQFomxhDOo0U2wKA6Nu2vdVwO1kCK8cLIp4syESbeDu+OeHDp18e08SY1LYxNsZGUJCU+1IjX7FWBnW+ECo+OUDsbpt4O3yNYRK8VfTNHWPF0eoGbP7vcUM7p8B6jhjQBTYroxsTs05cQZDAhQV8V+1D2zYxmD0ul+iMMj+TI3vQtz7aq/mttyGElARtEFuRqwkJ66cWs/hQmBMILLiVAeH8jfZtARj6nmpe1qZQGE6bFVyYhyRRmFKUBQoytGttfQgzFm2Fx23HpJG9CJyxsqarkb7U/rNSFDenNA/+QBhdb2yDz3cckznWBAnHa/xk/X/qgZ6wWCi8+u5/yPyIps36f71vABcWCFx+9PUYA5oc5ftkjwPfn/ZjxIAuGPH/uuDUmQApKl62Zr+mUKLVJ7g8hBMkLF97QLN2f7bjGIbfJXOqK0Vj0TbPwVpQtmAzetzchth5SQJp+FAfb7Zme2Jl3u3EODvK/rRZY+NXfX5Y5sYUJcx7TPZ3ov2CFZ9Uwe1kdbHoXz/UE7Sq8zfZ40DbxBjDNa5dm2akAK8vCKuFwcsVOwkd0dnWxp/bRl+VMTvFmL/weD4EMdJJQtOwMsC1STEtQqH85o2teOu3d+GXvW/AkDtuNnQw5rwltzy3TXTh6QczwdA0Vm/SOrihME+4oHhBNFTwhkaOtFxToPDW6j1kwTjllSHbxg/tga43JmLZx3tRMqQH3vx4F+7v3xlWRhsgpgDc378zjhxvIFWk5VFcJvMrduLJERmarkQFR1/9fC8t34Hpxb3Jcyv3lOxxYPzQHpi5eBsAeSHISUvRYVRPHpWlIZk/5Q0gHJbgcVrx+wm3IxiS+RmVBCAZ1/NM4F1tSReGpuBr5HQbuJjEGOPgACN3WUYTYq/4pAol95nAfj6abxgAoCggzGsNV5gXwYlAg5/T8C9MGtkLrIXBxp3f6WA8B/bpiM8M4D3v7dMRjqgEp8VCgYExZrUECcGQoMPel7cc5nIu5MM/5v2YBWmuNHiYloShKVLcoEj0OJxPAp8HSHIYUGxWpSnvnz/AYdTdaRq7ndrBg1H3dMVzFdt0juv8ip14siATS9fs0zjD8W4WdT4ONf6A4VyZNLKXDg5ZTez+xPAM7D9SixEDuoBvIVHfL+t6DLnjZviawvh48xGMGJCq20goY6iGR7CxDG5uH6eD/E3t4MG9fToSjkPFJtMUUOcPoWBAKobc2RH/t+Eg4SEAgIVl/WCRJEigdTyG8vv7eaHYWqVVWuXcxMbShly6NpYGF/hp57CRbVd4UxT4ZDXUS8GAziTJpxyv8LHOXLwNOWkphrAyCoTns69vgcctbxbbtnHhtLcJoChDe+t2WrFktZ5fampRNpZHAjmK5KSlwNeoTWY+/WBP+Jo4PDCgCxhJgsA1B44kyHbSqEPg+bcq8fiwW/Hqu/9pMYmn+BBGPLTKenUlF7opci4+xM8hyt5SvY9Zvekwfpl7Axqbwhqe9QkFGRh5d1cAciDQ6wvCbmMwMP8msFa526RibRXu7Nlet38quCsVYV4key1AXtejoWfLCrPx5qo9Gt+oPGruvbJiJ+E2lnkKOXzw2T6dnz95VBbeXVelgTWLc7GES25g/k149d3/6IKGHrcNNCQd7C8nSDjlbQKuUl7LCy3Kflcp8jWyEdOKsiFa5Yp+BnIAauyQHnhkkPxeREky5XScrfKNZR4zGQZL0aHpxb11+xuvL4ijJ33okOLS6Kpy7iDHY3pxb9hYGk67nssqEOJR7+eQGGeHJ45F+aP54DgBNfUBiBBJHEYpAigY0FkHGyYnG3ujX1YHiJKEOJdNVzgyoSAD8W4b1m37BoDSgZuNN96TzzVj0b/JelRemkfiEkaUJ2r7/VPuJy8l+SF7WyvL4JuTDRofRCmYUGyLzcoAlHwuI1i56cU5WL/9W12MaerobKQkODFj0b9N94FxLhZTCrNRUxcg8YnmhEyOTo/KIzzCiz/cjSeGZ+BfXxzD+KE9kJIQg+9O+bF87QHSDfvwoG5wRbpU1Ndev/1bHb3ClMIsklRWdBGQi0InFGSQ/V+Q45GS6EC8myXjK+sta5g0aaUfufDS0nxmGBq1Pg6hMK8pzvli30kU3pOGRwZ1B0PTCAv6vf62vdX4336dEeIE/C6KqzTOxYK1WlARSbRcm+QEKApPFmQiIdYGgCJ7eUCf5J5alA1QFEnaKbJ51wnMHp8HACga2A28ICIh1o5nX99MfGGjNWRqUTb+b/1B0+udqQ9BghRpDAEaGjks+mAPiXm8/fF+xLtZlAzpAWdbC0qG9IDVSkVi5a2J6stNBFEy5Mq8PeM6jLo7jXRxR+vQW6v3wOO2486e7Ql0+fTiHLRPcev2X+4YVleE/8RwmVah6qgX8x7LN4xxlS3YRM5r5Bc8PuxWUBRFYuBxLhYetw2slYG3IYjpxb0R5Hg4bBacrgsYrnEWhkZ5aT4aGkM4XRfU0BEp/q7Z2siA+tlt9JWYCzlnqfNxum7AODcLIQKFohbFKCZ7HAiGBOLQlpfmGToYFAVQFPDiOztQNLAb3v/sa7z/2dfkmNQOHpKIo2l99aTsCEgIhARMX7gVs8fnaYLBSsWxnbXgpeU7MHtcLhgGGDMkHceqfXhh2Rc6pXuyIBPTi3uDogCLhcaTBZmId9tA0xRq6wOId8vVfurfmXUpWi0UygqzwFotaAqF4W0IYeWGQ7CzzSq1csMhPDkiU4eBrnAnKht4pZMMkEBTiECAihiYfxPqfJwmWXg+CbyrLekiCBKpIlYSeqs+P4zxQ2/B5MJeaPBzJCkc62LB0BTCvGhowB8ZZJyUEARJV7H50cbDKLmvB57/c6VurMtL84mDrZzjhbe/QPmj+ejZ9Rpd9RJFAfuOeNH1xjbk/PuOeDGwD3DaG9DNkWuTYiIwLPkQRBEMTYNlgJBgvJmdU5r/gzGQf6y0khbLci7jcD4J/OhjFZtl1vHsbQhBdMm/USqlr0t2ofpMoy6wodgpipIrm1duOKTqvpAroIbccbNxQDmGxQtv6/kflHMqgZOZi7fi8WG3Gt7rmXp9oHNo385oCoYNr3ldsgvzHstHbIwNazZ9jTsy2yPOra3EMoJpVmzy4g93o6xQ7nBUJwDVdpNlYJhAYBkgfDUpcqu0ymUqXFg05NK9t0/Hn/zaZrZdDZ+s9rfbtTGG51R8zW17q/E/v+hk6LOHBRFzSvMgihIoikIwzGP1pq9RMqSHob31NYVJB8tz43Jxpj6IpHgZunDQ7R1x5HgDsXmjB3bXBV9efOdLzH00H1YaEAWJQJ4yNAVbhDfKqEPglDeAlAQnigenY/naAygZkm6YxFPWTm8LnG5zllResYVuilzKvpQgiEhKiMGZcCMEAL/KvRG8IJFAB6DlIRt1dxoK70lD9ZlGLHxvD9nvTC/OQd4t7ch7VnwVXhARG8PCatXyWFUd9eKDz74i/Mi8IMIdY8WQO25Gv6zrsXLDIdP93LVJbry5ajf6ZV1PUGDqfBwJjCTG2bFm09e4t488B6qOekkwfMUnVbi3T0dYLTTxndQ+y6Ky/mCk5uApAwq1UXvv1uD0jxdlv6t0aoZ5QU/HEVUgoIy3/F5ohEzoHqKhkNV+rPIZF+YNkZQ+2njY1N6yFgYNjSEkWhwI84ImpuBv4mCzWjRxghljemN+hQxtmhTvwNMvb9TcqxpiV33/DY0cyhZs1iSann6wJ+LdtkjyE5AkEV1vbIPstLYIcjLlijr2oKxP6n2FkS99NRRhRMsPscecAMOCBGUfMi2yp+AB/Lb4NlgZGhNHZGriXxVrD+LpBzP1Xf2RQvyZJbmmnf0JsXas+KQK/bI66HTGDH7uumSXzC3P8fhFr/aY9toWlJfmER0dcsfNEVoTGYsoej7c26cj/r7lCIoHpyMxzo54lw2+AId+WdeTWNf8ip14bmwunhieoemcBYB5j+Vj+F1dUHJfOiBRsFop8GEJIVGU+TlV93uhkLB+7k6Vy0WU8VYQH9Tyzj+rsLCsH45WN8BmtRjqY4zdShKAgNZHaJ/iJvHqPz3TFzMXbUXx4HS8XLHDNMl9Q1s3igenY/WmrzF8QKomZle59wSy09pCkiS0S3LhzxF0AXUyReHHNrJvSsGe+noKnZTFQpH4s2IHxv1POkmQANAkaHSFExf8zbTKTykMTSEnLQX9sq7X8KvX+UOwswLhGVbrn8tpJdzD/gBHeFrtrAVeXwjL1uzH9OLe8Ac41Ps5LHp/DwAZuevaJBe+OeHTJNuiO6yj12Uze56S4MT3p/1kbgHyXJxTmoeJL39OdNPrC8LlsOoKOCYUZOCFt78giGHKuqScR7GXZmvj2Wz0xbC9V/I+sUUxGvznI0TQYV4yNNJBjsfEEZma5JJZoDnI8bBa5I4J9TFqeLhYF4s5pXngeREvLd+pg7aZUJCBxDhZ0ZoCYbI5UzvXCs6yrymMhsYQXE7WVOE9sTa8vHwnigZ2w/rtR3F33o0a8nClElz9PGbPV1MXhChJKF+qnRC0KsNSddRrGqyOczVXMz0xPAMMAzQGBXgj2PDKOSeOyMSS1ftIW/v5JPAu5UDBTyIUdBVxSmKN40RNV9zEEZkQJTkwZpwoNYYDYhgK993ZSVOR8dQDPQGYkauaJxONglhzH81Hyf90R0MEekvh8mNoyrgzsTQfdU36gILTbhzsEEXpZ0sCtpIWy6IeB1AUIEm6cTifBH70sYrNMoKtmlokQ7pAogy7lNWwFupAdJDjDat+nxieYdrJLUnGc0JNgK0Ezv7y9wO6Kv7Jo7LgsDUH+pTPJIiId9kMr1l9phEzF28jx4ICgiEBkwt7Yd7SL4jtNbuvU1654nVOaR6pJi8Y0Bnt2rhAgYKVZcAJEjwk8a5U9suwKK3SKq1y6YsEybAIRwJ+8uClmW1Xc90kexxITnCivDQfgLE/rj7eCGYrJy0F4bCImWpIr6JsPDK4O1ZvOqyDgFFsPyB3sNAUBZqmQFEUvth3EjddG69F16CN7XtYEBHkZBh2NSzUtKJstImzIRg2fh4JIIkVs5egrJ1Oe8scGVdqoZsi5+JDXCj5IRtxUZQQkiTwvAiaAiyMcecp4SGjKFSsPagJ+FasPYiJD2SSfWO07/HUAz1RVpil2YMVDOiCirVVOFbtx8i7u+ogu8x8FZqWk+nqgqaqo14SGHlxwu34Ve6NECUZtoxhgBM1jcRXOlbtJzxder2WCOclcPXRNFwsUe933/54P54syDDZkwE21ftQROZGYwzfoREUsrpoI9njgMNuRVOIx+PDbgVrZZAQawcX5jF6YHeAkgwRZLgwD1GS0BgM4x9b5SIUtT6XFWYT1KBT3gBYazNNRfHgdM29pnbwwG0CPRYbw+KNsn74VhU8VIrcFkzqi/kVOzHxgUxU7j2BXV/VoKwwG02hMLm2er1ROOnmV+w0Tapf6UUY0fJD9rZmsYEb2sZibmk+WCuFppAAX1NY3rOckYvrrRYKY+7rjkXvy91FZvzGFAVMX7hFDuhG7a2eGJ6B3/9FpjTIu6WdTmfM4OfU+6sphTLSFR95RiWxOKEgA4IggWIoeNwyRUrbxBgcrfZr7OXIu7tqAsrqvSdFUfho42HNeqDMw8Uf7kZ5aT5YRoaIjI59xMdLkfH98UhYrd2E5y7RndjRuiNKwOb/HseQOzvqupomjsiEJRILUIviI9TWBzHqnq5YtmY/oVk6W7FzmJcInKevkWuR4mlKoYwuYGObE5RyE4fxGqLEb9XXaxPnwMkzjfjDMn3ScMaY3iRBMrUou7Vw4goSm5VGwYAumqLw6WNug83KQBTlvY7al0z2OPB8aR5G3dNVt/8UJQn+Jg5eXxANjSGULdisudbMxduweFp/osuKKFzHip2KjnGZzZFvTvjInksd9xNFCQsm9UVtfYBwcypzZ2ZJLihIOBEFb64k7JX1Qc3/G+9mI8WogI1lAF6QE94miDSCKMF2kWzvlc8gbyJmC6SdtZDgcbJHRQRdmI32KW4kxtvhC3D49UM9SaDZiPw61sUiwPEofzQPyQlyQDYnLQUj7+6KxR/uxuQ/bkLZnzah+kwTTtcFCLRN2YLNmLOkEl5fELX1cgUbFxZ0m6ZXVuxE0cDuhMy1tj4IO2uB28ESB0YtStVdvFsmIM67pZ0O5rN8SSVCnKh5HoWHSv18UwqzYLPRCPMCIZf3uO2YX7ETHrddc2yMw2p4L4lxdrw+uS+mF/fGl/tPQhCayaHV9/TS8h0Y2reTblKd0ztWOaYKWfeV7rwYJdZ4QdLBT720fAckUQ5OGOmv1Uobfm5hKMI7+PrkvpgxpjecDgs5Ri1y0tCYJJgxCYoIYjOMZ9mCzXht5S4EQwL53uh4o4CCwhUYfV3Lz0ya3UpaLIsyDskep+E4mJFwG83/6GMVm+X1BQlR72uT+2Luo/nwOK2geBE2K4VHBnU3nC9D+3Yi1wxyPKYWZSPJ4zCsintlxU7QNKVfL4qyUe83JhyOJsBWnKQlq2W40XmP5WNmSW9IkAgE6SQnHO0AACAASURBVIsTbsec0jywVhoUaBz4plY3PhMKMlCx9iC5t3nLtuPUmQCmL9wKjhPx9IOZeG1S37OSl8tOEPDC4/ny2K3chbFz12PKgk2o8XFY+MFuFM36BGULNqEpGIYFUmsCsFVa5bISytCWXQwxsu1lRdlYv/1bAHLybva4XPCCiKPVDVi+tsrQF1m54RA5pwKzpT7mkUHdDWFHeV7C+599jY83H8H04t5YWNYfM0tySdBN8XGJn75gE1ISXViz+QjCvASapnBdshsW2sS3oSlUn2kiCUDl2s8vqUSAEyFKkuHzUKCa34PUMnexsm5EX1tZr66GAPTZfIgLIUoQtGzBZpSUr0fZgs3wNoXBMDT5XmJo8BQFiaHJ5/5ACP6mMGYs2oqXlu+EKBr7x0GOx9FqP377xhaMuqcrUjt4yPcyv4isY0YdR3/465fwB8LEZ5hTmgeGobDrqxrD419ZsTPCAa6de1MKs+SAeXEOSRJG36fTbiGJcQtDwWm3ID7ChabcqyiJunM/MTwDb67aA151vpaC063yw0W93336wUzYWMbwXX5/2qfRYbUoKA/Rfrdim9XnCXI8+feUwiy89dEeTHttC1599z8QRQkBjkedn8NvF27B4e8aCIJMeWkeigen46ONh8FaLbBZGZQvqUS/rOt1Olu+tFLjj/N8M8JLdJymYEBnvBWBHovW75eX78Tx0zK/X3RipfpMI0bd0xX1/iCG9e+Mpx/MxOvv7cL8ip0YeXdX5KSlYEphFhkDry8IT4TfO8njNF0DrjY5370t08L6yUBCTX0Iz76+BQvf343qM014uWIHiQmEOAEPD+qGpx7oCcpkLVQK5MO8CJaV6XHeKOuH2eO0a32si9X5Iwr8XEv7q7lLKzF6YHfCHTVtdDYsFgqJsfJvvq/2g+MFtE9xw2KhNbpnZp+VWJc/wOHePh11tnTlhkM45Q2gpj6AWh+H5WsP6GIf9Y2hyPgaj8v56KZZwQbf8s+uSonuxI5+d7X1AeTd0g5vf7wfgihi9rhcvDGlH8YP7YElq/fh+1M+Ux+h3i/T/Dw8qBusDE3QfsyuN7UoG+9/Kn9eMKDzWSme5i7djjp/CICEssgaXnXUC29DyPCe4iJFyOrnq6lvMm1ACYR44t+YFSG3rv+Xp4TCkqaj2+O2o84XwtQFm/HiOzsM9zoMpW/qeGXFToiihFgXq+HuVYuc6wjochLD+qfisx3HiH+h8BAqYpSnUdvT6Lgfw9B471+HEAgJmLV4G7nPbXurMX3hFtA0hZmLt2l8iVPeAFISYjDvsXzMHpeLxAhss7cpjEmvbkLx8+vw7OubUe8Pkd+0ZKMvlu39QXvF1NTUdudyXFVV1fEfcv6LIS1VI6s5D25o68b3p/14/b1dKBjQGQDw2spdmD0uj1QFi5JEqt8S4+yoPtOEhe/JVUpq3pJxQ3vgm+MNGkgDBfc7ukpuSmEWPLF2TH51Y4vcVgrO8kcbD2PYXZ1htVJI9jgNYTkWfrALIwZ0AcvSoCnjqhOKApat2a/pSvx48xE8Ny4XoiDBaqXRFOIhCJKms0zJpNc3att+/2/9QUPouEUf7CYY6GVF2aAoyXQBuaGtG+WlefpuoXOo0L1aMPkBmFbECQYY5Ke8AYiSBEhyZYK6wt3Gyjj8SfEOLQcfQ0UgRAXU+gMaaFGrxWZY6Wm10Lqqp6ce6Gk6/2iDxUGB8TQ63iyZCADTirIJV1yyR67EZ3Bl68CVIudTWdp8rMzlyoUFWCxaTlRJkmSOJkGGTaltCIEXzGHplMIPT6wNH372FX6R1QHXJhnD0lkYGn9etRfjh/bANYkxoGkKn+/4DremJus6kRVOQGV+BFUQSgrE1uRRWWgKhfHCsi/gcdvhsDFw2i04Vu1DxdqD8PqCmD7mNoQ4AbPG5kISZbv8u7e/1DklHVJcKB6cjg8++wr9sq6HKMok40brg9IFoyTLBUGfYI/m7lIq+CytkDGt0iqXjZj5CqIogfmJr21k21mGQsmQdJTclw5fY5jAeym2SeHfuTbJDQstw3oqCYhkjwODbpdhthQb7G0IkWeKfkYlBrZu+zGs236MBLkVuP3YGBuWfbxXw0ethimbUpgFLsyDZS2GMP4SzOFnAACShOQEJ2aM6Y3GYAT2jmXQGODIcWd7D2YoF/FuFpQgtdreCyTRG3FPJPHltFtgYRg0hnnMUHWaKpW6QU4kgbbiwel4a/UeXTfKhIIM2FgGi97fQ/xcdSXxpFG9EOT4SPGd8X7NwtCYs2QrAJmaQikkuqGt2/D4OJcdYUHA+KE94HKycDtYwjWf7HFgcmEv3X0+/WBPNAbDePEdLdfg8dM+ArXL0DSsVgq1dUHN/k+prn5kUHfYIj4CRAnTi3M0nY8kOH0Fd69eKGlp36ve77bk53l9QcPOizAnoE2kap0Li6g+04jt+05i+F2pGijkqUXZCAsiykvzSIygX9b1GH1vdxw96cOS1fvw8KA0cu3KvScwrH+qrvNp2cd7Mfre7sTvbskff2J4BnwBjhwTHacRBMkQeizOxeLJggzY7AyeG5uLOn8I9X6OcMl9+uUx5N3SDgmxMWBoCnExdhQN7EaoLsYMSceaTV+jX9b1GNY/FW6nFW9G4PNy0lJ08Y0rGm3oAkpLtAKc0Gx3iwenm8QE8lDfGMI/thzRwbQpep7sccBmYyBJQEyiFTRF4ePNX2Ng/k0ovCcNNE2h3h8E62AwsyQXDY2ybvxt3UHc378zHh92KywMjSSPw3B/5QtwSIxzYPa4XNhsDLxRnXkTCjLw2v/tRryb1SAPmCVCFEjFQIjHRxsPE65jXhAhihKKBnZDkOPBCyJefOdLQ1jGMN9sA34sEtaF6Ca8WiS6E3v80B4RKPsmsrd+ckSGhn6nf1Z7DOvfGSX3pcPtZA3jBYqP4HHbYbNaCIxmTlqK7npK/MFmpXHfnZ3A8QKcdm3czMzOXpMQg5crdiLezWJmSS78AbkB5ZmHeuF3f2mG95w4IhP/3HoEs8flwtcURm19M8znMyN7Gsbp7KwF85Ztx5zSPFC4umiarnSJ7uge2rcTsdenvAEsW7Nfw526bM1+PP2gcU4jyeMERQFJ8QzCvMwrebzGT+JeTwzPwJ9X7cMzI3sSHzbGboWNpTWUa6kdPJp4tFK4M3tcLgDo4ETVfsaUwmys3ngYd/ZsjxgTxBWF3z1ah2vrA/jNG1uR7HHIKCXQJ/Jm/3kb8b1astGhi2R7f+i5vgPOihwkAT95POEHCxvh5zAK0AIgAdm5pfnokOLG0w9mAgBq64ORTbqow30G5I3YtNe2kL9dEcOeFG9HvY8zTJzRFIUlkY6POBcLl4PFKyt2YmKkFduslTUuhiUVdcPu6gwKFMr+tBketx0PD+pGguL1fo4o/JHjDZhTmg9JMoYkommKdCWqPx/WvzOmq8g7o0k2FXJ5fxOn++1dOR003BJKAlD5bfmSSpSX5ptCMDA0JVeWqca5FaZAL7QZtCdjDO1J0xQgAX9bdxD9sq6HnQXCvIS/rTuI8UNvwZmGkC55x1oZ0qmnnjeiaM4VaLFQmoSMxUJBiFTD63gwKePAnShJuuDExBGZpAov+tkkCYhvhd68rOV8EvhKa73CC6XALttZoH2KG1aoAiWg8PxblTo4IUDWHU+sHcWD0/H6e7swcUQG3v/sa+w74sXEEZmGx7udLJ6KkFo3NHJ44z254vOdf1YhJy0F5aX54HgB3gaZMFsdYOiXdT0q957A7HG58PrkDei766ow/K4u6HFzG9zZs70usf7pl8dQ5wtp5s6sklwSFFff29FqP4E7cDmtOHVGdnaUQg9PrA0uB4slq/eQylglWW7mhERzd4ki4A222uJWaZXLRczWTZqmgIswZaNte1iQNxMSQxuiXhQPTsfMxduwsKwfIIiIsTIECpKmAIqi8L/9OkMQJKzccAjrth/Dgkl9DZ8xuuB4/fZvMfyuLpi7VL7uvMfydRzJ6oKKFZ9UofCeNDQ0hhDnYjW+TZyLRUNjyNCXzUlLQWNAayenFGaDtTLEB1PukabRYqTOtEimtSP7goo6CGoEyTmhIEPDI6wUxagL79xOK0lOPD7sViTGOcBaaVSfacKi9/doAhEpCTH43RN94LRZYbVQePb1LfC47aTSP1qX1agCSgGrAhdndPzRkz6s3HAITz2QCQnAb9+I4mtf+gXmPZ6P8tJ8hAWBcAu++I42CF/xyQGMGNBFAzdaVpRNIG2jr2thaHgbOcP9ttcXbE2cnKOcz76XC4tYtmY/Zo3NhbchqEnKAtAEk9SJRUmQQFMUfE0ciXFUn2ki/LEWC436xpCGvwoAdn1Vg+fG5pK/3RF+vtQOHtzZsz3eXVel4flR7uWXvW8g+muks0keJ2aPy8Wbq/agX9b1mmOIvj+aD0AkHSxq6LFZJbkIcjzO+IIan3nyqCzsOFBNfGyP206gRtX+NkUBPTolwc5a4LBZNPxzyjrRzEnfus88VwlzAm64JlY1djShFVDDpJklLcJhEQvf24OHftUFsS6W7LNO1jaSRPezj+Sg3sfpEo0Vaw+Qwocnhmfgjyv+CwD49UMytUm/rOuJbU72OFD+aL7h/srfxCHBbQcoCaGQHrErmjtT/axGuq7E/h4elIahfTuBtTJwO63w+kJ49d3/aGIfHrfdEJbRaqHBR+Dmfiz9yPnQclztEj3eFpoGzVDkvQFATV1AM57rth/DwD43obZepleKd9swaWQvuGNYQAJq6gNED6cX52j0S7E9z43NhSDKCBW19QGs+vwwigZ2J1CL04tzNNc0s7PHTvnJ2nDkeAPR25y0FDw3NhcU3exrZ3Vri8ZAGE/P/1wzBk0h3hT2WY4VSLDRxgX6rev/5SnRtizaXlcd9WLm4m2EO1XxB8380/Xbv9XBi04pzEYgFCb+Yp0vhGRPTKTAHxAESecXfLTxMGaPy4UkAcdr/Jhf8R94fUHMKsk19FETYu14fnweVnxShXXbj2HzrhOYMaa34X3W+YI6OgklYa9AiCudrS0l8lqy0WZx+wtte38oHKgDgDPyf7P/nBfiBn8KYRiatNIrUCqzx+UiyePQVBdPjQRDFYgDhqbI5l4wgXeJ5jXxuG2wWij4VZt/oDm4UTCgM4IcT5zZMC/ilRU74fUFyTWM2r2ffrAn6hs5dLw2FqMHdofbwaLeH4LHbUfVUS8m/3EzauoDmPzHTZizpFKz0RRFkQSG1eecWpQNu43B0w/21H3+5qo95N7NqpzbtXEh1sVqfvvrh3qiTbwDcS4W9X7Z+TcKsAiShJQEp65lV1kcoqUVpkAvNAMdhMVTD/SEhdHDFSqbG6uFxvC7umDxh7tRtmAzFn+4G8Pv6gJBkEgCEGiGH+IFCas+18K6rPr8MHhBwsi7u8FqkesCrBYKI+/uBgB4YdkXmLl4G8oWbMbMxdvwwrIvAAlI8jg0sKJJHgcomMCK0hQ++OwrzXU/+OwrAJLhs4GSWqE3rzJRCIqnFmWjaKCsex989hUoNC+qEkODj1QumcF2vPTXHQSSWbHBVUe9eGn5DkO4q/kVOyEIEr4/5QNFUZo1pGBAF4CSEAjxkCDPHaU6uXiIXBgx9Bed8P1pPz7ZJsMNDbnjZtT7g7i/nzH86H13dtJVxr61eo8O0iYa7sDlsGLlhkMIcjwp9HjmlY14efkO/LL3DVhY1h/lpXmIjwSUzODuotc4mqZabXGrtMplJDYrjeljbsP04hyUl+ZhenFOhMfh52UIMKs813DdoRl6LMFtR52fw6RXN2Lc3PWYuXgr7uzZHqkdPHjvX4d00HZlRdlgGGg+G3R7RyTEspgxpjfmPZavg5JRjjta7cecJZXYtrcaNpaGy84izEu4LtmNJI8DyZ4YfPDpYfC8hDbxDp0vNnqgHp507tJKcGEBg27vSKD9zxXOs9W/+elFDddjBOE2v6IZRkj5TBAlEuQAmoNuVUe9+M0bW1H6wgYcq/aR7n9F5OBCCCFOwMzFW0nBadVRL15ZsVPnqyiQuNH/njwqCxSth9ydUpiNGIcF8W4W3570wdsQNJxrZ+pDqGsMoinI49V3/wOnzUL87qlFMkdbv6zrDVEC4mJsuj3cUw/0NPQR5lfsxKRRva4KmoYLJeez72UiBb1HT/rw0vIdmjiA2pYaQd4GOR4edzPk27rtx/Do7zbgtwu34li1Dwvf08NuTijIwB/+ugOLP9yNkXd3JZQMyrzZtrcaXFiGtnM7rRjatxNSO3hQsfYg6cY23qdKOF0XwC9734CkeLsO9nlCQQYkScLftxzRwYRNKMhAgOPhsFl1PvO8ZduR2+NaMqfVHQzKMa+s2IkQJ5L9a70/pJsz2/ZWQxBb7fAPEYuFBiUIkbETCK2A2u4q9lMtyR4Haupl2xgICZi2YDMOf1+PJav3IsxLGDe0B+aU5sFps2ig6hQ7VTw4HQvL+mNWBAYckOFkJUlCbIwN67d/SxKASqzEiH4h1sWipr4Jh79rgNen1w110eS2vdWo84fw4js7IEjNsIvK+Z4YnoH3Pz2EggGd4XHbEO+yYdmafajzcYY0Ocpx0bG6uBgbuf6P9RHOh5ajVZrH20ZTaGji8NrK/2ps2upNX+tslJ21YPGHu+FtCGHags349Ssb8Yd3dsAfCBMfIdnjwDWJMYa2R5QkzFi0FePmrsf8ip0Y1j8VS1Y3x2sr1h48K8XTxBGZGnh9tc99f//OOOVtQlMwDEkCeEGEJ9YOjhfIOVI7eDC1KBtWmgZNyRRDatjn03VBzZpjtcpITeWlMqKe1WTfYQa33iqXjkRDiJvBeCp2fGpRNhhaT0OlxKv6ZV2vs9lzl1aiMcAj3s1i1thcxMawYGgKsS4W/kAIXl8IM0t6Y3pxDlI7eJDscWDEgC4AReE3b2wh0J0txcr+8NcdqKkLEK7gU14ZaSN6DzmhIANuJwuaBtHh4sHpWLZmP15Y9gWBdGZo6pwgmc1s9MWyvT/ofFVVVaGzH3VhJDU19RsAwch/ADC5qqrqnz/mnGpHWklIJXscmFua32LVjAUgiap1ld/guXG9IQhohku0UHjzwz3kfBMKMvC7t7+Us89jcw0dhLaJMfAFwlg0rT/CYRGNwTAeHtQN8W47moI8ZpbkYsnqPZp275q6AD7Z9i3uyukAX1RVoJrgst7PGWaSaZrCtr3VuPm6eE0HyvK1BzD8ri7Ydeg06egKcjzcMVZN4s6skqTOH4LbadVURrscVtgsNFISZB5AUYQhFMw3xxuwfvu3GPs/PSLVUhIsEWx4QwjAVpgCndA0BZfToh1/pwWiKOHL/SdJJacgAusqv8G1SR0RDAmorWvUVKh9/b0XLodx9Z0oSbi3T0ddpY/FQsFfF9Z0CD71QE84TdqpKQoIBHhdNVCc24aywizU+UPkGeJdNoACCgd2Q3VtEwA5yVg4sBsowLgDcUj6xRr2VrlIcjb4X5ahdBVEMrwMBRHNFdSzxuaSoJwCJ6R0Ka/Z9DWG9u2EOBcLj9sGQCJQM1VHvQjzPJlfvCCCooCHB6XBZmVwfdtYbP7vcTw/Pg+SJIGiKFTuPYEb2sUhKd6BjzcfwfC7UrFx53f4Ve5NaGwK48V3mjttpxRmYcUnVaRC9bnImqF0NSr6bWP10GDb9lajeHA6igeno8M1bhw9qYc78DWF4fUFEetiNV21Xl8QKQlOWGgKvCgCNAULQ0Ni9HBSyj0CzU4JqFZb3CqtcjkJL4iwWmikJMRE/FcbLBYK/M9c3W1Wea7mulNXC3t9Qd2GUQ3d+dCvumBWSS5ompJ9Do5HbV0Iz5fmoc4XgrchhGVr9uPXD/YksM6j7umKKYXZpDNQ7VcDckefr9HY7/b6grjvzk54/9NDGHRHRw2cutVqDF1+XbIbVguFpyPd5K2dJJeOqOF6WoIrVETZ4HvcdgJ1p/CRqNfRlAQnZpTchuraJuLnJsTZ4bRbMS3SXSdKMmymnbXA1xTGp18qkLgu0DQNC0PhyREZBGHg4UFpiI2xQYKIYEiAzcZg7qP5CAsiBEFCiOMR47CgZEgPhCO8kvfdcROBUFLuP95lgyCKkCTg2UdyIAoSqZxWdN1l1p0jiLAwFIGTrK0P4K2P9prCPwHQoby0irmcz75X0d3law/oujPUttQosThj0b/x4oTbdWhJE0dkwmqlNXzb7dq4UFsfxNI1+wAAxYPTYbXQsDA0Jhf2As9L8LjtMu8pRel06aONh9EYDOOXvW9ASqITM0t6I8gJYC0MwoIASBTsNgYWhkb50u3wuO14siATbeLtAACGobB6owwF1qvbNcQ/9zU1dw7MLOlNnk/xp5Pi7bCzDJ4Z2QvehhCS4u2GY9sYbC56M4t9tHZGXVhR210j+6nehyh2eeWGQ5pO7Zy0FDwyqLvhO5UkCcGwALfTipIh6bo42uRRWSi4KxWn64IkvhDnZvH4sFvRJl7uthMloLq2ES6HFW4nUO/nkJOWgn5Z15O92vrt32q6tYMcj5F3d8Wzr8mIXeOH9kDbNi6cqQ9iwxdH8Yte7clz5qSloHhIOmhQeC4CY1dTJ8PrVR31ol0bFxxWWhezpC8gH+WF6Ca8GkVtUxV44jgXi6R4BywWCuWP5kMQJNA0UN8YIqhW6v0+TcvrqD8QxqkzAcLPF217/IEwphf3htVCIcxLAERNvLbqqBfL1uzHnNI8nI7EAT7efITEzJI8DhL3UOttQqwds8floTHAgaFp2KwMvjvVTEkypTALM8bchqVr9ulighMKMrDncA3ybrkWDw/qDkkEZo/PhSUyNgqEuvo5ouGpW9HeLg9RIMSVGLLNymDa6GzCia7YYUDuhGYZIBgWCBpVdLzKzM/ueG0sbmyXDp4XIdIU6huDiHXZEOeyo94fwrFqP9Zv/xbj/7cHPLE2hEICEKFq+8vfD5BY2La91RgzJF3jJyh7t+gC929ONJD1p02cAxQl6+WBI7W46bp4zFys7YQFgLgIx6zij0X7UM8+nAOWocCBPiuV2cWwvT86RpeamkoDKAZwB4A2UEGEVlVVDfix54/I/1ZVVe25QOcydaR5UQLQ8ktx2i3wNXEYdEdH1BnADDx6/y14ZJCI70/7yGKd2sEDSMYYsqfrAvjL3w+gaGA3vLR8B4Gk+I2KE2VqUTZckY3mog92o87HYdQ9XdEU5AlPg/IMSgBkzpJKrN/+rSHerBi5l9we12qgLQC5DXzGmN4ofWGDnJgZnQ1EYTgbOWVPDM/Ae/86hEfvvwUWxgWvL4QwL2Hpmn0ovKcbwmFRk/BRQ8GoE5dHjm+SOaYkERDM28MtJnAKFpoGhKtzSynwkobEFJDH5MUJt6NPRnsCD6BUBTMMDasFaOOJITjjih47bIzJ+FKG3Unlj+Ybdg6awRJJIog+KMc/v6QSLzzeB6GwqEsmshYaPr/286lF2Yhz0hgxoIshpjJaecquGDkXh5ATJMOqz2hs7tr6gI6Hb0JBBgRRxB2Z7XXB3U+/PEYc5uQEJ6prA3j/00O4t09HjQ0sK8rGkeMNWPThXs29z3ssHzRNIe+Wdti48zv0ybgO35/26SCV5y7druHbO17jR05ais7BnlqUjZy0FI2jn+xx4ExDM1yNEdyB02bBrJJc1DcGkZzgRHlpPkRRgtVKod7HYcaiTZpruGOshvywY4ak45FB3cmc4k3WttbASKu0yqUpDE3D3xTSwJlMKcxCUrzlYqCBmsr5ct2Z+fJxLjYCawzYbQxO1jbpoM0FUSTwNDQtB5MVODgSoEt0gWHkwLVSkf3IoO4av1nxgcYP7QGP2451ld/gF73aQ+AlzF7a7HNNHW1st2mKgsgJVwVv9eUm6o24GQ9IkOPJvxXf02KhNYER1ion5PhI8o1lKJzxa+khphRmAQAJBNIUpYPdt7EMXnxnB6qOevGnZ/oSn159P3NK81B9pgmrPj+M8UNvQU2t3HXX4+Y2uDvvRp2vDwDvf/Y1+XvhB7tIIdLscbl49k29rs8pzTMcC0GQMPmPm7FgUl+UvrCBfG4Gf6dA17XKucn5wPMpulsyJB2gQPw9moaWR9DEhgZCPOHa5sICTp5pxJLVzYm+OBcLt5NFUzCMqa9tNoTLLSvKRhsPi1H3dAUXFgw77WaW5OLl5TuIfX36wUyEeQnlK7TxgsQ4OfnnirFCFCQdb+y+I17QFGVI06JQZXjcdoy8uys+2ngY9/bpiHLV+jd5VJahffY3ceRvo9hHK5TthZfoAChrZQg/n7IPubdPRxw53qDptI4u6jTjHxNFoLYugD+9+19MHJGpS4LPW7adFBI9MTwDiz/cjWH9U7HjQDV6dr1Go+OTR2VBlCTsP1KD4XelanyqsqJsfLHvJNFRUZQIPOQpb4Dwv5aX5qNgQCqBV07t4MH9/Tujti6o8VsmFGRgzH3d8bd1B2FlaIQ54ZwpM37Mu/ipr3GlidqmquGJF5b1AyNRuqTzpFG94Hawpvv9pHi58y6aQ3NyYS9wnIiZb29t0Y55fUGEOJEU/gJyd7fid/TJuE6nt39bfxAFA1LRFOINeWXnLt2OWSW5KLwnDTMWbdXMn/kVO2XfQWWjy4qywbpZSIJEINQVMSpkMet6N+KybZWfV9R2KCHOAUT4gkFBx/E+bXQ2Yl0sQaOaWpStiVcZFdrkpKXo5sxTD/SEJEqEpkzRzeX/PIDRA7vjtwubrzlxRCaWrN5HfIza+gCsFkZDL6XARAPNvvzyyN8A8Js3tmjmmD9gXBDUJs4Bi6p5KTqRlxDrwLcnG84puX0xbO+F6K39PYBfA9gFIA/AegA3Aahs6Uc/p5i1aH5/2kfgMLxNYcPWYy4sYvIfN6MpIBgGnMNhOZGotJ8CMozMW6v18BlTCrPwl78fwNC+nYgyGkFSzFlSCQoU/nvwFArvScMzI3tifsVOU1hOpY17xIAuYFkKs8bm4rVJfkv3vgAAIABJREFUfTF7XC7i3SzCYV6GRaKN8WotDEXatF0OK07WNmqgjby+IGys7JSVl+Zh1lgZUmHEgC7gw7JzrsCQbttbjeozTbqEz/yKnXhmZE8UD07XdawoWLotCR3pUlGP54SCDNCXLAvlTy9qHhJFTnkDCIUFUtWufCbDUIkIhUVDPeZ4Eb9+SAtn9euHeppy9gmC8UZSlCRDSC5RMqloNYEh5cKioUMQ5Jo3CwvL+hFoIQA6iBuzOd0ql76cCwxSS1XSouq7ZWv2w8YyGjgKj9sGSHrIqldW7ER2WlvMWVKJl5bvwHfVfgiiiJIhPXTJ8PIllbi/X2fN9ZM9DiQnOMEwFK5JjEH/7Bswd+n2Fm23IhVrD+KRQd1115mzpBKPDOqunVOF2XDYZViRl/66w9A2+gIc3lq9BzarhcDvMJLcJRBtn+csqQSkZn7YsgWbMWdJJXZ9VSM7JSroglbImFZplctLuLBINv1AcxECF/55i2TUwT/1ei5ygmEBD23iy7udLKxWGqIgQRCNfYqkeIfGVokCiO+t8Fj8duEWMDSFkiHp5H4oGPtA1ya5kRRvx+2Z7cGFBZRH+Vxz3qpE0UCt3Vagy1vl0hUFrscK/To3rSgbN7aL1eiqoqdhTiBQdyLHA7xA1k1OkEilNNA8/xQ4fPWeUPl+fsVOBII82SsFOd5QD31NMozYiAFdwAsS0en77uykm/PlSyoxML8jfvdEHzw/Po9wZSnfm0HciaJkADeahfc/lSFJ63wh8vnUomywDEjx0tSibELB4XZoOa1apWU5H19LjZwBCbBAAiOJOlhAs3gIa5V/D0pGtWibGIOCAbJ/u/jD3eAFEfMrdpJgmBFcbvmSSkii1GK8wh/gNPv/xDinIezuiZpG+ANhnKxpMvTTh/btZAodSdEUpo3ORsEAGWK/X9b1umvMW7Ydo6Ps87SibKQkODWxD4/bhrml+YZzvlUunKhh0gRBxKvv/ofsQ9ZtP4aPNh7GvMfzcUNbN2aNzcX04hwAMtyhx21DvZ8DFQVVp9ip/9twENcmuVA0sBskGO8bO1zjJvGpbXurMW/ZdtyTf5Oh3oiihF/l3mhoX/v26oDy0ny4nFYkxjkMr8XxAoF/BuSYoa+R0/kt8yt2wtfIyUWYaPUbLlVpCQZQYiiEwjxmjc3Fgkl98fiwW/G3dQdBURQevtd4v3+6LojJf9xMkNbKS/Pw+LBbEWNjdX7CvGXbdX6m3Ehi0a0dE0dkwu1kDfU275Z2gATDwo2hfTvhlDcAmqbAMMYIF2rfQTlnY0DA1AWbMeqernJzTNTYqKWleE6rXNqi2G7KIKb2/FuVqK0LknyIUlij6OX67d/qbLYRlcIf/volqs806XSzX9b1qG/U6t5Ly3cQmM6yomwkxjngcloxs6Q3XpvcF8+Ny0Wsi0XJfT3wRlk/PDcuF3FuFiX3pWPiA5mGUOLBEI9Jo3ppKDVmjLlNkwBUj4Xi+/sC3FljmRdTLkScbhiAPlVVVUdSU1OnVlVVzUtNTV0N4NULcG5F3klNTaUAbAIwtaqqqu5cf5iY6NJ9JooSnn04B7P/vI1kYpXONKD5pfzhydtBSQzCvAydFBdjQ31jKGKwTJIhkgS7zaLJEKuJ4dVdFbExcjZc3f5q1gpb5w/hpus8mLFoKyaOyCSbPaNMtCfWjuLB6XA5rRri9imFWUiw2kBRNCrW7kXx4HTD39M0RbLmr0/uCy4sIDGejXSRyNCh0aTJj95/K+JibKipD+ju38z5lyTjjhW7zQKP297iez3lbdJ1qSxbsx+TRvVCcpK7xd9eTmKkv9GSFHlery9oWvVmPP7a5Ij6O0GQYGVoDbSolaEhmXX9MC1UqAKa8wAwPd504TdJcAqihOQ2MboxkZMXm3WG9vcTbkdSgv74s4koSqhvDGlswYWE3TgXSboM9fp89LclOeVtMnz/oKiz6r/dZgHPi+S7qqNeLHp/DwoGdEZivKyjdpaGv8k4qKYUVag7ll+f3Nf4fgBShZfscWDGmNtQ7wth+doDeHhQd1CRDaeZ7VbDEXh9QVCUsYMdCMlQ0Q2NIXBhARzPI9ZmI9AHy9bIUE3XJrvljj2aggTg8WG3QhAjcIB2q6nNlscWunXy2Ydz0CbeqdP9+HgJv59w+886P34KuVD62yrnL5fauF5q93MuYqa/J2r8putp28voOWvrAzqoO4Wn1esL4vcTbocQEkxsNYW5j+bD47bDYqFN1xgJQLLKZzBbZ74/7UNCrB0Vaw9gyB03G56rKRjWQZc/ev+t8HjO3ydR5FLwTX4qORf7C1y8uXk+61xL92Sma/V+DlMKsxDmjf1d1ipXOSZ7HHDarYZ66HayeG5cLv6x5Qh+lXsj+d50zyqK4HkRkiTp+NrNKCW+OeHD+u3fYva4XAAUeEHEe/86hF1f1WDq6Gy0ibPjzWfv0oyRK8aOB/5fF5L8VPyJ66+JvWL0NVp+Cv09Fx0URQnfnmzQ+W5GY20UD5lZ0hsNjWG884/9us6UKYXZiHNZ8cKyLwEAcW5bizrLRz4383m9DSHN34JofB47a4HTTpnuWeNcLP68aq8hdCRDgcDtKj69sc8rYd5j+TJ8c2RsAVyRvu25yrnq8A+Vc9F9Ix0tGpiGeh+n6agoK8qG1UJpOk9mje2NWSW5ACQIIvD+p7KdKhjQBS8t32EaBxMECSs3HNIkqHmTYmdLJDZiZl+V+5lenGN4rZO1jQjzEvlOKQY1mwcMQyPB4/xRY3o1ycUeDyN9ffbhHCTEOnDijB+8IOG3b2g7lZpCYThsLTd2hMOiptO5vDTP8HiGliFHeUHE8dN+vLZyF7y+ICaN6oWnH+wJj9uG70/7sWT1Pkx8wBiqu10bF0QTnVbuRxQlUJRxTLDez+l+p/gg8ytk5AylE9YortBSPOds8eGzyeU0Py7HGIRyPydrGw31x2m3YtEHe0jXtsdtx9xH8yFBXndddivmPpaPcFhEbX3QtFDDzlp0n8W5WEPdu6GtGzPG9IYgifA1cpj4sgzlqSAYKOgA0V24dpYxvHa8244wL2jQOp59OAfxSfr4mPa3Z49lXky5EEnAmKqqqiORfwdSU1MdVVVVe1NTU3tdgHMDcoLxWGpqqg3AywD+COChc/1xba0fokHlQJzdEmlXpSBJEn739pcaknaP246auqCuZTPRzWogNXVJD4qCFBY0kEYKUaa6LTzZ48CTBZkoHpwOT6ydnMvMUbazFgL9ohyzcsMhwwDIS3/dAa8viPFDe2iSIHOXbsec0jzYWBrb9lbD7WR17eVTCrOwbc8JPDE8A/4AB0EE/rH1Gzx0d1dYLBQ8bhvcThbtU1zw+oJyFaIkgQ+GURsMA4we9kV5fqOxMsLLlcICTp/2tfxiGZp0qajPCUk6+29bkEvNmJrpryJJSW7yvAxDG0JpWUwSbrKhMkneMRSBSlF//rvH++h0pqwoGzar8bUZmtJ0GqrPM60oW8cJaHavZnBCDE0Zvm/eJHkSDPE4HTTXDyPeOQA/Oza5+j2f7bhLSc5Hf1sUA7sSPd/N9F8KC5AoaGyl1xeE1cKgKRjGk3/4DMkeB54fbwxx5Ym1Y3pxbyz7WIb5nFqUDYvF+H6O1/gxemB3DLnjZtA0YGMt8DUFMOj2jgiEZI5UM9sdDUcwpTCLdLpEX8fCMJi+cAuBN1JgodXJypmLt2FhWT9AAiBIoADU+PR6HOtkTcdWWSfV86G21m/6migAPC/Ia8EPkCtWfy+iXGpj+EPlUhrXK83+MhZjuG+GMV5Pfw45GwcsAFjsVny08TBmjc2FtyFI+B1It1SIN4XQEyUJU/60mazlyuctrTHKfUWvM2pOwOLB6aZ+fJyLxbvrmnlfn304B5Qo4tSZxh8EW36heVMuF/1Vy89hg83WOUVnQVGAJJm/SxN/prY+iI7XxiIUFg2/T4yzY2FZf/CCiE3/+Q5TCrM0MF4TR2SioTGEFZ9U4d4+HVFT1xxIE0Rj/aZpGZ4syePQfb9++7eEEzla12X6hgY8/WBP1Ps59MvqgLxb2sHjsoELhHVjJDG0rvtx9p+3yd21F8iPvpr0tyVfS2JoEoAGzj7W0X4eBQrTF25F8eB0XWfK3KWVmFOah4IBncFaGdTWBfCPrd9g1N1pJoWdMPV5ozmmpxRmobbOOPgb5Hhcm+TC96f9psnvooHdIEZ4gJx2K9xOK95ctUcDb6sU2xmd4+hJP25o65ZjGlFj+2N923ORS01/gXPT4R8q56P7Oh2lZB1V62b5kkoS91K41er9HNrEOVBT34Q/r9pH+MwoSHh+fB4+3vy1YRxt2cd7Mea+7ggEeVgYWi5gNon7JcTaTQub1YnDirUHDeFkJUlCU4gnXO2+pjCsFnN+5JbiXD/nnuRS1F/g4u4lFB/AwTIoL82XkR4kOdh+piGA6tomvLZyFzxuOykIC3I8EuPkQjKzd/7UAz1BUVqdMLNj35/2weO2Y7mqsx8AXlj2BZ4ZKYfmr1E6u0102mKhCTKB0f1MHpUFq4XCwg92G3LOqqEUld8pS88pr4ycsbCsn2lcoaV4zo+N8bY0dy41udxiEOr7oUz8XJqmNPmQeY/lo02cHZQgF6MdrZdj+x63HYX3dIPVZL+qNJWoP/O4bXjvX4d0n39zwkfoH2aM6U3Op0CVj763O0nMA82NI+pj1edz2Bg89+a/z9nHUsRqUrz3Y3MXipyvDlOS9OMW99TU1H8DeLSqqurL1NTUjwF8CaAewJiqqqrUH3Vy/bXSAayqqqq68RwOvwHAkXOZQKfONKJswWbNS5lenKPhbAIU8lKZ2FKiKdTWh3TJkDZuFmFOUAUwgNN1TaApSoM/O7UoG6yVxoxF/yY8gAoXifJv9Xnj3Sxq6oLwNoRQufcE7uzZHq+skI8vGNCZkAsvXbOPJOdeW7lLk9gE5MlmszJkcVhY1hcURUOSZMiPXYdOISXRpQ1OF2YBFKV71sQ4G6RwFLSIQVBixpjbdJyA6sCLOtDTJt7ZYpC5petciMRMUpL7UinxuwHnqL9qw2FlGXACIIgiGJoGywAiRaG2Pqjj/0mMkx3X096g7t0mxdvx3JuVGrLglRsO4emHeoK1UhD45mswFsBK06hv5FB9pol0/KUkOGFjGTwye53uvuVAhqA7PtZpRU19SPdekz12VBvdZ5wNXFDfSC0xtG5Oy/PX3EAzDI3GsP6e7FYLpkR4VM71XBdaziMIfVnrr5mYzfdENwsuAvmmcO2o/1aCcBJDY+EHu3Wk7f2y/j975x4nRXnl/d9Tl+6eS89MDwyjJiLR6EAQkgEZFEaTeGH3FRVd3pUhRhgViUyixLgbHfCN4AqjvmuMJqsbIAmQC7jvGkXFfYOXNyqogEpWRR2vUeJlhksz9Fz6VlXvH9VVU9X1VF9meqa7Z8738+Gj01399NNVp06d55zznHOSaYjMnFzryFS/edEMCAzojcRR6pXM+vhTvzoWFzWebJvPLYsb0BeJYVx1KZ7c+aFZZ9+q0y9oGI8zvnYc2hIGTtOc03DC2HJIkoAP/xbEV75UBVXVIAoCQn0RfHDgKE75csDhcC7zSbjxZ8876qkDumwa/Syuv/wb+Pl//MUM9vHk+O7rG3E0KaM2Xw24R6r8Dic1NX5cfNO2jI9//J55Q3r8QL+jkM7rSNO/3lIZnZzn6biAD5HeoXNyJuMW6MtU3wf8PnzcEcLRUJhrr999fSMAhk8Pdtts6uVN9QCAlQ++aLPrD6fQg9a5emQBigp0Huk1bSPD1r7rB4349WP7Hf2xblhQb5bN95fJgIas+kPwGIidk4pikV8rhaKDM12TiKIATWSOZ64hH8vmfx0AcDQUMR0hhp0Q6o1i/aNvIhgK4+bFZ+hVViz2qr/Mg4oyL/762TFs2PYGAn6f2W/e6AnI61n11J5PsLZlNr443OuQ2T+/egANk4/H+NpyfNLRbZN1AHjw5nPxsy36ztuVzQ2oTrpHjXs6zhiWtj3jOG/rWs+DNEg/hAHJr85gz7Xx+baW2Wh9YJfj/baW2bh3y2toXdwAQMONP3ue2xNweVM9/t8rB0x/xdSvjsU/fPtUSCKDIDD0ReMIdccwptIHWRLwy0dex9FQlDtOwO/FU7s/xhlfOw4lXtlscTFzci2uuvh0APoO96073kUwFLb1pDKYObkWCy6oM4PkyQHJF/b9DRc1nmzqTkPn670UBZtDfyhs4wKSXyALGR4og5F9Nxlva5mNjU+8xZWhKr8XHlnEhkffwO79HZg5uVYvrSkJgAoc7Y7gcFcYDz+rO5EdvrjFMxCOKjZfnlFF7MTacsxtPNlmU61obsATOz/E03sPmEHJmiofKsq8iKt6vydrkPrHi85AX1jX4x5JwLGemKMnYMDvRZksuspfnoOABSe/QG6DgKmS09LZAPGEL44nn62LG7B914e46OyTsfY39s9X+T2IKxp2v/k5Jk4YYyblzJxci6Y5E20yl5yQ9vCz75l+vLiiYkylD6vWv2wef1vCP5u8o3as3wMmMK4/zl8mY90jb+DSb34VrQ/sMmXb8K1MOL4c3X1xh8/xyV0fmb0IM7FRM0kEzJY0QcCCk99i8kGIogAmi2bypSQK+Btn7eXzijh8NGIGwMcf54ek9fvqWi29Ua+8cBKqK72IRFWbnP/oO9PhL5Ox2iLLK5ob4POJOHw0bPvOm66YjriiQmB6wtspX65EqCeKLTvewYILJuLOTXtw48JpXFvn3h+e4+iLefOiGRBFhh/+9DnH8elsrDFjyvHRZ11D5m/LVoZzsRPwR4DZp/MmAOsBlANYNtiB6+rqygBI7e3tXYlyoE0A/jLYcZMx6uxbL8oJY8u5O4kOdfXBK4sIlMq2xu+iIMArM0RiKhSml17ziAx9qmoG96xZH+WlMtY/+oa5HXZslQ9rls3GsZ4oKso9+PGVZ6CsREbwWASKquLmn+90LMyWzZ+K2uoy/K2zG//5zLuY/fUT8MOmeqga4PXoO+WsjAvoW7Q3bHsjUR4BCPXGbVmeq5fOwm3r7NHwo90Rm4PFyLhqa2kEACiM2RR0ciNMCQBk0fGaIfDWxpeZltlw+57RXJtfFAWuE6uizIOHnmq3laF66Kl2LL1sKuJxDW99eAhrls02A8F79n+O2V//ksMAXt5UD48kIHjMGfyuLPOYhoXBuIBeKpabZSQy3PrvzuPvvr4RHtlehtQjC4hFFNRUehP3m369vTLjBgAB/j2droG7JjIEj0Rs27uXN9XjuDH87eDJjYyJoYN3v3tE5uq0ZZpma6QrAVg4ZyJ394bB7v0daPnHr+P2pbPQE445soj/5bpZ5u7Yp/cewN+dNQE/bJqGQIUXXxzuwS//+LqZZXrxOafgll/oOnvJvCnmffT7P7Wj40gv/uV7uv797FA3fprYuf1P352OaEyxGei3LNYNbuPerSjzYvOT+3HejJMS2c/8skaV5R4sb6qH1yMi4Pdh7cY9uOO6WdxjozHSpQQxmojFNZSVSLbnvgYNsfjw9dtI5TDh9YDdsuMdhw5feVUDnn/tAM742nG4ZXGD6Rg2ks+6QlH8Ycc7+MfzT7PZFF6PiPWPvGmObdj1Y/werh50m+ujz71vy7Q2MlGDoTB+++TbWHXtWQj1RtHVHbXtnjIcIG79IYzeg+lI1TeFbJPhxa1vsfVaWuUo4Pdh2fypOG5MGQ4d7cPjL3yAyy84DZ8f6sG9W15DwO/DTVdMg1eWuHbLse4o7nr4FYcNvbZlNirLPegM6mW+Nz7xlmk/VFd4sXrpWWDQE1Sf3vNXTPrKWHQG+3CgI4T/+9JfHbbG7v0deHrvAdeEoy8O9+Cfr5wOBqS0yTS4VM8RGKBQn59c4rb7OdNzbXw+Vdn6zmAf2jb1Z8q3fxLEb5982/RpVJZ50R2O4vX3D+FAR7cpy6vWv8R1WP/L92aZutQYx18qoyZQgsNdfYhEFTzy3Id45LkPUTc+gCXzpuC4saVQk0rrGU7q7sQcreze34GmC+qweO5kROMKbltyFnojMQSP6btnr7nkdHONyNP51kQO6gWYH4zAgAD3XUq8/pRGCUKvLGH3/g7UjQ/g4rNPsZUOvWFBvZnksKK5wdELqm3TXixvqsey+VNxQk05GIB7fv9af1KEpjl8F6HeKDdAzvO13b35FSybPxXdvTH8+dUD+PYZJ5oll0WBQRQBpmgkd3kibZAPqW0AUWAIR+Nmb1K7bOm7WKsrfLbWS1t2vIOLzz4Fj7/wAZrmTMTzrx0wdWxFmRedR7qxtmU2Dgb7HJUwxlWXuCRU+Ez7YPX6l/HPV56BtS2zEVc0yJIAjwCoioZITMWWHe/YfIdbdryDvz9rAnbv7zD9EMlV7pbMm4I9+z/HbUvOgigyyKKAJ3Z+YAYA0/niDBRFtfmH0x1P5I/+e6O/Bdmqa89EwO+16cSaQAmOdEVMW9JYx1WV6JuCrGsaQ4/ftuQsbN3xDq6//BsYU6nvJOzqDiMcjeu6OJFIvy6RRLRo7iTc/r1Z0FQNoshwrDeKe35vT/h5ctdHWDx3smmPuNk6B4/qSSHWajMCAzqP9A3IxhIEVlD+tlysE99ub28PAkB7e/vbABoBoK6urioHY9cCeLiurk4EIAJ4C0BLDsa1wXMwM5cyiUYQra1lNlhUAQPgFQUoAHrCCj5LykT71WNvmlulja2oK5obsD6RiWQYvcbOwyXzpuCuzXtx/eXfwN2/fUXf0bH1DdvD4v6HdGOmyu/Dpu37TSf1t6afiJ9t3YerL5mMo92qaxmXzmAfZJlh6aVTcairz1TYDZOPB4NmZo8A+k14/Jgy8zVrfXRrrfPkhyFPcedamdMDwo6bAXLHdbNtsmawZJ6uqNZv24/12/bb3jvz9BMcBvB9W/fhzu832sp7GgHhO67j1yZXVc1R/mJ5Uz1EgV+uM65oeGr3xzi/YQJEAVBUL57a/Vdc1HgyEDOyrfRSS0rMXdEOJEgcVzTub25r4QcyyXkxvCTf71EIGTtQnfIgYN2j9p3S4wJ6zf2frHvR1MO2ZAhLo+u68QGoqoaxVT58elDX+cZYRtllQ2cmB+qe3qtn1ic71P71d69ibctsM7O0/ZMg7tykJ1sYx1rrl9+woN611HJ5iQf3P7TPzAZcu3GPa2lRUWCkSwliFKEoGn617U3Hzuill07NKPiUC1I5THjBLWPXtvX4Nb/ZgyXzpmDlgy+ajmHDcSyAYdX6nQndHcX8c0/FcdVefHEkbgYAVzQ3oLLcg/ISDzY/uR9LL52iN3EHTD2oOx2dDe6NxIqPPjtms4FLvXoZqFhcrwjy68f2254z1iCdWx+tTIN4g3X2E7kjVUDWm3Bcx9Av853BPrMnztqWRvz9WRPQ2xfHz//jL+b7Xd0xbNj2mmP9t2TeFNde66oGVJZ5HXIhCAzRmIZDR/UeVqHeGN76KIiGyccDMErVfQMdR3oBAF3dEVx54SRTvp/Z+7FrGdybrpgGSUttk/ES8269emZGzkAiOwaSBMn7/JYd79hKvc2cXIvmi05Hd18UK5ob8PCz70EUmbnGAwBZYqgq96Iz2IvnXvub6TwrL/GaLU0Auyyv3bhH7+8e6O/bbfhLls2fiq073sUNC+px1w8aEY0pEAQGgTFIgoBVv3qJI2+NKPFKuG3JTJttbjj1/KUyN+t/ySVTzDUi7/lknW+miRpE7khOoljeVI/Hnv8A5804KdFbygsIQLDLvlYzdikFKnxmojkvUGi9vm4JlpIoAAB++vvXMP/cU81k+/nnnsptoXLHdbPw6cFux3cd64lwx6+tLsN9W/eh/ZMgnt57AIC+u4QpKlTLzevauiQURjwpMZ/IHt75TRfkS5eUJQGorS4Fc2lXc8LYctMHYX3/o8+OYcm8KWjbuMeUT0Df2bz00qkAg5k4ZMh6OBqHv8Rj7io0vuO+rftw/eXfQF9EMW1/WRJwoCMEjywi4PdCkkXEAQRDEa7v8KqLJmNFcwP27P/ctcTz7v0deP39Q1jeVI8Ta/2Y23gy/seskyEJDCIokD3S4N0bq9a/jLuvb8T4Wr+tjLOxu9k4bs1v9BLjTBQgJWIvAb8P44/z48aF0yAI4MphW8ts04Zua2k031/54IvmMRtWno+7N79i+747N+kxFuNvAI5y5VZbp2nOaejqDps2w4rmBjyz92NuGdxiC27nIgj4MYAKzusfAqgezMDt7e0fAqgfzBhuGAq+M9ird0wHbIv/VH0/rErdLVtMz27TFejRUNSWSVFeKjuE2VjQ+UtlBPw+jK3qbxDMe1gcP6YMPZEY/v6sCbj0m181M0CCoTAqyrz42ZbXcGJtOda2zMbhrrAtE3nm5FqEevrnbJTIsG7dvvWamYjHVdtr1l4Q4wL2WuepHPDE8OBmgLjVqhcF5pqdq6iabfeqUfLK7XW3AANjwObtb9uO37z9bdx0xXTXHYJn13/ZXCwaRoUsCzjCKUuQKhszW0Xr1nBe1bRBLaiJoSHbXRBWeRChYeGciTYH7qprzwTAcOPCaQhU+Mxsuf5FpAe3LZmJXf/9Gc6bMR73/P5Vrm7sDPbhYLAPG7bptfLjirO/j5Gpnzz3w136gvLay07H+kfe1J0XDGZCR/snQTz+wgdovuh0xBUF/lIP9zl1/0O6Q2bJvCkYX1uO25bMhMelbyfJMUGMMhgc5dBuWFCvp9cPkzJIpb95wS03nVlZ7rG9JiSS+BS1P8BmOJXrxgcSCXQe/u9PMl4N+z4SU7jfzcAcu9OTyyfdvPgM9PbFzcDLM3s/NoN0sktv2UyDeIN19hO5wy0g65EFBBO7425cOI1vY6oqVm/Q+4lY33db/wUqvCjzybjrB43o6o6aCZrjAiU41h2BxyOazjhDzo2y5EaQ0UjIUzUNdeMDuPqSryEaU22VMG66YjqWN9WbZZRiimpmdVvXnIa8prpt+RW5AAAgAElEQVSnmaY5EvMybf9AZMdgK+UYn182fyoUFbjjutkQRYa+SMzcvWSW9pJFfKmmDHdd34guTonbw12649lN9v2leo+cw119HIdyA57c9SGuvHCSbU1o6FSBgTvmoa4+3PyLneYcN2/X5dSw05vmnMa9VwXL889Nlo17UlX1zHRi6BFdkije+vCwozrAiuYG1FaXmo7k5J1QK5obUDc+kLKKCgDXBMsqvxeh3iiCIX2HiBEAdxtP04Da6jKH34S3LhwXKEHHkR5HcmqyPcDzO65sboAsC1j1wC7bb6Udq9njtuPPX9Z/ja3BZQ2AJAppd7sriqqXcmV8W0EWBcTT6B1/oo2SsZO19YGdCPh9uHnxGQhHFFvC/e1Lz+KONbaqBLete8ksNV7ikVA2zo+HnmrH6+8fMp8bXd1R7jw/6eg2/RtGVbov1fghCEBXTwQXNZ5s+qU3b38bV18y2dTH1lZQxMjB7XkZjamQEv2xoWiIuwTADwb7cO+W17Dq2jNxx7JZ6O6NmTv81y6bzZXDeEKvGTYm7xi3RMuxVXoVC2vi0W+ffBs/bJqGcdUlCPXYbZ3W5gbMnFyL3fs78Mzej9E0ZyK2JnbJGgkoJbKAWLS4Vl5CDsZwxHwSZTwL9qljKPjWB3bhmjueQusDuxDsjUEU+09HvxHdiLt+0Igl86bYAmCiIJiGCS9bzGhIbN0q3frALmzY9gaiiabvVgyDI9QbQ9Oc00zhNLaoWjGU8Lo/vglZEnHvltewduMesxTdw8++a2YRbd/5IbyyiA3b3jDnfs0lp9vmfN6Mk8xgHwAE/D54RNH2mvV3GYq8JxxD3fiAOS/jRiTyg+GEsDIuUAJZEnDL4hnme0ZgTZIEiALwT9+dbnvvn747HV5ZwKK5k7Bh2xum3C6aOwmyxH/dIwtYeVWDbZyVVzVAEhmCobAp/4acigJL9PrrP35FcwMUBQ65u3PTXsRiGjcDi18MdGBIgsA9fyLr3769rvU8tLXMJsO6AHCTdzGDksJWJ8m61vNw9/WNiMVUrHhgJ1of2IWf/PJFLJo7CefPOBFXXqjLe8vdz+LBh1/H/zz3NLMnBGDXjcYcjHJJ9z+0D4KgZ0pbZb0ikamfPPeu7iju27oPoZ6oqWs1TcPOv/wNq5fOwl0/aMR5M07CxifehKLozp0qvwdrW2ZjXev5WDZ/qlni1Jj3dXfp8w52RcxydyTHBDG64WXBYxjNt1T62whuWXVmwM/XmQG/FzMn15r67uZf7ETrAztNh4yVYCiMKr8HSy+d6vL77c8Ow743bPnk7xaERPKgpoEpKqKK3U4J+H0IRxT8/D/+YtpLTXMmwiPq31NZ5uXaQZlmZyY/x0in5w+ezK5oboCq9K8R3dZzoijgtiUzEajw2d53O95f4sGq9S/h5l/sxIZtb+DKCydh5uRa3LhwGkp9En7/X2+jLxK3yfn8c0/lVroo8UpYNHcSesNxh419z+9fRU9f3LTd//OZdxHw+2xrTqu8prPJFEW13S+Ztn8gsif5XA9EJxwNRdH6bzuxtO1ptP7bTnR1RxHw+wD0y4+iaGCKZpNz4/37H9pnVoMxfBxWxgX08o3Lm+rxu/96xywDetcPGnHbkrMQi8cx++sn2HS1Vad+0tHtakdb5/jPV56BVdeehTKfhKY5p+FL48qxMo3edZNl457UoNl8RsTQYPXXHUwE/wxmTf0S1y+gqBpamxu4ZRfXbtyDH31nmusarLzEg7t+0IivnFDp8I386DvT8dPfv4b1j7yJJfOm4OpLJqMmUIrrL/8GxlaVcMeLKxqCobDDbyIKAv7XNTPtPhNLANN4jWcP8PyOazbuQceR3iH1kYwW3Hb8QdN1glGRx7ieKxI+ZI/I92tZr5+iqBATieXJx4nQzKR9K1a9E0r07LbuZG3/JIjevrjj+f55wo+cPNYXh3vMAPmDD7+O7935DFY+uAvfmn4iAn4fGGMQBcHc7WSdp1Ey19Dvs79+Aqr8PvzqsTewZM3TuGvTK2CMYeMTb5k2glUfk0yOTDL1x6V6rnYG+7Bp+1uAZvcDa9AcPrTlTfWm/ajb0CzR195+zKGjfa73wK7//sz0qxm9N31eEYwxtG1yVry76qLT0dYyG39/1gSMqfThe5dOxYTj/Rhb6YNXYEUXAAQGsROwrq7uPegug5K6urp3k94eB+CxwUxsKMmkdwOgK2tJFMwgmjW7bd2jr2PhnIko8/FLsvhLZTz63PvcLF1/qYwVVzXYmr/WVpdi5VUN+MOf3kHzRZPxsy37zPrzydlxNy+agXWPvGGrwT/heD86jvRC1TS8/v4hALqgn1N/IsA0WwZSqDdmy0qqLPfafsP8c09Fl0upggnH+7Fs/lTdqWzJrOsPjlIZonzhlhUejSncnoDXXjoVPpmhvES21WwuL5ERV11KY36/EY89/4FtrMee/wDX/cNUSJK9l58kCRAEgV8OVOTXRY6obuWxBlc2KxNEaFjZ3IA1Sdl1RumCQtm+TegMdheE9ZoqimZed6Bf3ldde5ZZM9x43U03GhnN1l6DncE+1FSVQhSBHzZNgywL8Jd48KeXP3LodetOc59HQqlPX1Bs3/khpk86DhufeNMse7P0sinQNKA3EsdniXKky/7nVMiSaJYANcY2MhbDMQVRRf+9yT0TCYIYPRRCL7mU+tulByyvnGCJLGDppVPR+sBOm57+1WNvcsdniua+61/VbLs7jPOUXCrG7VmTfF55gZc2y1ojF/0hyDYpDKwyC6aXrE+2aXly9KPvTMexRN91o8SdITPP7P0YtyyeYavIsqK5Ab954k1HsOW2JWdh85P7sWz+VCy9dAoUVYOq9cuj206VMp+Mh55qx6Xf/Cr3fWNnzLhACRbOmejaNxOgnakjCZ6f5L6t+2zl6DqDfVA0Dcf63HdL11aXwiMLYGAOv0drcwPiigrG9ASNzmB/BY3NT+7H5RechuPHlLnqVN79lNzrW9frqq11ysrmBlSnkGOAL8tWn8yvHntTLx89ZFeAAOxymNy3SRT4O0FjcRVxRcVx1WXc948cC+PR595Ha3OD2d7EuL73P6SX4rxn+Tn41bb9ln6qPvz0D/39/4zKAv985XR4ZBFHuyMOeWltbsAjf34P554xHj/bak8c/ekfXsWPrzwDd1w3CyzR808QgVhMRVtLI8A0QAPXHnCz33weyfEa9QfOHrfzq6p68C4YCnODy0YSVrLdGlU0KEklWt3sPtEj6sHmP7zq0DvG7n7AWRlDEgXHnLfueNfRK3tFcwMefPh113K4P2yahmM99l6E11/+DdRWl0LVgMNd/d/RGezDCTXl+PVjb5rV7YxxlsybYuryZH1MMjnyyNT2c3uuGjJy3oyTEAzZfWxCIqicXFGu+aKvmT5lWWKo9nvR1tIIRVVxrCeKSEzBmCofd16KpuK8GeNtu/1WNDfA49Hb43Dvf03DvVteww0L6vFv/+cvtt7AxWrfDuY+/AH0XYB/BHC95XUNQEd7e/t/D2ZiQ0k2DhDrjsBDXX22spoffXbMtV9YOBp3XTBFYwq2/MnebHXT9rfMxRuYvnvqt0++jfnnnooyn4RV154FxoADHd0QRWYaIu2fBLFh2xu48/uN+Pl//MUW3AtH4+iNxCAwZhrtALBm2SwsmjvJNKRvWzLT9hv8pbLrNvBPD3Zj9Ybd5mtWZU+LvfziZlhEVH495Wsu0RCNA6vWv+y4zm49/hRV45bRUgGs5ozT9v1GeD2iLTjo9YhQVD1LNdl5JYoiV+5EYXBlszI9f1UF1LCVSM1gSx7ZxnJ5JvAMazfdWFNVYu7Es/YgEQUGSdNQW62X2fXIAi5uPAUa07jPFeP5UVtdhlKfhEee+xBvfRQ0S4/EFRWh3pjNmXLDgnr09MXw+AsfmCVAjQAgrxwO7RYhiNFLyl5yw6QW0unv5OBWTIFrOUGFU2Jm9/4OLL1sCnd8QeTbE0JSOVTjPFkT7irLPRhbWQKJ09ck+by6BV6saw0K4o0cjGtZU+PHwYMhs6ekIROGHBnlsz49GIKiqmjbpDv8OoN92LzdeL8cf/08hCd3fYTrL/8GagKl+PxQNzRNc9jyncE+dPdFsXDORDBFM1tbaBZ5THagA7rMf3aoG+fNOMn1/TGVPtyz/BxUlXshQUMsqrjKay5tMiK/pCqHaTAuoLd8WJvoVeX2TFGjen9UT1KiqKKq2PCo3p+1v59rKQQBmHfOV6FpwJFjEVedmpwILQgM6x99w1FO8dOD3bj47FNwNBRF+ydBrDESMZL6v9p+v1WWNT04E43Fcd6Mk0xb/ZpLTidn9hBjlcPkoK+qubQzUTTE4yoOdHZz3w/1xkwd2vb9Rhw66lyDHe4Km1W8AJjBH4O68QEsmjsJKyzlN2+79kz8sGkaGEPC1yFg4d/VIRLlO5UryrzwJjaTBnujDke12zrNzX4LR+17rCgxf2C4nV9B0G3QUpfNH0bZ6/62IwyHQ+7XlfccZYqGijI9Mb+81AN/iQeyzHD1JXp/sqWXTcU1l2iOcrK857feHkrG7UtnAdDw+eEelPpkBENhV9t0bJUPt/67Hhg5Gopi0dxJKPXK5mvWoE0wFIamgWuPTDjej7aWRqx79PW05W2J4sd4Xv7r8nMQjsRdbT+7jQh8ejBk85lVlnscPrZQb8ysKGcwLlCCmoDuc6sJlCDYFbFt4DBK1X5r+ommX6yy3IPKMi/+89l3MfvrJ5il74H+QP6SeVNw0vF+7v3vkQVbVUg9BlTcLdAGXMugvb39T+3t7f8XwJcT/2/821HIAUAg+zJyiqJCUVXc/IudWLtxjymsncE+gPG3dX/lhAoESmV9wZRUkkNR9UWctUTi7v0diMb6y3cYBsfajXvQtmkvjhwL42db9mHDtjdQ6pUc3xfw+2yfuXfLa5AlEY89/4HDMGBgtuxkvSF8/1bbcDTO3Qa+orkBW3fYN332K3sqQ1SoSC7lBSSRIe6S8eBWkkASGL+MlsrPyFMUDf/n6XcRi+sP/Fhc/9ut7JhHhGNLd2tzA7xy+jILuSAXJXSI4SNX1yvVMyH5dSM73yqLtyxuwNan2s2deNbXwTTbPNWoAigKWFyFBA0+j71c8/KmelSUe/RMUDhL2gX8Pkezb6Ps0sVnn4IN294wyyTxsv2oHAdR6ERjCmpq/Bn/81eUpB+UMBEFxi0Rnkkp5VySrf52Kyfopr+hgTu+W+nGZHvCepyRcOeVRW4AkDeuWwm84T7PRP5IlolgKIyA3wdR1NdeYypLcOPCaWavqvZPgli9YTfiioYN297A03sPoC+i4Ce/fBGrN+zGwaNhrkyNrSxxrMGs3230r7LK/A0L6rF1x7uoLPeYDvbke2L7zg8TDh2+zCdDNvTIwE2nGv4EQ366+2K2XXluOjUOPeF09YbdaH1gF1Zv2I27N7+C+eeeagZb7t3yGhiAeFzFigd3Yf0jb8LrFXDHdf0luzTNbhMbehkAtu/8EAsuqOPKuLVkv+GwT4chyyIDVm94CTf+7HnTB0R6fHiwyqE1iWJd6/koL5W4/oKn9/zV7L/rVs4Q0IMXiqqZ1b4A4LYlM3H792ahvES2tbt5Zu/HNj3eNOc0xy7/1etfRndfzJTvVeteBjSGjiP8soyioMuYW3Uyt3Uaz37JtJQokZ5U9qGiqBn7kLO9rkiMXyaLGF9bAVXV8ElHCHdvfhXfa3sGd216BdC0RODYXiLxmb0fO+6FWxY3oONILw4e7cVP1r2E1Rt2o6cvihsWuJdnNuYJ6PdbT1/cURrx/of2oWnOaWbPV7dzIUHDwjkTSSZHCYqiIuD3pbX9jOeqV9D9WVafWcDvdehtnmwbFeXG1/ohMcFRyev+h/bhsm/p/i8j3nLzL3biJ+teRMPk4+Hz8AP5leUeHDraxy0/2nGk13z+140PYMk8veKGJgpFWxo8F/diV11dXSuAKwF8CcCnAH4L4H+3t7cXpK9xICVL3DJDoDmzkyUASorasCmzsBPNYwOlMu78fiNicRWfHeo2sy5amxtQ6hUd3ydJAsb4PbjjulkIhiLo6o7i8Rc+wHfmTIQsC4mby4erL/mauegM9cbMhvKbt7+N2783C13dEYyt8mHh3000dytWlntQUeZFbyRqy4SyzpsV8XbYkYJbM+Mxfg9WXtWANZadQyuvaoCoaVBTZDzduHCa2ftsXECXGQ38YJ+q8ZuySiLDNfNORzyuQWBAZbkX18w73fVei0UVjPV7zC3doiDAIwLRcJxbaokcDEQucHsmeETn6xeffQpe2Pc3tLU0ojPYi7iiorxExgUzT0I0pui1yhlDOBpHLB6HKHigiILrbpfqcg/WLJutLy5EAQIDmMAgMwDQcNu1Z+JoKGIuOO/6QaPrrsVHn3vfLCWzornBtUQTleMgChmPLOLim7ZlfPzj98xDaAjnM9KIKype2Pc33LbkLN0RpQJP7/kr5jaekpNG4cNNtjZ9pjuW3I4DAI2j05OP98iCo8Q4VcwYXbjJkCYwLJo7CT/5JT/D/nBXn1ka1Jq171aa1iMCsahTfv1lsrnLakxliblTJdQbM7+rstxrVp9ZNn8qjhtTBkkSIIsMFzWebLs3RFFAHKCdfiMU4/qCcXTqVQ3o6YuhrWW2KT9G7+p0u6XddhZay822JuQ4in4ne19YwV2b+u+RnyyZ6SjjuKK5ASWygIsaT4YoMqxtacTBYK85RyNx29jFmO1uFCpzmz+Sz72RROEVgHg47vAXeGWGb0470VbOcMm8KThxXDm+ONLjrNTC9L7qN10xDbIk2Uon3rz4DPT2xeGRRQT8XpR6Rdx9fSPiKUqKW3fKdgb1EpK11aWOtigrLfKTbXn2VHZJup04RHrS2YeZ6oOBlt13a0VlDUSWySJKa8qwtmU2VBWQBAZZBO78fiOiMRWfH+7GL/+ot21avfQscx4Hj4bxzN6Pcck5pzj06I++M93cAJCumsVxY8pw6GgfvB4Jty+dhd888aZZbjlVWX+SydFFKnvRre3DwjkTsWVHf/wh4PdChWarIhDweyEoGjRFRZxTCaYz2AdZ4r9uVGDk+awryrzY+MSb+MfzT3N836btbwHAiKqwlQs/YBuAbwG4BcDHAE4CsAJANYB/zsH4Ocetd0Oqi5euf0k25XwkAKuuPRMdR3pNAautLrU9QIy5lHr0jJCbrphmC4jwvi8WVeAVBYyt9CHg92LppVPMC3z39Y3oDccRDEVs5Qusi85Pvghh7cY9GBcowd3Xn42/P2sCfB4JXd1R/Pqx/ajye3Dzohm4a/NeMoQLkFS9LqtK+A9i2SNxe/YxMDz63Pu2krWPPvc+ll461TWAnWxQ6Dv4BHQdjTpeL/F7oLgIjb3ckIJY4jheqSWCyAVuxmosqnCTKxbOmQgG4NHn3sfFZ5+CW//drlMfff59XHLOKQhU+NAVijqcwFZjIRZV4BEFxCXdqQzGMKaiBIcPdwMASj0SVm/tL7XrVo7UKEEtQYMS139PHJ6UCScEQYw+JFHAGV87Dqs3vGR77ksig1qED9aBOBsytduTj4NLspXZG8JyvBpVqMQ44SJromMnyf0P7cOy+VMR8PvgL5MhCgx3tjRCRX+SXfsnQfz51QO4feksdPXoNsmWHe/Y+pPY0GA6Ek3nxVZ7j/k/vfQR1iybDQ2AyBhEUS9LpiRscePecEs0LEbnB+Ek+frOnFyL25fOQqgviu7eKPylsq0KBdC/Q8rIkO9vDWLfOeqW/Dy2sgTrWs+HKDBUV/gQDPZAEgXX3lu3b9iNO5bNtjm/RUuZWlUBmCiYCazW7zIcf9n6LciZnT/Snftkf0E0UTZcb60DLL10KuKqiqOhMHweybbrxAg6q4qGgL+/DCKg71AJRxT8/D/+YgvcybKAVetfdi1/G+qN2f4WBKBM0AM2erBSgygyiALMNVi6jQFu54Vnv9RUl+FgOESlxQdJKvswU30wkOua6Xcoigooejk/AQAU6L4yUcRP1r1o+84vDveY83j42fdw5YWT8NjzHzgCHRVlMrySYPN1GzsGk38DA7PdGyuaG7D0simOPpZU7n70kom96Nb2wehrbQQGFQAn1vptz3wzMc3lPovF+ZtTjA1Qyb7vFc0N0KBi0YWToWoqqmr90DRAYDCDkx99dsy1wlYxlgbNRRCwCcD09vb2g4m//7uuru5lAK+hQIOAQPYBhVwbgbGYatajNYwLwSchDsHhhE4OiPAi68m/K1nhahDQcaTXUQPXWHTKkmg25tQzVfRtvdabd9HcSfivFz/CsvlTccLYcsiiYLsRifySKuvIWqfc9iBWVAT8XkfGgyQATXMmcoJ6jBvAFjUNNZVeR0ZeOKqaYxjzadu4B20tjUWnLImRjZvudE+u0HDNJafbFo6GTm1raYQo6g6J5DIFPGPB+G5vQrcfOtoHiCIY06Bo+gI13U6AKr9Hd9xZjCvDmUIZzARBGAgCQ1XSc7/K74UgsOFqCZhzBuNsyGZ3U6pkK55NQ04Qgkdc5Zfi/1KNH14BUOKqeS/KSc/x2V8/weHos/YnscqzBN1mX7X+ZbR/EsTjL3yA1UtnIRqLo6xEBmPABTMn4KGn2vH6+4dSBvWylX2iuEi+vrv3d+Cjz45hybwpWLtxD2ZOrnXYkwvnTMQYv8eRzR9TgDhjEAQGSWQQ4ZZIrYFpukNbkvR96Ia/xa33VvBYGDf/YqfNqWjFLWnbX6b7cAbiuyE9nj+yPffG8QY+UcDYyhIwxnQfhaZBZCyxe1ofjSXtJpl/7qmOJI01G/dg2fypZjAleR3W2tyArTveAdBf+hAMiGuAB8BRl75/QG53mtJu7aEnE5kc7A7iVN/hdo15dsXWHe9ixVUNWPubPaYNcO2lU8wNIQbjAiVoa5md0Oe6L0+WBfzTd6fjX3/3qm1H+G+eeJNvB1BVOCLBQO1Fq9yLYIgqminnXgFQFMUmYx7RuQnlhgX1eOTPTh29vKkem7e/jSq/B+MCpbjjutkQBJg2Sv93Sfo9pSaSTZJ60o+UClu5mK8EOEocxwGIORi7oMiVERiH0zH8h0QmZ7oMS7fIelVVmqwSVXOtgXtcdRl+tnWfrURCcplTSRAgiMDl559meeAoeVH2ZODwGWg2md8nwVtbYSu/GYmp2JrYjm3sBNy64x0smz/VNYB9qCvikEv3Bspq0SlLYvTi2sTbpQyBoqpgisYtUxDw+6ABUBiz6S+ebr9hQT0ef+EDLJo7CZu362VsDCO+P+DuXoKaMpgJgkjGWNjUVpdBYICqeaFBy6hPUjGRia2Y7e6mkbQAJPKHu73ulFHHc1xkNtvcaOugqBq8HHle2dyAn/7wHPSFFQiCvtMvEoWjKsyBju7UAW2S/RGN2/U1Shzu3t+BpZdN4VbMsDrtjiRVv1jeVI+A3+sIFqayRQ2b2GhlMv/cU+EvlRGOxhFPfCZVUh3X7o0nEuRyetaIQscaFDTXcYBZZQjQE6NSlUGsGx/A/HNPxfFjyrCiuQEPP/ueWf52wvF+M/i99NIpWHLJFGjQ8KvH7CUSt+x4xzVwkqt1mqpqtFu7QBiq9Xcqm1UDHHZFMBRGTZXPlqTPGF/XqypwOClY/eNFZ+D6y78BSRQQjsbhL5Wxe3+H47NkBxBWBmsvZrI2E0UBUUXXe7ctOQtej4APPz1mln0+0NFt6mgjjvHjRdMR6olh5YO7HOMyRXWN8RjPEcMuGQkVtnLR/uMRAI/W1dV9s66u7it1dXXfAvAwgD/mYOwRCe/GOG/GSRk1kHWLrHf1RFJ+pygw10awoshsJRJsZU4VvcE7FAVqVIGUKJ0ah57lN9wNMQ2l0PrALixtewatD+xCsDdWtE05c0mqZsZuiKKAw6EoWh/YmTifO3E4FAUYcDQUtR17NBRFXNEcAew1G/cgqvDlUhQEvswJdL2I4sdYOFoxjQHYm9oD+kJy0dxJWMHRXzzdfv9D+3DejJNw31a9EbcxvlH2M10DZsCux41jRVGAJgp50eEEQeQXVQVWr38ZLXc/i+vuehYtdz+L1etfhjqCgoCZ2opuNrVbQ/NknQ7YdT5BZEK29rrxHPcKDKGeGDZsewOtD+zChm1v4MoLJ2Hm5FqIAoMC5pDnNRv3QNUAUVPBFAWKonFtjfnnnmo6aXiQ7I9s3K6vUeLQSBBOtiet8JKc79u6Dx1HehFVtJSfTcZonbJo7iRT3h98+HUIjKFufMAcnyevPLuXGB0MZH2TrI+t/jKjjPKGbW/gurueNXUuoJdaFgUGpqh6MFxRIQjArf/+ohkoMWyK82acZPtOq+zmSl67eiJZ2TPE0DLY68qT5VQ2K8+uWHXtmTjcFbH5+Y71xDBzcq3tu/TytU774e7Nr6AvoqD1gV1YvWE3NA1kBxBpGay9mG5t1r/G24mb7nseqze8hK7uKJ7Z+7G5qckoUa4H6PQ4BjT3cTN5dgzE116o5GLONwG4HcDvARwH4HMAWwH8JAdjj0h4GaCV5R7XrAzrlkprANHITPKXyojF9dJvbg8YCUBtdSluXnwGjnVHbaUcS2Qh40yVfPeEoHI07qTKOnItHQD++bzz+41Y+g+n41i3HgiUJYal/3A6NA2uO594rzMGbq9Aj2jPwiOIYoRX7mNlcwNEkSGqMlsprs5gH5rmnOYoMWPor1RZ2J3BPtRWl+HeH54Dr0eCLOn3s8cj2kolZJJlmG8dThBEflFVDVO/OhaXfetUfeeRCjzy5/egqtqIKeGRqa2YbbbqYEs8EQTgtNc9sgBVASKqbq+7PcvdkoXuuG4WPCJDb5Rvi4ejCkRJgKbB1Y73l8opM5pJ9kc2vOtrlM/K9FqrLvrU55HMnaqpdmdb16oaAJ9Hwn2WntidwT7cu+U1s0RpNhn4mVYRompDxYdxzVRVQ1x17sLjVdUyrrFHFqAoQJlPQltLI8D0676yuXUQo6sAACAASURBVAFrNu7h9oCy9m9NvifcbIoTx5WjrWW2uXs7GArnfPdILM7X/7RLq7gQRQEKGMKKis8OdWPrjncRDIWxorkBZa4VtvTWP8l+QAaGW9bvdNjCd1w3Cx99dszU9auWngkw4MaF02wVBqy7wccFSiCJjOwAIi2p7EVk8IxVVL0VTnLVC0OX8Wzhuzbvxeqldrm2yqYoCoi46GdVBYLh9L6xdDt8i8l+GPAzoa6ubmF7e/uW9vb2MIAfJ/4RGcC7MQJ+L3d7qQZNfxgkBEgS+stjXHnhJEdfKNcSRomyj7G4s5SjKmspt8BayXcQjsrRpIZXtjCV099NGSqqhnBEscnK8qZ6BMr5JYwkl+3R0ICyEgmrrj0rUXYMkCQGtci2TBMEj2RjQBIEhGNx/PjnO2332k1XTIOqAlXlXtf7za08WKg3hnGBEnO3dtumvbaA+tYd76Rc7CaTbx1OEER+8XlEXDj7K1i94SVTl9yyeAZ8HhGxcGEuVrIlU1sx2zLqVGKZyBXWXsDBEL9fFM8xwk24S/RO+exQN1eeP+0MQZZEPP7CB7jqotO5x4Sj8ZTOPJL9kQ3PnhVE4KYrpmV8rQWBvxYMR+OQBAFBl75oiqJySxn+y/dmpQxYZ+p8zjT5jZLkig+3VgpHQ1G0fxJ0rG+sxwf8PiyaO8lMzrRe7yrzXuAnTZj9W5NLjLvYFF8c6cHqDbttJXJzHTiRpZFTqm604ibPv33y7YQsN6a8xsl+QF5bEsNmMHS9VxYRDEWwat1Ox3cGQ2HTD7GiuQFMcQYayQ4gknGzFwFk9Iz1yIJDNy9vqtcT5qKKqy3cG47hjutmgYHB6xWhxRRby51gKMy9f3i7YN18Y24tgorNfhhMDbBf5mwWowzrjbGu9Ty0tcxGiSw4tpfesKAev3rsTds2fkEEljfVo2nOaY7MpHRb/qOKhjW/cZaJyaZMQCrHynBA5WiyJ9WWarfzKTDm2LF039Z9EASG5U31Njld3lQPUWDc7dGCCNz64Iu2smO3PvgilaYgRgzWch8aNHPXH2Ap19ytl6U70Nntqr94JQZuWFCPZ/Z+jBXNDThuTJnjnmyzlJjJ5BkA5F+HEwSRX6JxFXcmkgkA/f6/c9NeROOFt0gZKJnaigMp7UKl5ohckk1JWlebXdCf7Vt3vIsbFtQ77IitO941y4v/5ok3ccviGQ6Z/8oJFWmdFST7Ixu3NiAZX2um4caF0xxrxNrqUghiajnnlTI0gtpWxgVKUBMoQVvL7Iyda5neY9mWhybyj9vu6Pnnnmr+bV3fWI+ff+6p3OoscfTfCz6v6GJL8AMfPJtieZOug43vuG/rPvhkKef6s7LMO2JK1Y1WUslzZ7APYFpW1ziVzWDo+rhLifCmOadhRXMDTvlyhU3fkh1AZAJPTjJ9xqoKuH5oNRFxc5PrqnIvvAKDqKkI+H2mbBrfy7ORVzQ3AC59MrPxjRWb/TCY5wJFXQZBchQ5pgD+Mtm27dVobHnNJaebFyoaU7F5+9tY3lSf9Y64XOyiyzZrOtdQOZrsSXXdvQJ/W7+bMozGFGze/rZNTjdvfxs3XTGNm/ERiVFpCmL0kKqkJwA8/Ox7uGFBvWMHt5FFZ9xDYAzQADANSy+dot9LCv9eMsY2/k53b+VbhxPZ4a8ogc9L2pLIHYqLLlGUkfNcztRWpN1NRL7JZm2WssSSoPd3/+2Tb+P2781C8FjYtpYEAH+pjN37O9B0QR3WtszWSwAbMh+lVRQxSDTg0efex/WXfwNjKvXs+q7uMEp9EqJp1oO8UoZbd7zLlXcZuo7OVGIzvceo2lDxkW7dlby+sR5vtFtI/qz1ehuBtUz9Tsk2hSAw/O/fvmrqYOM74qqac5kSBEb2TJGTSp6NClvZXGMJwK1Xz8Qdv97tKr9uLX3M3a6JBEGyEIjBkukzNu4ik4bedLeFNX5FxMT3dgb78Nsn+/3YNYESyADiiV6Xg/GNFZv9MJg5iXV1dd9GimBge3v7s4MYf/Sh6U2GUwmgmFjk/a2TX/IllbDmwvmb7yAcOWyyJ9V1dz2fmttnBARDYazduIc7Fq8UKQUciNFCqpKegN6k+PEXPkBbSyMUVXXoL+Meqqnx4+DBkDlGqnvJGNv4O929lW8dTmSHzyvh4pu2ZXz84/fMG8LZECMB1+eyyFCwKYtZko2t6FbahSCGg2zWZqnkWhIF89n+yRch7nrSKOt1tDuCijJPYscXyTyRGyQAC+dMdNiXTHEveW/IOa+UYTAURpXfM+g1f6b3GCXJFR/pWikkr2+sxxvHpLreAwmsWW0KDcxs5+D2HbmE7Jnixk2ebeW6s7jGiqLipOMqUsqv6FLGWfftkQQRuSNXz+Js4wHW8Ywy0eMSFQWS7eeB+saKzX4YTDlQL4Bfpfi3YdCzG2VkUpbIOOaZvR9zt7OmiuoOpOxRMtabbn3r+WhraYS/TNZLS4qDEafs5kDb0DMn3XXnnU8RGlYmfWZlcwM8ovtYoihAEwXEGYMmChBFIScyRxDFAk/eWxP62vh74ZyJkKBB0vqbG1vvmcGMncm9xStHXaj1ygmCyD0+WUArR5f45OGx4YYLshWJYsDNTvaIzGFTA+5ybX22n/LlCtfy4kZ5RrLDiVyTyr5Mtx50K2XIFG1Aety6JmVgWHXtmWnXorRmLT7crllyCUPe8Q8/+56jxQnveg/GlhioTPF8KsTIx01e0pXrTiUvgsBSyq9XZtw1gVemon9EbslUH2ZyXDZ6OdV4oiggDr0iY1tLYyK+kb1vrNjsB6ZpA4tM1tXVHWtvb6/I8XxyyQQAHx0+3A01RT3X5B0X+cYQxFRRbeMYMAAag6pqtuaXgx0/k2OHqvllvq9HTY2/UJ54E5BD+ZU9IqIKEruPBHhEIJam9I/b9ee9Drg3egWQscxlQr5lJB9k+ptHqvwWApnqzuTjPCJDVNG495HbPVNdXcY9L5mOXayQ/PK/I9udgIV0/HDNaSivw0jTv6IoQJMYlHi/TSBKAIvzy6gUKsX0/OBRaPMvFvm1UmjnEBjYnHjP9sOh6IDWWI41oqaPqQHQNA2SyMCU/N/rub52JL+FT6q1aE2NH0eO9ORkvcizr1c2N6DS70E05qzCkfzZXK5ZM6WA5BfIQoYHSi5lP9trZj3eIwtQFb38HO+zyfMciHwMZH4D8bHlU58UovwCKEr9mmt5GTOmHIeO9qYcz+OTEIlppm72ygzR8AgpDZIg1f1RiPJbCD6IbMh0PgP1p2XzLBZFAUwWEY7Ebb7qbPzXA7U93OY81NcrWxku1ODkqCWTLd7GMQYigICf7zQeyPhA+geKW/PLtpbZ1CyywBBFYUDOBDdZ4b2uiYK7PFBpCqLIyWZBxuv3ypP/VDrUjUzHJgiCcEMTGT7t7DGbro8LlGB5Uz2+VFNGNYEJIg8kP9ujSGFTpxjHzVb5ygmVOHy4GwyASvc4kQcyWYvmqpQhz75ek7h/0pXApXKKxUe218x6vJoIQmfy2YEG57KdH/nYRje5lBdJFPDxF8ccPQGTZTYajlu+UwG1CCaGikzle6DP4n49vcsh8yxRlSAj//UQ/rZCYDB7y+k5NIJxe6AYOSGpml8ShUW6a5kLSB6IkcxQ3EN0zxAEkQ/iimYGAAFd79y3dR/iBdizgCBGIwO1D9xsla6eyFBNlSAyYjjWogZkXxNDwXDJMMkvkQ2p5CUOmAFA4/Wh0rsEUQhko6dHs64dcBCwvb3dn8uJEIVFupvCaH5pxWx+SRQUw6HgSB6IkcxQ3EN0zxAEkQ9UF32mFk8lUIIY0QzUPnCzVWJxurmJ/DKczjayr4mhYLhkmOSXyIZU8jKagxzE6CQbmR/Nupa6zBJc0t0Uxdb8cjQzHAqO5IEYyQzFPUT3DEEQ+UASBK4+k0bBoocgioGB2gdutoos0XKfyC/D6Wwj+5oYCoZLhkl+iWxIJS+jOchBjE6ykfnRrGtHw28kBoBxUyTXPZegt4xRFBWBUhltLbOHvXk2kR3prmUuIHkgRjJDcQ/RPUMQRD4QoWFlcwPWWPTZyuYGiCjs/gUEMVoYqH3gZqtUlnlxOBwblrkTBI/hWIsakH1NDAXDJcMkv0Q2pJIXSRRw69UzHT0Bh0LvEkQhkI2eHs26loKABJdMbopian45mhkuBUfyQIxUhuoeonuGIIjhRlFUVCX0GRgDNG3ULHoIolgYiH3gZqsIlPVP5JnhdraRfU3kmuGUYZJfIhvc5EVRVJx0XMWoDHIQoxNDT//r8nMQjsTTyvxo1bUFHwSsq6s7DcAmAGMAHAawqL29/b38zmpgiKKAOPR+LIIgAEwDNMArC4jENCiqClEQ4BGBWLRfBD0+yfa+V2aIhuOQPSKiCgCmoTPYC1UQIDBmjusRGRQAcUUDYwA0BlVVIQgMHpEhqmi2BwKgN9M0XgMApqiOm8L4HcZxvLHo4TK0lJbK6Imo+PxQN0RRRJlXQG+ve5bvaFVwxOjD0E9I0nk8veSmy5J1tKEfmT4oNACayCBCMMdMHsuqU1VVgyyLUFRNz8wTBH3XjaK6fo43T+u8mMYgiEA0pg5YD1u/2yMLUBUgrqqkxwlihOP1iohHVF0HCQK8XgG9vYV9vyfrSlXVuPozE71l/ZwkCDZdSrqPGGoGKrfJY2giQzxhs0iCAI/MbOtFWWaIxTR0HukFE0WHnAMJG0UDZElI2CgaJJFBgz6momqIJ2wVUWBQFA2qpkFkzLRjiMIkF3KWbjwANjuSgSGmqFyZFEQBEgANKhQVYCKDJgnQNKCrOwzZI+rVhlRAUTSIAiCKDExxt5etvydbmzbX54cYfpKvoc8jIhxVbT4zJaaa60IGXWcKAiANQLaiiXWYJAKaxhBnGpgoQpIZorF+uZdFmGsyb2L9F1f65xSJqRmtU4nCJd/6w+oHBnSbmLF+uQZ03RwMhaFLvv6aIDIoEBFXNQgCgyjq7woCQyym6HKZGK/fr8wc/mke+T4nRGGT6hltxC0URYOi6s9+WWKIxzTEVdVcq+mypfvfFBWmvWr1iwkiAzT37y7xSojGLWvgRGwl+biRHOco+CAggH8H8G/t7e2/q6ur+y6AXwI4N89zyhpRFBDsjdm2pt6woB6vvv0Fzpl2Itosr7c2N2Cs34NYVIHHJ+FgV8Txfm3Ah45gGFt3vIOLzz4F9z+0zzHu+TNPwtFQBI89/4HtmJmTa9E0Z6JtzJXNDZBlAavWv2zbOhsolR1GUPLvaG1uwNYd72D3/g7XzxG5o7RUxufBsEMmjg/4UgYCCWKkY+inLRy9mKyXknUZTy/esKAej7/wARbP/RpicRVrftP/3vKmegT8XpTJIgA49OKqa89ELKZizcY9CPh9WDR3Eu7bus+mc6v9HhwORR0lC6qqNMdvSn52PP7CB7jknFOwefvbqPJ7HHNPp4et4/LmR3qcIEYmxWhD8PTgrVfPhCSytHZrJmMtb6rH5u1vIxgKk+4jhhSe/GUrc6IooCemIHgkYj63k20Ynk1jlfN0NspNV0yHJDHctekVc7wFF9Thzk17bXZMFd0rBUku5CzdeFbfQcDvw9J/OB3hiGKTI8NHcDQU5b5/48JpePS593HJOaegJlCCo6EI/vV3rzpsbb9P4trLxu/J1qbN9fkhhp/ka3jZN0/m+tQqymWs++MbuPSbX8W9W17LSrZ432Ndh1189il49e0vcHb9l2260Sr3ybLY2tyAtz48hJOOr0y5TiUKl3zrD9kj4lAoyvUDG3INAJu2v5XWB7y8qR4V5R7E45qrX/nxFz5A05yJpn+6EM8JUdike0bfdu2ZCPXE8NM/9D//b1ncgIee6o8x3Lz4DAhg6I3EXe2I//fKAXz7jBNt71vt3alfHYsLZ3/Foa9rKr1QYuqoiXMUdKfwurq6cQCmAdiSeGkLgGl1dXU1+ZvVwIgDpkABQGewD/c/tA/nN0wwFbHxetvGPTD0aySmcd/vi6ho27gH5804yVTUyeN2HunFfVv3OY45b8ZJjjHXbNyDjiO9ttfWbtyjZ06l+R3GPFJ9jsgdPYlrn3wNeiLFrYwIYrAY+omnF5P1UrIu4+nF+x/S9WfHkV4zAGi8d9/Wfeg40os4Z6zOYJ/+mcRr88891TRGjPfXJPR88ufWbtyDrp6I6zyt87pv6z7MP/dU7tzT6WHruLz5kR4niJFJMdoQPD14x693Z2S3ZjKWoUtJ9xFDDU/+spW5OICOxBrPzYbh2QVWOU9no9zz+1dxrDtqG89wmhjHrKF7pWDJhZylG8/qO5h/7qk41h11yJHhI3B7/94tr5n2bDyumQFA433D1nazl+Oc+WVi0+b6/BDDT/I1dPOpqYquv4wAoPHeQGTLeN9Yhxk+t2TdaJV73j3RMPn4tOtUonDJt/6IKnD1Axty3XGkNyMf8H1b90FkQkq/svG5VBsB831OiMIm3TO680ivGQA0Xrtzkz3GcKw7iqPdkZR2xGXfco5ttXcv+9apXH0diWmjKs5R6DsBTwTwaXt7uwIA7e3tSl1d3WeJ1w9mMsCYMeVpj6mp8Q9mjhnRGex3VPS/1gdRAPd1RdNwfI0fnx/q5r+vqugM9sFfKruO6/NI3GPcPuPzSI7XwJjt/Lj9Dn8iW8rtc9kwHNejWODJr7tM6DIzGhiNMlKMv3m49a+hn9x0nFUvJesyt88Yus1VZzLGfd/Qv6nGVjSN+3osrmKcyzyt80o3v1R62DpuJudrNFIo9gORnqG+DsV4nd3ktxhtCDc9mIndmulYNl06TLqvGOVquMhE/wKFeQ4HIn/ZyFxnsNdmYwDO53g6myYTG8V6fw2FnVCI1y5X5Ft+cyFnmYxnyEgqW9ThI+C83xnsg8DcbW1F5dvLxu/J1qbN9fkZiWQqwwNlsOc5+Rq6+dQ0TUup41LJFgCAsZRy6/a9qe4JzWX9N1j5I9m1Uyz6NVs+P9ST0t9h6GWfxy5/bscbujeV3WD1T/PI9znJhEKZRyYUow8iU9uXJ2fJNq3+Gbv9YMh1tvrYOrZr7EXVIIruut7690BlupCuV6EHAQfN4cPdUFXN9f2aGj8OHgwN/UREAeMCJTbBGhcogaKC+7rIGA4eDEEURf77gj5eqDfmOm44Guce4/aZcNQe1x4XKAE0zX5+XH5HyFJCivu5DBm265Hi+wsJnvy6ywTL67kbLvItI/kg099cDPJrJefXUkytF216KUmXuX0m1BuDLDF3nalp5t/W9w392xnscx1bZPxxZUlwnad1Xlbdm/b3upyrVPMbqB4fKKNefl2+g0jPUF6HkaZ/i9KGcNGDGdmtGY5l1aXDofsKzZYpFvm1UmjnEMhgTi7yl5XMiYLNxgCcNkwqmwbIzEax3l+5thNyfe1IfpPIhZxlMJ4hI6lsZUPmUr0/LlACVePbsuFoHKLA/6z5e7K1aXN9fgZJockvkJkMD5ScyH7SNXTzqTHGUuq4VLIFANC0lHLr9r2p1mfMZf03GPnL5/OwEOUXGMK1QZ71h2HHp3t2x+J22XU73tC9qewGq3+aP6nC0qnJpLo/ClF+C8EHkQ3Z2L48OUu2aQFnjMGQ62z1sXVs19iLwFLqeuvfA5Hpob5e2cpwQZcDBXAAwJfq6upEAEj894TE60WFBGBFc4MuONAF6IYF9Xh6z1/RmvR6a3MDPHqbKXhlxn2/xCugtbkBz+z9GDcsqOeOO666FMub6h3HPLP3Y8eYK5sbUFtdanttRXODI0rM+x3GPFJ9jsgdZYlrn3wNyryFfjsTxNBi6CeeXkzWS8m6jKcXb1ig68/a6lKsvMr+3vKmetRWl0LijDUuUKJ/JvHaw8++h+VN9Q6d6xGdn1vR3IDKMq/rPK3zWt5Uj4effY8793R62Doub36kx4liIRpTUFPjz/ifv6Ik31POK8VoQ/D04K1Xz8zIbs1kLEOXku4jhhqe/GUrcxKA2sQaz82G4dkFVjlPZ6PcdMV0VJR7bOPdsniGw46he6UwyYWcpRvP6jt4+Nn3UFHucciR4SNwe//GhdNMe1aSGP7pu9O5trabvSxx5peJTZvr80MMP8nX0M2nJoi6/rpx4bRBy5bxvrEOM3xuybrRKve8e2LP/s/TrlOJwiXf+sMjwtUPbMh1bXVpRj7g5U31UDQ1pV/Z+Jzhn+aR73NCFDbpntHjqkvxo+/Yn/+3LLbHGCrKPagq96a0Ix75s3Nsq737yJ/f4+prr8xGVZyDadrQZPjkirq6uj8D2NDe3v67urq67wK4pr29/dsZfHQCgI8KKYouigLiAFQVEAQGMA3QAK8sIBLToKgqREGAR4St6arHJ9ne98oM0XAcskfUazMzDQCDqmoQWP+4HpFBARBXNDDGAA36MYL+XlTR9K2vAjOFOQ7YXuM1vTR+h3Ecb6yBNsvMd1ZDTY2f5e3L7UxACvktLZXRE1HNc17mFdBryVIYyeRbRvJBFjtRikJ+DYbiWhr6CQyAxkydx9NLbrosWUcb+lEBQ9wYT2RgimaOmTyWVaeqKiDLAhRVg6JokAQGEfpneZ+rri6znRfes4NpDIIIRGPqgPWw9bs9sgBVAeKqOmg9PlBIfvnfcfFN2zI+/vF75hXU8YU4p8fvmZfVdRuJ+rcYbYhkXTm2qhRHj/ZmZLemGksSBJsuHS7dV2i2TDHJr0GhnUMgsznxnvvZypwoCtBEhnjCZpEEBo/MEutFfVxZZojFNCBhziTLOZCwUTRAlhI2iqrbKBr0e0NRNcQT44kCg6JoUDUNIuu3YwbCEOwEJPlNIhdylm48ADY7koEhpqgcmVThkUUwoP99kUEDoGkafB4RmqJCgb6jS1ESMifCtLXT/Z5sbdpcn5/BUEDyC2QhwwMlV7KffA19HhHhqGrzmSkx1VwXMug6M3kd5yYLxjyT12GMJTYKJtZjkswQjfXLvSzCXJN5ZVHXo0r/nCIxNaN1aj7O6QC/u+DkFxjaKiH51h88PzBj/XIN6LpZFAX9uW3xAccUJPwZDKLIwKDLdcyQS8DiV0bCr2z3T/PI9zlJRZqdgAUnv4Xgg8iGbG3f5Ge0EbdQFJilOWWJIR7TEFdVc62my5Yu04qqJewIu1/MIwtgTEAksdM72VYp8UqIxlVd91tiK8lzzGWcYxh2AmYlw8UQyLwOwKa6urqfAAgCWJTn+QwYRVHBAIgAYNGh0cTrkn4QYkn6NRqO29439G8sqhh6mitYxjjW3G7ju2MKLGNq5nR4r7n9DuM4t7GIoaO3NwYG4PjEde/tpbNOEEC/fjIwdB7vDnHTZck62vpZKfGCmjRg8lhWnSoCUKPW9/vHdPscb+zkeanK4PSw9bvVxIOF9DhBjHyK0YZI1pWCwDLSn+nHUmy6tPDPBFHsDFRuk8eAoq/xBABQgKjNDgDiib+NNSJPzrk2SsIPqEB3dBivqZbjE19BFDC5kLNMxku2I91kUk2U8jLfj+vvMQCV5f3Jb1YZttra6X5PtjZtrs8PMfwkX8NIn/3ZbvjMrOvCwciWdR1mHTOepIutazIlIffWOWW6TiUKl3zrD6sfGOh/LlvlmgEYk0gstvqAgf77QFMADf3P9+TxzO/L4Afm+5wQhU2qZ3TMolclAIgD8URFeutajQFQodqOM+TWsHHVqIKamlLdpuDYKrFwjPucSJ7jSI5zFHwQsL29/R0AM/M9D4IgCIIgiMHgryiBz1vwphdBEARBEARBEARBEAQxQiBPFEEQBEEQRJYYveiyJdvSlQRBEARBEARBEARBEAQxUCgISBAEQRAEkSUeWRxQfzyCIAiCIAiCIAiCIAiCGC5GchBQBPQmp+nI5JhigH5HTpgA4G/Qe4fmk1Env9lAv9mVCSD5HRGM0vMyAUUmv0Txke0OzmhMGbH6N5vjCpFinjtQcPOfAJLfnEBzyowcz2kCSH6LmlF+LiagMOQXGCYbuFiud7HME8jrXCegwOQXKK5rN5TQedBJcR4moMDktxh9aDSf1AzxfCYgCxlmmqYN5WTySSOAF/I9CaIo+QqAv+Z5DiS/xEAh+SWKGZJfopgh+SWKGZJfopgh+SWKmUKQX4BkmBgYJL9EMUPySxQ7GcvwSA4CegHMAPA5ACXPcyGKi0LIBCH5JQYKyS9RzJD8EsUMyS9RzJD8EsUMyS9RzBSC/AIkw8TAIPklihmSX6LYoZ2ABEEQBEEQBEEQBEEQBEEQBEEQBDFaEfI9AYIgCIIgCIIgCIIgCIIgCIIgCIIgcgsFAQmCIAiCIAiCIAiCIAiCIAiCIAhihEFBQIIgCIIgCIIgCIIgCIIgCIIgCIIYYVAQkCAIgiAIgiAIgiAIgiAIgiAIgiBGGBQEJAiCIAiCIAiCIAiCIAiCIAiCIIgRBgUBCYIgCIIgCIIgCIIgCIIgCIIgCGKEQUFAgiAIgiAIgiAIgiAIgiAIgiAIghhhUBCQIAiCIAiCIAiCIAiCIAiCIAiCIEYYFAQkCIIgCIIgCIIgCIIgCIIgCIIgiBEGBQEJgiAIgiAIgiAIgiAIgiAIgiAIYoRBQUCCIAiCIAiCIAiCIAiCIAiCIAiCGGFQEJAgCIIgCIIgCIIgCIIgCIIgCIIgRhgUBCQIgiAIgiAIgiAIgiAIgiAIgiCIEQYFAQmCIAiCIAiCIAiCIAiCIAiCIAhihEFBQIIgCIIgCIIgCIIgCIIgCIIgCIIYYVAQkCAIgiAIgiAIgiAIgiAIgiAIgiBGGBQEJAiCIAiCIAiCIAiCIAiCIAiCIIgRBgUBCYIgCIIgCIIgCIIgCIIgCIIgCGKEQUFAgiAIgiAIgiAIgiAIgiAIgiAIghhhUBCQIAiCIAiCIAiCIAiCIAiCIAiCIEYYFAQkCIIgCIIgCIIgCIIgCIIgCIIgiBEGBQEJgiAIgiAIgiAIgiAIgiAIgiAIYoRBQUCCIAiCIAiCIAiCIAiCIAiCIAiCGGFQEJAgCIIgCWTFAwAAIABJREFUCIIgCIIgCIIgCIIgCIIgRhgUBCQIgiAIgiAIgiAIgiAIgiAIgiCIEQYFAQmCIAiCIAiCIAiCIAiCIAiCIAhihEFBQIIgCIIgCIIgCIIgCIIgCIIgCIIYYVAQkCAIgiAIgiAIgiAI4v+zd+ZxUlTX4v9WVW+z9My0MIwraIyUhiUBZUYWY+JCXhYFwy86xBcZ4oCCUWJMFAYTMCJofIk/TaJG0SC+BHh5vsSNlx9JTCKoAVQSDcHWGBUSBQSaoWft7qr6/VFdRVd3Vc/Ws3K/n48fmeqqW7e7zj117rnnniMQCAQCgUAgEAwxxCKgQCAQCAQCgUAgEAgEAoFAIBAIBAKBQDDEEIuAAoFAIBAIBAKBQCAQCAQCgUAgEAgEAsEQo+CLgKqqfkRV1VML3W438AGnpv8vEAw2hPwKBjNCfgWDGSG/gsGMkF/BYEbIr2AwI+RXMNgRMiwYzAj5FQxmhPwKeh3JMIweNaCq6jrgh9Fo9EVVVecC9wM6cEM0Gn2kAH3sLqcC7xw82ISue3/HSKSYWKylzzrVW4jvURgqK8NSv93cyakcQ/LbFcR39kbI79DgWP1dhPwOfMR39mawyS8M7uc5mPsOA6//Qn4Lg+hT5yh0n4T8Dm6O9d9iAMkvdEGGu8tged6DpZ/Qv30diPKbSmmD5tn1JoNJhnuTfL/DQJTfweaDEP3JT2/3p6syXIidgBcCL6f//Q3gIqAaWFyAtnsdn0/p7y4UBPE9jk2Oxd9LfOehw1D9Xj1F/C6Dg2PxOYnvPLQYzN9tMPcdBn//BwID8TcUfeocA7FPfY34DY4ifotji8HyvAdLP2Fw9bUvEL+HifgdTIba7zDQvo/oT34GXH8K0EYgGo0mVFU9CTguGo2+AKCqalUB2hYIBAKBQCAQCAQCgUAgEAgEAoFAIBAIBF2kEIuAf1ZVdQkwCngWIL0geKQAbQsEAoFAIBAIBAKBQCAQCAQCgUAgEAgEgi5SiHSgVwPjgCLg1vSxycDPCtC2QCAQCAQCgUAgEAgEAoFAIBAIBAKBQCDoIj3eCRiNRt8Gvpx17L+B/+5p2wKBQCAQCAQCgUAgEAgEAoFAIBAIBAKBoOv0eBFQVVUJqAdqgcpoNDpeVdVPAsdHo9H/6mn7AoFAIBAIBAKBQCAQCAQCgUAgEAgEAoGgaxSiJuB3gYuB/ws8mD72T+AeQCwCDlIURSYFaLqBIkv4AE3T+7tbgj5EyIBAMPQR41zQGSw52R9rAUUWcjJESCQ1KivDnT6/rT1F/EhrL/ZIIBD0lOz3uq4b/d0lgcDGze4UCHoTMdcRHEtkynss3oaiyELeBcc04h3gpBB2Vx0wIRqNHlBV9YH0sXeAjxSgbUE/oCgysZYkK9dsY3+slRGRIhrqqokU+4/pwXIsIWRAIBj6iHEu6AxCToYuAb/CJTc92enzn/7+DOK92B+BQNAz3PT1rV+toTzkE/pa0O942RMVFWKhWtA7CBtWcCwh5F0gcCLGRC5yAdpQgKb0vy0LrjTjWIeoqvquqqpvqKr65/R/n0kfP1dV1b+oqvqmqqqbVFUdUYD+CrJQFBlDkdEkCUNRSEkQi7cRCYcA2B9rZeWabaT6uZ+CviMF/PHVPSyrn8yDt1zAsvrJ/PHVPUIGBIICYendlCRhKDKKInfp80KQAtsgAqHrBe50JCdestoXMiwQCASCo/q2PR35nzmHW/Ho1oK+14VuP7bo6fPOvD6FxLpNb+TYE43N7b3RdYGgW3MdS2b3x1qEXSvodQopV/nkXciv4FhCUWRQFNp1KAr6WFQ7AXVkRPi7KMxOwI3AD1RVvRHsGoG3A093sZ3/E41G/2r9oaqqDPwnUBeNRreoqnorcCfw1QL0WZDGbWX8hism8OuX3uUrnzuLxzfuIro7xv5YK5puiJQdxwiKInHehJO5bfVLtlwsnjMJRZHQtf7unUAwuOkoIknXjT6JWNJ0w54kWAhdL8gmn5wEPWR5WDjAwXhCRN0JBAJBL+M1l+uNOZyIqD626Onz9pLNw/EE0d0xwLQnkikdqbe/jOCYpKtzHS+ZF3atoDco9DvVS96RINYs3t2CYwNdNzjckuSODHlfVDuBeZeN5eFf/pXo7tgx7e8qxPL/N4ATgEagHHMH4Cjglh62ezbQFo1Gt6T/fhC4vIdtCrJwixa5b8MOLpw0ivs27GDWBWcAMCJShCIL8/xYQdPgzse2O+Tizse2o4kFQIGgx3QUldrY3N4nO/QUWWJEpMhxTOh6QTb55MRLlhOa2GUqEAgEfYHXXK435nAig8CxRU+fd0eyCaZ8+n1iR4qgd+jqXEfYtYK+pNDvVC95x5CE/AqOGRqb2+0FQDDl/d71O4g3J5h1wRnHvL+rx4uf0Wj0CHCZqqpVwEhgTzQa3duNpn6W3kW4BWhIt/Vexn0OqKoqq6p6XDQaPdTZRocNK+3wnMrKcDe623103aCxuZ1kSsfvkykvCSIXQAi78z32x1pco0XCxX77/1Y9ieEVxQXpZ0f09fMYyPSX/H5woNk9as4wqBpW2ivy2xWORRkZjN95IOrfgYCX3kWSqKwMe36uGzBiWGnBxpuuG9z61RpWPLrVUTuor3T9QGeoy29nbZF8cnKgsdXzXZFPxgcbg7HPnZHf7jCQfouB1JfuMNj735t0Vn4H4m/Ym31y09teerg35nAd2S9dYSA+u0IxmOU3k54+b6/ry0sDqCMj1E4fzYnDSzEM8zcTtufAobdsCIu+kv2uznW8ZNZ7h1Xv2bVd9RkOdH3S1wyG36OrOrYjmfCSd7vdTt5nKDKYvudg9EH0RX86qxO9xlUo4KM4JPWLv2sgPa8eLwKm03YCfJj+D1VV5Wg02pV9xedFo9E9qqoGgf8L/Aj4ZU/7BnDwYBO67l1surIyzIcfxgtxq07RW2lUuv09FJkRkSLHIBkRKSLekmREpIjKSBGrFk7Fh/lb9jZ9/Tzc7j+Q6C/5VRTFVS4USeKd9xv7NZVAf8tIf9DZ7yzkd5DgoXcxDD78MI4/5Hf9/J/74zS3Jgs63spDPlYtnIqmGyiy1Ge63g0hv31HV20RS06QJDCMo3LiIcuKJOWV8cHEUNW/0L0+D5TnN5jHHwy8/g9W+R1IvyH0bp+89HZZccBV31pzuOEVxYV7r3dgv3SWQv9OQn57iZ4+b4/rKyuKWDBrvEhNl2agyS90Toa7S1/LfpfmOl52rdy3dm1X7fT+1CcDUX5h4NireemCju2sTGTKeyjow0hqpDCGzLysO+QbHwNRfgebD6Iv+tMVnejlS2tLpBhZVYYPo0/9Xb39+3RVhguReyEFJLP/U1W1XVXVd1RV/b6qqnmXsqPR6J70/9uB+4GpwG7MtKIAqKo6HNC7sgtwIOK15Vvrp0z4PqChrtreNm7l6v/d9vdoqKtGEgF5Q4KuFgIOKLAkSy6W1FXj94tUAoKhQX8Wx3bTuw111QQUU+GWlwRd9fL6TW8WfLxpmo6k6fgMA0nTj0nny0Cnq7LamfO7mn7GkpMRkWKHnHjLsvvxYzX3vkAgEPQUL70te+lbxXR2y7JUMJvHS+cL3T60sOQFKb+92hFe8iLLIrWioO/InOv4MHWply7MZ9cuzTq+tBd1n0i9fGzgJm9L66pRFClHRjsrE5nyHgmH0DQdH30rvwKBGz2xRTsr/4oiI0vQMNcp74tqJ1B1XDE+jGPe31WIcX89MBO4E9iDmcbzZuBZIAosw9zdV+92saqqJYAvGo02ptOB1gJ/Bl4BilRVnZauC3gt8IsC9Ldf8UolkNR0Qorc5wKpaTqRYj+rFk5F182JoiTB1ZeO5ZGn/srWnfuO+ei8wU53dp/qmkFJkY/l8yYjS6Ab4PNJtLdrXSquLRAMRHprR3Zn0TSdYeEAK66dQizeTmNTgnWb3mD29DOJFPuRZYlIsZ+VC6fyYayVeEuSxzfuIro7Zl4vxtsxQ1dltbPne9kiXZWtTBsiM8I6mdBcjwsbQiAQCLqHl95OJE09fOfCaSQ1nfcPNPHAE68Ri7eZOwXL9ILZPF46X+j2oUO2HVEzpooV104h3pLkYGObw17t6Ll7yUt7UhfzSUGf0xkbOVNmM7Nf6JqB3y+zYNZ4QgEfbYkUfn/vBZAWyk4XDGyydaRPlmlLprj5h1tyZLS9hzLRl/IrEGTTU/9bZ3SifY/7XyASDvH12okMrwihyDKKApImFgChMIuA3wAmRqPRxvTfb6qq+jLwSjQaPV1V1dcxF/S8qAKeUFVVARTgb8DCaDSqq6r6FeAnqqqGgHeBfy9Af/sVr1QC7x9oYmRVuF/2A2qajoT546OBocjc+uCLOavsqxZO7af9ioKe4BU1ke95poBbH3gxR05XLZzmmSIDrXdShggEhaY7Y6LQJDTDoWcB3nn/iDnpJK2XFZl71r0qxtsxTFdltbPne9ki3ZEty4bwAWgGWgfHBQKBQNB18ultTdMxFJlv/8RpV6xcs407r5tWUJtH6PahTbYdsXXnPt55/wj1M8axcs024Ki92hn5cZMXJU/KRWHfCnqLztrIlsxaKdw0TP/Y8of/5OIb6Z25YyHtdMHAJlNHGhgOOcuU0Z7IRAr6VH4Fgmx66n/rjPxn3mN/rJWGB16w5VxPiMU/i0IsApYBxUBjxrFioDz9771AkdfF0Wj0H8AEj89eBMYVoI8DBmvLd+YK+A1XTOAPr+zhlOkqmiTljapUFJkU9Gr0ZU8ij/qif4KuoelmKoD6GeMIF/uJtyR54rm37Ofp9sy8Io2QjBz5tdIA9ZcTQMicoKsUKrqyK7KXfS6Se4FuLSP/u9v7ohDjTYyZwUOXZVUiR9dHd8dyzneTLSv9TEL3tkN03cBQZCE7AoFA0Md0aBO46H8ws3ncOHui453g9h4RtoEAvO2OcLHf8XdPbOaAIrG0rpo71mwjEg5RO300J1aWAhKGTwYDIX+CguMm25FwCCQz9aIsS/gUyXW3SF/7x3prDigY2OSTs6As2TJh683hpUiYKb+zZUpRZGLxNlO2MWU9s20v+RW2gKA36Kn/rTM6sbP3yJRxnywjK5BI6q7yPhTHQyEWAdcCv1FV9V7MdKAnA4uAx9KfT8dMCyrAFJiKcMDeih1vSfKHV/bw6XNOoeH+F/Jujc23hbaQdDfKpL9T7AncCfhlrvr8Wdy7fof9XBbVTiDgl5E0w/WZVYQDHsWDGVBpgITMCbpDIaIruyJ7XufWjKli6859uX1I0xtpt8SYGVx0RVYVRSbWnGT1k687goye3vx2zvldST9jyYWiyLy39wgrHt0qZEcgEAj6mHw2gZv+v3H2RAIBmYb7tzjeCY9v3EUs3uZ4LwjbQGDhZXfEW5KOv3tqMy+fdy53X38esXh7TnD005vf7nTKUYGgs2TLtjoywtxLxrDkx1scPpJIOEiJX8l7LfSuf0ykXj426WjHf6TYz/eun8bheCKvTB2Vuxccsr322aPlRdzkV9gCgt6ip/63zujEztzDTcatsWGl0bfkfaiOh0IkAv4W8CPMWn73AF8GfoxZFxDg98D5BbjPkEHSzJ1Z96x7lZVrtjH14yfaCzSQWeTSWRC2K8Uwe1L8vbNF37PvoyGJAsYDEF2Dp55/m/oZ41i1cCr1M8bx1PNvo2ve27J1zVsGMosNS5ruMDZ6InfdQRTNFnSHzuq4fHRFH6c8dOPVl47tVB8UxYxO1XQDTZKQA0q3x5kYM4OLrsiq27O9b8MO5s0cR0DJTbSRqcu90s9k2yDWAqDjHI++98c7QSAQCAYqhdCJXja4m/6/Z92rHGlK5LwTaqePznmPeNkGmiRhKJbNoeAPOB3jgqGHm92xpK6a321/z/67EDbz8of/REo3XO2WCyeNyrEvujN+hB0y+OjNZ5Yt27XTR/ODn7/ikL971+8AJNp12B9rQQ4oKIrc7bmjl25NQoffz0vfC4YuXnIWUMzx0K4baFrHc3k3ubt3vfn+V0dGWFZfw+3XTLF3Eea7rrPyKhDkozs61B9QHDaorEh5daJ1j5oxVTTUVXPX16ax4topth/Eyy937/odzLrgjJyxNFT9Zj3eCRiNRnXgwfR/bp+39fQeQ43sVWxwTwt3oLGVW360xR4g4RJ/h+njCrFa3alVdpf73H7NlIKk2BMUGMngkvNO574NR3cC3nDFBJDMItdez6wr0Wf9FSUhimYLukMhoiu7Upy4Pam5nishdahnm5MasUPtjp283/jy2fz06Z050UqF6rdg4NAVWfV6tgcb2wj6lbxy4nVtIqmx5pmdzJ5+JiUhX6dlZ6hGzgkEAkF36G2d6KXDQwFfzrGTKsMEZed7xOv6ZEq36wxai0HDwwGSCZGQbqjiZncEFIn5M8dx9aVjC2sza7rr8XCx32FfdGf8CDtk8NHrerKTPrh4S8L2wWXuDOzO3NFL9j9MB2oImRRk4qV/D2bs/Lvra9M6nI95yd3I48tYMGu85xjTPa6Lxdu5+/GXhbwKuk1X/W/+gMKBeIJVGbLakQ2qaTrDwgG+/JkzueOnThkfFg5wMJ7w9MtZKc8zx9JQ9ZsVZClfVdUqVVUvUVV1rqqqX7X+K0TbQwG3iKbMyB5r22omIyJFNDYlgKMrzhgSd31tGg111agjI/Z5iiyj67nFMDOvTbn0w1xZd4+06ijyyO0+7x9ocv0ementBH2PYUj2AiAcjbI0DJBl2fWZyemUA24ykB2R4Q8o/RYl4TV2hMwJMulIB3c2ujKzHSVj7KgjI3a0kSIf1aXWuGhsSniMMzrUs/sOteTsFP/Bz19xjVby+q6O7+A5ZkR030Cls7Kaz5ZYuWYbGpKnbHhdu/dgsx2R7/W+8Mm5MmfJfiQcoqGumhtnTyQWb0Pye8uniNgXCARDlULZyZaetHboGT7zb5+Hfm5LpHKOKXJuwJHicf37B5ocfV61Zhv51v+EHh8aZNsdyYSGpOkE0/OrlASGoqDJskMOvZ63l40hSe7HU5rOsvoawNx9YihdzzY0VCP4hzJez8xQvO1X6Jre6aoP7t71O9h3qIUUpuxb1/rS/U1JEiiKZ5YWRZbsXSmrFk61d6nEW5JCJocYPX3/Wde3p/26Qdnc9ZTQnDumvfwKmf4vL7nDyK8XveZ6xUE/kXCIWLyN9nR9+K58P2EbCCC/TyNbRpI69gIg5NqgiiKjBHzo6WvwmX7ppIa9AGhdtzJ9XT6/nJXyPHMsDVVfc48XMFVVnQn8J/AWMAbYCYwFtgCP9rT9wU5nIprcilxaNRsssncGWvnyr7hY5Zktb/Ppc0ZSHvLR7rFajQSx5qP9qBlTRe30Mx0r612J7HBbFV+/6U1RwHgAohvuMqEbBgGfxOI5k7jzse32M1s8ZxI+RUJzeWheERmRcKBfoiRE0WxBRxQqqjS7nZoxVSypq2b9pjdydtpa7Vv6+Inn3uKGKyY4zlmSTu2RzCOomm4QCrjvvnKLVurJ++ahX70m6q8McvLZEvtjrSQ1546OTNnId23dFz5m7lyVYElddY7d0JZM2alEMzMXRMIhvvK5s3Lkfv2mN9i6c5+jD4CI2BcIBEOWQkQTu73jrfngnM9/jIa51azMiHy+cfZE/H7Zro/iZiNbba7b9EaOnbJ4TjU/+Z/XOt1nsfNqaJMpK24ZZvLV8XOzMZbUVbPxhX/kyJ1Vy/Kux152ylE45BhDHY2foRrBP5Rxe2aRcChv/bOe6B0fsLSumjs68MGFAj4ONLbaWTUg12b1qikVUKQcn9viOZPY+MI7dvtCJgc/PX3/5bs+27/r5lfIfre7yd2Sumpvv6AOCoBk5LR9wxUT0Aw9Z07X2e8nbANBR3Qty6BO0M6W1eLIltVQV02RV+Yiw9svZ+nv7LE0VH3NhXjfrADmRqPRX6iqGotGoxNUVZ2LuSB4zOMV0bRq4VSs9WNN06kIB1gwazyhgI+ykiBrN+60i7ZCblTSfRt2sKx+Mms37uTCSaNY8ehWVi2c6lkME8MZQXfhpFE5K+vZ/cqH231i8TYqwgFRwHiAIUvuMiFLEikNNvwmSv2McYSL/cRbkmz4TZT5M8e7ykFCc4/IWLVwmus9ulI0vjuIotmCjuiMDu5OO1t37gNg/szxLLl/i2v7lp6M7o7x+MZd1M8YR3lpgNKiAGs37mT+zHF5+6DIEm2JlOvYyolW0oxOv2/MMTONA42tNDYleHyjWST8nfePdPl3EQwc8j1btx0dmbKRbYfEW5I8vtF0ZsRbkoyIFGEYsH7TG473RXsyxco1r7q0O43a6aNzdqGvWrON+hnj2Lpzn6MPUJhxKhAIBAMRr/lZV+xkr7qv9TPGsfzhP/G9689z6PA1z/yNinCAO6+bRkrTXW3kzDYPxxMsq59MU2uCxqYEre1JYnFnVY+jfe5c/4QeHzpYz7d+xjjXDDP1M8Z5Pm8vX8fWnfv42zsxltVPpi2RIhTwEQzINNz/Qo4cLZg1nttWb7Xb7Gj8FGLMCfoWt2dWO310Xr3SE72jaToVxX7uXDiNVNqP8NCvXsvxwbUlUiRTBquffN3TZr13/dExkHn/hGbk+E7ufGw79TPG8dvte4RMDhF6+v7Ld332uIjujvH05rdZtXAamu7+bneTu3w+O9l6rxvw9Oa3HXO9pze/zVWfG5Oj9zv7/YRtIOiIfFkGc9/hMikM9h1q4YEnXsuRq+XzJrtfJ7n75SorzOxcN105MWcsDVVfcyEWAUdGo9FfZB17DNgLfLMA7Q9qOhuFJmkGkXCIdZve4AvTPkLdF8bwb5NPZf2mN4nF27jhign84ZU93H7NZIaVm4rap0gcjiccefODsuRYra4ZU8XVl45F03Ui4ZCt0CNlIY+IOgimU+Xlw2tVXNLMrb0+AM0Y1CvkgxErDVumkkpJBrdeXYNPlpEl0A1I6TpIpgI7HE842jgcT6AZBkGXttp199oNmm54Rkng0k4hFaem6UggZE7gSmdr92XLKOA4hpRbN2Lrzn1cfak5JtSREWZdcIZtMCOBzziqJ6O7Y6x+8nU78ii6O8bVl47N+xL2AVXHFXPLnHM40pQgFPDRlkhREQ7x7JZ/sKy+hhOHl9pFvTMjBTP7YwC+DL2uaTqaJHHLj7bk/V0Egw9N0/EpMkG/wuonX3fo4weeyL+jQwFOqiylpT1FWUmQ+ZeNozjkZ9Of3mH5vHNBgpnnf9R2Lkd3x1i1cKrDtoi3JHniubeQJBhZVcbyeZORZYmDja2sfdZckLR2sWb2wfp3vv4JBALBYKW70cSZ9gm64apvw8XmzmuAU6rCJJM6KU3nY6dF+OyU0zAMPO3vbBtJUSS7xMTvX97jutsAyUwFlt2e2Hk1tLGer+V3yLZ7KytCjudtya6uG8jp0iUnVZbyyFN/Zeb5H7WD6aK7YxxpbmfNM39j1gVnMLKq1FWOTqos5bLzP8JZpw2nvDRAJBzMm1HDGnPrNr3BhZNGdeoaQf/ipidPHO4uD5k1m9z0YrbecZvrael0dIoiY8ig6zr1M8bxxU9/lIBPIRjwIcsSkgS/+O2bHdqsbllakMjpW3R3jEhZMGceN9gdy8cyPX3/5bs+KEssn3cu+w612L6AquOK8WEgGUaO/8vyCbiNC6+dflJ6NS7ol5l/2XjTvyZJBAMKl188mkQy1e3vJ2wDQT4URSYJ3Dh7okNHZmYZjIRD1E4fzQnDS5EkMMCRLSvTHvEpEjdfdQ7fW3s0m8CSumoUReL2a6ZwsLENAwOfIhMJB/HLoGvme0HTDZClHL/ZUPM1F2Lc7VdVtSoaje4D3lVVdTJwgPSO4mOdzkahWUUsZ08/M2eCWBEO8uQf/86Fk0Zyz7pXHdtW539xLG3tmt1m5mo1EsSbk9z64It86yvncNXnz3Jsl7W2vVrRTiMiRfzrwziRcKjD7dlDdVV8MOO11X54RYjGpiQrHnvJPr54TjUBn4wmS65yEfTJxOLtOW2VlQQ85dlNHkCkeBP0Lx3pYLdxs7SuGr9fzklxWDOmynZaHG1HpmZMlWdK0Hw7s3yyjGve3TSaphMO+UimdDvSaUSkiKVzq/nipz+a07+KsDk+3dIwZo87ESE9dHF7PyuKlGdHhzkODsYTGWm+tjnkDWDJj7c4JoyPb9yFLJPzDrllzjnEWxKOgtyLaicw77Kx/OK3b9q7WDP7IOEujx2NEYFAIBgMdGfelG2f3PW1qa42u88nM/+LY/nXh032Z1bZh1sfdE8Bbd8jbQtYdsN3MlJGWwGoC2aN56TKUgAeeeqvOemchV1xbGA933hL0tXuveWqSdSMqTJtDiTP1LWzp59Jedg5n9QNw5bt+hnjXOUoFm/jkxNP6XQpk3y+FTEPHZi46Ukv+9DSKwG/7KoXA34ZPV08Kl86Qsj1Vdwy5xzaEhqrMsql3HDFBOItCbsWVGeytChIxJqTjqA8axyUlwS4+/GXhVwOEXr6/st7PZBMZvkC6qrBn+tut2S9PZlyHReKLLvu9Lv60rEUh3wcaHT6/xbVTiBSFqQo6Ov29xO2gcALrzT3VjaiSDjI964/j8MufmlZxtPvdePsiSyqnYAsmVm1ykr83PzDzQ65fvSpncTibSyfdy7JpO5ICz3U9XEhKnI+DExL//se4PfAX4D7C9D2gMeryKl1HMmMaBoRKUIdGWFZfQ23XzMFnyKD4iwgnF301drSqmsGn51ymr0AaH127/odHGlKMKysiNvmT7YXXayCm5mFX8tLAvZLIPP62umjAewB98Jf3rcLvlpFjs1i30q6CP3R75ivsKeg7/Haat+e1Lnzsew0FNtIpHR03XCVC003WJdO+7Zq4VTqZ4xj3aY3UNKRSMvqa1i1cCrL6mtYPu9cAgrIigT2pn4JWZFEUXZBj+lpIWkrqnRExCzq69ilivu4uWPNNvYdasmR23kzxznaWT7vXHyKxNWXjnVNkZHC2pklkdJ0Vj/5ur1McEFGAAAgAElEQVQAuHjOJHx+9yQYerrgdkqSSLgUN77jp9uItyRyxqeumd/VLQ3jyjXb0DKSbnT0uwgGN9nvZ0kzXJ+33y+DT6HdgHBxgPmXjSOZ0uxdJZa8ZY+H+zaY9sOw8iLHOyQSDlEU8OfI7L3rdxBvTnD1pWP53fb3HH3wAbICi2onOPq3qHYCsggnEwgEQ4Suzps0nKUcEkmdp55/2/Huf+r5tykrDnCkKeHQxV5lH5Jg21Lmf5Kn3XDfhh1ccM5IThhWiqYb/OvDJjt7iJs9L+yKoUemDS5hzgF3vXOAeTNzU4LetXY7V186FiRIZcmuJU9fmPYRVq7ZhqE5ZUVCsuXXqtdTM6aKhrpq7vraNG6bPwVZll1lOuXRX0ORSepiHjrYyNaTCu72q6VXdA13X4Zm2LouW5fuj7WybtMbpJBIkisjR5oSOX63pze/zfyZ482dIpLEigVTcmzWJ557i5oxVay4dgq6bniOg6svHcsjT/1VyOUQoqfvv8zrM33GUlqX3eHiq3CTF0vWE0nddVzousGXP3Mmq598nSX3v8DqJ19n5vkf5ZGn/kp7MtcXfe/6Hew72JKjs92+n5fPxuu3CShSj3w8gsFDtmz4A4r5jsY9zX3t9NEsn3cummaQSGrpBcGjvomVa7ZxXJmpd93s13vWvUpza4p71r1KWUmQZzb/I8d2vurzZ6UDRhTakhr1M8ahjoxk6GNpyMpkj+3yaDR6V8a/16qq+gegJBqN7vK+amjgFVU0LBzgYEYB45oxVay6birx5qS9nTU7MqOhrpoSjyKWKd3cgur2WSjgo7G5naKgD3xOIbW2XqsjI+iG+/UnVYZZtXAq8ZYkf3hlD586+xTHKvo3vnw2Pp/k2E471FfGByueW+01jxSemrdcpXTDtei7rEgksiKRGuqqUUpl9sXacooPR8IB93uL7f+CTlCIQtIdRd97jZtQwJdzTNMM/mPRebS1awT8Mo3xBN96eDPf+so5nnIeVGTaEhq/f3kPt82fwpHmdhqbEmz4TZTZ08/MjcpXZN7be4QVj241nSpfm5bTdiQcwu/zsfrJVx3j05AMIsV+ij3eJUlNJ5RObyB2cx9bZD5vXQcDg+1/28vHPjLcobdvuGICv37pXb7yubPsXate4+HkEWE0zZmC9iufO4t4S8JzTEmSxPyZ47j60rEOmUvpEmuf3eWITF377C5uunKieFcIBIJjDkWRacuy30uL/K62uc8nOdIiAXbKxkz2x1qJxdu5+/GXj2Y8uP9PRMIhbpw9wfX8YeUhvp21OzDz3ZBpzwu7YmjhmiljbjUX14ziYGObq7zEW5LcdO/zfH/RJ10/H15hRu2ndHOX3oprpxCLtxMuPjpfjO6O8YdX9uTs4Fs8p9qjlMnR9KPZ/f3uNVPEPHSQ05FeSXmUKrEcwUvrqgllzYvUkREuOe90lty/hRtnT8y5PlufZp6f6ef4/qJP0tqeMkuuKHDzVWfbWbi85nD7Y60gSY7MMtZxIZeDl56+/6zrv3f9NA5n+JFHRIpY0oHus8i0G3yK7OH/M/D7ZLs+a1sihd8vm+WAPMZSKOAjpef/fh35bLKvDSiSw18ufMxDl2zZsDJVrFqzzVX/7o+1MvL4MpqaEyx/ODcLkWV/ApwwvIRUyl1uR1aVUj9jHKGAzNlnHZ+zU/C48hAHDrfaNYiz73GgsZWgXxmSMtkbS5unAyN6od0Bh9cup4TmPL515z52743bx2ZdcEZOZMbKNduQZdmOkLCwtkrL6W3U2Z+1JVI0NiVY8ejWnGgQa+v1rAvO4IN0YU23tu9Z9yor12yjeswJOavoP/j5K8SbEzl9FZFKAw/FQ0YUT7mSvWVOklwjghMJ3VXmW9t11+LDmoan3AkEHVGonaT5ou+9xk1bIpVz7P0DTSSTBj7DQNMMOyovdqTdU85TwPsHmpj68RNZ9tCL3PKjLaxcs42tO/e5fpcU2AuAAI1NiZy2a6ePztnde9+GHWCYKaG9vtP7B5oc9xO7uY8trOcty3Drgy/yidFVOXr7vg07uHDSKO7bsINZF5wBeI8HWZLsot0Asy44g/s27HCVWasNWcJV5hTZTFe6cs02ltz/AivXbCMWbxPvCoFAcExi2Q6ZujTg97na5hhmuqPMc+MtSVc9XBz027sIrB3e0d0xNN3dXn//QFPO/TLfDdk6WtgVQwfXTBnpzABe7/mDjWba8VDA5/r53oPN1E4fjSJLJDSDWx807eJ/7nfKevWYE3Lufedj2+wMRpltWjLo1t98/g/B4KE787h4S9LWdZpmOM6x7FVr4Tr7+mx9mnk+HPVzJJJmn9A0M/Wo4ZRBr3GiSF4+GyGXg5mevv/MIN3c3XirOtB9Fpl2g5cNIMsSyx/+E7et3sqS+1/gttVb+d7al9N62d0v2JZI2WWnvL5fRz6b7Gu9MuAJH/PQI1s2MjNVeMkpBjm7X7PtT1mSWPyjLbz7Qdy1jd37mli5Zhsgu+4U1LTcjHjWPUZEimhsSgxZmezxIqCqqn9UVXVq+t+3AOuBn6uq2tDTtgc63kVOc1ejMyOKImVB1+sUJXer9NK51eY2VAmWznV+9o0vn02kLMS2nR9QP2Mcmm4gB3wYPjPNqCSZaTtGHFdEwK+weE7uNuygX7Lv6RU16rojRnfmb1YUGTmgoKe3+aIoQ3b77EDFByzNlp+6akIBmSVZx5eknz2SQcPcakd6z4a51SCZxYQb6qpZtXCqGZkTDnlGCHkfN1zTh1oRm11JAWCdvz/WIlIGHCPkKyRdCOxUWHNz9W7VccWOYzdcMYH1m95E0w0MnwxIfOsr59BQV817HzSyeM6knLEX9JvnnTC8lJMqvQvbK+n00Jnt3n7NZO5YMIWTRpTkvBdOHO7elp5uS8IsfLysvgZ1ZCSn/4JjF0WRMTCLb/t8kqscWbZAuNhv2gou46GhrhpZgWEVRdyxYCoPLr6Q008qZ8WCqUTKgtx05dk5aZKqjivOSf1ipQPRdYMV106hZkyV4x79GREt3jkCgaAndFQyIp/9q+kGL/zlfbvOWkNdNT7FXWfrhsHI48v4bsZ7/3fb38uxS264YgLBgGzb9KXFAdvO9/tlbrhigiMV2XfT5SvUkRHH/ex3g0j1OaTJtMHVkREa6qq5cfZEThheyradH9jyAqZ83XLVJCorQnz/6+dRWuLLsV1vnD2RgF8x60tKZtmISDiEOjJCSZHP4acoL3XPJHPi8FLXdHSKIjsyIDXUVXP3DedxYmWp6QvJk8JO0Ddk6r1YvK3bNpU1Z0pJEroi4/fLDv+HlY6z0tpZFA7Rnkg55LW8NGD7OSorQtxylVNXjogUO/Snlzxmz6my561Watts+fP7ZRrmVjtS3q64dgoBRSwCDiW64uuyzvXyfRw/rCRHjpBADvhQAj709LXFIT83X3UOv9v+Xo7sLZ1rzt1unD2Rhrpq1JER1JER6meM48TKUiQ51xe9qHYCVcOOzt8Mn2z6m7N8vl312eQ7X8y5hhbZzzpzzcFNRy6tq0b38C2Hi/22jtd0nesv/wTDKoI5vu6GumpOrirhx9+6AF/a1sj2a8uSe0a88tIAN1xhpneOhEMYMORS1hbCBhoL/Cn973nAp4E48AKwsgDtD1h86WiJTOHJ3HmVedyKKIqEQ5QWBXI+rxlTxeF4wq7DZhonQZDg5h9uJhIOsfBL4x1bt0uLfQT8Cp+fehqrHtvummZ0+fxzkTBzpUfCIRbMGs/xw0qIHWknEg6SaEvZ27MN3Iscu+0AyCzkqigyzUmN2KF2x72X1lVTMQS3zw5k/P7c7f2GYVBW6ueOBVMxDANJkpAVMHSDoF8hbiRz0nsG/YprMWGf4iHznsclWtpy04eWFftzCg/nSwFQiLSQgsFHbxaStmRq3aY3+NJFo53jxidTHPLbx+ItSbtA8YHDpsMtM6XA4jnVbN6xx9bd5SVBZB80tiS57eE/sT/WyrL6GtfvEkin4Pj5pjdy0nwtqp3Afev/TEU4wG3zp9DSliRc7MfvNd5kiViLM7XG4jnVtLabqRXtnVWiCPcxSbYevf/mC1zlyIrKq4wUsWDWeB7479eoCAe4Y8FUdN3g/QNNPPCEeax2+pksfcCZRuPpzW9Te7HKTVeeTVlxAL9fwaeAAjmp0q10IJl6ff5l48CgX9PIiXeOQCDoCZ0tGeGlWwJ+mU+fcwpb/vxPLr9I5a6126mfMc5VZ6c0g2UZenjxnGpKi/387wv/cKRYfnrz21w4aRSrn3ydRbUTiISD3P34y7aN8uuX3mVR7QSKgn4724Cl1630SNa74c6F01AwhD4cwlg2eCQc4iufOysnDe0fXjlq9w4rD/Hwr14nXBxg5qdO55/7muz6leWlASpKg7SnNFY8stVh4147azyarvO9tS/bfooTh5d6zjdlWeL6yz9BwK8QCQcp8svomkGsJUks3kbNmKocW/rG2RO56cqJlJUEUWSJUFAh1TYUY/sHLoWyqRRF5nBL0t4hYsnR8cOLWXHtFFraU2jpHaaZnydSGo9vPJpyPlLm9JnVjKniu9dMQZLg/Q+buG+DOfdace0UWttTrr47a96lINvfIds3GN0d4+nNb7PqummkUrptP8fibdx6dQ1XXHymQ9cKO3Po0BWZzzzX6z1/4HCrrU/DxQHiLe08sPY15n9xLG3tmsNnd9OVZ3PpJ0+nOOhj+bzJ+H0yiizRmkhxyw+3OHSj3y87yj4tn3cuKxdOJZnUkWSJxiZzd3e8LcVjz/6NL100mvaEluPzLQ97jxE3v4OXj+fdD+KsfvJ1MRaGENnP2vIzWJkoHt+4iwWzxlN1XAn7DjXj98uu6ylmgEYRs6efmaPjf//yuyyYNZ4ThpmL2b9+8R07Beii2gmufm1JcpfB0qKAmWUDuOrzZznShQ4VuZQMo2fOQFVVY8Aw4DRgUzQaPT19PB6NRsM972K3ORV45+DBJvQ8Ox8qK8N8+GG8WzeQAwr/+rDZIVBL5lRzXFmQ5rYky9POX0uhJpM6h+Jt/Pqld7nkvNPtyVim8ZyZH9xU4hNpbEpy8ohS9h1qZv2mN4nujtmfL5g1HoDbVm+loa6a321/jwsnjbInfOWlfr7/s1ftyLhZF5xh3y+oSCQTml0w2ZAMu26h1W+rJuAvfvum3VfL6E4mNMAsML97X9xe6Mns/6qFU5G6MEh68jwKQWVleKCEYJ1KF+XXUGQe+tXrjuf/u+3vMX/meB761WuuxwE7v73FiEgRq66bxpIf5x7/3vXnsT/Wwn/85yu2jHzz38/mxOEl7I+1cudj2zOcEJMYXlHEj3/xZ9d7u9534VR8mNvGM/ONp4AlaQWcfX5X5Ku7KIqc06e+VP6dHReDWX7d6E1HvKHILLn/BepnjGP1k6/nyNZ/LDqPg43tjgWKRbUTAGcBeuv8714zhYON5m68gF+hojSIJEscTC8alhT5KS3yk0zqHGhs5Zkt/2D29DMpKw6w+P4tnv24/vJP0NquUV4aoLQowNqNO1kw6+McjucuoleEA9z8w9xxZbU90A2XoSa/haAzusc6R9cNZFkGyXBdRLNk3pKPiyadwuenfSSnJuDTm9/miotVNvwmyuF4glkXnEG42E95aZDlD79kX3/7NZP54X/92VPels+bjCRB0K8gy5DSDB7OeEcNKzcntZJkTgieeO4tYvE2W6/3p97N/q2s75bvnTPY5BdMGb7kpic73fDT35/RrzZaJv1tL/aUgdb/wSq/A+k3hKN98tYh0zpl//oUmcU/Nm0Da25XWWFGJGfb2ht+E82ZP15/+SeQZYmnnj861ywrCfLEc2/y2+17qBlTxdwvjCUWbyPekmTbzg/49DmnkEhqrvM5S6/fctUkFEUiXBTA14NFwEI/OyG/hceywWPxNleZWFY/mbUbdzJ7+pms2/QGh+MJvvnvZ5NK6ez18Fnctnqro41MP0bmcbe6WJZ9cuGkUTzx3FvUTh/NSZWlKLLMQ796jcPxBF+fPZFlD73oKb8LZo3nIyeWk9L0LtkWvW2PDCD5hS7IcGfpyKbq6Pc1d0lJaJq732Llgqns2R/Hp8g8s+UfOX6H+hnjHAFrdyyYav+d2U6mjKojI9ROH83xw0oIBX00usy7ysNB/IpEe0Kz9XasqY27HnvZMXc8qbKUm3+42XG/ZfU1BfGdWfSnPhmI8gv0q371kvmVC6ciI+HzSySSOpIEGBKHm9o52NjGtp0fcOGkkdyz7tWj/uW6akpCflrak4T8PpK6xo82/IVZF5yB3yflfWff+tUaykM+NEli8Y+3EAmH7HldWyJFccjHLT96wXGtl65OpgzP+925cBpHWjpf48/Nx5MdcFRIP1++8TEQ5Xcg+CC6gld/LN19uIMgYCuw6NPnnMLw8iJ8Ppl4S4KVP3WeYxiGq+9hWf1k7tuwg1i8ja/XTqSpNWn71bz8FXd+bRqxeBtHmhL2RoCq44qJtyR49Km/UTt9tIeOnoam612yBXr7eXVVhguxE3AL8CPgBOCXAKqqng4cKEDbA5pEUmfts7u4/vJPMLzCzHP/4P+Y0T0NddXcff15tCc1W0DwKxSFfGzduY9wccCO7MwU7MPxhG0wR8Ih/D4fq5981VU57o85U3VWVoRyot+sItpuUXxWROqheMKOqKoZU8V3509BksGvyMjpHa/ZxbkzlbqmGzkFlEEUOO5zJHKe/w1XTEBR3I8jmXXNXJ+b5pHe0zAoDvkcu6aKQz5SmsHGF95hWf1kFBk0HX75h7eY/RnV9d5e6UN1HWJtuYs+JaH+ky+xI6T/6GmR7bxtp1MTeKVBbm/XKSkyI+hkCXw+mbsff4W6L3zM9fzWtiSAbWQcjUzaw2ennkpza9JhyDTMrWZ4WZCWdi1vP4ZXFLHsoZdyxo/b79KedB9Xp54Qth2MQmYHD53RPV6TqKc3v83s6Wc6zs1Ox/Hb7XsAWHXdNHMBUZJAhvmXjccwDA7HEw674a6vTbOvV0dGGF5R5CpvlizHWxLc8qMt9lioKA3Y7wO3zAWWfaPpBsF+1rv50tQIm0YgEHREV0pGuNm/lr7NnNtFwiHmf3GswwYP+BUOxxM57fkUmd9t350zf7vhCjOY6VNnn8J3HnrRcfz3L+/h8otGu/Zv5PFhbps/hTXP/JWtO/cJW/gYwLLBi0Pu9mlTa4LZ08+kIhyw7YXszABePgurjexj1vFkyiBc4nfsZLXaqr1Ydd2Z+PjGXTS1JvLaJRWlQWIuizn55FjMA3tOPpuqI3svM+OUT5Fd2/nwcCsPPPEadyyc6u4LkSXHnEn36I8lj+rISI6MLZkziRXXTsEwcOzoW1JXzfpNb9h68cbZE1lUOwFZkoi3mJlYbrpyYs79hO9saOMl8x/GzHpki+dMYvOOf9q7lSw5u/mqc1Akia/XTiRSFjT9y2lZu+GKCazb/AYzz/8oYKZV9Pncx8SpJ5axauFUhlcUc/hwC8mU7uoPXjzHTAtq+Z/z6epQ4Ojf2Z+nPHwTXjoy28fz7gdxW8dbbYqxMLjJfHdm7vT3KzJ+Bcez/8Mre/i3KafSntD49k9etNclVlw7hcbmBLEj7Ty+cZenH66p1bRBHt+4i0hZkKKgYp/n9d4wDAOfLDsy1t04eyK/+uPfWTBrPMGA4nrdgcZW278xWG2BQiQ1rQMOA68By9LHzgTu7WpDqqouU1XVUFV1bPrvc1VV/Yuqqm+qqrpJVdURBehvwVBkM6d5a7vGsode4rbVW21Dd+WabaQ0w1E4VdN0ezts9ZgT7AVAyC12CVA7fbSdIsDtnBERM1Wnla7TrWC8VUTbraDxyjXbSGjOoptbd+7jOw+9yPsfNmEYBnpCcy1Qm1kkU5FzC9Jb/RMFjvsQQ8p5xvdt2IGm4XocQ/IsAOx1HB2+u3qro5jwd1dvRdMMXvv7Aa67+zmuves5rrv7OV77+wHPPnm1L8uSq6zJnv3sffnqqNCxoHfpaZFtLyxdnK9w9q0PvMjC75ky3Z7Q7Yh5t/MDfl9OceF71+/gsk+dwZGmRM5nK3+6jfak0WE/9h5sdh27br+L1VZ2G4osFfS3E/QNndE9bufct2EHF04alXOum3yYetpA0XUkTUNKamAY7NkXp3b6aIf+bmxK2NfPuuAM9h5sdpU3S5YbmxJ2n+5dv8Nho8y64AzXYtxmYXqp3/VuvrEkEAgEHeGtQzpn/1r6Nltv3vXYy1k2+J+onT46p714S5KpHz/R9f1w2ady54T3bdjB1I+fSDJluPZP0wyWPfSiveNQ2MLHBvlsy8YmM7Jf08ixF7x8FtltZPoxMo/LkgSGxOonX2fJ/S+wcs02e3eIm7/DulfsSHteuyQY8HXZtuhve2QokM+m6uj3TQH7DrVw7/odDjs0s514S9JcPE7qrrJhGDjmTLJHfyxZdPObrXpsO8mUwbd/8qLD57dqzTYunDTKPu+eda/S3Jqy5dYsxZCr94XvbGjjJfOWrN752HYuqj41R87izQlWPbadptZkjn/Zmt/ds+5VZl1wBvGWJCUhv7scSZIt6ynMhWs3PX3nY9sc/ud8ujrekswrt1312VjnK7Kp660FwMw2BYOXTN0e3R3jttVb+fZPXsTAIJnQHM++eswJxJud/rKtO/dx64Mv0pTeYRrdHfP0lzU2JWw/wt6DzQT8Pvs8r2vaEzp3/NT57rln3au2D0XX8byXdf5gtQV6vLgejUYPAg1Zx57tajuqqk4EzgXeS/8tA/8J1EWj0S2qqt4K3Al8tad9LhQ+zOKp7UnNI5JHz/mBA4rEimuneEYglZeaIRYjIkWcMKzEM5rNSuMiySBh1hRsaU+6nn/i8FKaWt0/c4tIjYRDnDwibEZxKAqSlD96S0NiZFWYxXMmOVLULLUKb/dzKsVjBa8CqppuEAmHHNGUTzz3FrphbulfUlft2I69pK4af0Bm2bxz2X+oxY42HnFcMZrhLQsrFkwhlTKQJdAN8PkkdI/zrcLD2VF/eMgakuF6vg/QeuXXPIrYETI0sfT3uk1vcMMVE3hl114+c+5pyDLIsmQXET767HVumz+F9mSKW66a5NjFvXhONbLsHhmnyPmiPXWCssTSump+nu6HM+q0mgf/57Wc63TDoCjkoz1ppNMRyCgKgMTSudW2QdOX40RQeDqje7L1eyAgUxz0o8iSuTNbkdDTD9+S+cx0HFdfOhZJAkNR0NOy5PdLnDSiFF13yvQTz73FzVedQ7w5wQnDSrhn3Y4cmbV2Id44eyJrnvmbo99GxvvAa+fricNLQQLDIGv8Oe2O3rYpsn8rMZYEAkFXsOZ7sXg7jU0Jdr1zgM9OOQ3dMFhx7RQeeerojrqlLvbvtp0fcPu1U5CA+hnjeOK5t/LqzRGRohw9XD9zXI7tH90dQ1Ek13ZOqiylLam52DiTSGpec11hCw8WOpteXEMipeu2Lazrhuu7/vGNu2yb9MThpZ4+C+v9GS72s6y+hvWb3iQWbzMzBISDtLanqBlTxYWTRjGsPGT6QiTTv7Fs3rl2bW3L94Hkbm+ffnIZmmbw3fmT+eBgs32fxXOqSaZS3DLnHE/ZzyfHXrYYkpnyT/g3OiafTdXega1rZZyKhEOUFPlYPKfaUUfvlqsmIUv5fXK6bqC49Gfdpjcc5W4Un8Sy+hpPH5ziMdcLF/vtsjvhYj/HDyvmrq9NA+C4shA+ReL2a6egaQbtiRSHm9rted6qx4SdORRxk3lLb4I5xwkGZG6cPdHxfrZ8Bl7v+5NHlHLj7IkMKw/R2JwgGJBd9bMkg47M3oPNGAas3/QmX589oUP/85K0rr7ra9NobErwu+3v8eXPnInfJ/Obre/xb1NO47b5k9mboWOXzj0qt91JnSzmXEMTLx905vvWByytq6YtqdnrI5m6NN6S5OSqMCMiZn3i8lK/57jaH2vlhOGlfBhrQVEkO3vFE8+9xaLaCY7sQ4vnTPJ8X1hjL6lpecewdX5n7eD+Li+VSY/tdlVVvwE8F41G/6yq6rnAf2GO1y9Ho9GXOtlGEPgxMBv4Q/rw2UBbNBrdkv77QeBdBtAioLWNOYVXIVQZtKOqS1Fkuxi8V9HXspIAqxZOJd6S5FA6mi37nBHHFTN7+pmONC4Nc6spL/XohyLZRnj2Z4aB47g6MsJVn3em82ioq6ZmTFVOvQmfLBNrceb3XXHtFCRJQpEkFMw8xiKFRt9gRbVlP2OfIrkWQ/X7JBRFxu+THamF/D4ZWYJkUndsj26oq6Yo4POUsSPNyZzFxIoSd5lExz1lAO7fQUKyzzc91kafKU6vwsVehY4FgwNLf8+fOY6AX6G0yO/QqYtqJ7DwS+O5/xfmIlxbQueutVttXXfHgqnousH7B5r4yf+8Ru300a5youlHoz3d3hGaplFR7OeameNBhlULp9HUmiAU9CFJ5m7zTEZEijh0pJWA3+cYb1ZakYtqRnHnddNIaV3LVS4YeHRG9wT8sq3fx390OJ+bepojfeySumqGhwMkE5oj9QoSxJuTPPLUX3NSJ33jy2dTWuzjSFMy5/6plMEDT7xG/YxxxOJtPL5xF/UzxhEpC1IS8hMMKMybOZ6Hf/VaTkRnSjPs9jKLgmeeE29J8M37NttjcO2zuxw1hQJ+mVi88zUnuovzt+rbd45AIBjcZM73LJuhdvqZ3Prgi453du3FKoeb2vH7ZYe+V0dGuHDSSL79oDNdZ0rTXfXm/lgLC2aN54RhpQC0tie59JOnE28+WhMlc3HQ693yrw+buG31Vrs0BJLB7r1NbHzhHS771BnCFh7EdDa9+OGWpJ0hyHoPj4gU8/Tmt1lWP5mm1gSNTQlH3SZNMzh0pM3dZxEp5vZrpvDo0840suXhABIS+w4186fXP3AtkfL05rfN3a/XT0PTDJpaknznoRddfSg1Y6pobEo4gpHNRaEUP0mXalk6t5qQXyAFsSMAACAASURBVOmyHLuNl5oxVcSbhX+js2Sn/gsFfRhJzU73me+ZKLKELGPbuplp5SQJR0DFd+dPcW1LlnGsJmiazvDyYE665MVzqvn1S+9y4aRRnnM6t+MpTbfTLLqlu7fsWSul469fepfZ08/k+R17qJ8xzl6ELPLLJBNi2WMo4JR5+NeHR9NdWv7Whvtz0ydbPgOvedK+Q83ctnqrLVd7D7aYQT8ZCy1Pb36buZeM5TvptIrL6muIxds4cLjVtc1h5SHu/cb5HGlOgGE4+tVQV81x6UXC8yee4rBLGuqq05sKzOSC3U2d3JvlXwT9R6aPIlMXBvwyeoae8/tl/H6FfYeaqRlTleOXaJhbzd2LPkmssc2RWvT4YSUcONzqsEfAWZqnoa6acIkfnyxzx4KpHDrSRmNTgg2/iTL3C2M9fBHm2CsrDtCWSNl+8vLSII89u9N9x2oHdrCuGwNqTUQyjJ4Z7qqq7gHGRqPRRlVVfw88CcSB+dFotKaTbdwF7I5Goz9WVfVd4AuACnw1Go1+PuO8FuDkaDR6qBPNnkoXimp2d2VWUWRQ5Jz88pmOt2CRn7aEDpJBe0KnuS1JIqkhS5Kj6OviOZMYXlFEW0LjQKyV4ZEQTS2pnGinitKAa6HZ26+ZwqEjbY42b7lqEn6/zM/+d1fugKqr5rjyEB8ebrELYpaXBln+8Es5ba+4dopj8tpQV00kHORbP9ycU2D2tBPL7IHdUSFor+fRXwygwrCn0sWisIoic7g16dgFtHRuNRWl5nPKfgZ3LpyGIcGSH+cW2F513TQe+uVrOYW1F876OIfi7TmLfcPKQ3zz3udz2vne9efxrw+bcpT/SZUlKEBCw97JFFDMRUD380uRNN3cbt3Xi4ADoBZEZ8fFYJbfQuKmzwH7WMAvY+iQ1AxkGSRJch0HC2aN55SqMAAP/+p1RyDEXV+biqZBRTiILEs0tyVIJg1+8PNXHLJr1QRsa9cccr10bjWlxX4wJAIK6JpBCrMweHlpgId/9Xq6duxoeyfB77a/xyXnne5ZFPmOBVP55/44p51Yjp5O5eEPKPY4C/gVdN0YsAuEQn6deOmeYeEAGpDSDPT0xHL9pje54YoJ3LY69/29auE0AgokNMMeE4piFna/6nNjbKeeFYU6IlLE12snEgzKKJJs339ZfY0dGOJWL8UytDUNYvE2vv+zo2NhyZxqyssCHEk7xr2cJABLH3jR7rtVnH5EpIjl884l6Pex5H6Xd1YBi8dnM1T1L5jf7ZKbnux0w09/f8aAKUTf3/ZiTxlo/R+s8ttXv2Fn54mVlWH2H2p2zH0a6qrtxTiLEZEi6meMY+Wabbae1gydf+1v4sTKUtvRlnm+pSPdaqlautvSmZn6OrONFddOMdODpXTHbqklc6ppaU/agRcjIkXced00NN20kxRZpqUtyb6MDCFVxxVTHPIhaYZjISmF6fCQZRkkAwxyfq9CPzshv/ll1Hs+Pi09D5PwKTL/eL+RUMCHbhjoukHAr1AZKSLenODn/++NHF/C4jmT2PCbKOHiAJ+dcppjIa9hbjXFIT+PPPl6znxy3sxxSJKEZujoKewgvMy+WfXXJMn8bg/98jW27tyHOjLCvMvGEm9O2LJ48oiwHcCc2Ya1i/bfP3smw8uL8PvNDEcP/fJ1Z23LcBA80te52WKZfhHn79k9W2QAyS90QYa7S7b/wmueDaZvQOfowgSYQeu100dz/HEl7NnfZNuvNWOquOLiMx2+s4a6airCATQNdMOsfy3JgAGLXeZ+lsy41Z18ZddePjnxlBw/SCKZ4vs/M/1v+fT9E8+9Re300VQdV8K+Q80E/IrD5s2Wn674J/vTphiI8gv0u42lKDKGIqHpkErpHGxsw8BgeHkR3/7Jizk+1GEVRYQCCi2tKdZtytW3bsGR11/+CSRJypmPrUvXqQS4aNIp/J8LRtPclqQ45HfU9rUCLq6dNZ5UymDpAy+4+HbLSWm6Ywxa96+fMY7VT75uBk0CD/3Kqe93vXOAL0w7/eh7hv7xPeQbHwNRfvvbB9FVXPvjU1x17J3XTYNUehFQUVh8/xYi4RDf+srZSEgcaGzN8UusWjjNdf6f6Sew7JHsjUt3XjeNvQdbePL5v/PFT59h7vqWZYIBmYONbQ7f+U1Xns3//P4tu97xzT88ek9rHDU2t5NIagT8MhWlIfyKjIKRV659Ib+rr7xQ/ouuynAhMniUpxcAw8DHgYui0aimqur3O3OxqqqTgXOAxQXoSw7D0lGRHZ3z3t4jrHh0qy0At361hlHHlyHnyUWs64Z9XWZUkiUQPp9MIpFi9/4m1rso8puvOofrL/8ElZFidu+Ns+E3UWovPhPN0Hny+b9z5WfOoiIcsKPsS4sCrHnmr8w8/6MOAQJzK6oVTXr95Z/Ap8jEW5KEi33ohsTWnfs4HE84okSQIJHUSCSO7viyCtFnty1JEnff8Ena2lO8f6CJZ7b8g1kXnOFaYLZhbjWnnVCOLEvsj7W4tockUVkZdv1dvY4fi3RGfq3fK5nUaEpqjl19Pp+MjnvqCkMyX8Jun2m64VpYWzMMSop8LJ832ZH2M+XRTiKpsfbZXQ65W/vsLr71lbOJteTuHIyEg67n3zznHJrbUl0eo4WiosLgPxZ9kmRKx++TKS8J9sl9MxmM46Ir8lsoMvWyJSu3zZ9MMqXbunruJWMci3W3XzvFVX5DAZ9dwPuGKyZwOJ6wI/gCfh+rfuaMlg6XBFh13TT2H2rBMOCEymK+dOFoDje1EfAr3H7NlBxnhmWsBwOKYwfXzVedQzKpO4IvbrlqEv/74jtcOGmka38PHG7lgSdeo6Gu2lwI1A3e3XuEVR6LLn05hgYj/SG/2WTrnnBRgL2Hmjl0pC3HEeyV5iqp6RxpSbE+PSEcESli+fxzmXn+R+1Fw2xn8rCKEAcOt/LU8286opSt9qO7Y/YuwJHHhzEMHJPK79TXsHjOJIqCPrOofToS/+arzuHrtRMZXhHiw8OtDntl7bNmwe/Mvp88Iswjt15M0C9z6Eg78ZbWLtsUhWCo6t/uMJB+i4HUl+4w2Pvfm3RWfvviN3SzK/K+PyWnLvZK6xVOO7n3x1qJtyYwDHjgide4cfZE1/OHlYXw+8x5XmVFEbv3Ndk62zqn6rgSHlx8oSP9cmYbhkFOlH97MmXr6Mz3QDKl8+jT5m7xpze/zczzP+rIEHLj7Ik89uzfuPLfzmLU8WUAOb+T5WC0zsn8vYay/Pe1/HYko17z8QONrdzyoy3UjKli9vQzeeCJ12x7MTOa/uarzuFLF44mUhZi1cJpJFIaBw63IgGH4wkuOe90/uu3UdteOK4sRCKl0dKWdJ1PWnWvvvHlsxlWHnLtm27ATzN2EN44eyKH42YtHk0zbFmsGVPF3EvGurYRKQtS94WPOQKkF9VO4Cuf+xjzZo5jz744Dzxhyn6+MZ1tiyWT7vPe3rZF+pLesiEsMn8nt3k2HNUnmTrRLQjN0ltbd+7jmi+OZ9V102wH+sYX/sHZZx3vOP/G2ROJlLnLXbjY77BxRx0fRlEkmlqTnHXacJ5/dY+9QJ3SDJpb2x3p6730faQsmNPvxXOqUUdG7JpvmfLT5fcOQ1undof+/D2sbEGHDrXlBDweaUm4+1DrqtE0w05TW1rsZ+XCqbQlNEIBhbsff8WxC2l/rBWfIrPmmb/ZsmpgZiCyFkLUkRE+dfYpzgxyddVc+dmz2HugxU5tGDuSIJnSXPu1ZE61ZxpmW94lCUWWHPreXJRX7cWb/vY9DKbxMRB8EF0lsz+6brD3YLO7XyKlc3z6+1nnjP/ocOJZvuFMe9QrLfdJlaU81HARumbg80mOBcDM+0XKgnzx02fYAcqXnf8RLqoZRUrXHb7zcLGfG674BKVFQQ40tjreO9njaFHtBH7w81c7tB/MfnR9TaQ3KcQi4B5VVacAY4Dn0wuAZXQ+he/5wFnAO6qqApwM/D/gPmCUdZKqqsMBvZO7AG06s4p+4HCL7Ry2Fh8ONrZSVuJ3bFXNxlBk+8W8P9Zqp1CZP3M8Hx5uMbeGShKr0uk/swuxfm/tyyyYNZ7de+OsXLMNgHfeP8KCWeO5cNIofvb/drFg1scZdXwYJDh4uI3D8YTn9vDGpgSrn3ydOxZMRdN0ykuDBPw+3nm/kRGRIqK7Y/Z9rMiNwAjFNozhaCH67AgQn8+MGv31S+/wyz/+g4a6avYebHYtMLvyp9vsVW1JcU+7gQEfHGjKiQjp76iGgaZMuxIFYiiKXTfBwoqc8HoGVqHq7M8USXJNLTB/5ngeefKvORGd82eOt+s5ZB5XZJlYvM2WO6t9CYnnX91j1qySQdPht9ve5QvTTnc9Hx17rIEpZyse3dqruz/ckIBUSuNgW7LP7gld2onSB73pPP0RxZSpl8GUlb0Hm21nQf2McfYCoPW5phmu8tuWSJFMmUbHfRt22FH7sy44wzZSrDbuXb+DBbPGU1LlZ8n9L6COjPDNfz+bw03tNDYlHdFMy+dNto2USDhELN7G8ceVUD9jHNt2fkD1mBMIFwX49toXHfe4a+12brrybEqL3NPs/n/2vjw8ijJb/6219yRNNhATNiFAAE0gHUnABYRxREGGGQk6kjgTVgcYdEYIOrKIgPqb6+h4FZXrAF4HmLmMO+MgMm6gCQguRGgRkSBLFugk3emluqvq90d1Vaq6qsIqgtPneXwk1bXX+c53zvnOeV+ZbHzZ6hqsuHsYRBHKfVaOG6ghW/6hxlBHktRffdUvSxGIxjv4IIpoEcIafQag6OfDM0oN9YLnRSxfXYM5ZQWKjkMk8Mp7X+vOIVd0kgSh6ItceS/BgrWf31vnw6pXv8DiqUOVBWz5XEtWVePhGaW6yvxH1+5E5biBiERjhl0qGWk2rJw3QpkTSAIgYjwiooilL1SbQqkDQMPJIASZxwjnr9L0x2p/gbO754ul8vSH9hfPVS62+79U9fdCvEMjv8Js/szMdAGiqIml3ClW0zlb7mhxWBnFXprFeXX1AThsNGK8AEEEGFqbbMhySzQPJ1pCcLuMr8kLooaDSKaokBOLT27YjSXTSnCyJQyCJBRIsTllBQhzMc2xj6/bhYWVQ7F2Uy2m3ToIIkTde5LnlcT3lfjtzpUr5T9df0+poyaQiy0BaVFtZFE3pUv/3jsGw+cPYcm0EgiCiBMtIWz79AhuKu2JWEwATZFSN0uaDXYrjTllBfAHOYws6qb4unIn6sLKoXhyQ41OJxZWDsWgKzKQ5rSAIIwhFgVBxNhreqG6th4NPqkgr3LcQABQEnnyvR9rChiew25hsPhFrX8i++sAsHhVtbL/6fjEchxo9j4himf1TS82/QVOT4fPVsx0nwAgiiKamoMQ0R77q23ihBG9dbkn2c7sPdgEUZRsB0WSCHFRFPbNVmA65bxGmIuBNNE7f1CK8WUfd2HlUPzhWW3X57bPj2HJtBIcaQygZ9cUHK73K+dKtN+yjU9xsDgaDMDtsipzQzTGK3xuPn9Yoz9nMu909E4vhFyM+gv8sP6qSJHwtUZ0sfcT6yX7Z5hDXV2DGRMGobq2XskTZLltWFg5FIfr/YYUIf5gVNFVOe5Sx0pG42Xd5n2YfFM+XHYGE0b0RqqTwYo1ki9gdF/L10h5BbPxUpyfDQIAFxWUhcQGXwgji7opEM3yuU4393C++dNO0Ql41uf9vuRS7gSUvp3EJyzzAKu7V0+0hEHH+XR5QfKXx1/XW4NmlJiX6AjGPjc7BS2hCOwWPf1ZcX42eEGCrHXZWVSVF6GxOYxel6ciwvF4ZM1O3f5Tbx2EtlAbKJLE+Gt74uX3vsHkMf3ARXmND/zE+vbc4NIXqvFoHLrcSGcZqzE129n6DEbv/0zkfCwC/h7A/wHgAEyIb7sZQI3pESrxer0rAKyQ/1bBgX4JYGpeXt6wOC/gdAB/Pw/3qxOZtNKoGqMjyL/EFem8XDduGd5LU+2wNN5hYlYV1DndgT+t263ZZmVppDkp/OKGPjpoxLmTCvHOjroOCbpPtoYx76kPlWfY9tlR0/2nTxikua+NW/fjvslDEOG00HVya+zEUXnxDkMGq9/40pRglhdEWCgSbdGYjoizqsKD517RdsEkMfTPXQSTql9eNCZ0J0iJ52B+eZGGQ2F+eREomjCs3CRIGG6naAITR+XpzmNhScPzW1gSwwsu13ShzC8vAkURqKrw6DoEzZ5NEKAh+k5KUowqhWSSbcC4QnPbZ0dQNrqvjmPPwpB4csNnALTE2alO1lAfrSyNmCAoVapqblV1NZNcJGRUzSpDGZh1fKc6LfjXRwdNbbq8XzQmwMKSHT63bKvPhyOQlHMXI0ikqgqPpoNvQYUHTrux/oW4mKG9ffnd/XC7rLBZGF33oNzdKp8j1clKtl5V8Snr6Zo3azF3UqGuop6mScP7MetEkf2H+eUeDWRTVRxCSX7WqgoPLAwJjheUcb1x636d7t9z+2D4/GE8unbnaftvSUlKUpJyumJWgWw2f9IAFk25Gj5/ROGwSoyF5pcX4ZO99UqHvrrTxcjOzZ5YgHc/OYyRRbmabjw119T88iJsqfkWnvwuYBlSd46qCg/WvFmrgQF7cdNepSNRfi5faxh/Wr8Lc8oKYLfQpvPHi5v2IhCSusBESPCRZja/o/d1McDuX+pyKh2lIcHSLjOosgckH9HtsmLK+AGIxnjEeFHhk5I7ltTzswyNOLwgRzOPy+eU/e5gJGrsH0DETaU98eBz2zHoigxd7Dd7YgHWvFmLiaP6ajqlUp2sTs9knyJR3yW+KuOOPaedRSwm6Lafblxp9D4XVHji/PZJOVtR2wIzm2gWz2R3siHTnaPQO8h2NquT3TDPt2jK1bjn9sEaZBjZ5wag5N24aMzwer7WMFa9+gWWTi9RIPmf3LAbG7fuV+y9EQrL3EmFYBhS47POKSuA22XR6M+ZzjtJubiEIIBOJl3OXDSGLhlO01xC4jaKBLZ9dlQXN8nzv5Kni8duHY0XOV+tzsFJkMhWbNy63zC363ZZEeb0Od25kwpRU3sMk0b3VWAfE32Ls9HhpE9w6YrRt1P7qVXlHvCioKyXjL+2J6oqPKBI407TVCeLe24fjDAX1em/rGdTxw/E75/8AMX52Zo8iNyJKlOdycfsPdiETLcNXJQ/5VpOVYUHGWk2Ux9Y9p/dLiuaVVzgiTqb6rBcVD7DOc8hXq93E4DLEjb/Hee4YOf1eoW8vLw7ATybl5dnBfAtgF+eyznNhCIJ02qM5TNLYdasnLgibVRpQRCEUiVhtPrra43oyCXDXAwpDgsafPpqf7kK7sVNe7FoylD4g3qCbrmqT36GGRMG4cVNew0JvS0JBNneOh84TtBVrfzxpU9QOW4gVqzZgYWVQ3GkMdAhwSxFEogBWPT8x5rKK5lQU65uOZ33nJTTE5IwrpDoqKsvJoj4YPd3hh15RlV2y2cOM92eWOmzYs0OLJ85DBve9mquveFtL6beOsh0/wwXq+KoIONcVsbVeiRJJKOtpGjEqFJIJtlu8BlX2F+Rk6br7FuxZgdm3XaVpnLJaWOxfGYpXHbjTrwwF0MsJnZYpbrq1S8gF3YZ7bdizQ5UjhtoOmccawqgX48MBaYmJ8uJ4yfbNJBgWW4bjjZJlVEdPbdsq09FZpyUCyMxQHEOgXj1ZbxLQ66EX7a6BoumDDX8lixNIRrjsWxmKWK8CJ4X8fK7+7Flx2EsrCxWHGf53OruVvkcThuLtZtqUT4m37CKdOw1vRTYDBnCs2x0HxPdMq6U9wej8PnDiMZiWFg5FK1tEWSk2ZQEo/rZl88cBgLt41oN0ZTqZOGys/D5w/ivtWfmvyUlKUlJyumKWQWy2fzJ8wKsDI0n1kvoHA2+ENa+uRczJgxCdicHvmsIYMPbXky5daACzameo2U7N2PCIHTNdOFIox8vbtqLCSN6a9BbGnxSV8FD00tw6JhEKzGyqBseX7cLS6aWaHz/FIcFazdp468nN0gdUXLni/xcMqqAumPBaP6YMWGQgkKzaMpQXUypPl9H78to7kva8DOTU+kozwtw2xksn1kar1In8dwrnyt+oz8YRdnoPvC3cfC3cbr8g9wlIvsicjefWeW+7Hf7WiOG92VjaSxZJSXaPPldsH7zPsM8xcGjrRruTJedBUtrfQvZp5B9A7nTy+2ygIsJhtd3WBnUn2zTvMMziSv17/Pi49m+FEVtC8xsYqbbbvhNnTZWwxklx1QPzyg1zPMtev5jzCkr0OhMipPF1FsHoWIMj6aWEFa/8SUmjOhtatfkXF+i/pEksGRqCUBAWUyXr/v4ul2YoSrCl23tipnDwPPtynem805SLi4RRQKCYGx/GpvDyM027gwKczHNebLcNvACUHrlZdjw9j5Fx2K8AJoiMKesAN81BJTcXmKs1CkBicAo9yDnixevqjbM7ZaN7qPL6Ya5GDqn23HzsF66cSfPA2ebe0j6BJeuGH27J9ZLCBPHmgJw2Bg8sLIdJahfjwys37zPFOknPdWKx178ROlYVecgXtwkLSyeaJE6ZGX/dvnMYWjwBZHisBj6KLLvknhNo7Eh5yKMdFztP5eN7tOhzpIkcVH5DOT5OEleXl7fvLy8P+Tl5T0V39QLEsTnGYvX6+3u9Xr3xP+93ev1DvR6vb29Xu8or9dbf6rjz0ZoAJeZVGPwCW24EsEriRghEWg/PKMUj/xmGB6aNhQ9LktBgy+EvFw3FlR4pI8sClhQ4cE7Ow5h9kQJSguQlPr+uzxIS2E12+65fTBcDhZhLqbpXlHfk8vOwOcPIxiJQhBErHr1CwDAwspiLJ46FDYLhbxct7L/ZRlO+PxhvPzufqQ6LEh1spgwojeK87PBxCtF1ffgTrGYXrfBFwJFEUqV0xsffqMcn5frxsLKYon3CoSCHS3DkFY9vQ0tgYghVm/ie07KWQghQfyov+XcSYWgKKl7b9WrX6Dq6W1Y9eoXuGV4L4AQQVHAT0t7gIjPqAQh/d1RV6HZODHbXl1br3z/ZatrUF1bD14w5yIUeBGArA/xvwlRp6ezJxYAxNnpjXocixQJijovpjApP6DI3xSEVJ0r60pxfjZysl14aFoJFlYWo6b2GO65fbBGlzqnOwz1kWUoZZ85ZVJF3ePrdgEQcf9dHs055pQVoGuWEwxNoHsXFyrHDVTssHy+3GwnHp01DCxD4pl5I9CzawrcLqvuui47g41b92N+uUen8+s3f4XuXVyYPKYfNm7djz/FK01liBD1fjFekKpg3TbFZqvPJ1cgJeXiEDM7qu7ScLussDCUBMFZWYy8XLfyLUmSQCQiQBBFRCIxLF71EbbsOIwst8204lTubpX1Zu2mWpSN6ouYICj6oq7kJAkCi1dVY/UbXwIAKm7uD5oisbDyap1usSyJpdNL8MhvhmFBhQfF+dlKBd6SqSUSmTZNQBBFZa5IvD9eEBEjCFAUgfvj41qGvbEwFJ5YvxskYcyFmPQrkpKUpJwPkbt+zmT+jCX4ud46HxavqkZLIKL4wuqOJrlyP8ttww1FObjn9kJ0zXSCJIFuXVKQ5mJNq+pPtoSVc8odXVYLhUmj+yq+fyDEGdrYyzKceGfHIeW5Zk8swMat+5Xfg5GoqY/UJcMJh03qFGwLRwFC1L2n2RML8M6OQ8r7kn21Bl9Q8b87iiGScnrSkY7K7zwSf58WkgANEbeP7qvs/86OQ+iS4YSVpTvMP6j/ZmjjuTfVycLlYLFwytXI6mTV+QFybFo5biCWzyxFbmcXmv0cWtsimPfUh1i2ukaDUJCb7cTCymJUVXgQEwT4AhEsmno1FlYWY/nMUjhtDB6svFqhk3h83S6kOCyICQJIEobxo4Uhkea0mMaVpxMn8rwAghdAiyIIXkguAJ4H4U1sIgD4/GG4XVbQFHT5jjll0rcz0keCgKkPTBKEkqNYvKoaPC8iEOIAgsAbH34Db50P7+w4pMRS8vWqyj3okmnHs1UjIUJKOv/uzsGwWSjU1B5DqsMq8bya2Dajbq+YIOmPrHuCIGLp9BIU52cr103GbZeO8IKACBfT6HBxfjaWTC2BO8WCYCRqaLN7XJaCp+8bgcdmD8eyGaVYNrMUXIxH10ynJqf2h2c/wrynpNzqqle/wKSf9AVFae0dQxMgKUJzHTM0o66ZTjzym1KkuixYNKXdvi6sLFbGjzqnu3hVNaIxocPY1WjsJOqwka1N+gSXrph9u5ZABAxN6dABXHYG1bX12PC2V5f3kjqzvfDW+bBx635QFAmWkajMlq2ugc8fxtxJhdi4dT/yct14aNpQlI/JhwgRWZ1soCljH4WhCaXzVT1ezMaGDKO/oMKjWWPpnO7Axq37keW26daS8nLdqBw3ELwgKvb8YvIZznkeycvL+wWApwFsBHA7gN8AcEKC+LzhXM9/IYTnBTAm3HXqSgV1e6tRe//88iKMv7anQj4s7/Pa+wc05K5toShsFho7vjyOvO6dNGSUKQ4GdiuNYJhHa5tx9Zw7RarCeP7lPUhzsVjxm2Fo8XNYbtAe6/OHQRDAo7OGo9kf0ZHCkgldYikOi2nVnlzNwVCkwhdw87CecFhpLJ1eirawlsxTdvbVQae6I8fsPSfl7IQiSbAsqdEnliUhCDDtBGRoEj4/p4PfTHMadzqZVqVRZ7rduEOEpgj42vSt1Gku1uQZBp75e0pCDPzoJPGbFudnY+n0EtAUCX+QUyrt5cDNncLikd8MQzQmgiTNu2hddhbPVY0ERZLwhzhU3NwfYS4Gh5UBeAEr7h6GGC+CJAlYGBIn4om4RDusdGm3RRCMUBq4RhkiQd3FJ+P7hyJRw4qnI40BsAyFKeMH4O9bvkKKkzXcjxdEbNp2UOn0JSkyfs/CD16BlBS9mNlXucosL9eNyWP6aWAqJHhQBs+/0g7Tdf9dHnSKd1Q3tYTQEuDQEjCe1112Fo/NfIPKtgAAIABJREFUHg67hQEXjeHGod0RjESx9h97MXlMPzw0rQS0qupe5n5IhIVecJcHj80ajjDH42hTAG98+A2uH5Kj8ZEWVHjQKdUqJewSiLUZkzkhxguY+ehWZLkl6KYVM4chJkj6S1FS9XWyyzUpSUnK9yln0/VzKnsu2ah2uydX7i+4qwgQCYWDSraR5Tf3h4WmT3lOQRRx1y35uO/PH8Dtssa7CZ2I8aLhsQQBjL2mFyrG5BuiCvhaIwhHjGO3Rl8Qf/7bp5hTVgBBEAARynsSBKnyGYSIqbcOVBIORv53mss85kja8NMTMx0FjN95uosFw2hjRpoilC6UjvRM/jsaM9Ypp43FlppvMaq4G9pCMSz7ixqi3AO7jVI6SNU6LojG56urD0iL2RUe8DEB2z87gmsKczSwuAsqPFI+JMDBytJY/cYeVNfWY2FlMd766Ftd/DjumitgsZCYddtV8VihPa5Mxok/nKjtZmJHNEUibneBV977GrNuuwrpqVKugSIJiKKx3sZiomk+IlGnjzT4sXhVtfLNJ/2kL/xtHFKdFiydXgJRBI42BbBz73EM6d8ZD63aron5du2rxzWFOYqPu7Cy2PC6Rt1eFEmAAmGoe1PHDwREJOO2S0gokkRzIKLYn8w0K0RAE/888KtiLJs5DIIo8b7/c/tBJY+sto3/3nkYPx9hjLqSmWbDrNuuwrp/7cOvxw7A6x8cwJyyAtgsjNLBX5yfjcVTSxDmYnDZjTsQ5dzCa+8dwJjSnhr7umRaieEx3x7zg6GNx1anFCsm35SPLTXfqhC+tL6Tma1NMUFcSvoEF7+Y+b4ZqTapyEbU/i7H8IfrA6BpAoumDAVJAIIIkCTgD0oIh946H55/eQ9+NbY/ls0chmiUB0EQ+MsbewBIRclqupJ7bh+MTLcxN/aRxgAmj+mHtW/u1aALpaca7y+KIqqe3qZbY7EwFO69oxAUSYBA+3MZ0f088KtipFrpi8Z+E6J4bgMpLy9vL4Ayr9f7WV5ens/r9brz8vIYAEe9Xm/mebnLs5PuAA52RKpJUSQIhkI4EoPVQiEc4eHzR9AS4PDOjkOYNLqvxuETKRJV8WTygjh3TaKSLJ85DM+98jma/RxmTyzQtKDK+8iQcIkwGvLvS6aWgBcFWBgK/raoZnFvwV0evPfJYbz83jcozs/Gr8cOAEEQCvdUXq473i4rwWQBIpx2FtGYgKZmKRkok3bLC5dyFZQ8Sdx5Uz+0BDgtj1+5B1wsBpedhctKQ+BFjdGWCcATn2Xp9BI8sLJ9sls05WpEowL+unkfRhZ1Q3qqFalOFiQBiCKQkWbHiROB86oIZyKZma6Lpcu8O06hv0ACKSxNKXjcsmS5bXhs9nDEBAECL5FtEwQBkgIsNIVIVFCw89XHPDprOI6fCGpw8u+5fTAuy3SgsTmI1niQJUNnZKbZ4Q9yaDgZVLZndbIj1cGisTmsW2TMcltRfzKk465KT7Hi93/+QHc/K2YOQ2vQHGfZSBiWAsdDAysa5XjNOFZf43RIin8oOV3y30taf89BzL+pZI9HFnVTgv93dhzCjUO7IyfbBStLIcIJACHCH4xi2V+0+OVulwUWlgIvSA7r8RNtSHGySE+xQuD4BNJqElVPf6iQvctQGU47i0CQQ+d0BwBg4XN6my9DcMjjQ+aAK87P1nEVqp2PGRMGISfbhWAkBp4XdUUYLgeDpuawMqeNvaYXumY6IHAquJkE4m2WIsAlkBoDOK/k3Gbyn6q/spgFQ+/tkuZ8s3lW1h/1tuUzS0EDpyhcknTku3o/1m/+CmkuFr8eOwD+YBQnWsJ4Z8chjL+uNzqn2xR4UREAQ0mL4g0nQxp/Qh5v1bX1pj7SkqklSgCs3v7YrOE46Y/o5oqdXx7HS//yIi/XjbLRfdA106lLbq7bvE+/KHkeE3Y/VvsLSM92y72vnvaJX//juIuGiP58j78LLRfb/V+q+nsxvUNAuqeTJ9sgUoSOH0TNi7JoytWwsjSiMQFHmwJYv/krqZNpZikWPL1N50ukOi3olGJFsz9ies7ZEwtAEgT+tH6XxsbKsV0kymv89zSnBevjsKQsQ+ri4LHX9MK/dx7GzcN7ACLRYZHTwzNKYWFJxKIixHiiRxAEkCqfwcxXOxsf3+C9/+j1N9FfOx1frCP/WA1vBUh6Uj6mP0JcDOEIr80DJPATyxyV1w3O0fJOlhfBYZM6BmW/+HSvfdfNAyBCRGtbBFxUAMtQSHFYsHHrVwqqwYwJg5DldhjmTx6aVgKSJNDaJuk4F40hGImBIklNPKv2oxdNGYon1u+Gzx/G/RUepNkZxIALHideRPoLnIEOn62Y6X5iwX3Z6D64LMMJhiJBQeqkIFkKTc1hPPriTk0OapQnF0P6d9b4kQ9WXg27hYbPH4HdyiiLw0Y6bVSUKeex3C4r5k4qVPzX//79CEMdfHhGKU62hpV8W062E2NKe2pyeb/75WAwFInlqhzIgrs8SHNaIAiiYS5HrXtmduCHnA8vRv0F8IO9D4oiwRMEorwAUQD+8sYejCzqZhgXLZoyFCxDYsHT25QcceI+CyuHYu2mWvziBgmy2Wln4bAyYBkSsZgIXuDB0DRkJC1RBF54fY+mESPLbcOymaU40RICQ9OG3Go+f9gwP12cn41Jo/tq5uj55UUgANAMCQtN6XwHC0vh71u+0uXT1WI2Pz06a1iH/GpnIx2Nj4tRfy90DuJcRb4fUxtOk6Di606Jhftlo/siFI7hT+t36Xzfbpel4NDRVjjtLFLsLFiWhAggFhXgD0ZhtzIKj3WiHv22rBCCKOr4tWVdv/eOQrQEokh1skhxWPDhp9+hX490ZX95rQUA6k8G8b//3Kfk39wuq0Yf1c9tNo4vJh/ifHSUZwH4PP5vUfX/i3qZvv1DbTNMjslVclFVslTd3moGydLUEsKt114BhiERCHGG+yiwmiYEmAxDItQWw+I49vKMCYPQJcOJlkAE6/61D5NG98XYa3uhxc/hgZXbFeJko1XnBRUeNDYH8cianTrl99b5YLPQWP1GLZZMK4GvVaqof+XdA7h+SI6m4mnlPz6Hzx/GggoPYNVXHMr3nvgsBAhdVSJppXUTyeyJBXj9gwO448Z+F9Uq+aUkMd4YYpMkCbS2Rg0W4ijwJsdwUQE0TWgrROOwbRwnaKqD5k4qBABEo4KuKhMAIIqa80AUEeZ4TYcSLwAvv7sft93Qx/B+YkK7voGQVow7Cn4ZlkKTQYdjhotFKGoORZqE2Lg0xQx6AISoWxyYPbEADisNQRDQ2Nw+LuTuQYIgQBDAiZYQnlj/KXz+sCbJNndSoaQrCQs2K+eNMCSeX1DhwVsffYvq2no88pthhvfZuZMDy2eWKuNj7DW9cOu1V8AfjMLtYrFsZikafSGly08OUK0sjUZfCK+89zUmj+mvGWcMQ+K5l9u7w+ZNLsI/tx/EbTf0UfTcqIMycdHx/goPGIbEouc/TlZEf8/C8wLSXSyWTi9Rgql1m/fhthvycH1RLqwMZag/RrBCvCCCEEW44wgEjb4QHHZGmdcJAvif17TJEJoiNEU788uLwDAkguEYfP6IjhD7nR2HcOdN/RSdbGoJ4ZbhvdDs50x9JJm0PnF7MBLD+7sOS3MCRYCmCFTvOYZ+PdJxQ1GOLtEo62B6fOEyGJH4BeUqVxtDavy3pCQlKUm5ECIIoiYBMmPCICUBQpLAvXcUgmVItPg5LHpe29Wd5mLBRQVTX+KZjZ+h2c9JcWG6Ay1tHGK8gMpbB8DtssDfxsFm1dve6tp6TL6pHyiS1PnvzX4OgVAUQpuYUJjnAcMQGH/dFWgORPDa+weUaml5YUYN2RgIRdHgi+K19w+YFmVETHw1tY9/MXClXIxytt1p5rBq+liourYeU8cPhM8fQXYnOx6eUQpRFFF/MoidXx7H5Jvy8euxA/DtMb8y7x+uD6By3EDkdnaBpggEglHFjzDzeaO8pOPyb3m5btwyvBcefG67kpP5898+1fgbh+sD8Nb5YGVp0/yJCChF0ercwi9u6GPqR/uDHKb9bBCiMclv7vidJePE71vk/FJHiwAxQQQI4L47h8DlYEFA+j479zagZFAXpZOEpEgEQ1HMX9VuZ+dNLkLZqDw0NoeR5mIxsqgbbr32CrhTrHj8r7sUvUD8nIIgYk6ZVFxBqvTOTAdPtoYx76kPkeW24b7JQ0ARBAQImvjMYWNAU9ou3Bgv4JmNn+Gum/M71L2O7EBSLg4x+0Z2qzHMsj/IKdDgZrETRQLNfk6Xa5Nt3J039cPJ1rCm2EGe32WddrusCASj+ONL0kLLQ9NKcDKe+1XbRCPdrq6tR9moPMX3ONkagQgRn+xtwOB+2XhoVbXmWTPSrIhEeQUFwGyOMrO1XDTpE1yqIucylt9ditaAtplJLrRJRIywsCTaQjFD37dKlUfLctswr3wIOE7QdP09NK3ENOfw4pt7Nescsq7n5bphYWisenWXZjy9+8lhzLrtKnTJcKC1jdPkReZOKsTqN75E10wXLKRWHxPXRi52H+J8EGF9AuDOhG1lAGrOw7m/N1GTVk4Y0VtJbgHtRI5cQrux3N4KtLeuqiXLbUNLgMPj63bB3yYRWxvtIx/LCzD8HSKUJKzMIfHgs9vREuAULOhgiNcRJ5sRvbYGOM22JzfsVkiOj59oQ3VtPeqO+xV83S07DuP+Z7bjSGMAf3h2Oxavqoa3zqd6L9K9qnFt1e9G/SwkCR32LceLOuLMJzfsxsiiblj6QjW0AAlJOV2RYYXUkuW2geMERZ+AdpLTcEQwPYYiCTy6dicWr6pWcL8fXbsTfExUjK58rsfX7UI0KhiSoUY4AcvX7NCcZ/maHYBI4POvm3D3Y1sx/ZGtuPuxrfj866YO70fWtyy3/ZQ4yhwPw2fmeJjqKkVeTEVASTkTMfumEAmdTXxyw25YWBoUSWl0pLq2Hg+s3A6SILDg6W2Y99Q2xe49sV6ymbK+QyR0xMe8AEPi+WWrazCyqBsAmM4JhxsCmvHRFoop/J0Hj7aCiwqKfVZXqIa5GPzBKEYWdcPi5z/WjLPFz3+sXLfBF8Ija3eg9MrLNHqe+Awji7rpxs3Dq2tQfzKoe6aknf5+hONFPLByu8KLU11bj0fW7gBNkjjSGDDUHzNYISA+TwN4fN0uHG8K4oGV2xGLX0OuEJXtY1NzSPOdV6zZAYogUX8yqPOR5Dlb7U+0BDjlbzMfiaaMbfzxE214+b1vpDlhxTtY8PQ2ZLodeHLDboy/zti3iane12//6z3c/dhW3PvE+3hg5Xad/5aUpCQlKRdCWtraO/XkGO4Pz26HKIoQOB60KHVVP2zgM/O8hCrQkS+hxIXPfYSWAIf7n9mOx17ciQgnYO6f3gcfh/1US5bbBoIgFbQD+ZyPr9uFstF9wFDtMOXybyvW1ICExN33xPrdSvw576kPsfC57fDkd9Gcn6UljlZ5XjCy1x353xcTV8rFKIn+2un6Yubv3HguhkjgifW7UfnwFkxZtgXfHvPjz3/7FC/9y4u7H9uKb4/5serVLxRfVOborTvuR4TTxoJmPu+xpgDKRvdRtqnzF0Y5GbWfEeZipvmTY00BQz/l0bU7IYow9KNbAhxWrKlBSyCKRc9/fEo9Tcr3LzwvgDfIFSn6Lko5it89+QGmLX8H3x7zK3mwxauqMfNRKbfw7dFW3TkeWbsDjc1hrHr1CwRDvMKZWnfcr3Cry5LltuHYiTYlJpPhbwGY6mBLgFOu5W/j0ByI4JE1O3Xx2dHGgC7HMrKoG46daOtQ987WDiTlwonZN1Lrjyyyzsgxk1nsJOcYEnNwso1r9IWUBUD5t8fX7cKEEb2V85SN7qPxTQ6pcr9qm2im243NYcX3CISieGTNTlw3OEfnO8j5PyImnHIuT/oEP07heBF1x/3KAiDQnlOKoX0NgRIFEDyPSJxKxMj3Xa7KozX4QmiNr7Wo9znaZJ4f8db5NOscsq6rx4N8nic37IYnvwtivIDD9X6dbss+M0UaL0grz3UJ+BDnYzFyNoDNeXl5vwbgyMvL+xeAPgBGn4dzf29yOl19vAAJOpEkAUJa6Lq/woOHV9egpvYY5pcXaaom5Q67Bl8I2Z0c+Me/JbLJxC6U1z84gPnlRWhqbsOCCo8O1sWso6tbFxcemz0cvtYIKBXR5cat+3Hf5CFwWIyfw6hLINXJoqrCg5UbpSbOmtpjmDe5CI+sbX+ezukOuF1WzCkrQHqqDYIg4kSL1F0jUpQCrygRuhK6Z1k05WoQIBAjCE0FR0cEshfbKvmlJBaGwKIpV6NeBcmZ3ckOXpAqLtV8CBu37gcviGBZElXlHk2VRlW5B1ScMFV/jFkX3ZltF0RRN36krpP2MaauGqEBIA5/0eALAhR5isoi8/uxkHpdlUmKz7Rv5GygeZJy/oUGlG/qdllRPqY/MtKsEERjW0OShLmOiKKC3c8yNIKRKHytEWSmWdv3EUSwDKEZH9s/P4LhBZeb2jagneTeCJZAhnJ22Rlkum144Q+jEIsTbjtsDBbc5VESeDJsEgig0ReE02Zs+y/PcmL5zFJl/F6W4dToeaItNpsLzTrNknb6/IvZ/EhTBNZv/kqnPwsqPBDRzoOS5ZY4AeXvLEPSLJ1eAoaR+G8oE6LsNKdFgpFV2XyaImBljatXZX1JdbIa/+fybAcIEHhoegl4XsQ//r0fn3/dhNkTC0BRBO6/y4OHVdC788s92LTtG921M9OkOYihje+XFwCK0lesul0S7waf4HskJSlJScr3LdGY1reQ53bJ56Yg8MY+qmy3RFFE10xnh76E/He3Li78cc41sFsZCII0s3PRGOZOKsTj63YpUEyXZ7sgCsadK10zXQhzMcPfGJpAdieH4W+pThZAHMbxlgEgCWDWbVchO914f14Qz6v//Z8mZ1tZrvaP1e+cpU5v+zs7DmF+eRE2vO3FyKJuuDzboUEreGfHIdwyvBde3LQXcycVaO5x49b9mF/uMYSdu/eOwVg5b4SCvtHgkxCNLs8y1v1UJ4u5kwrBMCS21HyriyGlTtnPdcfldnYpfkTi88r34nZZkdvZhbmTCiECsBjkNBYkxKLJuM9cziY2TjxGMNF3qXNExNxJhfAHo6ipPYZUp4SgwTIUlk4vQYyX8lVWi7Hvmupk8WBlMUKRKBZPHQpfawQMQyr/FiGhvcjwcNcPycHK+SNhZSmsuHsYorwAkpByLmqUFFmfZJFjp9ONq1x2Bqvf+BJVFR4dIotsIzuyA0n54UStvySg6XQG5O62mCaGK87PRsXNAxAIcQhHYnjg18UItEk6efxEmwIRXlXuQTgaRZeMjv0CM11X0yksnV4KQESMF+EPcrhv8hA8urYdLe6e2wfj5Xf3Y05ZgQ79RdbtBl8I7hQLKscNhGiSZ+EFAZYO7ID8vgRBxNLpJRpkmqRPcOkLL4imuQNeAEAQoEgSFCXl4wACLEMhPbWdj0+dF3OnWJGX61bQABLPu37zV4ZzdpqLxfhre8JmoXTjqrOJr5rqZJHqtMDXGjbxmZ1gGBLhDuY3I7/rgV8Vn5Fef9855nPO4Xm93n15eXl9AdwM4A0AhwG84fV6fzhit9MQNWmlXHWh/tBZbhuONLaTA8uLd+Vj+uOxWcPh80ewbvM+LKwcikBI6vpTcyPUn2zDdYNz8O4nh1E5biDSU61Ic1pAkMCNQ7vjRHMQGW4H1m3ep8CruF0W2G00whHe8H7kqqEst4RRrt4nGhVwvK3N8DijLoFUhwUMQ8DnDyMv143rBufgb1u8yr10SrGCpUlM/dkAhCM8HlQR1FeVe7D+7X0oG90XmakWNLVEdLA3VpZCsz+ig7px2xlNwlJ9T/J3SJK+np2IggjOAJLTYWV0cLdzygpgYUgQBAG7jdKQsFKUxNtqdIzcxZH47agz3E5TBD7Y/Z0GDnRLzbe4ZVgvHVE9w5AgKQInzgAbXK5w1d0PSYLn+fMCMZAkjr94RAMfE+CUxTIzQvZGXxCd0x2Gv0EUlaSGmq9h3uQi5OW64fOH4fOHwDK0gvctzxGxmGBq2wCpYvr1Dw5g0ZShkt5RJJ57RUpaJMIfqCFIqyo8ONrgx0PTS0ASBNpCUQ2x+LzJRSjOz9Zh/9efbFPmjDllBbCyFHjVfJBI3mw2F5p2miXt9HkXM0JtiiTh84cVAmsZKx8EQJNa6GaGlkAeKIpEczCKh1WcgH/+26eoHDdQd43i/GyIgEan55QVSI4uF+twznbaWDy5YTe8dT4U52eDU3UDZLklKI+x1/bCS//ci1+PHYC//mtfnJdC4k0RIeD6ITma+eae2weDpkmsevULw/uVfTS3y6rR/bxcNyaP6YcFT29L2uWkJCUpF1wYut3/VNM0qKknEm1aot0y811kX0L+Wx0Xyj5KY7PE5/r7O4eAoUiFMzUaM44tjzT60TXTafhb/ckgYryxX+Oys/if+29Aa1tUEyPOLzf2R+TK/jOB9k9Ku5j7Bh37YokQVXLME+WMY6EoxyM9DkMvz9Gf7K3HbTfk4W9bvIZQr+/tOgxvnU/pIJHv0VvnQzQWU/wTGYrL5w9rciyLp5agOD8btwzvhfqTxrkM2c9Ic7EoH5MPu43WLEZGojHDTq6641Ln4rzJRfjw0++wdHqJwnssJ7Unj+mn0WGZEibx3QBIxn2nkLOJjY2OScxzAdL3FCGi6untyiLKxFF9sWKNMe/1kmnG50hzWtAciOCPL32i8Xf/9MoehQLihddqkeZiMXFUnsLRmnj+e24fjOV3xyHtCALPvfK5Bk5Ujp1ON67yB6Pw+SWY0t+WFYIgoORBlHfVkR1Iyg8iRvprxC/Z2BzGxq37MWPCIOR2ToG/jcPC57S6LPOayeMmEo1h5T8+VzjbzfwChjbWC7fLghkTBplyw//ul4OxdEYpTjSHEOZicNpp3H5jX5AEgeUzh4EXRBxp9GvgQmV7/NiLO7Gwcqh5zGrC8wsY29Gp4wcCIpI+wY9AKJIwzR2o5/45ZQWwW2gwDIkn1u/GrNuuiuutHhZUXog2Oq/PHwbLkno6lVF9cG1hjjYnUe6Bw8YgGDHOeaU6LDjZEkYkanb/AbAMpeTojOY3I78rI82OEydOb3nsQuSYqUWLFp3zSWbNmhWdNWtW7axZs7bPmjVrz6xZs7hzv7VzljQAvw2FOIgGvjFNEijK74Jd3gYcPNqKaT8biD0HmtAWjilK+dJbXpxoCaMtHMOeA034+Yg++K+/7sKIIblY9PxH+KquGQe+a8aAXhl4ZuNnqKuX4AjmTioESRJgaBJX9s7CK+/tR+8cN176114MycuG1UKhc7oTLE2isG82UpwsbBYaPn8YNguNrTsOo2xUHnZ5G0zvp8EXxLTxg/DpVw345U/74en/+wwHvmvB1PHa56gq98DKUtjtbcDlWS785hdXYtw1vRSH4gZPLgb0ykAwHMWAXhngogL++tY+vLntIEYMyUWDL4in/v6ZMgDawjHs9jbg5yOkdt3rBkvvosEXwomWMN7bdQQ1tccxYkguFj7/kea4Xd4GjCjKBQ0o716+z9kTC/DWRwcx8+dXwm6lQYKAaPThvmdxOCyLL/hFjaVD/ZXF4bAgGJSGGw9S+RZA+zsfWZSrdF3I2/ccaMLIolzwgohVr+5BRpodgiiiqTmMf/x7Pwr7ZuOv/9qHn4/og5tKumNArwy89dFBFOd3RlH/zppvt6DCgxQHg4K8LOxWbZ9fXoQUO4vigZ1xy7CeuGVYD9xU2gPDC7vCwtDI7exCgy+IGC+ipS2CQb0zwbIkNm07iIFXZMFupWG3sthScwi9cjphzZu1mvt57YMDKOibDZokIJAEeAAERYImJT6poVdehqJ+2bjx6u4YduVlGDOsB+wsCYEXIYoSXxYJgBDFs9I1gSSUCkD1+x5RlAviPOuu+jufYr9LVn/PRCiK1H1znhcgQPtNjjcFdba9qsKDf/x7P1rbOEwclafR2QUVHqzd9CVGFnXDMxu1du/Trxpw1y39UTLoMvC8qASP8u97DjRhzLAe6N8zXXO9BRUe0DSBw8cDoEgCk2/qj+de/gKbth/EqOJc9M5xo/TKyxDjBeT3zEDDySDq6v3Yc6AJ8yYXoTAvC4EQhz7dOuFESxgUSWLpC9Vwu6yYNn4Qri28HG3hKEYU5YAigFm3FWDs8B4YVdwNu/Y14IsDJ5T7G13cDQLk90bBwpAY3K99PHPRGGZMuFL3TrLcNhTkZeJ4UxBUvJLfwVDn3Ub/p+hvR6L2TTQ21k5jeMHl6NejEyIcj39uP4j+PdNBUQR8rRFYWRosSyLTbQdNkYgJAEESWP1mLQgQmD2xAH/b4sXPR/SBO8WCn1zdHU3NQRxpbFOSGQ/9T7VOp68fnAObhcaVfTI1eq3M2ROuxEtv7cVnXzdJAeUdg7F41cc6n+HGoT1Q0CcToUgMWZ0cqDvegp6XpyLFLvk/yxLmqM+/bkR+z3Rs2v4tGk4Gdb7N7IkF+OtbXrxdcwi/u2MwqmuPoy0cw29+caXOZ5HmwW7o8GN2ID9W+wtIz7Zus/e0T3z7T/qed50/W/k+xt+FlIvt/i9V/b2Y3iEAuFPtGNgrA7u8Unwm+xL33F4ImiLw05IeSHGwGDEkF40+yQYn2i0j32V+eRFe/+CAxmb/7z/3KXHhp181YNrPBsLCUCgZdBkYisT/vrVX8WeMYkTZjjI0gfIx/XFTSXf8tKQHumbacceN/eCyM3A5WIzy5KL+ZJty7fnlRfjvv3+GnM4uDSyTbO/nThqMHV8e18xhss8g+99Z6U4EA5Hz5kf82PXXzDcw8sUSfWQSgMgLYCgSAoCoIGq2J8ZCPAg8/8oXuCLHjbc+Oohx11wBlibh6d8FIIC8bp0UX3WXtwEzJ1yFMaU9wFooFCfEiMMLuoIiSTy+bhfe+vgQKJLQ5TSamqUzN/OcAAAgAElEQVQ53tcaRprTgtIru+LTrxKe087gisvTcGWfDNAkCYjAAyu349X3v8EHnx7B4eMB3ZiZPbEA6zd7UVfvx6dfNeAnV/fA/3vpE/x0aHf8v5c+QV2939RnuH5IDoiEd3MmcZ9RnNKRrl9E+gucgQ4nyum+I7XuGx1DUwTu/Gl/nb6v3fQlvqprBgCUj8lXksS/LSvAkxs+1Zyj0RfEzAlXas4xv7wITjuDJav0/u4vf9oPb318SPl3z65peP2DA/j5iD64cWh3DTSc7Kf2yXWjtY1Dp1QLruydiWFXdYWnf2dkpFowuF9npDotKOrfWYmrivOzce/tg+G0sxjcN0uJq+ZOKoTNQuHGod1BECRsFhqhSAyhCI+X3/0aBX2zQYhih3bAZmN/sPnwYtRfABfkfRjp754DTbjrlv54b9cRqUinfAggAlcP7IKsTlKc9uBz7Tm7mT+/EsFwFMOv6ori/C44eLQVb9ccis/HTuT3TMeGt72YfFN/jY2bN7kIu/Ydx8DemSgZdJkufrfbaCyMX2fa+EG63MZn+6VY6/6V2/HeriPYubceNxTlQhBEVD29DUX52bCyNLbU1Gmu+dJbe/FVXTO4aAy/GjtAd12HlcZf3qhVxqo6FhMAQxtxQ1EuCF743vK/Hc23F6P+/hA5iHMR9f3QJAHWIHcwp6wA7+8+grLRfXFt4eWIRHmQJIFwRMCAXhlwu6T5v3duGv47YV7ec6AJ0382CHYbDU//zvji6/bz3nP7YLhdVlQ9vU3xCY40tmHogC6GPuooT7d47jpbo7v33D4YTjuDQCgKl8OCwX2zNPc/d1Ih3tv1HbpmOjGyKBdX5LiVfDTLkOBBKjk2miIgxNp9CKfz9L/X2eSYz1SHz7kTMC8vLxfAQgAFAJzq37xebx/Dgy4CkVdo/9+caxCOxMAyJFbMHIaYIIAkCTz24ic6cuB2uMp2GBdvnQ8vbmonnIzxAhiG1LRWzy8vAk2TaPZzEAnpw51oDSEc4XUVRaFwDAV9s/DersMKibURWbFM3r387mHg4/ChDb4Q3v3kMBZPLUFrm7QKvv7tffjFDX3w+zuHgCJJDSTHnLICpDhZkAShI5l9cdNe8IJwShiwM4eHlIK/REJQQMSNQ7vjmf/73HRVPSkdi9k7N4OXjfEiKAq6qs7ZEwtAkoThdl4UQdPaTj2aJhGJCti07aCms+/ld/fjlz/ti2hUxENrPlKNBw9IB6EjN76/wgPSTmB4weVYvOojzfghSOP7JEgCvoC+0ijdxSIWSzj/XR4I57Fz6VIgff0xSkfVMZGEb+Kt82FtnBCYJKTKJJYiMPXWgeAFEXYrrdhQgiDAxXhU19bj1muvMPy22Z0cUhX/rQMMf49wPNa+uRezbrsKGWkSz9kzGyWbNr/cg1AkqqkMlB1defFebX+9dT4EQhyqnt6mPOMLr9XiV2PzDSukls4owTWFObqxU38yiC07DsPtssLnj+g6tDJTLZpKJZYiFNssQtRBdKS5WBC8mLTN35MYVY+xFKF03Kt1PiPNiqbmMJ7Z+DkGXZGBW6/rhQYVf1+W24bf/3IICBKI8rzOhs6bXIQptw7EN0da4Q9yhjrd1BzCK+99janjB+Kh6SUQ4pBD4UgMI4u6gaQIjCzqhrtuzkddfQAijCFpeF7AH1SV9g9WXo1Gn0RiP3dSoeExMlyS7GdVjhuI7l1c8PkjeOG1WmUcCSLw27JCpKdaQRDG0KFRXoCVIpN6m5SkJOV7FZIkNDZc7ghMdVrQEojouuamjh8ILioY+i5Lp5dAEIGTLWGIEPGrWwbg12MHGMapbpcVDiujgzt0WGklRlR3kme6bVi/2YucbCf69UjXdE9XVXjwr48O4uX3vlHixfIx/fHrcQNRf6INRPwenXbW0N4GQpzmOgySlf3nKmYdfYnv1cxHTnexp42owgsiqmvr4bJLnVBq5InZEwvwzo5DuPOmfoqvGhPa5/c//+46DVT+8y/vAQAsmzkMsfh1EnMazX4O/raoErONv7anrqL/luG98PoHB1A2ui8EiGhp4wzHzJJpJYAooq4+oOleUecuRADL7x4mFYXCxGcxiOVON+77T0aKOZvYOPGYvFw3BvfrrEPLoilC02Esf8+8XDcy3Xbddatr63HHT/tJKFWZThAA/ue1PaYxnhpW0WVnYGUpxW/uyE+V0K84XXy16tUvUF1bj+L8bCydXgKKItEa4DS+cFW5By4HgzDHY8mqjzXbV7/xJXz+sJTvINp5vs8HmlFSzp+Y6XzXTBeer7oBLEPCF4jgz3/7VJMLkyFD83LdsFkYQ/hNX6vEX7l46lDFJqvzvH/b4sWkn/QFw5BY++aXynhJdVgQFXiNb2FK+WGhNX83NocUOF6OE7DnQJPSQW1laVhYUhmHh+sDgChq8oIxQcDTGz/DLcN7odnPaWywBKV7+jY3KZem8LwAB0PBnunAsnhOiSKBdZu9uG5wjiYXMb/cg06pDP70tLRYV5yfjV+NNc6zdUq14v/e+QqH6wOKn5GeakVrkEP9yaDuGLN1jGAkCn9QxIa392nGDMfzCEZieGL9btw3eQg6p9uxbGYpuKiAEy0hvLOjTnf/cj66yc9pYJyrKjzIcLGIcmcObHshcszkqXc5pfwd0mLigwBmJPx3UQvPS1xpdJysHbxE2E4AhpAS7XCVWjJtb50Px5oCeHzdLoQivLIACMjk6jtwojkkkWCLBOpPBtEa4HTE1//1109QfzIIfxuHfj0ycLheIrE0IyuuO+4HRFFzP578Llj43HbMe+pDLFtdg+raejy6difsFkZZAJSv98T63aAI0pAUs2x0HxBEeyvv6b4L+Xfz7e1OjEwICogKMbK3zocGX5Lk+GzkrL6FSOgIWJ/csBs8LxpuFwVgyaqPNWTWS1Z9DIjA51834e7HJDLuux/bis+/bgLPQ6d3K9bUIBoVFN4/efvDq2sQjYo6EtYVa3ZAFGB6P0bkyxwPTfdjgy+Eh/9yfnXqUiB9/TFKR6ToRt/E5w/jWJPUhUfwAqIcrxBNc6EoIIp4YOV2TFm2BUca2jT2TS1Zbhu+awjAW+eD3cIY/k6SEsRyKMJj4XMfaWzaijU1aAvFNHAaEAnFYZCf5ckNuzFhRG9kubUE88tW12DCiN5oCXCGxMmxmKg714o1OzD+OokU3IgAefnqGkSiooZ4W34/JClVWcuOvnwPfHIB8HuXRDJ0jhcNdT6igt0cf11vNMbndfV+j/3vTvjbODAUpdOZR9buUM7ha42YzvXVtfWo+u9tONoYwIxHtmLGI1sx90/vY9WrX+B4UxCrXv0CdfUBLFtdA5IwtotHmwKaazf6ggqJvdl4U8Mleet8WPXqFzjSGIDTxmrG0bGmAAKhKP7w7HZTu3y0KZD0KZKSlKRcEFFinLg9mjCiNxp9QZ19XrFGssFCHEZRLT5/GARB4MFnt2PBM9tw758+wLQV7+CBldshivo41WiOf3LDbrAMrZzbW+fDstU1eHzdLhyu98OT3wXjr+ut87uXr67BDZ7uyt9PrN+N+pNBfHu0FX/+26dobJau7bAa+0K+1ohyHQJE0mc4T5LoGxi9VzMfmePNfedEkfXWk99FpxtPbtiNkUXdNL4qqSq+ORb3Caqe3oZlq2vgrfPB5w9DFEUcawrgWFPglLrbr0cGHlipzWXI112+ugZNvpChH+7zh1F33I+6+gBWvfqFZqFR7dsfbQwAIkCJAihSP/bMYrnTjfs6ilN+7HI2sXHiMRNG9MaTG3ajurYey1bXYN5TH8btnnY/+XtOGNEbgiAaX5cgsXhVNY42BpSYxsznlOGW5X+zDK34zR35qRaWNoyvRhZ1AyAtRj6wcjsEQcTyhJzI8jWSL79EhaAhb58wond7vkNVw3w6diApF07MdV6yMTFe0KCdyDmBstFSr8yEEb11uTI5F+sPRtHgC+H4iTbFJifmeZf9pQZH6gOa8fLgc9vR5AuBVuUAzXTYbmE0f7cEOGVffzCKK3LS8MDK7bj3ifdx92Nb8c2RVuU8E0b0xvI1OzR5wUfX7tTMEepzH20KgDxFjjgpPw7heQECx4PkBdCiZKNKr7xMl4uQ88LyturaehxtDBjqyLGmADz5XTS+bDQm4tG1O9ES4HTHmK1jWOM2O3HMnGgOwRZfOHx07U6EIwIO1/ux6PmP8IdnP4Inv4tpPjoxB7c87nedjVyIHPP5WEzsC2Co1+v90cxARmSOMifgggoPLAyhI+3NdNtw7x2DAeirG9wuK7p1SYEgiOAFifD9RIsx2aTTzsJlZwFRxP9t3Y/FU0sQ43ksm1mKlgCHEy0S18MvbuiDUDgGQQRIEgrRrFmVB0UaV11QFKGspAuiCEGQyJAz3TbwgoCuWU4smVqClrZ2AvCfXd8b//j3flRVeMAyJJbPLEUkKqAtHEUgyKFrlhO0Cak2SxGIJgyIZEfV+RGKgo6AfX65BxYLqdPXqgppeyjMw+2yaqo2N27dD14QjLeLoun2h6YPBc9Dwy3ICwIGXZGB8df11nQIdtRBanY/hvub3U8Hnag0zpxs1Wh/QG8nTkVm/H2TvP4nSEf2wkISuL/CoywwyxXsbpcFLEWAAwkQAEQCgiCAIkmAaD/fxq37ce8dg/GPf+/XkXf/euwACIKIlfNHwsKSmt/lscYyJB6eUQqeF1A5biA2bt2vqYDL6iTpiFzVqr62+llSnayOYL7B104a/9tJBZrj8nLdYBkScycVKmNAXnykaQLF+dm4LMNpOFYEUQSV8I4pitR1Varfc9Iunx85XXtgVCU9YURv8EK7nlGkecWblaURjEQNf4vxkrV6Z8ch3Twh66B8va6ZTiybUQoRkp+Q4rBg88cHsaDCAxDS2BBFEYunlmD1G+0dpPPLPXj2H59rrq2+141b9+vG04K4f5Hltinb7ps8BKFwDAwt+Rd7DzbhJ0N7wB/k4LKzcLusACEa+m8vbtqLe+8oTOpuUpKSlO9VBEGESJHxuZLAoilXwx+MKpX1amnwhdAWjoImCQOfoghEQsW8bItFUcSymaUIhKJoOBnCOzsO4bIMp+G+DE3E+d1CeOG19s6SFzftReWtA0DTxt3TFKn928rSsFsJ3HP7YGypOYSFlcWwsCTmlxcpC0XF+dm46+YB8Ic4LKwsRnYnOywMcdaJkKScuZj7yKeOi0SKQIwXIQjAspmliMWMj5FzDbKvSqjyUjW1xzSdKjLHdpTnkZ5mA0kAc8oK8Nr7BzCyqBtSnSzSU61KVwxg3rHisjMYdEUGcrNTwAsCls0sxZsffqN0rFZVeLB+8z40+znlGjcP64mMVBsYhkRrG4f7Jg/B8y/vwe9+ORgUjHM+Sr4ClIIQRVMEKJN9E+O+/+S8htn7NIuNKYoEDwIPTSvB0aYA1m/+CqlO4w5j2b9bt3kfxl7TC5lpEncgAERjvGJD3S4rykb3Qed0ByiSwA1FOcju5OjQ55xXPgTBUAyP/GYYUh0WfPDpd8jNdnZ4zJyyAjjtDGjK2IbKnYXy36JorBfUKY6X8x2WC4xmkcxZnJ6Y6TwIAgRLIRY1/u6d0x3IcttM7V3ndAc2bt2v0HIsjaOxGOUXrCytzPlyjJ/mlPIMst5u3Lof88qHoDXAKV17WW47rCyJvFw3fP4w5k4qxDs76nD9kBxUlXuw/u19qLx1oJI7iPEC0tOs8e4uqRFl0BUZ2LLjsObe1XMEAE0sdt/kwWecP0vKpScMS4HjpVwwRZKwMITOTwXa/WC1fH24GQ/PkHwQhiEVFCIRAMuQeG7BDRAFESRFIByJocEXwsat+zF3UqEC/5nltiHLbddtmz2xwHSOtrK0cq0Gn9QxuO2zowoyQarTovFV5P3kfLTbZdWMQRDGCHSnsq1nOo+ejZwPX+R1ANcC+Pd5ONdFITwvIM3FYsaEQXDaWdgtDLhoDDcO7Y40FwsuHEOGi40TpkqK/caHB/DlQR9mTyxQklaAFIRN/dkAHGtqwxPrJcdk7qRCU7JMl53Fg89ux5yyAlw/JAer39iDW4b3Urh6stw2LLjLAwBKW/nCymK89dG3qBw3EO4Uq+F5aRVRvXo7AWDVq18oZLHqVvV55UMg8MBj/7tTc+00pwVTbx0EXhTw1N8/1UGMVVV48D+b96DZz2HGhEHonO5AU3MI6zbvw6TRfXVwGGdLdp4UvTAMgUVThioLcbwogOMEHG3wa/R137cnkOZkwdKkjiR4TlkBrBbaeDtLGW63sRSamqNKhVuWW4KyyEiz4qbSHjqIQgtDGespZXw/rMn+ZvdPU8b6TpEEKBBnBNPSEazLmUBy/CfDw5xP6che8LyANDsTh3YWQZJQAvcT/nZIocREW3F+Nqpr65GT7YTDRuPGod3hsNJYNGUoLCyJ1rYoHli5XfPdPtl7XAP7uWnbN7h+SI4hnIe3zofi/GxAlOytYmMnt19b/SwZqTYdwXyW24YYL0hV1fGurQafBCNy5039NFBeCoyIPwyeFzFpdF9YLcZjlyQBhqYUuAJZT33+cNIuf49yJvZArfPy99ZDOJOmfkWYiyEaFA1/s1lovPCHURAFqXBjxd3S2DnS4FcWoROvN6esAC+8VhuHuS2Cy8mg8WQYy/6yXaPbZaPy0NgcRjQW01X+q+9VhvucMWEQOndygCQJRGI81qigbTqlWnGyJaz4KMX52Sgb3VcDrTenrAAUSSj+m5Wl4Q9GlbGQ1N2kJCUp36dQFIlDx1ux9IVqrV1PseJIg9/QBgeCHKIxEXsPNmkWTza87cWUWwee0va/s+MQJo7KQyTKd7jvnLICzJ54FZoDEax9U7KJqQ4WMd54blBPQ/I8kts5BSxN4uZhPZW5qzg/Gw9NKwFNk/C3cRroyHtul4pjHQyV9HMvkJj7yB3HRW1RHr6TEY2PuGRaieExcpeI08Zi7aZalI/JByDlPK4bnIOFz2n9ZbuVAkmSaA2E8fK7+3HbqD6YNLqvxv+ZU1agwOXL5zfKZdxU2gNVT3+oyTmMvbYXvqsP4P1dhzH11kHgojysVsrwGnYLjTQXC1EUpQUoEwj2k37OsKAw3cWeGpL1PzivcSZwlWZ+cJrLYvj+IALpLhblY/rD549o4rL55VJcNqesADYV+pUc5/mD7XGT2ufM7uRAmIuBJAhNDqyqwoOWNuNjumY6IYhAMBwFTZE4Eu9aMRonshTnZyvbE/djTHJ0MnxultuGWExAMMpfsJxBMmdx+qLWeTWVRrOfw+Qx/cCp5mZZstw2NDWHMGPCIGSm2Qx/b23jcN3gHLz+wQFDShw5v5DltoEk9bHa/PIikCSB1z84gMpxA5GZZoUIaKhy5k4qxNpNX2PazwYhGouBZWjcWNIdj67dCbfLil+N7Y+2YFSTu5hfXoQ1b3ypFHpWVUh5aXkhUD1HpDklyhF1LAYRSUjbH7kwLGUIj5nqYE39YFluKMrBkP6dcf8z25T1icTclYWl8PctX2HsNb3gdlmUXNrqN77EvXcMhttlgSgCBEHglfe+1hTAv/7BAUy+Kd80XyLE266z3DZwUR7XD8nRzDVqX0Xe70iDH1N/NgAcJ2gWHI1s5unY1gsB+0ycK/lmXl5eJwDbARwAUK/+zev1/uqcTn5u0h3AwRMnAsqKrpFkZrrQ2OjXbT+jBF18X7kyyW5lFKV/5DelcNkt8Ac5qXoixYZINAa7lUFrMIJH1rQvsMkVFy47i7LReTjREobTxioLKLJkuW24784hsFkYWFipVDPGSzAbXx9uxvCrLtd07t0yvBc+2Xsc1xbmaJ5nfnkRNrztRXVtPRbEscvV11lYWaxMFOprL5tZCgIEqp7+EJXjBuqOy3LbUDluIJatrtH9neW2YfnMUhBnOBgulGRmui6WXvTuOFP9pSjMjwdGsmS5bXh01vD/z963x0dRXv1/57Kz9yQbcgGUAKIEjaEkkI0kwQsgrYKC0kKolcQaAkSBWl+FRC2gQEBrbbWCAlagtYK/l3qnLQr1rVwKKFoEcUFFoAK5bpLd7HUuvz9mZzKzMxMCBo10z+fjRzKXZ559nvOc55zznHO+CEVZUAQJQRBAEAQ4gYfFJEY7LHhW+07tPSWoNri++tUDGFPQXxam2/YdR+VtQw2v67Wz/J4SnG5q1wj1S9MdeO3/PsdY9wA5c/DdvV/h1msHocWv3UxS7Azm67T/xJxRGvwziadYANWxAxPVb4vjS4kEijyn543om7RjJKd0nvv+8q8BxUfLMBShi2sSj1WnfI8xUTh2qhWZqXbUNbdj579PwZ3TB64kM+wWUY6eOONDn14O2YElkZEcrK0qAScIeCGG+aAnQyXZt/b1T7BkVpGsRCjvK69Liv2Hh89gjLs/WI6Dw8qI0cMkCRND4ouTLUhzWcGxYolIIxk8e/JQJDvM2LLzGA583ojl95TorvVflOYjM9UGIpYRJvGpHu6gtIYAXJDo0IuRf42oK/JA4mGeF3FrXnjjIMYU9Ned7/vvGA67lUarotS40qFy6ItG9E5zYMWGfSrjjyQBl1N0TtEmAmxUAC8IEASh0+8p9/RlVcXyIbTymTlThuGZVz7GI3cXgiQJ1DcHkOIww8zQoClxqpWYk/dNy5cxUGZPHorFa/fI7cWvQ731VpiTicpJQ8HzvDxeSjzL89UpLlb5C4i/7Zb7X+9yw28+OfG8eb676Zusv55APa3/31f+7UljaCTX50wZBquFBkWSaPGF5Cj8tBQrLGYa7cEobGZapSNkZ7kw8/ZckATR6V4vXVfqvZ3pBVFWwNrXP5FtQKeNwfiSy1T69cIZ1yDK8giGWAgCkJFqBccLMFEkKJLAA8+8r2l76exiPLRK+9tnTx6KrEynRs/tbO7OJwMlwb8inQsm4KIZ14i2IATUNwfx2437NXtq/EGaVBlJidGXZDeJOnSaQw7MkUj8zkiYTZR8ePfYzJHygQsg8nrpuMHonWpHY2sQTrsJEAiNzyLZYda1KR+bWQSKIhCJ8giEorCaadR7A7q6+6OVRTCbSTS3hpHiMIOGTol7A3vaiJe7Oged6SA9iH+Bc+Bh4LzXK+qb2w304BKEoywWrfmXZvxYACfqfIZze7rJr3vv/juGg6ZIjQ7MMCSS7WZdHfb+O4YjyvKaqjAAAZIU8V93HziFAX2TNXisUlaqpIMumVWEF944qDnMkSpptAdZle4+v2wEku1mcJwAggD8wSg2veNB5aShYnZJrKINqRjv7pQn5+qz6In8C+Bb1w+U4ybZKXr2tGTr//CagYhwHKJRXsWb1eVu2K0meNuMfcELK0bi3b1f4UcjB4KiCF0efmxmEYJhFsvW7ZVtMj0dYtu+45gxKRfRKA+TicI/PjiB/n2SkdXbqSvT4/28i2aMRNXj21V7xNQbs5GaZMaDz+zoshy8kNTZ+uiJ/HshfRAXgpT9EaiO/V4iySftbQurkkZ++dPhSLKbZHn//IIxMm6qkW9t9uSh6JPmQHNrCGkuKwRBwKkGMZO8dNxg/G33VxhT0B+uJDMcVkZVnUhae/HnIlKgj8lEoaE5iN7pNvCcgGiUR2NrEH/662fyoftjM4vQ2BoEAQKuJDMaW4JgTCSefGm/KhswFGExsG8S+Agnj093+ZV1xv+ceLg7MgFfhJiZeBhA8CzPfm/oXE5gOY5HLycjK8oup0V0rKbZ4PNHsGjNbvkkWxkhuaCsQG6fIAj4YyWtbi4eKAvyFfeWqJgE6Cgb+uKbWmWiutyNF99SO75SnAx6JQ+EAF6Vzu20M3IGil4qulFpsQZvUHToeYOdluzQ+7veqy2HoRxrEAQgCImIkPMgzqDMBEkSCIY41K7brVYuLCZEDUq9cJxxKRO9aCSCgO51jtNvn+UEbHj7sCoyY8Pbh1FdPgKj8i7VZA4SBDqybwUBFEGAoYBgVL/9cCxaTm/9coR+2Q2jMi3dVdblv7k8zPlSZ86M5VUliHI8TjWKBp/XF1IdUknvuZwWzLp9qCryTRkAIfHq33Z/hZ/fogUidtj0y9I0tgbBcjx+MnYwWnwRQ1mY1duJxZVFcl3/+Pu+QBSLK4tAkQS+Ot0mZ1/dVDIQkXZOleFXXe7GqQY/LAwNV5JZLA0i6Jd67pvuwP9794gcmReJcrrPEYRYqkHiQYlP671BsVxYbI2mu6yQpHpPCdr4PtPZ5IER79ss+vtysp1BeziKjFQblt9TgiirXhvV5WJmtlIHMJlIGcO4MCcTU2/Mlsu6Sd8TnWmdlykyKhmW4bJhaVUxtuz4Eu6cPvjb7q9wy6hBqFV8Y/70Akz74RBYGBr/u+2IHFlnYdRSMV4fiV9v2Vku3DJqkCpDoKbcjcrbcgEBCZ0iQQlK0AUnI7lOUyQ++qweBVf1VukiNeVubImVM3xy3rWqQ5E7b74Sy9fvg8tpkbNPjGRxvTeIUITDy1s/Q8XEXGT1duo+a2Fo9E0X9wECkG3AKWOvEMs/cgJIgkCLP4Rf/+lDlXNEyh6sKXfrl0My0PctDH1Oem5PCgz9PpKR/yIaUdtFjIlEqy+CRWt2oLqsAK4ks2b+9hyqw89uuhJzpgwTdUCKAkEClbcNBSCgbHwOdv77a+Reno5Vmw/gvmn5hvwfjfFHdpYLaSlWDa/HZ63+44OTmD15KPqmOUAQYlDPpOsu120fgEpXril3d1p2rKmNVfOzgrcoikToG/LytxHF31Pom6xXI3nZ2BqExUTh8TkliER5jQ1v5J8CAUM5mWRnsO6tQ3J1CZfTDE4Q0NwaAoSIvl7tMON/tx2Rs6gIktBkhAzLzsC7e47j02Ne2TENAH/ffQxjCvrj9huugMtpRjDMYs+hOrT4Iirfh9PBoL4poPKJ8IIAEgTqmgOqg8H7puUjzLJobg0bBmh2FyV8FudHynGT9uZ4ezotxQqaJuCw9pP9woU5mVhcWQR/MILUJAva/GE8vKpzX5oTXN8AACAASURBVHAowuLa/H545PldhrIXAP5v/0nMK81Dr2Sr7jOuJDNuGTVI42/YuPUzQ5kb7+elKRJP3XcdnDYT/MEoxhT0x6Z3PJg2bojuOk7QxU1G5cfrmgP4wxuHVJUCX3zzEGb/eCjmTBmGvml2sJx2DcW3Y2FoeNtC+O3G/Sr9tLrMjSQnoxts8bObrgRJkOAFHjcVDcRfdx0TK3rFyoUDwJrXxMB+PZ+IFKjsOeFFIFa+VAqcEn2Lbgy9PA3XD+/XqXzuKbKVPPsjZ6XRAK7xeDz/4/F4HlH+1w1tf2sk1sInwREEBIoCyVBgQYAXAMZEAQSBcAzozMSI93mKBEsQAE1BIElYzWL5uJ/dNATr3/4U0QgvK0WTR1+hCwh/qrEdXzf4IQhAksOMn4wZrALh1gO5zHCJZTyn35yDDw+fQcXEXNRWFaNiYi42bv1MBiKu98aAqDnA2xYCTVIyWHcwzOE/dT65bT2wWCMwTVeSBQ4rg8KczLOCK0t/84JYx33FvSWgSBIkI46jEBtDFqKTLsNlk0GOpTlhCQICRYKiuoNdL14iCQK3XXcZnn1gNJ6bPxrPPjAat113GaJRXh+sNMKDJIyBRwtzMlFT7kZtVTFqyt0ozMkERRCGgKhSuQGJF998/wu5/Ixe+ylORnU9xcmA46ABoV++fh84DuA5AYAQA0UVwHNCp8CpRsDZnb2jx3PdBc76bYC8XmzEAhqw9WXr9iLCCRAg4JHnd2Hx2j0y/t2ydXvBxt6TnGH3/TRfA8S+fP0+lZx8etNHGFPQH6catUDEdotJd96kjCtfewSTR19hKAshAAtX70JTa0j3flNrCOveOgiKJOC0mTB59BUoG38V2KigWQu16/bimqv7IsVhhommQNMkTJT+GiMAuHP6IDvLJa5disSKe0tQU+5GdpZLfq5XskXERqRJCBQFStGeEniZjuF2RA3mhD3n2f3vprPJIRaE7jgbylSKhN3CoK09DEGAZm1IMn/bvuPwBaLolWyFrz0i4ugBGFPQX8NvL2/9DKRBP6U9PsPVUW48/plTjX40NAdx5cA0PPXyfhkoXvmNFRv2wWam8eKbB3Fd/qXyu6GImqPi9ZH49TZ59BWatpet2wsIUMn/BCUoQQnqDuqqvliYk4kUpxnXD++nK9PHl1yGFx4eixSHGc/NH43HZo7E9PEdhyKeE14sXrtHLjmnpAyXWDJO0iX2HKrD5u1HQVP6clsqe7ds3V60+MPyM1FWPESZtXwbvjrdJh8ASv383caPMHn0FXKfS8cN1rTN8TD85rnouUZ6X0LH6DoZ2T/K6xwnyOUuGRONM03tuvNnM5vQK1nUeRtbA2BZHhCA6md3ourx7ejfJxm/+bPILxIvxrdBUwRMNIlVD47GA3cOl0vaA+LeHW8/vvHPL/DjMYMRZQU0tYbw8HO7sOdQnaGefarRLx8wVkzMRTjKybAQ8c9aGFrDz0reYgFdW+BcedloDi42Opf1qpSZXl8ItIE+2+qP4M9bPwPHad+nFKXv4987ccaHr077dO+daWqH08bgknSHXAaZoUj8buNHsDC07js8L+C268WMDjNDY9mLYmBpTbkb903LRyTKwR+IYELJIFRMuhqMiQIBoNUfxpUD00QMtt/vwMPP7QJNibAmkl1VvXIn1r7+CcADKQ4zSscNxubtR1G9cifagyxa/GGN3/Cpl/fDTNMw0SQqJuYiO8t1weRjwmfRORn5KJXjppRXSnuaJAhwXIeforaqGGMK+mPdWwfhD0TA8wJqYwFAK+4tQa9ki6Esk/x7RrKR4wUU/eASpDgsOG0g12xmk0YGS/7krvp5KZJAiy+EmpU78Yvf/B82bz+KMQX9EY5y4DgRxy3+ADDh5714iSJJXT9yqz8i67QLV+8GIPp/XUlm9E1zQIB6/zXiv1CElYPrf7fxI1RMuhoVE3MRYTlAAEw0gfum5ctBa8vW7YWFofHqe0fR1h7B1w1+uHP6IBjmsPkfRxGJ8mhqDWFMQX9kZ7l0fSJPvbwfk0dfgQyXFYyJ1jnX2Yvbb9D3RSjlc0+Rrd2x2g4A6NUN7XxnJEUxVa/ciRm127D6tQNobgujeuUO/PpPH+LrBj+qn92BytptWLByB5p8ETS1iYKusnYbFjy7A40tQfzhzYOoenw7nnnlY8y6PRck2RGFYXSSnZZixarNB1BZ+y6qn90hX5dIAiKWmEWKPPrNn/djw5ZDGJV3qXywt/b1T3DLqEFIT7GovtHgDeC3G/cjEIriwekjkOESQWg3bj0it715+1HMK1V/J90lpugqr/3Pz4bjqT/vx+K1uzH1xmwcPtao6V91uRvb9h2X/55fNgIkQWDt659g/u93oHrlDjS1hdDki6A6NobVK3fCG4jKac/KOVHeT2wQxmQxk7g2vx8Wr92NWSu2Y/Ha3bg2vx94gwxBXhBkwGDl/M2dmgeLmUTpuCEq3iodNwQ0rZ9FB1LMBIznRYYhUR0DNJbary53w2zQfmfA9RI/3L3kHZkfGIqQAZOl9iXgVCOSwFbj32EoQpfnzucb5/LdRESdMXUWLdPZPRAd/OhtC3Upik2SidVl6jliTKTuGtm8/agcjZTsYLB5+1GNvJxXmgeO5+FyWnRl+dypedh76LScwSSthdRkC0hCP8MvyvGY/fh2VD+7A15fCG3tYd3vtgXEctCVt1+N0nFD8NCqnZj/+x1Y+/onuPPmK1GYk4l5pXl48qX9qF65A6caA1j9mpg1Ft/eg9NH4ExTAAtW7kBDLKpRd9wT1GU6mxxqbNUfZxCC5r15pXn49Z8+xItvHgQBwlCOghAw9cZsrH39E1Q9vh2rNh/AnTdfiewsl2Fm3drXP9HVQTZvPyr/e+NWj0Z/mDs1Dxu3HoErySxnGBjpQbwA3HHTleiTZpeNhnSXVdVeRqoND/xshHxt277jWFBWIP+d7NDP2E3wZYISlKDuJiMbhaEIPPzzQlkuSdHEC1fvRos/rCujgmEWpxsDqF65A7NWiDakzWySAzQk2vnvUxp9ekFZAVKSzJhfNgIMQ2JsQT/MuO1qCADum5avkdvpLhsIQvzbaWfkZwLhjkoFZ6vwUu8Nom+aQ9OPXQe+1v1mZi9bt1XNSFD3kXKcA+GoyicAdNhrq187gKrHt+PJlz4Eywl48qX9Kl6W+CU7ywWrhdboAjXlbviCEVQ/uwOzH9+OmpU7wTCkzCvpKRZd+zEYEnGolBmKenp0TbkbG7cekTMKJV/D6tcOqHQESS+JRFkNPyt5i+MF/bEocyMz9dx4+b+Burpe42Xm//zunwhFWTwUJ9Pi7SJJvrYEomiPclj92gE47YyGzyTfk54/q6bcjc9PtuDm4oGyj2TRmt3wBaJwOS2IRFld+ywcYeEPir4qXyAil3WUeHXV5gMw0TR8wQjWvnYQgBiAp7S1pIM6vW8sKCvA6tcOYHZMH58+Xnw+2cEYZjs2tgZ12+9u+ZjwWRhTZz5KGpB5Wo8XpTn3tYd15V6/TCeCYTaGx5eDJ1/6EE/88UNdG0uSZYC+bJw7NQ/r3z4EEyUenOvJtQVlbhCkoNuX9BR938WCsgKVn7em3A2ziZCzr+NlcfXKHfi6oR3tUa7jsDTh572oyarj5516Yzb2HjotP1PvDaJ3mh13T7waTS0hVMd8TBu3HpH1A701NK80D0676HcDAJfTAhNFdvDbszvAcgLWvfWpLCddTgua20IYX3IZUhxmrNp8QO7XzcUDsf7tQyq5qlcZod4bRLKDwdypeSqdWXmfpoyrzUnUU2Rrd3xvO4Ct2dnZL0KLCfiHbmj/glN8FJPy9LdiYq7mpHfZur2YPXmoJrOkYmIu9hyqQ703iBZ/GA5bB/ilEcj1maZ2VTvS6bd0zXPCizff/0Iug/h1vU8Go6wpd2tOqZ/e9BEWVoxUfUM6KV+2bi9+UZqPiom5cCVZ4PWFVOnpJAk8WlmE1vYwUpMsWPv6J6qyBaEIixSHRS7XtXz9PtRWlQCEgNqqEvC8AJIEGIpA5aRc3H3r1aBJEiCgwqKq9wbR5o+oarZL/fv1vGt150S6X1tVjEQMkj6FwvoZf7VVJbq8RxIEIHRk8CkBUysnDT2ntsBDN0OwtqoE/9x/EgsrRqow/iaUDDqn9ilSPyumtqr4nEuuGJVpiXBCt33jXL57sUaHdgdJ0TJ6/CD9W/ee0JGxaiR746PYfIEovL4QAuGoSuaxrIA33/8CCytGwh+MoNUfUYFxhyIssjKT8Ms78kFTBGZPHgoLQ8slbpX4Zn/ccljTjl4G0+lGPzJT7br95jhBfk6So/NK83S/WzExV1fWPr3pIyyaMRK/2/iRLM+f3vQRKibm4vENH2BeaR4WzRgJX0DsZzDEyjgCRuNJkQTAJZx0XaWzyaGKibn6slYFqA583aDVCZbOLjZ4l9DVGSom5mrmVcmXkh6Q7GDQK9mKtvYw7r9juOrbJ+v8mD15KDJT7fhPvV8GgBezC+zyGtPrl8TvggDcNeFqWMwUVm3+d1y56E9x+w2XY86UYeiVbAVJEghFolh+TwlYjpczJBN8maAEJehCU2c2SmqSWd6Pk+xmuby9zWzSlVEWhsZjm/ao9eH1ezW4qMU/6IuNscwBSS5ueseDH40cAJoi8cwrH2NhxUjUe9vha4/gb7u/UsnLVn8I7cEIrIwNsycPxZpXRad1xcRcJHXBXlVmfze1hjT9EDMZPlWVVyIAUBQBLhKX0tMJdar3JWR5t5FynL1tYY1PINlhxvq3D8klY+u9sYj7ibly5pSSXyaPvgKPb/gALqdFpUM7bCYVVlW9NyjrmRUTc+G0M3KJcOm+5Muo9wblDMV6r5gV+8cth8VSYql20DQJXyAi67tKXVrq98KKkWhrD8v27ZiC/hp+VvIWRRKasQhFWLiSzBd1Rt/5UlfXq57MXLTmX3h8Tglqq0rQ2Brs1C5aGvO9SSU1p4+/Eo/NLAJBEGhsCeLtHV9i+s058AcjYDkeiytHoq1dtGGiHI/iH1yCRWt2a2T27MlD0dASwrZ9xzW+kB+NHIAoK/6GVn8EpeMGa/q1fP1eLKwYqVvxS9Kv177+CRpaQti8/aisS6clW7H6tQOa9bV0djE4TsDpJr/uuLb6I7rtd3cWScJnYUyd+ig5Hik2E5ZXlYDlBVAx36oAAYIAWaZOvzlHV+4trhwJmiJROm4wnnxJzLCu9wax4e3DKrtcWidGsvFkzA7znPDi2Kk2LKwYqSvXxCpcgq4Pb2HFSE27iEGIzIj5eUmSBGMiEQlFYYplu+qt399t/KgDU/VsY/htT2iCup2COj5p6axEgqnJcFkRjYryRPJNSL44SZfMSLWBJgk8NqsIPC+Apkg0twWx5tWDsv+qdNxgDS9JusqydXvx9CaR91r9Eax9/ZOznuFIvK8nf502Rq4koLvvUZ34ImLUU2RrdxwClgD4GsC4uOsCgC4dAmZnZ78GYCAAHoAfwByPx/Nxdnb2YADrIWYaNgGY7vF4jnZDn2UQ43pvACAIzCvNA0kQ8AWiSE/pwDrorBZt/LVLMxyorSoGy/Hok2YHAMydmoenN32EvYdOY8msIhHgN2aM2a0Mntn0MbKzXDKAJMvxWDTjGhUY8rQfDgFJAVxUUBmEepH7k0dfIYLDxiKibhk1SMaYcjktyEi1gmol0OANYH7ZCKxY/wGWrdsrR4y8+NZBTL0xG+2hqKyYSMCvAPB89Rg8+8Bo+UCHYUhEIzxYvqPWczTCgUCMuTgObBwGW3aWC33SHLhvWj58gSg2bz8qlyyLsjwI9Jx6ud8n6iz748HpI+Brj8DC0AhFWDjtDEAAFAlMv/kqcR1ATJ+efvNVxhl5goCFM65BfXNAbisj1WaYbcgJAmwWExgTCUEQQFEEbBYTOF7Aje4sXD+8HwRBxMR878OToChgQVmBqgbzgrICUBRUhqXENxwvgBAEBb8JkFwNnQGVcxyveaczrECjb5zzHOl8N0HGJEXLxGNNSDIg/t6iGdcABKHCppGi2JT1uSVMQKAjWu7Dw2ewuLIIUY5DisOCQDiKaECAQAiYMjYbG7YckiPlpHbmleYhyS6WYGxoCSHdZVXJaIn6KKLmw1EWvZItSHGacf8d+SB0+G7j1iO4/4581VqQAOnbQywemzkSJEmgV5IF80rzkJpkwewV2zXflSKd9dYOSYgHPUr5K+0pJEGg1R9G9cqdAIDaquJOx1OakwQ/nxt1Joc6HefYeyAIbNx6RNYfXEkWuJwWvPfhSVSXu1Ebw8QsHTcYfdIchvuqK8mMaJTHozOLcLpRBNZWZtZJZWwA4Im5o/DA0+9jdfUYFa9L5T1W3Fsi6xTzpxfgr7uOYcKoy2SgeOn/E0oukx3FXl8YjIkEFyvzy3K87OiRftuYgv7ITLXh2Kk2tPrDCEVYZKbaQAkCIAigIBjKigRfJihBCTofMtIjO7NRwlFelo3KvVPKBNFgZxu0JekN0rO9e9mx51CdbJtJNOm6y+V3KFLET1331qcon3AVXvu/zzGmoD+SHYyoq3OirZDVOwk1Py8Ay/JgY476JbOK8MIbB+XIayUWlYS5ImUOPP+XAwCgks8ZqWKpu2de+RjzSvNgZiisefUg7r8j/5zsts70voQs7zp1ZgMB6nGWKln85s8fyvv34sqRGl6T9EQlL+89dBoLygpkjHnJmSvR6uoxqJiYi72HTsOd00fWQ+1WEx5atUuFhan8TiAcRXaWCzRF4rGZRWhqDUGAALvVBIfVBI4T0OANIi3FjJpyN8I6uNd7DtXh9huuQPXKnSpdf8msInC8gOcXjAFNEzDTJCKxsVGOizQWNeXuxAGgAXV1vSrlnNrvJcBMETCbqA77KtWqslkk3umT5sCzD4xGJMqioSWEDW/vx/135KNmlWirjC8eiFa/iNHe2BKE1UKL2aROCx6cPlxjB3lOeNE33YE/xPAmlfLyrglXI8JxePaVfwMQbZ/7puUZ8mpnuPBLZhXhr7uOwXPCK2arTC8Ay/O666uxJYh1b32KeaXDNHJYwqRSPp/sYC5YFknCZ6FPZ/NRynKCIHD30m0ARF0A6AhOCISjurY5QQBWM4U+veya9dIeisLlNOMv/xB5d9u+47KtV+8NwusLwZVkwW8VAb5ALFOKJrC4ciTONLVj49Yj8PpCuG9aPrbtPYHr8i/V/T0SLIPXF4KJpvDbjR9h1u25aGgJISvTgRN1fqSnWGC3MrAyFHhOMJTF9d4gHDamY4wSft6LhlRnKrFs2LCBHznZIUJBSftxeygKp7VDdu49dBqLK4vQ1h5Gqz+Cv+06hjHuLDTEzl4EAUh2MvD6QnI7fQzwf5XZ/r172fHpl41YOrsYgiBgTc1YvPfhSbz0d4/q2ewsF3520xCYaELWifccqpOzzRmTeEC/89+nNPK5ptwNiuzcbylRT5Ct33ideTyeG7qhH2Uej6cVALKzsydCPDzMB/AcgGc9Hs+fsrOzfwbgeYgYhN+I9ECM55XmYd1bn8LrC2H+9AIU5mSq6s/Hn+jG49VkuKyoa27H4rV75PZ4XsBbO77EvNI82C0mDZgwSQBXDXRh+JW9VUZhdbkbK+aUIMqKBw9tgTDmP7NDkxmg7JsesPaCsgJs2SkqHdlZLkwff6UaOPsuNxaUFYDjBdgtJphNJH4yZjC27DyGH48ebJg9tnhtB4ht6bgh8uYjMXo8GLQySkzq56+e7xiLuVPz5KwBE02CZblEJOh5kFEmBE2SYFlBzgbKcIllXmmSAEURiLK86l5NuRtWM23QFoFA/PN3uWXcNL3nR1zVGw+tUoMNO62U7nWaIvH+R//RZA5OvO5yTB9/pcYpwZhI8DoRxucDVJ7guZ5HZ4uWke7xAkCSQIM3hN+s+ZdKViqj2KQspS07j+FHIwegYmIuCAKIsByuy++HdW8d1AUT3vHxfzCmoD8cNhOWVRWD5cRoJECQQYQzXFYsmVVkyEP335EPu5VBqz+sOuSuLnPL+41EXl8IDS1B9E23y9nWvvaoZg/53+1HccOIfqpIaeV3fYEokh0m3bXT1BaSSz1K8lfCc/EFojDRHetBudcox/OSdCcoEono0G4kSQ5J46yMGqYhqMaZMZG6c/uPD07CbqGw/N4StPkjshxcWFGoyyfJdkbFWzXlbqQ4zfq6T1jEYiEIfXnptDFYNX80GluCeOVdD269dhBsFhPsFhOm35wDu5XCtHFDNPpXJMqBpim0+yNwJVlQmJOpWYvV5W78bfdX8np7qNwNmCgAPSeyLkEJStDFQZ3pkQKMKxGYaFJ37zTKNrlrwtUG9hYwrzQPLqcFdc3taGwJGtqjUVZAhssKE00hFGHh9YWwbd8JTL0xG8vX78PQy9Nwc/HAuAA7N2iawJIX9sjX5k8vAEURsFtNePDOEXDaGJhoEv5QFOUTroIvEEUwHEWKk9HqSne5saZmLDheQFOrGKnt9YXOWYdOyPJvTl2xgeLHmTFRePDOEbBbTTDRYlCOkV7pC0Sxbd9xzCvNg9VswqZ3PsP0m3N0n//qtA/b9h2XeVHZn9U1YxGN8rrvRaKcxq/xy58OB8vxKl9Gdbkb/9x/EmPdA3TbcVhFnUSMF+JxbX4/jS6d4jQjyUIjGuES/HeO1NXxknRbqaRmvJ3Vy8mIByUE4GuPygeCUkllJe/MnZqHbfuOY/r4K8GYKLldXoAqUPO+afm4/458ZKba0OKLqO5JAWkEgMrbckGSBKb9cAiWvbhXxW8SeU540RzDsoznMW9bWP53/L0TZ3xY+/onqCl347rh/VDfLOrGd9+qL/elTBibhYbNQmNZVTF4HqApAs+/ekB1uJPhEnHdzRSB6DlkWyfom1FX/UXK5+Lt6kiU07XfWv1hhBha9qvprpe73JgxKRcAEI5wcuUBCbM0xcnIfZD8v0qZV13mhtNuwsatHlw/vJ+MN6yxDR0Mnpg7Ct62MP645TBSnIxmjS0oK4DXF0S7iUaak0EvJ4Owwd7htDKy347uxGepAQNNUI8lI10jyc7ozm9qkgW1VcXwBaL445bDKB03WMXr1w/vh4WrO3h10YxrEAxzKr/zQ7HzC6tZxDJu8AYMZan0bwECLrvUpfE7A8A7e0/AFxCDjsonXIWnXt6v4u/K23LBcYL6QLDMDR485kwZBouZhtPK4MW3xPuFOZlYMkvMUieJnusjIwShZzm3s7OzpwOYC+BmAEcA9PJ4PFx2djYFMRvwCo/H09CFpgYAONbU5Jdx5iQSKBLVitIUgMggUtqo5Mx9+LldcDktGiFdc5cbggDV4ZcUJSltzmIbxWhqFSMplOXYpPtiWnQSqld2lMrMznKhdNxgXJLuAEEQaG4L4smX9use9CkVo3mleSBAIMVpBkkSaGoN4o1/iqUMFq/dg4UVhbp9kH6z9PeyqmLUrNyJeaV5AKDZnGiKwPzfixFXNeVueSNQtimlw0ukFBBS2QK9sXA5LRjYNxlNTf7zOsTpDkpPd/aULPQBMOBfJaWnO9HQ4AMA2GwmnPaGVHxZXe5Gsp1RlWMFxDFffk8JBACrXz2AMQX9ZafEtn3HUTX5B2hqC2ky8nolWfDAM+/rthWKsKhTZAhmptpgs5jwoM7ztfeUoFqnT7X3iAcePAc5Q5CkAEIg9H9DVQkoCJpoVxbQXePxvKmk74rnukLKeT7Lc99b/j0bdRbVLFAUTtS1yTJOLyhi4YxrEAyxsFlM4vs0AZok8cAz78tyyUg+VUzMxebtRzF59BVIdjBwWBmEo6yqtCIg4v9MGZuNFRv2yRlYfdMcMNEkeEHAyTqfrhxeMqsIXzf45bWT5GCw+i8HcdVAF8aXXAYAqnJK0nsLK0Zi8drdukaCZODOmJSr++6cKcPwyPO7Zfmb7DCDJAF/gMWb73+BsvFXIRrlsTSWTabZBy/A2riY+ber1JkcAqBaAyRBYL6OXFw6uxht7REk2RlZ4QU6jEFpHqXsUl8giqbWkBwZLcniM03tqjmvLnPDbjPBFwhj/+F6DL8yU+OYkQzF6TfnoK09DJIE0lJsaG4LwdsWRkaqVXayKPs8e/JQ9Mt0wkSTgACwnKDSjaTn4nUWPZl+tgyIc6WLVf4C4m+75f7Xu9zwm09O7HaeP1+6EOvv26Se1v/vK/9eqDE0shVrq4pBA4ZyOiXFhmOnWrEsbu/U20cXlBUAAIJhVtfeCoREHJ97p/4ANosJvvaIykm9oKwASXYG9c1BpDgZfOSpR/aAVIQjHCLRDsfJsw+MlsuSKn/LktnF+OpUm0r/H1PQX3Swx0rrpSVbAUJAXVMQ2z84gR+NHIAkhxkmmgDHAVGWQ2NLEG/t+BJ333o1XnjjoJxN43KaYTWRug7q7p67BP92UGe8ezYbKBBi8fo/P8dPxg5GOMLpBhlNGDUQEMSymUZ6d2FOJsonXA1/MAKb2YQNWw6pgt0kPfStHV/izpuvlCP9JR2Y5wF/ICKXud+8/aiqvL6yHUlHj9eDF824BiRBIhwVdX+eF/BILAhZ+b7kjyEUzmelHkFTJEiSQCTKgSJJMBS6/dClB/EvcA483FWS+EvJMxJJ/icCAAECCxS6n5GvqWJiroh7fttQsKyYna0sXys9t7iyCCQB3XlfXFmEdW8dxIxJuSAIQtcv8djMIhw/Ix5k/2TsYHCcgL/846hKxrE8j2CYBQESy9fv1ejEkl6t1F8LczJReuMQ1Cqer7nLDafVBE4QYI5VtYkfP+WeI9l5lZOGguN5mBkaYLnvxGfRE/kXwAWRr9JcvLz1s073OuWcuZwWVN5+NUJhUabef8dwudynRNKevnz9PtTcVQCb2QRB0OfdpbPFQ/dfGfC1dJBi5P+tvacY0aiA1nbxADs12YLm1hBa/RG5ktyb73+B22+4HK3+aAwOwqLrT5BK2FIUAZIkxIN8RQCqkldnThoKcBxIhsLXDe2aBXaJwAAAIABJREFU/eWSdLtucH9X56Uz26+z/bYn8u934YM4VzLSNZ6YMwrNvrDGJ2230nh4Vcch3/yyEbCYKISjPFr9YQ2vGvGvdF4h6R4zbrtaVfEu3WXFa+99gQOfN4rY1Kk2Q971BSJySXu9vWbRjJFYtEb0tUkZ7KEIC5uFxvzf7zReY1UlKp3iQs/XufJwj8m4zc7OXguxpCgB4EcA+gH42uPxcAAQOwg8FbvelUNAAECvXg7NtXpvQDVR4jV12ihBEOIhiSBG2y+ZVQSvT0xNffnvn+FnN12JJbOKYhkhBJ58ab8qOqfeK6Zlm0wkXEn6aaoWhgbL8XA5LYaO6wVlbvm+MjNgQB8nvjrtw5adx+RMw/jI+p+MHYwkuxm1VcVIceoDXLqSzKq/WU7A7MlDkZZixW9e2h+HyXMY5ROukp83Kn0AgkB6ulN1nTCFsLiyCAT0078vzXAiw2UDSXa8m5Ii4NfzrkWU5WGiSSTbxQPO/ybS4994ksaLZXmkuyDiR/IiRpLZTCIQ0k/Ll2qVx0fzzp2aB5YXsGXnMVVG3qvvHcWUsYN12+J4AZGoNqPQZjFI9+cMSpcCaPNHNZuGskSv8nmBAFqDLJb8oSOS+eGfF8Ju6TpvKqkn81xn/e6pdC782xnxvIDjZ9o089y/dxJIksDpxnYViLpKVvZNgs1Mobk1jMf/+IFKRrqSzHA5LbIsMwIC7p1m61Q2S7TnUB1Kb8yWo6OVxmB1mRspDv32eV6dqbugrADzpg1DNCpGPN83LV/3PYoU/1/vDarq/Ke7rCAA3DtlGAJBVvddOga+Xe8NIjPVjvVvH8K0cUOQ1duJe34yDMl2cW+Q1oPZROKJudeC5Xre2rhQ1F38e66kJ4cAaNbAozOLdOe2uS2E+b/fgRX3lqjue054seHtw3h0ZhGCoSh4AaroUKXDIhrlsOHtwyK+U4qIX/zcXw7A6wuh5i43RhdkwUSTWFZVggZvQI7qA8Q9RTqcnj7+StmxYrRuJH2o1R+B1UyhrT0KgoDub5P0NOnveJl+NllxvnSxyt/zoZ40Fj2pL+dD3/f+X0jqKv9eqDE0shVBEEh12TrVFwf2TdbdOxkTidqqEtTHZOaWncdw+w1X4Pm/fKJrb12S7sSC8hEIhFicaWzHB5+ewWOziiAIAkiCUEUm//KnwzH0inSQBJBkMwMKe0vSFZTkcloQCEY12TFJDkaW4crrHx4+g/Ell8llpuMPNO+blg/GROGnPxyCpYqDys7k78XM/98l/3bGu519LymJR0NLQIW79uhMkd9MNAl/MIJJ11+OVn8Yb/zzC5SNz9HVuwddmoQWX0QV0T93ah5afBHZVyLqnjb8eMxgROOq1fyqolBTwUbST/TgWJw2k/z9OVOGId1lQ6tfdGgro/oXV4409MdwgoA+sbHR0yOkYG+vL4TqcjcG9E4CTZPfeK56MnW3DpGSIsDu1bfPG7xBPPXyfjwWp9ca+ZrSUyy4ZdQglX6px2P+YAROG6Pbhj8YwZ5Ddfj5LVdDMPBPNceqpsyfXoD9n9WhMLePJjPx/juGg6YJbHpHxGzN6u3EiTM+WZ+W2lLqry2+CBgTqcriYjkeq1/7BNPGDUG6Dn8JVFC1T0jt335DEPN/v6Pb9N2LiS7UHpOUxHdpr0tK4rF0djGa20IIhTk47QxWzBmFSETfN2ezmHCjOws8T+Dh53YZ2v/NbSHwBiU1/UERxz0r0wGS1EKOuJwW+Nq1FfEk+VZT7sZbO75Eiy8Cs4nG2tdFGRpvU0rfYzkeC1fvVrX1jw9OijiCvexobAnKvFp5G5CR7kR9cwAb3j6s0XsenD4CGecxZ121/b5POsd35YM4FzLSNc40B3DgaINcfpOiSLgcZvl8heUFmCgxmJkVBCTZSTisWlnvMJDd8eVkOU5b8e72Gy7HpOsHgaFpw7UiCMCWnccwpqA/sjL1z2tIAoYZ7L/95XWwmWn9Pip0Com+6/lSUo85BPR4PBUAkJ2dfSeAJwA80h3t6p6iG4A2KtNGSQIAK2LbRSDIjjKJjp1qkyN6FlYUyrVple1JAJRLZxfrfi8UYXGq0Y/ScYOxeO0eXSDV5XHg8FI98YUVI2XDzZ3TRwNGLAGwWhkTqlfuxLMPjNbtg81sUv3NcYKcOej1hVR1/aU+S2RUKhWCoDnpFigKC1fv0pQ0VY53U5Nf95ScAMCyHJpCUVxo6kmLEzDgXwUpx0ugKN3MidqqEt0xpwgCEKALBlxbVYIDnzfK4K3SO9PGDTGYP8IA4Nfg2wZlAKDIsJXaqe2kHQiQN3zp+SV/2NPp812Jwvg2ea4rdA6ZKN9Cb7pO3RXFJFCkwTyLUc0UJZbAUs65JCtrq4oRjnBY8uIeXRlZOm6wLMtsZv2ytlaGxpJNezqVzdKzDS3iXhAvk2vX78WiGfpAw6eb2uPa3oeFFSNl48JI1nJ8R/kZCbdNmSFYW1WCU436ZT6U+91/6v3Yc6gOx061yZFLSt4nIJZMlf59odbGxcq/50vKsdZbA6cN5rbVHwEAtPojmvteXwinG/2IsoIq4k2S/VI2LMeLzwbDnGzcSbTsRZH3M1x2MCZSdrIBYtS2tKdUTMzVrAOjdROKsHK5nFWbDxjqChLfSn/H6xtnkxXnQxer/AXOr8/fdeSpRD0hCvabUE/r//eVfy/YGBrYivEyJ35PTE93oqnJL99T7p1RlgMoSiUz3Tl9DO2trxt8yHDZUe8NyHLxked2aaoW1HuD+M2fP8TsyUMRZQUsW7cXNeVuuf9KXUGi0nGDNXr705s+wtLZxRp95+lNH8l6hZFsf+rl/aitKpH1Fum6kfy9AJmA3dZWd9B3yr9d5N14EihSLvXtOeHFQ6t2AeiIxq+YmCvv0fXeoIgFqaN3L51djBUb9unqF8pM/igrwNsW1vBygzeoiqyX3p89eaguHIukF3hOeMFyPH71vP4aMSqdH4qwoAhCYU9r9Yjfbezov2SXEt1Ytq6n8S/QNR4+VyI78cHVe4Mam8XI/mFMNGrXn53HvG1hpDj0y9t7Y+U9SZLAf+p9nfZrxQbRLqv3tmt488mXRNkrYbYaZS8q9VfR9/cvzTMyj+nwl0CRuu1KOn936LvnSz2Rf4ELp68KFNmlvU6gSFVFFkCUp4B++djTjX5cP7yf/I4R/0tzbsTXa1//BLMnD40lWZx971fKN6lyW/EP1L49PZsywyUGieq1JUFkVUzMlbNh5T2IonT1nq766fTm42y231kyAc/5mxeavmsfRJfIQJ63+iN46e8evPR3T8wXXYyWloD8DA1A4EXcWAJAhBd0YUaM4KZIxbOTR1+hyqpV6sMZLjsqa9/FCw/daOCPJvDuvpN4d99Jlc6sfIYXxDUT7y+X1skl6Q79thU6BfCtZAKe0/M9LoTJ4/H8EcANAP4D4JJYGVDE/t8XwMlOXu8SSSDGGS4rAMhRC5u3H5VPdimKABXLlIg/bc7OcsnZeCsfHI3eaXbcNy1fbq8wJxOLK4vQO80mZlJRhO73nHYGG7ceQe9edmS4rEhPEQFia6uKUVPuRnaWC/XeoHxfevf+O4Zj14Gv5TaNoqSkyCIAiHIc5k7NU7Uzd2oeojEFI8MlRuiHolE89Ytr0aeXA4/NLMLCikJkZ7nEcbnLjcxUm9zGtn3HsaCsQNVmTbkbDKWNPuJjAKGbtx/V9ONCARpfzERRJASKRL03AIEiQVEkOJ7H0MvT8OwDo/Hc/NF49oHRGHp5GkAIunMPEuAE/cgIXhA0PFtT7oaZIXWvgzAG+F044xosrChEbVUxFlYUYuGMa2A3k6iOa6e63A3eoD8cr98fEAJcTgtqyt3yunE5Lca/mRBU48cShDx+Ceq51BmANAAwFJCRasO8UvWcP3SXu1MA6sxUOy5JdyDZYcIDPxuBKMepZHmGS4xuN4og6pvmUD27oKwA2/YdN5TJFEVo+lhd7sbGrUcAiHtLTbkb903Lh5khRV4GdOXmvNI8vPreUU17c6fmIRJlY+PDY+PWI5p3q8vc2HvoNBZWFOLRmUXol+nAU7+4FhUTc+U1IlFirWhJT/6ez/vnMqZ6PLxx6xEsKFPLxUfuLpR1E1eSGfffMVwz9+kuG5Id+tF1yQ4Gc6eKvDV3ap7hc33THTAzJHhewJJZRSjMyQQA1fNG6yB+3Uj60ObtR+WMXj2el9aX9LekbyjH0mitct3syEpQghJ0cZOerfhN7RWKIkFSUMntbfuO69qIGS4bNm49AoqELBclmWokWzNT7Rh0aRLWPjQW/fs4Zdn86ntHNfZanzT9qGdB0M/CNpnIs8p2Q5uCR0KP+JaIokgQILQ2fCe8K+kkIACSIPCo4t3CnEz0y3Tivmn5GHRpEgb0TcKjM4uw8sHRSHYwYuaGgq8enD4CgiDgvmn5si8D6NAvpOeqy934+EidLi8pK3tIVO8Nok8vB5wxrCGpnZpyNwb0TcLT/3M9Vj44Glm9naiYmKtb2WPj1iO6ay0z1QYLQ8rjYGQzKCtGcXzPw/j5PpCeXJ07VfTBAdo52rbvuMZfMHdqHkIR/SonSh6rKXejd5oNJAm5jewsFxZWFGJx5Ug4rCYsnHENCAK6tpKyX/XeIEw0YcibygxVI1+XUn81kr/SeuB4MXvGxFAQKAosIfolH7rLeOwAMWNFABKy9gLT2fwSes9lZ7mwdHYR+mU6keIwa9bB/OkF2PnvUxAU+6geL903LR92Kw1XkhmLKzvsL4kfJLxWp50By/Ga93v3sp9VvvXv7cQl6Woe1bfLOnwYRm05bSaNzcbxvG7f430QXaWuzkeCzo3O5q/Qk+fzpxdg76HT8jNKeabXlvh/AgQB1MTJN5OJ1JXLJEl06RyEpohYO4Su39lkImUe1NtrFpQVwGwiNWtB+obTZtLVr6vL3TCbtP6JnkTnZctkZ2df1pXnPB7Pl11oywHA5fF4Tsb+vgVAM4B6AB8DmAbgT7H/f9RFPMBOSQliDIIAIQAUReCXP83HqUY/Vm0+IKdDxwPAG5Xs/PLrFiydXYz2UBQcJ2DdWwdVZRYLczJRW1WCSJQDEcPsk0DTTTSFFXNK0GoAWmw2UTIwcFt7GH/b/RWuH94P4SgrYuklWXRPoEMRFkl2M1bcWwKnlcHLf/9MF5B+xb0l6JVsQSDM4qW/HsEtowbJ0VWS0A5HWaz63wOYeXuuXLrAF4jiw8N1eLSyCK3tsVKpWz/DtHFDNFhRJNkRWSiVC0l2MEhLtoKG8J1jrn2fyAgzKi3FgpuLB6pK+Ih4IwTefP8LzdxX3jYUdCfgxiZaXaZCxDWD7nWjzD6aItAeVJcJrS5zgxWAJIdJThOXsP8gGPSHIlRrFoIgRpGQhC6wMmH0myfl9mjsvwTp09lAuKMRDkkWEUhbCaJOCaJsoQwileqa27F47R4U5mRi+virYKIpcDyv4m+GIUHT+u/XewP4RWk+0lIsIl4Iy6FiYi4IQP/55gAYEyn2URB/F0WKuCp6e4sSa/aPWw6LpTVS7TCZKAgQcFPRQDhtDH5Rmg9XkliK7G+7juHKgWnIcFnBcgK8vpCqVKiEtzKh5DJNvf5t+44jw9UhvxNrRUvfdEzO9329NSBm6kVlh1dqkhkN3hAee6GjHMrCGdfgF6X5MJlIJNkY/OHNg2jxReTD43ge7ZVswcatHry77yRO1vkNnyPQgVMp/YbKSbmIsh2A8EYRrDRNxmQ/AAhoaBH1Ic8Jr5zRG68rOKwMXn1PxGG5/YYr4LQxsFkoNPsiWKoYyyWzijqVFQlKUIIS1BVS2ordgS8ajw80e/JQ9OllBxHbt+dMGYa0ZNHpQVMkWI6H1xeCAMhyUZKpRrLVFwijuU3QlCxy2k2gaVIFF8DxvG4bUVb/OgQBhTmZ2HOozli2G+hqAgRUr9yl6lNKSkIedzcZ6RcpTgYEp29rK/Gt4uEhflVxDSJRDjUrd2owraRn7r9jOO6/Ix8pTgsIgkBza0i3zLjXF4LDymDFvSVITbJgy84vUTLsUiTFDvWUPBNf2QOA7GCjKVGHZjkBpxU+G8lncsuoQdi27zjKJ1ytqzM57SYsmVUEjhNAkARa/SFEOR6t7WJmzaI1/8KcKcN0v8/Gxk/UKUigGzMB/1uI43j0cjJYOrsYLMvjTHO7qmym1xdCipNBbcyWEyDgr7uOxcpsOsDzAMvzsJlp3TlKS7Zi1fzRONPUrvLnnar3ofaeYrS1qyFHasrdaPGFVbbSpRkO1MX1S5SNgiFvKjNUPSe8ePP9L7CwYiTa2sMIRVg47SbcfsPlKJ+QA4okdLNeJB7LcFlBkkBYEBDwqdfzwhnXiOX0OAEsx2P924fkPkq43/G6+X+zzXah6Gx+CYnomH/M5bRgxm1XIxzh5Pl54ZEbVT7UV9714NZrB4FW+CyU9n/fdAdoikSLP4QV6zvgTRaUFWDGpNwYfimBn99yNQiCwL5PT6Pgqt4af5iUAavVHzoqBBEE8HWDOitX4utFM0aCpgh83eBHMBzVrYanbCvDZUNtVTEYikCTT40VOH96AUpvzEZDS0j2013I+UhQ16kr/gqO45GabNbw8S2jBuFknV/OAKVJEt5ARNNWLyeDZn8ES1/swM2UfHDJDjMamoO6/lwRi9N01nMQggCWzCoGywr49MvGmI9QABvDdj3weSPmTy/AtB8OgYmi8O7er1BbVYIox6lK7i+sKDSU11LVPHFdkKBIAmYTgcbWsOr3PvzzQiRb6B4jiwlBOPeFkZ2dzQMQIGZwGpHg8XioLrSVCeB1AHaIWaHNAP7H4/Hsz87OHgJgPQAXAC+A6R6Px9PFbg7AWUA1KYoEYaIQCrOgSBKrXzugARSOB4CPLy/R8VwJ/nXwFAqu6o2Hn9uFeaV5iEQ5FaB1ipPBtHFDVAyxoKygUzDKR2cWwUQTWP3qJ7jjpithMVEySKzLacHM23Ox6R0PJl13uaru/bzSPCTZGWzfdwKj8i5FWooVgSArL9Bt+45jyo2DkWK3gON50BSJhpYAkh0WDchsYU4mpt+cg7b2MEiSQK9kC5pi4LEOqwm/3bhfZzzEcWMBkUsEAizP41SDHxu3HlEdsnYVtPXboB4EDDsABvwrUCRWv/YJxhT0lwWiBI69+tUDmuv3/mQY2gIR1DcH5IONjFQbkmwMeF7Afxr8mkO0S9MdOPhFI4YM6CU7DD77qglDBvbSBc5efk8JWvxhVY38BWUF6JVkwQPPvK/lj3tKdPt6z0+Goak1pIsJyEW4GD91HAJycQDiUvtPzBkFry+su3GxgC6I7XdRPqOrdA7l6Ho8/0qklr9a55oS4JkxkWiNc/Z3ZtjEg0MzFKE5LFAesEmlW+ZMGYZnXvlYwxsLygpAAGjxh+U1dEm6aIwCAnhBNEotDI1GbxAZqVb4dQDclQDxi2aMhNlEgeU5ELGtNL7sdIbLKpdOlPqc7GBksONHZxbhqT/vl9sU6+875CCU9z48iRtG9FOt75pyN5w2Rrd08MKKkdiw5RAqJ+WC4HhDwOcLtVa+D/xrKH9jY3Y26sqYSvzL8wJIkgQIkY/j14CYRfqZrKACkAMusrNcmDz6ChnMnaII1DcH0eqPyFHDsycP1QVu/9HIATLPPTh9BKJRXqVf1JS78XLsu8rfMHvyUGzcekQ+zNbDjZKCig4cbcSoYZeCJKFSxn9VUYhAiMWv//Sh6h0QQH1zENv2Hcet1w6CmaEQifD47cb9KrBuMpY1o8Tp+KZOkItR/kqUnu7ELfe/3uWG33xy4ndffiZG37W++E2pp/X/+8q/PWUMJbmt0lFjwTQsRKO5Rkf265VHfrSyCH//1zGMu2YgrGYS/mAUrf4I3vineMjx5vtf4CdjB8PXHpF1knSXFQxN6eoRtVUlWP2aqHNn9XbixTcP4tZrB2mwi+dPL4DJRMJiolR4g9LeUD7haixcvUtXtj945wikpVgRiXIqmW60X/x63rVgu7GseIJ/u6ZfKEnkTQKNrUE4rAw2bDmkmqcV9xYjEGJhYWgk2c2IL4Uotb+0qhgQRNZfo6MfTbz2criSLAiEorBZTNi8/QhO1olwKAP6JuFUQ7uKl+aXjQBNkqp9fEGZG1GWBUNTCIRZVTlaqR+SDjumoL/o5xibLZcllXT5KMvhyZe0fovZk4cCABav3YOls4sAQGMbS9eqy91IczKIRrq1HGhP4V/gHHj4fEjiUyOcJUlfIxkKx061yb60vYdO44YR/RCJcvjb7q80h9Y1d7kRjrCq+c3OcqF03GDZZnvxrYMaWTRnyjDQVEdp+8KcTJTeOAS169X68XsfnsSPigYgHNEehNM0oTqYUWKsLawohJkRcakokgTDkGjwBhFU8LH0Dk0RYEw0/rn/JG4sHIBFa3brynMaAtqjHLy+sNyGVLY3/vllVcUggG8UxHI26on8C1y4cqAURaIlEFXZZA/d5Uayg0Ekyst+DYEi8HVDOyJRUVYobbRf/jQfjzyv3a8fnzMKzW1h1d4s+RDKxl8l+13j7by65oDMC9lZLjxw53Csee0TTVJK5W25msPweExAXhBgNdMw0SSaWoP4wxufwusL4b5p+Vj31qeyT/v/9p9EybBLVXK2uswNlufB8zxSHBaYKBIUBEP/m+Rb/ya2WlcOrM5SDrTH8e93XQ60y/oERen6Yism5mLbvuO4+1bxUPo/9T5s3HpEDloozMlE5aShsj8qvoxydpZLN/Dovmn52LbvBK7LvxTpLhtYjgMbK4Ov5GczQ+H/vXsEFRNzYTFTaPAG0eoP68rI2qoSCBDA8wJ4HmhtD6tKjEoBFnryev7vd8r6xfsf/QcTSsRcOclXlJ5igdVsggARW5mmCMOgrG9C58rD55UJ6PF4ui233OPx1AG4xuDeZwAKu+tbSuoQFjtVAjYeUJjjBRCCoIoGVTKO9FyU45FzWRoIgoDLKU62klEk4Q0CcmS7y2mGxUyhbLwYFaTXbjDMwkSbUHpjNnztESzZ+JEMElvvDYIAMKHkMvRKscoRngLEiIg1MXDhQ182IueyNM3hIwHICy/DJYJoBkNRVT+ys1wagHjlRhEP4Cz1m+cBb0g/svBsUYkJOgsR0Izp3Kl5oEj965wgIBKNy8aLbfDhKKcLzHv/z4ajb4ZTxR/V5W7DUmssL2DLzmNi+VsS4Hjg1feOYsrYwQb8Iej2NcrysJgpVYYgy/NgOR4tOpu7066fAh6OcoYR3Byhv9Y4XkiUpf2WSE/+KpU1PWVu0YxrsLyqBCzPdxqRb6QI9nIysfcFkCTwxB8/lGW9VEqApkhd3qBpUgU6XJiTidJxQzTRpCzHycp5YU4mlswSHQlfndYCxJMEAEJAe5DFpnc8KJ+Qo/vtPmkOrK4eC5IEeB545V2PHHUUDLOqNi0MDZIAxhT0l793ss6Px2YWIRBmYbfQeOGNg5h03eW63/IHI7hl1CA5vKez8hr/tWvFQP52GhKloLONqR7/Sg7YsvFXqdYAQxGonJSLu2+9GiwnoNUflo0/o6xSKWL+j1sOw2EzqWS/xDN335qL5xaMwYkzPqx59SAAyM+5kiyIspzKiSL9BoeNUWXwOW0m9E6zYfk9JYiwvBy1f9VAF67N74dfre7IFqgud+PO8VeCgFiOTJmNywk8Vm8+KBunSU4Gf/7rZxhTkKXrROqqrEhQghKUoO6gzvQOKfJdst2UJO3b8ddomsDwK3tj4epdssOjdFw2ZkzKhSAAlZNy4QtEVXr9L386HBTFGewvvLxvVZcV4JZRg/C7jR/JGYl90xwAAfxBcfCnjNCX9oYfjxksZuRkOtDUFkLtPSWIsjwCoSgYE4kHY0F/kky/qXgAQEB3v4iyfFe3zQR1kc5FZzPSNSQ/SHaWCyaaxqrNok674t4Sw1KIPCfgked3ybwVrx9lplplZ6LkxDOZSDy+4QPcNy1fY4eu/stB3DvlB1hWVQyOE3Cq0Y/n/yJmdVWXu+G065cpl3RYu4XGnkN1qLwtV646QJGECF3RHDzrOiQJAuve+lRrG9+Rj9qqEjAUuvUA8L+NJD6t9wZV+mK6ywoTOoInvL6ISsbNnZqHf3xwElPGDsaeQ3Vo8UVUc+S0mhBleZXjNl4/1PP1Wcw0CAIqvdNmpfDgnSPgtDM4rrDhTtb58cCdw/HozCKQhGiXBcNRBMKsfJiY7rKitT2M8glXwW4zIcIKWLx2p0o29kqxYNkf9uny2CvvHsG7+07ih9cM0F/PggCC52E3UbCl2+UKOAShX8q5wStitiayAruXTCZ1ZSyaJrFq8wF5D60pd8NuobHh7cOYV5qnsdFaYn8rqd4bRDjCYdM7n+HRmUXwtoVU9pk0x0aV6VxOC+q9wRg+qqBaJ+kpFvACUP3szo5qBGkOMDQJggTKJ1wFluPBmEgsWvMvlf04Z+ow2K00mlrFrL89h+owe/JQjMq7FJve8ci+7WS7Gf+7/QgOfN6IeaV5+M2f98u2m92iv38M6OOUk0fOlze7u3JDgrquT7A8r/vcoEuTkOEaolsZABB9KY2tHftxfFlPzwkvVv/lIB64czgem1kEggC8vjDe2XMc1w/vpwo2fuOfX6jOV8Ish99v+jc8J7yYMjYb7UECHx6uw7X5l+r2NcJyWLi646zj0bjzDc8JLza8fRjLqorh9YXhtDF46s/78cs78vHc/NGy3/vdfSdxU9FAUBQhB+xNuu5yVYXFeaV5cDnNsJuo75Q//2sLRbOALiD65NFXyM/IacQQhQjB8XLZQyVluKzgOPEEmiQIlI4bLEdvKNsuHTcY9c0ikOT83+/Aw8/twhf/aUXV49vBcoJuu2YTBZ4XM1CkQ0WpDAsANLSE8MwrH6Ny2bu4e+k7qKzdhkee24Uvv27DnkN1WLZuL4YNztT81uXr96k2n3qvCKLJmGhVPyaPvkIDhPm7jeI41Xs7AJzj+02SIpjsmIKXtrijAAAgAElEQVT+ukCaXOIA8PxJIDRj+vSmj8Bx0L/OCvJhhXS9dt1esKwYkSYB81av3Ill6/bC6wuBIgjddyQgViVluETw0wOfN+KeJ7Zj1ortuOeJ7TjweaPheiEJ/d8AAVj4/G7MWPYuKmu3Ycayd7Hw+d3gOO16XbZur1w+VNMfkpDXLC0IIDhe5jepZIDeOwn6dkhP/i5btxdsJ/cXrfkXBAia+exq2xFOADgOtMCDAKEqXxFfYktJGS4rrAytWg9jCvpr1seydXtR1xSQr+05VIeHn9sFgiCw9vVPZINTapMXRONx+fp9GFPQH2ea2nW/feKMD1+dbkPNyp2orH1XPgDMiEX9K58NRVicavRj2bq9qtI6x8/45AwBZSmv+G+1+iOxdSiuhcRa0SED+SuN2dnobGNqpJuMKeivWQPRCBfTSwjUNbfLZYrOtm9Luk4kymPt65/Isl/KKGU5HifO+GS+9ZzwYtm6vXjq5f04ccYHktCX63aLiAGhfP6rU20QBOBXz+/C4rV74DnhxVj3AN39xd/O4rOvWrBozb+weO0eVK/cicVr92DF+g/kvi9btxfHT7XBndMHoQirC9bdVVmRoAQlKEHdQcZ6R8d1o31XWUZOuiYIHfq8LxDFgf/P3rXHR1Fe7WdmdmZ3s7tJlty4SEAsRA2gBLIhF7UFpa2ooHyfBC8k2HCLokVbIUENeAHUtlRLBZGvArYlfv2oVdS2CFRFsASBVkQNCHIRITc2yd7n+v0xO5OZnZlwERTrnt+PH9ndmXdmZ8973nPOe87zfNaKg8dkP2Dqwo2IsqLher/6406k2GmL9YVUx2Nom/p34xE/Fqzcjoef34YvW4LqZl2zP4In1+xAS3tUtzb4O2NY+eoeHGmSEUSOnOjEkRMB2GkbHl253WDTHTSN5pMR03uibd/ZFMR5kzPx2U6VB5kwaqAul9ERZFUfI3H8L1uDBt3SjhnjRN17S9buQiDEqvptFoeSBAmWE/GwxndQ9MrJ2Lr1YZVcRjQmYurCjXho+VYcONaBIycClt8hyvLqXLS6J4okQAhCcgPwK4pWT7X+IoGupL2VfpZe0VuF0lTOrXlua9wuBeBydNlAM1/YLNeXYqfx1JoPdH7nw8vfx8nOGA4fD+hiOHkjMIBHnt+GQ8cDeGTFNsz+9buYt2wbHn7+fSxZuwssJ6IzyKLmua2G+FHNwXCSqY4dOh7Axh1H1TjRKueC+LMSWQGkIMImibAzlOnxgTBniLOT8tWEBwyxyoIX/onRhf0AdPkAZDzP9kVz0BCjdQRZS3u6fW8TjpwIYMnaXbqYvrs4b/HqBpSPGaSOJcRzy8o8aWmPqh17ytr/yPPbEIkJ2H+kA0vW7kIkJqgbgMq4z9TvRos/DJ6X8NQaOR7L9srwuItX71DzzXOWvodHVmyDL7+XIe5UnoXV+nQuYjWrvF9Szk5O15+wOg4SYeljmM0BMx/ZH4ji4LFOTF+8CQ8t34ZwlIMvv5eq+xNGDcQz9bt1OvjQ8m0gQap+q4OxYeGqBnyvbzoOHw+Y3uuJtpDuPo+b7G/4A1EcbQogGGZx5EQA/kAUX7YE1by3YrcpklBzRaML+6kd5srYz9TvRtPJ8Ddui8/KA8/Ly/ub5u8teXl575r9O3e3ee6FogjUVRVj+ZxR+O3PR+Hawr5o9hsJhRMr5xgKBtLI2koftn14DM3+CAgSloSrPTNcOvJebeWZIBmJW++dOAx2moQEGd7K63HIVTwaIth1m/ernD3a89Zt3o+8XC+qxg0BbSNQNW6ISs6deG3te+EYp7+PHnI776LqUpXgu9nfRfhav2GfjuBeeR4gJPU48yoCuc2YZKgkafEZimhRcWFdsWF9PMOQBkLX2kofCNK8mgyEZKqnBAkDKercikLQNIEHJ49AXVURFlWXoq6qCA9OHiFDu5mML0qSqueKznk9DsvvIIqS6f1316VkRmJ7qnOScm7lVATOX4Xg2fpcqLaGIKHT4007DmNuRSE27ThsSsCtJekGgKx0h6ldzOnhQl6uF3m5XtRW+jB7UoGOkF4Zc25FISiKUO/Vk0KbktLPrfBh3eb9pmTc904cBi7OR6J0P+X2TEWfbA8WzixFXq5X7Ubsm+OGnSHh9TgAmJN7K+tGs1+uMpUoEgxFYF7Cvc/7js8VK/t7upBJp7I/Vvp7UbZb1kXIeqwl2LZRJPr1SkW2N0WFjDUbQ0vUnuZmwIuiYf2uqfThz/8w6pyiS7k9PXDYzYm6GZrEzdcMwG9/PgrL54zCwupS5Pb0QBBFnV2nbebd2LSNRG5PzynvvWeGCxfluJDb04PemeZk3Uky+KQkJSlfl5yO/2227s6fOhIXZXvw5D1lqK30oSg/B7WVPkRZwXCe1q5TJEx9ZZbjDdeorfQBkNQ4MBzjTO/VLCbUxsQKb/DcCp/qK9Vv2AdPCg3KImawUQScdgoLphWjrqoIeble9Z5EUfYzkjHguZMziW+sdLZvtht5uV6DH7Fu8354XIwh51A7xYet//4StZU+UJR5viGUAPuq1TezeTG3wgeW40FaxYqiZJn7aPZHEGXleeCwk3hsejG8HofqZyt+ks7vqfChT7YbOT1SkO11muZWknHiuRMbgIfuKur2+VrpZ88MF97eedSg54o9sjOkmo+wykFp7drP7hgOQLTMdZnpZ0a6zD+dkeZQ83Ha+7DZSPTvnYrnHhxliB+VexBEY96vNk5/UldVhEeqRsJuJzF7UoFp/MgThGo/lXjALCeizIuu6yZ947MRbcwlUaRlHkuJVZTXIOTfZNOOw6r9VGyrmW7VVvpQv2EfAHPb6HExmD2pwDLO65XpVo//174m1E0dqebg+vX0qHkA7TksL6hru3ZcbS6jV6YbLC+o8+feicMs56g2XtP+TRDJ/Nu3SU7Xn7A6zipf0icrBQP6pOLRacXo3ysVD9w+3HLdTbRfPTNcOh21svEU2XU+y/Hx67rxyeetpv6GMufycr14bHox+mR7MF8zd5T8df2Gfeid6UZ2DwcenV6Ci7I9Ovuv5MhEqft9EAdj+8Zt8dnOuzWav1eeixv5OoVmKLQGWB2U29yKQnhSaGSmObGiZrRlGzHHCshOd+DxGSXwB2R+PQXy8uZrBoDnRUvCVX9nzNANEmV5GXKDokyJL++6cTAOnwggzU0bsGjle2bQ7A9j1q1XIiPNqRIZAzCFQNByUplVn0ZjPH7/108xc8JQ5PZMRSDEqvi8yhjrtxxQCV/9gSgEUVTvO8rySPcwavWJFXn8oeOdWPnqngumJfbbJGQ35Ldm72sJhvXvExAFCQSph8AgSADx6rPEcwgQpno6/eah2LL7Cx0c6MaGQxh3zffA85IRsqib72CGuczQlOnxJIkzbv9PQgZ883IqAuevQvBsde6xlgC8HgcyPAwinGjQ4y27v8C08UMBAlhUXYZghEXTyQhWvf4x7r+tQB0zL9cLUYKpXWw6GcLUmwcbONRqKgrx2IwSEAAokkQoxqE9EIUnxa7aSS0pvWJLIzFOXTPWbzmgwhD4O2Nxfp58LJszCp1xrtcla7vgO+ZPHYkYK+pgGLQ8iC+9+QnmTy1GIMyiI8jq1oZDX8r2ef7UkQa4E5r+bifsrOwvSUJmNT6FnMr+WOlv08mQytM3r9IHOg7XouVm8nocuOumy5GZ5jQdQ0vU7nYyePbl3bj8Yi8WVpeCFyTQFAkbLXd1N/u7YJp6ZaZAECRVl+qqivC39w8Z1oGKGy7H1QV9dfDhNZU+ZKbr+aOsCLZJEqAI8/VKe+8n2kLwpjqw+vWPcUPZAPP1jSQBIVmtn5SkJOX8i7XP0mXPlHV35oSh6NnDBYYhEQxzOtitOZML8c4uGU4o8TwlOdLsj4AkSVNfORzj1WtclO2BJEkGbj9RlEzv1Swm9KQwePreq5Bip8FyPH5U3B/eVAY3lA3AS2/K8NJZ3hQQhHnMYKNIlWdZSQx5XDRWvLJHB5mWhKg7N3Im8Y2Vzp44GcKMCUPVbjvl88Yjfvxp4z5MHT8Ej04vgSRKsNlIRFjewD2dmG8IhlndtbX6pp0XOT1caDoZQiTGIRTh0a+nx1KvAGDBtGJ0how+rIOx4X83NuKGsgH4zf/+C/ffNhyCKMIfiKI9GANDU1hYXQqOE9HaEUH9W5/ith9eih5uRn12DE0mYcXPkwiCiH49U7vVUyv9bG2P4A9/b8QPRvRVYxMFLtEfiOLAF53YtOOwCiVrNoYnRf6doyyPVBeDaEywzHUl6qc/EEUozOHxtdt1du32H1+GE61hlVc73eNA/YZPMfn6fAt/lzDEfDGOV/ml7r9tOEgSOHisHQumlaAzFAPLCZAg6WCX508dCY4TVW66LioKAsda9FQUpxtHJ0UvVnDfRfk5Bn5JJVZRXkOS81TTxg8BCDkHoO3QU3Qgzc3A7WQQjMRUpCKt7vXJ8uBYi0zR0DfHjfIxeaZ6RdtIGTqRJCBJIiJRQZeD0+YBlHNcDhumjh+Cf+9rxpWDcpDtdZpSLcyZXIii/ByVP7ZirLlua+M17d+8ICLDwyTzb98SOV1/QjnuF/ddjWiMV4/jYfQLb75mgAEe+ZGqIjxw+3AAQM8eKXF6M8nUftlpChlpDnVcq30Gm41E1bghWL/lAEYX9ovnAYO4athFsNMk5k8tho0icKwliEhMzsHl5XpRecPlWLJ2F7weB6bcmK+bO7MnFSDdwyAQZhHjBCz7vz3wB6KYW1GIqeOHoPlkBDRNgqQISJzY7T5IlOW/cVtMSNJ/7ELQHxakmhJFqVxnimR7ZeJcO0WAFSSDsivE7kKc1Fd7fhfpsAfBCAsC0JFWZ3v1pMFejwPlYwahV6YbJCFvpP35H58ZSN5zMlJA2ygEwxzSXAzm/tbsmm4cawmifsM+pHsYTB57OZpPhtEr041HTMhmFfLV+28bDndKF3yLsqkoQcKTqz+QA7UUxvQ5PTqtBEvWyhjPiaSYtVN8SHczEEQJgZA5J2BicDBzwlDk5nhACOJ5Jzk9lVxAxLD9YaG/Vs5IZpodnWEOTSfDXTrUIwUuJ42mk2H84vc71eN/dsdw5PSQE7tavQLk3/ipWVehrTOCzmCXPqa6GWSmOvFFS9CQeLgo2422Dj2JsYxNzmDOUuP4i+8uQ7Nfhi/Sbg72ykjBz+PObeLxgTCrI4mfN8WHdOd3I3FwuvPi26C/wKkJnE+H4NlKrHhO3t55FKVX9EbfHI+BKFsZP8PDgGMF2Bw2RGOCWuhxUU4KWFaGfFZsqMEuTi/Bkj/uQvmYQQbS4aL8HFSMzZfxzDtjoCjAk8LAZiMRjvCmdnJuRSFefqtRTZQlvp5TMQJpLjsEQQIIoK09itVvfKw6S1YE8TMnDFU3k+ZUjABFklj4on7eRmIyN4XZd8n2mpBCnyP5NujvV9HN0xGz8ZWgrW+OGzd/fyAoEqBtFKIsB4BUN90UufmaAbi6oK+h0ImADC2e6maw4s8fqSTvJAmkuuxgbCT8gRjcThrH20Ko37BPhiuqLkWthhzciiC7R6pDxdRXRNaXMrR2RNARZNWKPu35Rfk5+MlNgxGJ8fCkMPAHo3hy9QeG76/lM/QHoqgaNwTrNu835T/sk+WCGIfs0vtvZx50/qfZX61kZXlw4wOvnvbA63857hv10bTyTfuLX1UutPv/turvhfAMT4cTMDEGslpf66qKwQkCGJpEU1uXP5/dIwWdQRZL1u7CA7cPxy//sNNw7qxbr8Rv/vdf8c02Bite+RCjC/upxRqbdhzGT24agpb2sM7+TrlxMADgeGtQtftWceLLbzVidGE/GUq60odMDwOJJNAZYtESr3COsjyyvHKl9ZctYd31f1TcHwtWbtfd97nwKZL6ay5W61+izhbl56DyhsGIsjzsNAW7nYLAi7pNZLME8qLqMtNcgeIra/n/tMm0xPeUjZdbrxuEcIRHioNGRpoDJ9rCuljxvvJhcNptuP/X75rycyvjlI+5FKkuBoIggiQIECQQZQWseeNjjC7sh007DmN0YT94U+1IsdPgBAEeJwMbJJO8z/lPVl9A+gucgQ6frSi6r33ODE2CImUYWBASAmFOF59ofcGHq4rAa+hOsr1OPDh5BCJRHjaKhCQBmV4HWvwRna96/23D8eL6vaeMlZ6YWYoWv9y9pNizl978RLV9ZnZ7zZt7ceNVl+h81Ia9x3F96cVYrOGEqqn0oUeaHT9/Zovhu2nn1gO3FyAzLUX1n11Om/pdFLG6/6dmlaEjwKqbg9leuYAw/TwVXFyI+gvgrO1rYt53xV8+NGz4PT6jRFdoW1PpQ/2GT3UFLhkexpBXBmDqL9A2AlFOtkNK/mHTjsO48apLsPOTEyr/3uTr88EJAkRR0unVvCk+2O0U2tqjYDkBOT1cmP+CMSbT5gEUezl1/BCVV5LjBQCEWiS8bvN+NWe7YFoJVr3+EcZf8z24XTQ6g6whFlTmqPbvuRU+cLyclxS/ITjl7tbbC1F/T2V/LwT/VztPHHYbJE7oKmo28YsT8wmAgopRjBgnwOthwAsSIjEBdprEX7d9jssuzkRGmgNpbkbmkSaAto4ofvH7nbpCaK2f+ubWz/HhZ62qfv/3tYMQicp+RbrbDooCWE6EBDl/xjAkAqEuDtraSp95rm9aCdo65TXlvvJhCEV4pLkZOXcRiOKZ+t1YVF2GFX/5UMcJqG0MOF8NUGeqw+ekAzcvLy8HgA9AJtDF893Y2Pi7czH+uRZraEGgLcyeMohbPmeULhmWmICqqShEnyw3Hp1eAlGU0NYRwe9e2wsAeHJWGdo7WSxarb+GJ4UBx4m6HefaSh9Wv/4x2gMsfjpp2Cmu6YMIEaGITBRvRUCfm+NG1bgheHH9Xtx96xVqdVFHkMXLbzVi0phL8cDtBVi27kPcdVO+6RggZPJYhcT4vvJhWFRdqpIyv/rOAbzyzkE1sWejSCyqLgMX5xnS7uo3+7taYpPt4Kcn2soMEAQgyc9OEiWwJjrkcRFIcdj0hNcO+WnzghVUqAiWFQ0VELwoGgjc17zxCX52h7zJPX9qsUyULclEsbzQDamsjUggVCYsyWV5Qa44TSRgJiki2ezxLRSrqiFlMfwq3ZrKuQur5QAuEObw9s6jKonw7EkF2L63CZ4URmf/1m74FJPGXCrb+46YYR3wuGhUjRuC3Bxz+EFFHIye+Dov14sbr7pEdcSV8VwpNE60hvHnf+zH6MJ+cMfvORzlcaItjDe3fo67bhqMirH5IEkCoSiLG8oG4Cc3DUaUE2AjCTSdDOscn9mTCrDqdXkjMPE+lPvM6eFS7fWKP3+EOZNHYHHcPn/ZGsTzf/5Q3WyxIvH+LttrK/t7rhw57fiiKEPXPv3STvTNceP60ot1XXZzKwrhsBuhNV955yBuuPoSLKwuhSTB0A1SU+HDg5OHgxckcLyIR1f+09SRrq30wZ1CQ0yAfNESZAuCBEkCbDbCcBwg6wsniJiz9D1dAnzNG5/giZmlIAggGOZ0gfTsSQW4r3wYSIIAH3+usycNw5GmoM5/8KTQukra3Bw3jjQFseaNT/DA7QWw4fxv2iYlKUlJitW6wLGCwR9RbJjVGh2MsHhr+2GMLRug88FrKn346EBrvGvAbnputjcFVePkjoPOYMy0CJOioPrxPTNTIAqSWjSqrA/hGIcX1+/FA3cM18WyBGS+45/cNASLqsvAUPJ3lGwkQhFed78P3D4cPdIchg4bl8MIO/pd9inOp5xq/VN0MxBiIUpA3Yptut9q/ZYDmHjdpXIXCwg89dIHOkSjZn8EHC+Y6mL/Xh5UjRuCVa9/DABq3JjldeLpl3Ya3gtGOPzX6EEgoe8efXDyCMy69UrYKFKXd3jynjJ4PXbs+awFC6tL0REvWuUEAVPHD4G/M4aaeJGrmnhLtWPmhKEQRAkpDuPcWPt3TRwQMOaDkn7DuRWtfno9Dtwz8QpwnKhubChdbQRBgCQJUCSBn90xHAQBdIRi8Dhl/RVFCS3tEXCcaOg87pPlwmPTSyABoCnSoMNWdlgQJfy6fpdurBkThiLGmucpghEWt16bh79u+1znoyo87nVVxaBtBAiCwNs7j+LKvGzDnNDel9fjAG2zqRvsXcXVjm5jTuV+OE5KIrmcpVgVE7cHWF3+kgChy1MwFIFp44fgJzcNVl9b2ZEMD2NAlfvvaweB5yU8tLrLDs+ZXIi/bvscR5uC+FHJxZh4XR4WrHwfXo8D024ZrM+LUSQEXkLvLBc4TrSMybR5AMUfueUHAzFn6Xsoys/BpDGXmhYuNR7xgySB0YX9VLs+t2KEOgfleQrcf3tBfCNewuxJw3C8LaTmFpJ29D9HTuVfJOYzJEiWeWEbReCt7Ucx/LKe6rrcXZHPnddfhidmlkKUJNA2EovuLgPHi5AkCeEYh7FlAzBpzKXoDMVw09WX6NaGovwcTLwuT7eBXlvp09GRWMF4BiIsSIKA1+OA004nbD52UVht39uE9gCLCaMGwsFQeHRaCUiKAEkANooAIUjf+Bz4yj53Xl7eeAC/B7AfQD6AvQAGA3gPwAW5CaiFZ1Ek2yu3yJsRuy+qLtO9L4hd7a1mxKyLVu/AEzNL1aAqL9eLCaMGyhw8vKRuAALyIu8PRFF+XR5ql201XLtq3BAAQGcohrqqIjgYG1Jddqx5c2/CNRt0u+tW7adHmoJYuKoBRfk5cNlpXXV+4xE/Pv+yE1XjhqDxiF8l60wcQxAk1Dy3VX39RbM8plJdcq2vP1555yC2723C51924qlZZRAEeZOKtuk3qc1aYk9Vffd1V+ddiCIIIgh0VYEIAHhQlvqrVK85GIDjJbz05ieYNn4oKJJEUX6OoVIYEmEgMl2ydhcWVZepRNaKZHudIAkCe/a3wJffC5IkgSII7N7bhJGDe5vqEEkQagWo9v1F1WWm90ORBB5a/k/T48+mdIdmKLCCXBBAkaSayEjK1yeCICKrhwst0QAgSAYkRUXHbYDp54mitQsSAIYmEWV5eFJo3Pz9garNDIQ5FOXnYMKogYhxPNxOBiRJYHRhP6zd8CmmjR9qmEdrN3yKqeNluA6t/Vck2+vE8dYgJo+9DGluO568p0y1q4lrhGLzUxw0wlEO7fEAQRmnatwQ1Z6WXtHbUDFfO6UQHqcdEiRdRagyR5XzFfLwxPv8ojmo3tddN+VDkgCKAh5+Tt85/uzLuzF/arHlWvldhpMxs7+nK6ezfgmCCBtFgicBCVA3ZbUdf83+CBbHfY2i/BzcUDYAGWkyxFBHMIpWfxhzlm7FY9OLVcdXOW/R6gYV2ujRlbJdrRo3RIUUVRIT/kAU7jifQ11VEeo37FMDYH8gCkGQQJIEBEFEjJVgZ8x9KyGuK81+mRRc6RD4ojkAjpd01XaKHj86vQRHTgSwbvN++ANRzJww1LDuKDAzjUf8WPnqHlX3i/JzQJEkeFGEBCu/rvSs1o6kJCUpSTET7bpw8mRItvMEAQkASeh9agDqGu31ODBh1EAVEo4XRPzXqEF4ZMU2vd1e1RBPtgEkae6HkCQB2kZAEEWkptjB8qLaMd14xI9nX5arlMvHDEL9hn2YMGqgwf4uWt2AWbdeifIxg8DzIr5oDqJh73GUXtEbdsaGuqoiMDQJjhPAggRhIwGJ0HUmNvsj+OUfduKx6SW69xS/QitJn+L8CQ90u/4JggiCItEejOm6ibRr9eK4v5Cbk6rC1GnzGjab+bqvrO2KfntT7XA5aBAEMGHUQKzbvF/1dR+bXgKOF+H12NHWEdXp7FNrPkBdVTE6QzEAQLqHgSQBoijhWEsQF+V4cLQpoPOVE7ujmv1y5f5j00sgCICNIg25m2dfliv7Ff/cH4iqGy6n4zckcxNnLlr9rBo3BBRB4rHV29XfRckjLaoui9tXErSNBC+IiMVE8HwM4SiPnB4ueD0OXdeT8pvNn1qMjmAMqS47jrd2qjqsiFWsdLw1qObw7vjxpbDTFARego0i8Ov7r4aDodXiiNffO4iOIKv6oRt3HNX5qBt3HMWHn7ViwbRizHxyE4ryc1AytA+QCnVOKDZZ8bHLxwxS0ZWU76PMRa2uW90/SRKYb5o3Sfq+pxIzu6nYQ228TsT3VO3xOIgToOYtKBBgBSDGCTp7ptoRAWrxoyI/Ku5vsFtPrtmBqnFDcF0RDUHo6vyrGjdERUxRJNvrxE/LC2BnKJU/XvExJo+9DBlpTlAUARIEvKkOpLrs6Jvjhj8QRUdQhmweXdjP8ruvfHUPKFLm+b1n4hVwMjZwvAhRBKIch2X/t0f1ZdLcdrQHYnj13c8wurCf2jyi5FkEgugerlpjT20kCTLeuZW0rReOWPsXZaAYChwnx+AUJR9f89xWLJhWbJrnJQkC1/r66/Icowv7qRuAyviKLj66crucAyYAjhfjEOESbBQJl0OmJQvFONTHO2eDERazbr0SJEkgI82pQ0tU7nthdalqS632UYJhFhwvdWuflX0mZb4r5/7ivqvBRzmIF0i6+VwU3j0OYEpjY+Of8vLy/I2NjcPy8vKmQN4QvCCFoYCaSp9uZ7mm0geKMid5TewcfOXt/ZhbUYjFq3dY7hQHI5zaFq6txHzynjL1eG1Hn1XnnjfVDhtJgOX1XVlmFSk8bySgT4TIWvPGJ+oOuLa6SFvloZC4mo1x78Rh4OKtV9oxtWPMnjRM/Q5ej0NNcifeh9Iq7vXYZYMO2ak/XzCB/+li1eEKQjKtBgYJuGjSUGVRU+mzJLIWJclUJ2iGxOUDMjFv2VbdODYbYXq8FaEyQcL0fuwMaTk3z9SImXGCKpBGyY3Ab6eY2YWaSh/+9v4hHRdOe4BFw97jKB9zKVa9vjc+LxoSdFOv+0onn1JgUZSfg9pKnync6NjSAbqOv3snDoNbs0aYdXFrbW+zXyYRVrqhaLoruZLtdaKuqggxTkLNc+9ZrhnK+Qp5uBaCYO1jhhsAACAASURBVG6FD1t2HzXcQ22lsbq02R8BRRGYV+nTwckopNDJmXLmcrrrV2J19H3lw0CRFjaTMNrM+8qHId1jx83XDEBmutP0PAdjA0V1dRF6UmhTDoiaCh+Wxys4tWt3TYUPICTMW9ZVsfr0fVcZfKu5FYV45e39umunuRncf5vcPS5YVAX6O6Mqb3CK3QZBknRzobbSh7UbPgWg90WUykHFv9H6XNrxk50nSUlKUs6HJMYxRfk5mDz2ctxXPkxXNZzutqOmotCSPsLMbgVCLMIxHq+9e8A0xvvF73ci3cNg4nWX6vxxrZ9xMhDFsnUfqnzbZtfJTHeq0M6KHdfCkSuQekqBilUsLEkS8nK9ulhVy6ub9CnOrwgWnSDa9c8GoHemOcKF8rs6GBtASKZ5jaL8HDUnotW3jQ2HUDd1JNoDMQNf4KYdh3Hn9ZepUFmsIIDlBNXPTtTZYIRFzXNbVd9+Y8MhvPLOQVV/3tp+RHfvVt1RJzujWLJ2l6nPa1bZn+ifW/kNydzE2YlWPz0pNEiL3AAniJixeJNq5z4+2IYfjOiLzhCHZet2devrBcKy7jx5TxnqN+wz2M0sbwruv224DnK2ttKHZes+1HFEae1smpvBi+s16BqVPrzx3kFdDKbMFQDqa04QcfM1A3DVsIt0qB73ThyGv71/CJPHXqb62D0zXKbfp2eGS2c/c3qkmMZpibGscn7S9z21WNnNNDcDoCvmePqlnabdbVadhIotEUVAlIw5Oyu7lZHmAEXK8Jza+WKVN9bmK+qmjkSU5RGNCXhx/Uem1CM3XXMJfvu//+523DQ3g3snDkMgxGLXp024uqAvalfqc373TLwCj2ngwxdWl5rmHwMRFj/91TunFQOb5Y6TtvXCEKt50toRAS/IyHGyP5oXL/JxACY5i7kVhah/qxHXFfXTjWeli8r7LC/o/NSaCh/q39qr2uX5U0eifMylOlt7X/kwRKKc6bgdQVbNm63bvN/gsyu2f1PDEYwZ2d90jN6ZbjAUDHnC2kof0lx2tEU5XChyLvrCcxsbG/+U8N5qAJPPwdjnRThWQKaHkTFba6/FouoyZKTZ8fmXncj2OnXHyhWKpO79jTuOYsvuL7Cougw9Uh2m53hSGKSm0KgYm6+rNlO66wDoOkSUHefEcVLsNBjaZqiwfPbl3ZgwaqDuWKVDBdCTyT734Kg4lAaB+28vQMXYfNVZTxwvsbp+/ZYDqKsqxqLqUpVg0+Nk8ELNtVh8dxlsFIHKGy5H1bghKga61iaXjxlkqBJ4pn43fn7nCCyqLkOfLJcOE7cjFDOtKlDo6q2qDvR09t9NSdRTQCElJkwrHiECoZhoqLJYtKoBBEGYjkUSBNZvOYCqcUN0OsGx5uPwvGR6PCSYji+JMB0nxoqWc/NMhRXMr5Hc//v2ipldWLSqAaML+6mvFRvny++lfmY6LyS97id28m3f24QYx2PmhKGqTr/05icovaK3rstbGc/tpE1tfuJ9AbJO90h1oK6qGKte/xgvvPIRZk4YiudrRscrmEi18shqzchIkzu5XnjlI6x6/WPMnDAUK2quxYN3joAEEWPLBhjuYeGqBpSPGWQYiyZJpMehHFbUjMai6tKk4/0V5HTXL+1xCvSmUtGplWyvE5JktGfP1O9G88kwri8dgBNtIdPzlA585bNAmEP5mEEmyAYNmDBqoGbtHo4nZpaiR7odDy/XV12Hwjze3XUUdVXFWD5nFJ6YWYotu79Q4ZCUa3tSGLy4fi9eeOUjuJ2M6f0Fwpx6zWCEw5827sPC6lKsqLkWj00vwY6PT8hVgtWl+Gl5AfpkufHA7QWYNn6o7nlofS7t+BSZrIVOSlKScu4lMY4ZXdgPC174pwrBuai6FDMnDEU4xiMY4Qwd/b/8w06wnLnPy9AyJ9T2vU0qDPKT95Rh/tRilU9qdGE/Q4WyNsbzd8ZU2+r12E2vc6ItpDt/8eodOn/qV3/cqa4Lz768GzaKMh3neFvIEKu2+MOYOWEoXqi9NulTnGfRrvGKJK5/giCCtpn7F4qfGWV5xFgR9Rs+xeTr8w0+8ctvNeKJmaX45X1Xo66qGC6HDZddnAmOFw36/ezLu1X/e/L1+RAlCa3+iOlxis4qXSqKb3/ZxZnq64WrGlB6RW/dvSvdUWbfx8rnNavsT/TPrfyGZG7i7CTRBxUtcgNaNIln6nejZGgfsJyo+72sfD1FdzqCLPyBqGo3FTvcEYzhxfV71VirrqoYMY6HPxDFhFEDDahIz9TvRos/orOHi1Y1wJffS95UTJf5rT74+AQmX5+P5XNGYf7UYqS6GDz+P9sxtmyAaQ5udGE/1cd+dHoJCJjPXTtNYXF1mRqTuWhKjdP+56HrVJtqlWdJ+r6nFiu7mZnmxIqaazFzwlB1vTWb61adhIo9I0kCX7YGDdewslvpbjsWrmrQ6bhVDkC7dm/f24SOAKvy9pnlPRav3gGGotRCHatx3U4G67ccQEt7FNf6+pvm0SiC1L3HcqJpzoOOt4adTgysHPdM/W7V50ja1gtDrOZJR1zfJowaGPdHd6AjyKJ8zCCcaA0ZdGfx6h3w5fcy2HArXVTeT/RTF63W5/2aToZN8yMMbTMdt60jilWvf4xZt16Jn5YPQ58sNx68cwSee3AUHp1eAgB46c1P8MORF4OhzX1e2kaqNACJuTPyArO952ITsDnOCQgAh/Ly8ooBXAKAOgdjnzfhWAGEIKBXhguEICAaE9QKIeVHVXZu7TSBx2eU4Ml7ylBb6UNRfg6uKegLhpK7r+4r158zr9IHIl6B0xGM6XaKle46ORHWtcOtfV8ZR+m6C8fMd6y1FSmzJxXglbf1Y/gDUTA0hWfqd+P19w4i1eWAJAEhix3wNDeDmkqfDAcZH7d8zKVY8+Ze1Dy3FStf3YPx13wPJAWQ8V83M82JNLcd3lQ7yscMQt3UkdjYcAh5uV7UVRWhT5Z5daEoiiAEASIr6II/jrfiqJMdwO6qGr/rQhASZk8q0OnQ7EkFEC26+gRJ6rZ70EwfCRKYPPZyFdaVthGYPPZyy3EEScJ/jRqEla/uUXXov0YNAk0TqKn06cavqfRBsLpXUTI9nqHkiiGJIsETBCSKBEV1b9Ys71UUz3ispFwYYmUXlK5m5bVM3kurn5mdw4uiTvfT3IzhuN+99jHS3A4sWbsLC1c1wB+IopdF1SbHi6iN6253VU1KlREviljzpkxa7w9Eke5x4HevfYSHn38fJEl0u2bUVvpA0yRyc9y4d+IwXH6xF2luO5x2CqkuGY6a5cz1v0+WW7fGzav0gYKMWU4IImySBCKO8Z6Us5PTXb8Sj2s84sfLbzUabODcikKELdZzhWu3fsM+/PyOEQY96d87Ff/e16zq0LrN+9Grm24A5W8Z1x+IxWSIm7xcLwC5y5UgZD7Cu5/ejBlPbsYvfr8Twy/rabj2M/W70XjEr8LTma016zbvV6+ZmeZE5Q35ON4aAkUB0xdvwh/+3oiFqxpQ89xW1C7bCpYTYDNZ06zmSbISOilJScq5FooiEWMFeD0O1Fb6sKi6FLk9PfB6HCo0UM1zW7Fg5XbYKBI2yhzlIhTlVLulxFMLphWD1HSEK+PNWfoeOoIxHQ+VVYyXaFslAA/cPtxgH+s37DOcn+hP9c1xq4VQZLzyOdGO12/Yh4w0+Vk8eU8ZFkwrwTu7vsCCldtBkkTSpzjPYoPxdzFb/yQY40elY+/+24Yj3W0HQ5Movy7PtEt1+94miKKEB555F3c/vRmzf/0uFq5qQCTKW/oUzf4IOkMxkARh2QGTqLPK+95Uu+51rwyXitLx5D1lyO3pwbwpRn3U6r7SUaV8btUNeVG27Bs/PqMEDGWexEvmJs5OtPq5bvN+CJKIuRWFBj83EU2CIo25LDNfb/akAricNiyqLoXTTuHBySNUSpMla3eBtlHqZs6CldvRGWLRGYrhd699jHsnDjON/xT/2iy+rKn0of6tRthpEt/rm47OUAzH22S/tbU9IudkuolXm/0R+AMxLPnjLrR1RjC3wqjDEiRAEHQxmRKnZXtTQMTtKQECj00vQV1VEfJyvUnf9wzE2m5KACQsWLndwI2qnevddRLOnzoSIICMdKfhGh6XjJKS+JsLEFFXVYwBfVJ18yUx/1xTYVy7CaKrw9DKN1DgxQFg047DhlhzzuRCcIKAyhsGo2HvcUtkGhtFoLbSh7xcL27/YR4YmsTsSQXqe8px4RinO6+7GDgv14vaSh9mTypAbk8P8nK9Sdt6gYjZPFHWWUXfFJ1r2HscvTLdlmu9J4U22PBNOw4b1gPFLzHT9UQ/1epa4RhnWCvmVvjgcsrW8eHn38fMpzaD5QT87NktqH5qM2Ys3oR5y7bJvo4kgSKBmgT7/MDtw2X7DHwrcmfnYi14AUAZgHUAlgD4BwARwC/Pwdhfm1AkoasQUrgZvB47WjtihpbOjDh0oIumkJLlUnkabCQBChJ4Sa7oAPS8DUp33cLqUghCF7yVtnMvp4cLXzQHsX7LARk3WRR1YyhjelIYLKouVcl+jzYFdWNQJIH2YBQP3DEcwTCLuhXbcF/5MGSlO03HS3fbEY5yuOnqS3DrtXnwpND467bPMbqwH275wUCkue3gBAHL132IG6+6BOu3HDC0eddW+jBh1EBc0x5VOQ3NrmXF/0BbcAsox1MaGJnTGe+7JCRJgGH0JNAMQ+qgdxTpqgYz/wzo6vhTMJvXbzmAGbcMRSTK66BpH7xzBBzxjliza6Q4bbp7SnHaQBKE2o2r5eVjBXOeE4rUHB/nHGQoQBS6h481EytOUBtFwh9KEsF/G8XKLihdzcrrzDQnJEi6SqLEcwRB7l6ddeuVyEyXO60Sj/MHouB4Pq6/sl3iBXM77e+MIc3DYOaEofBazJMsrxOL7y4DScqk2lNuGIy7bhqML1uCcNgpVI0bgqnjh0AUzdeM3llutLVHwdAk5vymC+a5ptKHjw+2ghiQifoNn2L73ibUVRWZ3sOxliAWrNyuOkNOZzJUPNdyuuuX2XEfftaKyWMv0+kcRQGChc2MsjxoisTlF3vhdOhtMC+KWL7uQ0y8Lg87P2lC1bgh6N879ZTzSNaTABiaUmFZFCjc7w/vq3YdJvo7Cp+PbP9pHSeLcozyvY61BFTIHOWaJ06GVN1UNqm3720yfYaJ30E/fpJPIilJScr5EQXCKsbxmDz2MgOMkJJsBrT+iLn9DoZZbP33l3iiuhQhjY9rtX4r8SYAS9/Gk8KoBRjKeyc7onhr+2E8Or0EHcEYOoKs2gWjFTN/igCBVa9/rMJzeVJodZ0JhDkVHcaTQuPJNXqoyECYlbm9+CQEx/kUQRDVivRuueok4C/vfKb6vbSNBEEAU8cPlRF+JAkv/GUPbrzqErQHY6b6RRAwrM1WfGWKjio6RdvMfY8eqQ6sfHWPLuGe7ZW7UhSY2WyvE3Y7hUk/vBQLX+yK3x6pGokH7xwBj4vBly1Bg1/RGWKxYFoJOkOy3rd1RE3voSnB/zCLCZO5ibMTrX6KolxgHgEfz6lJoEgSr793wIAmIYhAMMya+nrzpxaDIACGptAejKq8adleGcb4qVlXIRLlceJkyKAT0RiPSExQ84FKotjM3nK8pHsvI82BjlAMgTCLQITT5UlqKnz48z/2dxuDqV23MR53Xn+ZytE9c8JQ9MxwobU9Es8LDun2mVpB06Z7GBCClPR9T0O6s5sKKkt3c93KHmSly3Zn/gtynF6Un4PHZ5QgEuNhoyhwgoA0N40Hbh8OkiTgctBwp9Bo64iqHU3ac5x2WrVxkiTnAc04L5XrW/kGFEniseklIEgCkCT8ddvnqBo3BBlpDnhSaPzPax/pqFWscocKD+yC6cWIxszhnf2BKPydMctnp31+ZhQV904chvVbDiRt6wUgXfOkDK0dMpymYlO163tRfg6+P7wvTnZEEeOsfQLFhj8xU553oiiBpknd6xjL40fF/eFx0af0U638D39nDOs278fMCUPRJ8uNYy1BPB+nPdHqKa/Zp9Ge33QyhMx0J0BAl1txOW1gbBQ4/tthYwlJOrcTKC8vLxeAq7Gx8ZNzOvCZS38An7e1BSF2Uy2QleVBS0ug20XzwXhSVZFsb5xYNwG/mAdkRliJgI0CeFFCNCYgEObwqz/uhNfjQPmYQeiV6UYwzIIgAQJd8G7aQFEJqiiKQIrdhmCEwxMv6u+NE0Ss/MtH6mRTSFsVA1l+XR5AEIhEeZWYNbenBzaKRIzlsWDlP3XXBYBn6ndjUXUpQBBgOQEEQaCtI6Le0/ypxSBJAo88vw1V44Zg047DBnLPaeOHqnw8ZhxY8yp9SPMwpgSvGRlufP5lxzfGCZiV5blQenX74wz0FwBAUZj7nFFXf3nf1QiEWTSdDKuGKqdHClJdDERJrk7TcjnMrShEVroTR5oChiRGnyw3HvzNFtNrtGqcFGUDIjPNgaV/+pdRR24eCsIk+D8dvj7td5YoEiv+ssdEB4eAoWRSZu0mI8cKltfo4bHj5ybfLXGuf53SZVdkx+xUyetvtf6epmjJohX7AcCUE1DZ+NLaHUGUEAxz+OPfPzUUMcyb4oPTYUOLPwKWE7Bs3Yfwehy466Z8FZJZmQsOO4W/bj2Eidfl4WRnFLwggiQIHXfEvROHgSCA1949gNGF/eBNtSPNxRgc6vVbDmDmhCsgCJKqrxQFHGsJ4URrEFcMygZAYPtHX6JocC/wvAhBBDY2HMI1BX3xzq6j+HHJxQaS8WyvE3VVxViw8n2V0Dwv13taCcqZE4YiN8cDG2B43ucriPxP19/TWb/kbmTCwKWrFB+xgqT7LSiaRGtnTJf8mltRiDQXA38ghox0h7oxrIiiF8EIi8w0J9Zu+BQ/Ku6PxsMnUXh5T/gDclJs047DuOnqS3QbfopzrOiTVse8Hgem3jwYLCsi3WOPb1QSqN/QiA8/a8V95cPwjw/kDUPtvJs9qQAMQyIWE+FNteNEWwj1G/bpeAi1urn4njJEYwJIAhAlwGYjYCeI8+YjnO7v/G3TX0D+bjc+8OppD7z+l+PO2GafLzmb9eNCkgvt/r+t+vt1P0MzH0QQREgUiZrntmLWrVfiN//7L4PNnTlhqG4zId1jBwEJ7UFWF9/df9twpLpoNJ0MA4CaTAZgun4r/MFPrflATRIauLUrfLDRBFrjXSxRlkeqm0E0JnOx/e39Q6ofzQsi0lPt6rGSBORkONEeYBFleRAg4E21w98ZgwSZFzbb68TP7xwBiiR08YTC3ZpYtPH4jBLk9HChrS14zn6XpP7qJTEvIYqiXCxKEaofwdAkRAGQCAmBkLkPPbqwHzbtOIzJ1+eDEwSk2GmEoxzWxj9LczNIddmx4Z+f44cjL8YjK7p4guumjgTHizr/ZPakApAkkOZ24GRHFJs/OIIfl/ZHNCYY+Pje3nkUPyrpj0CIVfU2M90JiiJAUxQ6QyxcDhq0jUBNPOGsiJIXWbd5vyEPMbeiEBwv4Jd/2NXt3DLzPxZVl6nPUrsx8FX9jgtIf4Ez0GEzsbKRWknUfcV+an/DovwcTBpzqcqPreTQKJKAJEloaY/ofq+5FT5EYhxee/cA7rpxMH63/iPVromSBAIEeqTaQVEkOsMxdYOwKD8HU24cDEmS4O+MIdPrwLHmINwpTBy+vitmUzi317zxsfrez+4YjiyvE8vXfYif3DTYNBbrLgZT4sBJYy4FCAkLX9xhOP+n5QXITHeAAAzzWHnGPXq40HwyZDoXzncu40LUXwCm9vV09NNKTjeOMzsmzcNgzm/eg9fjwIRRA9WGE4amMG/ZNgBQdYzlBPTN8YAgCLS2yxss6zbvV3O+C6tL8cZ7B/HDkRcjEGERDLPok+3GyY6Yjt+yptIHxkaiPRjDa+8amzdqKn2wM6Ss92lO/G79R7jp6kuQkSYXdrS1R7H6jY91NnBuRSEIgjDwur259XNs3HEUL9Req3ISK6L4QOkehy430x0noD/OX5w4zuMzSmAniTOO6bpbby9E/T0fObTzId1xOKZ7GNUmej0O3HPrFegMsabrrD8QVfmn2wMsJo+9DF6PA4GwvGmc7nEAkF2acIwDJELvs1T4UP/WpzpOwM4Qh1fe3q/6KmkuO/5v8z58+FmrpX86c8JQMDRlmq9Q/JJbfjAQkRgPB2MDy/FoaY9i047DmH7zUEiSZGpbzvfvdaY6fM5L/RsbG4+c+qgLT6yqPmIW0GlaYl1F+dfGybIVousla3fFE8iX46lZV6E9EDNMkH98cEit8pFhYcKoGj/YkCh+uKoIj88ogSBKONEWwrJ18o717EkFWPW6bJxze3qwsLoUgMxBsfzPe9A3x41JP8wzGP3ZkwrwwO0FSHXZ0doub/JV3nA5vB4HOkOcym2l3OfUmwfjhVc+QiDMqlAGWekOU8JXCV1t3Eq3StW4IejfywMbSSLK8erGauICQJJEt1WLp13V+B0UK5hLUZIhALVVabWVPoiSvEn95tbPUVdVDIoEBBF45e39uPXaQSp/ibK5tuaNT/DA7QWm14jEBHzw8Qk8MbMUkiSBIAi8vfMoRhX2NdURKxEFCS6nTd5s1iR4RatqHwKm49M0idaOmOVmolkXYoQTTjnXv05JEs0bpbtnkmgXGIrAtPFD8JObBhvsTlF+jgxhRRJyQB/vLqUggY8voQqUsdfjAEUR+Gl5gbqxYbMRsNMUbigboDq62V4nHpw8Ao/PKEFbR1SthJ9xyxCDjs6ZXIjy6/LQ0i5XmwIwrA9zKwqRke6Ay0Gj9rmtqKsqwmUXZ+gq62oqfeiR7sCPSy6GJJnDcyiwHQpEgsIxp1TaihKw5I+7DNAmDsYGEIA/lNTBcyWnWr+0+q1UAPfOdIOmSNAU0JawMTh/6khwcd9j1q1XIssr87ZqfYfaSh+8HodON5r9EQQjLOYsfU8XnPZj09TkhbYQqvKGy1V91kLOKWPRcXgwr8cBQZDw6/qujfD7yofhlh98D5PG5OGpl3ai8YgfR5uC6trSI82BP23ch+tLB+DX9R9o9N+HVBeNp+PnKOL1ONAZZA3JSqeHgSAkfYSkJCUp50+680Fi8djICuKzT5YHz88djS9bg7oYzu2i1QSvKAFtHRHwooScHi4DpUTX+l2GFn8YgTCHVa9/jL45bl1X07u7juLxGSVQ8kcOhsTx1rAuDpg9qQA5PVJAJPjRRfk5mHjdpbpjlQKOH4zoq7Pvcyt8akcWz4v4+44jajxB2ygQhKRLsCjPggBxwfGj/CdJYl4iMelbv+FTNcmmJOOUDhP5t4HqQ4MAUhyXYM2be3HjVZdg4YsNqKsqwq3X5hk6PGmGxMLqUvCChOOtQTxb/y+kexg8PqMEMU6E0y4nzOa/8E/d/BEkEQxNyVxoBHD4eED1jbmE+LWm0odgmNMV3Fn5OZ4UWpeH6NfLA0kCWF6A20lbzK1SSCJAkDD4H83+CFo7IjrfSfGHk36HLGcbu5pBKG7f24RpNw/BU7PKDIVx9982HBfluLHo7jKwnIATbSFdNwdFEaruez0OTB57WYLtKpTjIEnuKnzk+W06Hfvb+4dUP7qmwoefjBsCkiBAEBJe33JQh5LF8gJEUULV+CEIR8wh+hNjsMemlyAc4+FJoRGMcBhd2A9rN3yKSWMuNdXljHSHzj9PLHStrfQhPV3qFpo2ie/y1XMrpzPXEztcJUj4n9c+wvhrvmfa3aZdR70eOe4327BTCjEbj/hBEASuGd5XV3TxszuGIyfDicdmlEAQJLR1RLB83YeYccsQ5PRIwV03DobNRmLR3WUQBAm8IOLP/9ivFmnygoD/vnYQYqygmw/aXLOSH9j672OoqyoGbSPA8RJeeXu/2rErWVD79MnywEl35Was7KTy/FIc5nCOBM58AzAp50+U3+sX912NaIyHjSRBUFBzB6E4LHizPwI+3iX36PQSiKKEQJgFL4iYPWkYjjQF8ebWz9XCjZ6ZKRBFQJQYdARjeFhj/+6/bTh6pNox69YrwdAUvB473tn1BUYX9sNdNw6GKAExjsfGhsMGX6W20ofrinLhSaFN/dM+WW788g+7DPkKb6oD/7dpH74/vC/mv/C+bl5u2nEYN151CSRIahHGhZ4z+8qEV3l5eVfk5eVtzsvLO5mXl8fG/3F5eXnsubjBr1PM8FtPh1RbITBVCFdHF/ZTndPGI37MWbpV190GdJFT+vJ7YcHK7ahb8T5YTsS8ZdsQDLN4aPk2VTGb/RG0+iM41hJE3Yr3VSzqZn8ES9buUolmj7cGcfBYJ442BVT4jI07jiIaMxKzLlm7Cx1BDnUr3lehDwJhDuVjBqkbgNr7DIRkQs+OIKu2kzO0zZTwVYHPU6TxiB8rX90jV25BUp1/5ZxEgtdT4eh+G3B2vwkhSXNid56XTAnLOU6G2vjws1aVw+nupzfjw89aQZGkiptf89xWlfeMsrgGRRJ4q+EIpi7ciGmLNmHqwo14q+EIIBGmOgKLPT0ewEPLtsn4y09uRvVTm/HQsm3WBMAW43OcZEpaHG8mVDlBZR0SwLHCac31r1OSRPNG6e6ZJNoF+TeWXyfane17mzBv2VYg/vtToggIcX5SSe6IPnQ8gGyvExNGDcRTaz5A7bKtqH5qM6Yv3oSa325FJCYY7uWpNR+A4yWVK7DxiN/UTj65Zgda4pDJjUf8KB8zyDDW4tU7QBGk+j5D2wxk8otWNYBjRTy0fJsKW6CVbK8Mm5Pt1UMk+ANRSBJwrCWI461BU0iFKMvrqqwSn3dSzk66W7+0+q1wlDz8/DZIkMAKRjvedDKMJ1Y1YPveJjz8/Ps4ciJo8B0WrmpA+ZhBunvI9srE3dpjeF4y6OnCVQ0IRQSdPivnayFCCYJQ54rSMauM8Uz9bjSdDCPKiqqeKVxWS9buwuHjAfjye6mICMp5i1c3gOVEg26azRWtbT/Vuh1fdAAAIABJREFUM05KUpKSlLOV7nwQxYdUYiStKL7kw89vM8Rwrf4IapdtxaHjATzy/DbMW7YNJ1rDaDoZUuGMtCKv33o/w5ffC3UrtmHO0vewcFUDXnnnIB5avg1HTshjCgLUuFS57yVrd4HlRIgidLZ/dGE/gz1+pn43bv7+QHXDSHl/8eoGNQYNhDls3HEUdz+9GY+seB+AZIgHlWdBJum2z6sk5iUS18vRhf0wYZT+99y+twkPLd8GkoTOh1biLP1YpJpUU8Z99uXdiMUExFgRj2j0XBn3eGsIB491mOYAOoMsfv7sFsxYvAmHj3flMCaMGmjQ2/ZA1PCelZ+j+ClKHuLw8QBmLN6EE61hHGsJms4tudMKONoUMPWNDb5T/LOk3yHL2cauVjG4TIFn9H9/9cedOPhFByDBkBt79uXdINCVH0jUdSXGOnisE4e+7DSNrUYX9ut6vboBx5oDkCQJNb/dilfeOajysT7y/Da0tct82V80BWCjKNPvkRiDHT4RQIs/gtrntuKnv3oHC+O+vJUuC4LU7T0uXNWAjlDsgstlXGhyLnIrpzPXlWNIEmpcpuRZE22yso4CXTGOme1+9uXd6noLCWqHtfL5L36/E4e+7MTh4wFUP7UZDz//PvyBKNqDMRw81hnPX7wHUZTw0HI5p7Fxx1F1jWdoGwKaLi1lXCXXDHTBIf7h7424++nNOHQ8gAUr39dB9ioxoVYUHdTmZrqzk93l4JP+w4UngiDC63HAJsmcpaLQ5aO2xDfEAKClPYpn6ndjxuJNqH5qM+YsfQ/P1O/G8bYQFq5qwMYdR9X8wKEvOwEJaPGHDTr5qz/uxLGWICIxAXOWvoeHlm9Dv15pWPnqHvCChLoV29B8MoLSK3obfJWFqxrQEeRwpClgql8cL5nmK46ckPMVZvNSma+iiK9kW75OORdFIWsh8wHeCyByimMveElsEWfiJKeJFSM2AErOSam6UcgvtcSrebleTBg1EL0yXKbVDBdlu9Xqj3BMDhz75nh0HVjrNu+XuzJg3unRN9uNuqqRcDptYGMiCAJYVF2KppMREISMtW9VIdfsl4lqZ08qwF/e+QyVN+SbXsPB2JCR5sA7u77Apf17YMG0YlCk+bjBiEy4mcgVaAPUStnE8QVRgp2SN554gvhOV9Gdjih62uwPAxQpQ/YREh6cPEIHm+JxMZYdgoIowc6QqJs6Es0aqNDsHilgGBK1U3w6CJfaKT7QNIHZkwp0FZizJxWAogg8UlWEFn8X1FCW1wnRohpIlCQwJnAMMVGC1+Mw6L9VBZto+d2s37cyegrBbXdz/euU72o1X3cwHafzTMzO787uJD5LRQ/WbvhUrmy2yVX9ii1X9JKMd9gp73tT7XA7aTA0iSdnlYFlRXSGWfW4xGunuRkAssPRK9Nteoy2mq677141bggEScT8qSN1sL9ZXic2NhzCI1VF6AxyKoesx8WAIID6Dftw961XYG5FoQ7CS4Ejs55fEqi43fku2mgz+3sunoP1bwwA+s/ycr3olenG7EkFqp20In2/KMeDuqoi3brwwisf6Y6xqtwkSWDBtGJTiM6i/Bz85KbBiMR4PDqtBKGoeQW0g7GpRNyJfsHaDZ9i/DXfs7i2cb3pbTFXFJ38rlfhJyUpSTl/0t06bCcJne+QaOtAmJ+rxHfrNu9Xz1u3eT+m3TIYDtqG+8qH6aCTaqf4QJIEHp1eguOtQdRv2Ic0NwOvx4FZt16JjDQnSFKmcsj2puDR6SUQLOy7zUYaUASs1hHKwpfJSHPg8RklCIQ51Fb6sGnHYUwac6nqW5n51SDkRDhFkUk7fR4kMS+hFeV95e9E3xYJewWKH6gdKxwzX+tjnACn3bx7Q3vNxM/6ZLlRV1WE+g37sGnHYVVnlGtq7zHNbTcdo1eGC0X5OSrsl9djx1+3fQ4Aus4pAEhzM/jda3u7zVXUb9hn+FzpxNFeVxABe1KPVTnb2LW7GNwshvN6HOiV6YYgiirsq1KoplxPOcdqHqS5GTA01a2+Kq97Z7oRjvu4iXMm3W2HJEnom+MBRRGYM7lQ13miQNwB0OlR5Q2Xm167Z4YL2V6nTu9iLH/Ke+R48YLLZVxocr5yK1a5C+311m3ej/vjiFqJOtQn24XnHhwFG0V0a7sv7p0a50gzj88djA0pDtmIK138KXYb3tj6ucrdaxXviZIEB2Ntv5WcnyuFVmNKSQLqpo7EAk13tyCJqKn0GdC47DShK9g8FSxrUpcvPDldKF0bgHmVPjyxqgHrNu9X/Vjt38pvOm+KD7SN1Nm8+VNHgqEpCKJoqZMOxgYH0/U6zc3g3onDwHJy5+G6zfvx00nDTM/NzXGjrTNqyIErebPEtV/xH6zyFcp8TYRvbfZHAEKGuz7XOaOvKucil9wTwCONjY3fenZOqxbxDA/Tbdt3YvWn8r+25btq3BBVuRVRqinuvP4yrN9yAKkpDO4rH4bOEIeVr+7RLf4kCYSj5gSXJ06G4HLSiLA8nlrzgQp7cCpce+U+ZXJ6CT8q7o/W9ojpNaKsTBQ//LIcXbLYbNwWfwSbdhxWOYe8HjucNCl3W1kQ6jI0CX+AxcJV344W2m9SLKEMPHbwvKSDTbn/tuHWJMYUAZIgDFChNZU+kARA20gd4SltI+WNcUb/PsOQIEkC4SivG+dndwxHip02vzZJwB8ycl55PXZT3WVoEiJrXPJJSzJ2K+JmEhDMXYcLDc7lu0g0fyqYjlM9E6vzU1OY036WgiAiI45hHo7xcDtpFOXnGGA5ait9uPmaARh+WU/d+w9OHgGel1RMfisS+Mw0J5bPHQ1JkmCjzL+XUk3X7I9AsCAoJklg5at74PU4MOXGfAPs7w1XXYK2jogOBuf+24YDANI9DEJhDn955zNUjRuiJk4cdgoSJ4KPXyPxmofildrfRRt9PmF6rfT7WEsAHN/1+ytcu1q4FtlPMJ5flJ+DYIgzrAtaKcrP0ema9ton2kIqj1VNhQ+8KEIURTx453AEwpwOnujxGSWW/gMXlrBu835VzzLTnXDQJKaNHwoJ5np2skPmoph165XITJfvpdkftpwH3xYIjqQkJSnfTunOB1F8yLv/+0pwvBDnDpNAknKwzUvmdi4aT+4qsIUzJwxFnywP7DQJCUAwwqrQSaEoh2hMwMIXEygVUu2YcmO+jgvovvJhiHE8fvPyv1E+ZpDptSFJakeU8pkSGyYeq6AKJL7vSaENMNIZGh5vM1i07viAkvLVJTEvkfibKV1JVr6t9jchTcaKxszzES6HzTLm1KIHdOdTgpAgiRJmThiKjDSH4R6tfOqOEGuA/ZozuRDXDO+LFLsNKXZKA0MnFx0rMKEKN1e6h4EQz1Uonyu5DLeTwZo39+rgQRX/zOtxJPU4Lmcbu3YXgyfqlMKrl+gDK1CJ2V4neEFUz7GaBxlpDoiieWyl7dxTciZuJ2M6Z+ZWFKr8hPdOHIadn5zAgmklCEZY+Dtj2LL7C0y+Ph+3/GAgMtIceOEvcqer1X35O2O6Yuj1Ww7gR8X9dc/L7B5pGwk+yl1QuYwLTc5HbqW7uDAxviFgbXcVnlUr212Un4NAmMXi1Tss88pRlkduz1QsnzMKIAh0BKOgbCRGF+aqBZVWNlQQJBV9IPGzLG8XD6Evv5cuppw3xYfH43RANooERQIeB62j3bHTBNho10b26cTSF1pe7rsuZ5L/EAQR6Sk0FleXgRcl0DSBxXeXgRe6/hZECZIk+4TtAValQHEwFNqDMcx/4Z+oGjcEtM18zkZZHhwvqa97pDqw8tU96hxqPOK33Nc40hRUC9YSc9cjLu+JFLsNdVXFiLIy79/GhkOovGEwKNLch1Hmq5CwCViUn2PgW75Q/F5q/vz5X2mApUuX9gSQMWvWrD1nc35eXl7G0qVL1y1duvSRpUuXTl+6dOl1S5cu3Txr1qxwXl7eyKVLl76xdOnS+5cuXXrj0qVL/z5r1qzQaQ6dDuCnkQgLqRub7nLZEQ7L0A4iSehgKkJRHrsam/GDEX3llm4AhCQrrCIURUICgWsKLoIgSLi6oA9ef+8gptyQj0v7e/Hm1s9RMfZy9M1xoyi/F3Y1NiMU38y7d+Iw/PFvjXhn1xe4f9JwLFm7C9/r69W1mYaiPD460IrrSy+GzUai4NJsfHSg1TDG5g+OovCynhh8SSZ+VNxfB22gjDHlxsvxzq5j6nl/e/9zzLhlKDhBAENTiLIC1m+R733PZ13XuP+24eid5QJD28DYKAwdmIljzUEcaQpYjnvjVZfghb/sQf1b+7B97wn5GUoSbCSBwoTnUFvpA2OjUBfH19U++1GFuSC6+wHPsbhc9gVf28W6F0v9tdLT0YW5eOx3/8/eucdHUV7//z0zu5vNZRMWEgIIQVRcLiaahCQS4g2VfisqKq0EtRBtAKEKVVsVaAvewEu/ta1WWqUtYqvU1i/ihfpTsFouCigqiLggIihIQmCTbC6b3Z2Z3x+7M9nJziQBggTdz+vVV3Ez88wzz5znPOc5zznns8Hw+5bPDnJZ6akUDs3mg5gxn10R4VsKhhW9rrF2zwfeai4sHMAv/7ieleu/YPWmL3l78z7e+6SKCwsHsPTVTxjYN4Mku0Rzi8wraz6nwNObe/9sfPZHOw8y5tyBDDutl0FmZ5Xn0yMtiV89Gf+9Ly7K4YGY8gaa7F48IgdtIGLnrJU8pafYKBjaJ+6dXU7Jml+QSB1zQVVN5/o3Dat3S7VLlv06GeQ3FrHfEqxlW9MDHY2J1f1jzs2haFgfw33zp5yLXZIIA4IkYosSzQPICMxdtJ4X/vMZJWf1oTT3FB5cuimu3enjz+a51z9l8thhXHvJmVxQ0J8ku81QauRATRPTrsk1zIHbJhawYdvXDOqXjqpAbUOAc8/qa9C7c24sJs1p54KC/ow7/3SSkyVGmMj0qg17eP/Tg0y7Oo8//OtDkzk1gPv+HK8XLi0eSN4ZWSx8eiM79tay5sN9rNq4N6KvCwegyorpeM+ckM+y173srfJ3uY4+GeS3Ixk9FpiN96zyfP7+mpftuw8zqzyfD3dUc8P3h7LohY/i9OSVF5xOURtdf/vEQu77y7tx33/mhHMYMbQPl5cNouycU/A3BSkcmh2nq//+mpdDdQF9bSgc0puG5jDpqQ7u/bOx3WpfEzPGnx3X/54ZTl58+zM++qwG757DXFQ4AJdTQg4rqAggqHH20Zwbi0lNtvHaO1+Qd0YWv3n2fVau/4L9BxuZerVxPsXOg67+JhCvp9q5rtvLb1ukpibx3OveTjd83feGdGosvgl09rt0V3S3/p+s8vtNjaEkiQiSEGdLxNogqqrSy51KU0NL1JZUdVvSJgqMOucURgzN5n/OPZWys/txaXEODofEh96DNAbCSKLAmJKBpDkkBJtAS0hBVeDeP7/L86t3Uprbl8eej1/nLyocwP1t7P+Pd9UwbFAvRo/I4fUNeygf4zHozTk3FrN05Se8t72a6ePzdPsjGApz8zVnG9aRWeX5/Hv97vg2KooJyQqegT2pPtyk2wXang9a7WpBithVO/bWGvrehXo6Ib9RaLbES2t2MemyYXHr5fvbD5DTx8V555xCbUMLu76q09f5iN04EFUUkIkEhBYP78N7nxzguu8N5cMd1YzM7cu5Z/WNsxeCIZmUJBtFw/rG2aovr9nFB96Dpvaw3SZSmtuPhuYgvdJTeObfkX1mzwwnZ5/R22B/m9nUs8rzUVTVUI68MRDmwx3VeAb25Nd/f59Lzz01chCtqoiiwEUj+rNy3W5ee3cPWz+rYUzJQJJtoj5Xi4b35Y2Ne9iys4bcMzJ5fpWXK8473dQH88bGPccsx91IfuEIZLgtOrt3NZN9qz24TRQojmnzlh+ezeP/jLeBb/j+ULx7Duv6asKlEX21e3+9qcz0SEviyRe3ms6Rl9fsYt/BRr3/u/fVEVZUys4+hYVtfGwfeKsZd/4ZHPQ18dKaz/neuYP4zbPvM2xQLxav2MrVFw7WfWJVhxu59hIPH+6oZvf+emb84Gy2fHbQoJedSRK/fW4zL635HO+ew/zkB2eTnupg0ydVNAbClAzP5mfXF5LkkLii7HTGnJvD984diNvlJBAInRBfRneUXyBOxo7Gt9IR2tsX2kB/3g3fH8oL/9lJxeVnGUoUatf/6PtDsdtExo4ahCColOb1Y8vOgzG6slBf56sPN8XthWaV55PZI5nX1u/m13/fzM69tZx2Sg96pTt1v4Qnx03BkN6MLswxXePzzsiM2wvOubGYJIeELKsMznEbfByNgTCbP63mwoIB/PXljykc0hvCCnJYMcigHFY6HDOfP0D+kD7ItPplZFnpMllub73tjvJ7pD60442O/B9afyRJRBEFwoqKIEQ4hlUlEgwnKErksF1REcRWm/BQXYC3N+9j47YDjB6Ro58JVB9uYmReX0a0kcnbryskJdnG31Z+ihStwiGrKoVD+rDh4/1cfeFgPt5VQ6AlzI1XDDfI+swJ+az7aB/llw6Js5s/2nmQK8pOI8lhw2YTcDok1nzwFc+v/oxNnxwg94zMONtHO/uYPv5snEkSGz4+oP/tZ9cXcs/i4+MzaosjleGuyAR8EHjH4/HMAQzsil6vd3Qn7leBh71e71sAHo/nEeBBj8czBfgbUOH1etd6PJ5fRJ91Uxf02RRHmiJueiJ+YzHTx5+NoqiooBOsznliHW6Xk+nj8+jTK5Wa2mY9YgnA3xzEu9dnmf7dFAhHShlKAgtmjOJgNLIptg13ehK/XbaZ2yYWmLZxSlYa/zvrfJwOGyFZpuLys0hOEqO8Oy0sfXU740cPxumQuHdqqV5zORiWmf2H1gj7WeX5TLn6LJ5a/jHevT5OyXLx1OxLIiTvgsrFRQMN/YodQ6uojpZ2ys59m0sfHg2s5DQsm49hICiT4rQxf8pIRAEUFSRJQFbaJ5A2+x0wJSq2uj4YVlj66nZDRNvSV7dzxw2FR/QOsqIimY2FVZRQSCE12fjONpvQ7gFgd0PsuyEIoKrf+giojnRwR1FhlnIYMt7nsIvU+YPMf6pNNH00Mie2HVEQ8DcHLfvVlkT7oVvKDNdqJPALZoxCUVR8/hbe2LCHi4tyDNHzd04awa3XnoNNEgnLCnabyM8fW2PQu716OKORdZHsgrCssPztzwHrcjexPBJt+y5Fy450dry/+Npvqdu/KzieZXrbjrcoCjzyzPv6eIsCVI7LJSc7viSm2+XE3xDkH2949Wy79NQkmoNh0/66Uhz8c/UOPSPjnqkjWbluN/dMLaW+sYWMtCQefXazIeq92tdMVo9kfvXkO6Z2xoZtVZRf6uH+m0sJy6peku7Ft3ZxcdFAbrziLPYe8OsH56Gwogd9lAzP5t6ppQgiHKoNsOhfW+jhcnD/zaUoMeXqtGyZynG5nNrXhSSKSBL6POjqb5JAAgkkELvf0/Zy/TLTsEsiEmqn7bJQm8obc24sJivdGY2WVnSbRpQEaupaWLhkI3lnZHL/zaUAhC3W83DYujRYQ3OQCwsH8J/3vuS+aaWoRNYSQRTYsK0KT44bu91Y4SMtxcbdk4toCcqEo+/2g9GDOVQfYOFPIhmOiqLyl5dbs/piM3HMdO93tcT9iYBmS0y9KhcEDFmpSXaRCwoGGHwXsd+u2tdMSFb4ZUyW1f3TS7mgcADP/b9PqRyXS690J48+90Hc3q7i8mHU1AX4y0vbDP4Ot8vBNRcNJj3VQX1jC7deew5Z7hQO+pqw20UeXvqewRb/4SVn8vDS97htYgG2aEl+DZpN/cD0UdQ2tBBoiWSXZPVINpUvd3oSeWdkcqguEFee7tezziPQIsfvJeT4PcO0q/JQBdXSB5OQ4wiOR/ZO26yStuXxIVrirY+LBTNG6Xbzl1UNus18qD6g77F0X8T1BWzYVkWtP2iQZbfLQcXlZ3HNRYOpawjy3OufUj5mCMte/5QfXHym6bMP1TVz2ahBQOt+7NS+EXqfWDnR7OR7p5XSHAhha1N1ySaJrN64x5BJmJZix6YS9QeAv9FYhWPmhHye+3+fcv3/DCXDaftW+wmOFcdFPttZ2wRVNTxvw7YqSxnK7JHMvGiAfMnwbCaNHcZ900o5XB/A3xSiIcYXEbcXkiIBDC3BMMvf/lyvGPP7f3yg79e033637AODHWOzRXQwgBytTnTvtFLUqJ+gJSzz8NL38fkD3Hdzqbl/UIj4vNuWk+7smHly3Fxx3unMfsLcL5PAiUdnKXnano3MnJDPy2t2MXHMEGOmp2V7ikHOn1r+MZPGDo360SIuUUkSOOhrovKqs3AlO/jrK6226F2TinA6ItQ8tfVBlr3xqcEvsvbDr7iwcIBhPsU+/1BdhHdY6/eESz1UHW5i1aYvEQWBJa98woIZo2huiQQ9IcDUq/JwSKDIqkG3KN3Y7u2K5/8L2A0s5yg4Ab1e72HgrZif3gWmA4VAwOv1ro3+/kfgC47jIeCRpoibksv+dSMLZ4yKkGlLEv7GoL7hq/Y162W1KsflGkpoNkRP8q1KAzhsEvcsjjxrTkWxXi409ppIqSzrsgf7DjZwz+INht8WzihDEgUamoL4/AEWLNmo/31eZQmA3n/tHX+37AOmj89j/OjBLF6xFSlKIo4cqXlr1rfYMZRlBYGo8MkqMliXrPwWlz48WljLqfkYioLA3EXr4n5fOKMMyaIEnNXvqJgSoi6cUWbZTlu5aq99y3cQBcsC4GbypEoiv1i03uSdR3XWPukW0N4tK8vFwYP+b30N9M7oYLPv3Zn7Y++TZZUHTIjBNfmIbcffFLIsRSAKgkHHA9Q1BOOu9fkDfL6vnsUrtjJ9fB7Fw/vqZTm05z+89D0qx+WyYMk7zKss0evra3/X9C5ATnY6s59Yy7zKkYZ+mvXRZqFbRUEAzMvgmI231And/l3A8S7TGyunKoJOTg0RQu3FK7aaloHRyOSrfc1s2Fal92v+lJGm/d1f08DFRQPZsK2Kal8zBw41MursfhEy7aidEfts7b6vO7AzDtYG9H7G/m3LZzVR+Y6sBf8z8lTDvNmwrYrd++uZPj6PUFjV7aPd++sNcg6RTcHiFVujtpaMTMJ+SCCBBI4fYvd7sXu5hTNGddpBFYZ4uyNmzxhr04SR9AOL4uF92XewQW/HTNdZlerUyiVptseeA37sNsFwzfjRg/VDmNjfY/W19t+a3hUFgbl/Wmd4F436IrIvjNe938US9ycSmi2hQQKQoYV434X27RYs2ajbB7F/31fdoK/XG7ZV6fZB272dJm/evT59jkwfn8fu/fVk90zVHdwQ4Xqy2wSD7Gm2+PTxee3a3z5/gN376w3yabUPTUmyc81Fgw1Vb6p9zSxcspGFM8qwqWrcXiJ2/Gyg01EIAJJosN+15yTkuBXt7dOOpU2ibaoW+xpZVvm6pkG3Xb17fSxYspF5lSUGe1O7XiuDr12n/f7A9FG6Laxh9/56Ksfl4qtvMX12XUOQxSu2Mn/KSL6qjpRY1nSvmZ0MAew2wbRfleNymffkeoPO1cZUlUTL+Xv/XzacdH6OE4Guls+O1rbYfXRvd7KlDGl+XICLiwZyT7QcoiZDcyqKTfdC2r5Jk3VtXdf8ddp+Lfa3WDtm/pSR+PwBKsflmtoCms93wZKNltQkiqLibwziTnMe1ZjF9g3i/TIJnHh0xoYzOxuJtS9iv2dn/dnevT5+t6zVvpw/ZSRzF72jz4lHnjHaEA8t3cT08Xn0RmTh0/F+kXmVI7ln8TuWJXX9TSFDvx98ehPzKkey5bMa/E0h3aen2UuaDR+KKpJY3SJ24/ONrjgEPAfo5fV6jzkf1ePxiEQOAF8CcoA92t+8Xm+Nx+MRPR5Pz+jBYafQq1dah9dkZbkAUBSVX9xUoqeGatHxNptEWBCw20QyUpMiBxJAta/JIhpCICvLpRNNml2TkRZhstQiHVRVpWR4NqnJNmZPLtaFVotWS0mWmD25iIO1ATZu+9qUsPKPL2wBjOTy2t/nVBSzKPr32H5oJ9Wn9kvnl5Ul1Pia9Wik7F4pSIJo2n+NeFZLEXelpCBGT7zbjuEvbiohs0cKAHWNLYTCStxYtnefds13EWbyqygq90wdyYFDjfq36tMrFVESTEnMEVTcLqchyu2FN3dGM4EwvUcQiSNL7d0zBcWCTBhBNX+2CLdNLNA3TL3dkdIvkiSYfm/J4h0kSaBXT5f+TG3OWqGjuXky4mTs95HoXzh2PdCZ+yNROdby4Xan4vMH9GymdR/to2Bob26/rtDAt3PH9YU0B8OkpTgMbVnpX1lVuOP6QtyuiN5zu5yG+6p9rcTbfXqlWupdaI2eCobC+rPMyJZnTshHtJjjiJCZnhI3XnNvLEaSRES79J3X0Ueif7t6HBRFpbahhfumlbK/poFlr+9g9aY9Ojl122/aNzM+O7Da10ySXYwjVtei/m+7roA//Hw0wVCYppYwvTJaDdWO7Aizv982sYAlr3wCxMvc7IpiMtIcLJ57iW4r3DaxQF+PtAyEWKJvT46b8aMHk+QQuWtSkYHrJ1b22spmyfBsKsflAgI2p90gx0eLb6v+PRp0p7HoTn05Gpzs/T+e6Kz8fhNjeKQ2pdlvnWlDUVTqGiPZTZXjcnnhzZ24UuwALHnlE6ZcfZbpOr/8rZ1xtvas8nzSUx2seHsX1b5m+mWlUX24iaweySgqOn+V3Wa+z+uV4cST42by2GH06uFEAB68pQx3mpPD9QHLve2cG4tN10MzG2LujcX0TE/GZhM79yFOInQn+Y2FlRxq9uecG4tZ9C+jv6CtL8Ns/Z9Vno8r1UGdv4WFM0bpa3ufXqn89rkPuOP6AvLOyOTqCwcjiQACCJj2RbN1X3hzp6nMz6koZl+1n7/88tJoViqIEtxxfaFeElSzSUJyJFrf7DmyqtL3CMf/u2QLHy8bQkNHsq/pw1BY0bnGWkIKSXbfgmzYAAAgAElEQVQx7hvMnJBPMBRm3Uf79YoWdQ1BVm/aQ293iqkd/Nb7X3L35CKdQqe3O8LvF7CsoGFn9aa9cfaoZlNX+5qxSQI52S49G2T25CIWPr0Jt8tJ+Zgz6ZuZxuG6AG++t5fxowdbPkfTp21lq735e7L7OY4HjsdYxMql3SbiSnZ0SidouuPvr23v0E+rfc9YXWu1z39mZSQLu7c7mX5ZqXrlgAUzRhEOqwTDMvffXEpzi7lc22wi90V5h2P/ru3B+vZKBUHAk+NGVRVT+W+JcqchdG7M2+rRjDSHad+6Wp5PprlxpD60441OrX2CeYUpTZ4lSUSwSQRDMiICj8w8j33VjQgCuj+lZ7rTVL8/s3I7bpeTJIeo+7Qz0pJMn3dKlgurjHFJJG5utX1OfL+FOL7XORXFvPDmTlMZ1XSEoqjMvbFYr3rUneyFrjgEXAMMAz7sgrYeAxqAx4Gru6A9Dh1qQFGsT1q1DBsNGU5bXMk4LXJNU9J6KqvF6S6qGmlTEi0JVjMzknnoljK9zMD13x/KhEuH8ODTkVIzPy0voGeGk69rGvjjC1vw+QPMnJDP6k17uOK803nr/S+j5PFp1DcGyUhzGCKfWsnl09h3sIHGQMg0qv+LryPZKXdNHoEkiIYyNbMrikl1mr9jIBimf28Xv/5bJD08dlxix1BLs6+tbeqQTDTDaePXs84n0BLW7zt0qIFvEt1tcTCTX0kSCQZlIylvRTFJdomX1+yKI5OednUek8YONRgNs8rzcdhFFEU1vWfG+LPjyxZVRDjKzORBQDBt5+Zr8nA4jKUuHA4RUE3lJCwrpu1MvSpXn6dt56wpOpqbJxk69c6cHPIbC7P3MpOLI9ED7d2vlSnw+QMWcgxfHKiPKxnU2+2krjFokGNJEmgJyriSHXERSy+v2cU9U0cCcOBQI6+s/ZyLRgyIm4NLX91uyAjPcqewcEaZZf9UFVpCYT166mBtgNWb9ujzRVFVflpeQGaPZPYdjJTu1MoZxM+pPA4datDHS1FAJULQrJVUMNPRx/JtOsLJIL9W+re2tqnLSpZYkW/3cDmQgKlX5SIIQqQ0rKoiCQKCYJ4JIggCbleSLrtaCSufP8DeA34Wr9hqkBGtDe9eH2+9/yX3Ti2lLupMaQmFTe2M7J6pVB1uxG4X9b+9vGYXC39SRiisGOyYOyeNIBxWDQfqmtHt8wf0TILYUjbawd49U0tpCoTokZaEDdUge5psaqWStOx3Mzk+Unxb9S8cXZ+7yxra2e/SXdHd+n+yyu83MoZHYFNa9qmDNqzKKCmqSjAk4/MHeGr5x0y95izdoZeabOepF7eyYVsVX1Y1cOu155CZkYzdJlLla+Jv/97OFeedjr8piAA6n+C8yhJ8/oBuI5j1q4fLwfTxeYb+zCrPJ9ASxmm3md7jSnGQ4pQs7QJ3qp37by7F54+sKc/+v0/jSkQdLRLy20lYyGFWNKJdkoQ4f0FbX0bsGi/LkTKjDrvE/oONuoxp8tLQHLG5JZvIZaMGcc/iVp/K/TeXWvoYtOc8tfxjbrpyGAumj0JWVQ7VBsh0O7HbRb6uaTTY1fOmnMtPywt0h6LDIZLitCNa2EeSIBzV+B8PW7i7yS90ToaPFh3Jvpk+1PZNPn+A+VPOjSmjLPLki1v4wcVnctGIAXoWn3ao50p1sPw/O6kcl8uA3mkcONyol+isOtzE/Ckjo4eMAoigKubZTmFZ4cLCAaz98CsWziijpq6Zuoag3lZvdyT7757F7xhK4D0y63x8dQHDu8yeXIzdZj4XtcytzIzkOFvXav5q95woP0d3lF/oenvVan/Wy+XolE7IcNoipYVRmT9lJI2BEA1NQdJdDoPe1b5nbNnPjDQHWe5kXcfF7ud6pjuZVZ5PXUOQX//tfdwuZ5zvz0rf1viambNonZ5FWO1rjtuDaUEVKvD8KiPtxOvv7iZvcFakwSOQP6MePf5+u/Z0TneU36PxoR1vtLf2ZWW5QDXXnf6mECXDs6lraDEciN09uYgV//1M9zvNrSimvr45+hyjjgWYes1Zeta1ZsuaynRtMw3N5hWLtIzctnPLleLgd8s+MPjltHlot4n0751GirO/YX2ZVZ6PJBr1TFsdUTI8m/tvLkWSRJ3a6XicbxypDAvHShbr8Xj+APyQSDnQtpyAvzqCdn4N5AFXeL3eFo/HUwT81ev1nhX9eybwhdfr7WxY0qnA7mOZQKokMvsJszKKkbRPq4VA28xIkkhjWMZX3xIXwfb25i8NHDZtSxVYlfzUUmGnj8/Dbosc+lRcfhaffH6Q3DOy9I3V6k17mHCph3+84dU5H9oq87smFfHk8q149/osSyX8/EcjSHHaDBlh6WkOnA4by//zGas2fRk3Lkczlp35Ht8EsrJc3SWM71Qs5NdqLB++9Tz2HWyIO2jon5Wmc4vFXv/gLWWIgmB6T99eqfxp+RYuLhqoHxys3rSHaVfn4W8M6iWNNIWd4UoybeeUrDTuNHv2jDKQ4wswSJJIY0imKkbesnumkGqXdAdBZ2Sko7l5suEInNDdXn5j8U3Pd23uuF3OOH2oybGZvC6cUabXqY/9/b5ppfzl5Y/54SVn4m8M6jKb5Y6UhtHmQ1t97slxUz7mTPr0TOXL6gZWb9rDleefTkaag492HOTc3L4cONQUN5+ye6YQDMk4k2yEwwr/Xr+bwqF94jKusjKSqKlrYUGUT+iyUYMMka6zK4rJdDkIBeW4selIRx9PnAzy+02M09E8oy1nVfmYM3XOKrtdZG+V3zR6VHNcVI7L1e0GTVba2gWeHHfcptKsncUrtkYy/1Id3P0H47yJbVOLMtWMb0VVcNgl/rziYy4uGmhqA91/cylJ0fK+XTV2HeHbqn8h8m5X3LGi0w2//L/jus3B1Ym2F48V3a3/J6v8fhNjeCQ2pVWf2tPREiphMNVdt157DinJNgItsqEkkqaz2/J0mwUY3X9zKX9+6WNq/UHGjx6MOz1J51Gp9QdN9booCPx2WXzZw+nj8xjUL506v3EvMKs8H7cryWCvt8XxXD8T8ts5mMlyxP51RDisEAiFFb0CgRa8EwophkzTuRXF9IiVf5sUt973jjqsnUkSacl2ncdMQ8nwbCaOGWI8HKkoJsVpozbGnzFxzBAQYNG/tkQOBudcwlfVfstSirGlHW+99hw2f1rF+QUD4gL82trBsWMUhi7jDOsMupH8whHI8NGiI9m30hWxZWsXREvLOSSBQ/4gyUk2ncsy9p77ppUy7cHVgLkde9ekIp5f5dVLxl3/PQ8XFPSP86mFwjL/+/fNzCrPJ8kukZbi4OuYeXL35CJWrtvNqk1fGuzhB6aPMqVl+Wl5AYqqxh20vPj2Z5bBEe1xbp1ITsDuKL/Q9YeAXbGGmbXRVheWDM+mfMwQg86aVZ7Pf9770hBUXDI8mx9feRaNgTAOm8TSlduo9QeZOSGfhuYgdQ1BvdpKyfBsrr3EY8jiu3tyEc0tYeYuWm+YG7FlSGPfc/r4vDhqqXunltLYEiTZYWt3/W8P34TfroNDwG4nv93Nh9YRsrJcHD7caKmffnzlWfz5pY/jfMsXFw1sU9rb/JzFjKbMTJ/PKs8HYOmr2/nRZUN5ec0uLi4aqB9ab/70AEMH9TL4xW6/rhBbTHny2HVhwqUeMns4CYdU7jbxBbb1aVvpiF/POp9wIHQ8x/+IZLgrMgFTgFcBBzAg5vdOWw0ej2cBEQ7AsV6vtyX68/tAssfjKYvyAt4M/LML+ttpdESA2SlyWRWSk2w8MH0UqqoiRcsZxB4AQnypDT2tv82ztd+ze6bqp9UVVwxn0Ck9DCTBWq19zaCJPe3OyU5jb1UDooC+SbQqW2oTBRqaQsashxuLWf/Rfv0AsO24HM1YJtB5WI1lMCSz9NXtcUTtd1xfYHp9OKwCiuk9d04qjHMszJyQjwrY7cbMPrtdbOfZhebfXVUj/BQmaJuBOLeiGOxWV1uM0XEgfk7g5Ic2d6p9zbo+jJRASqElFCZgUSojlqQ49ncEqPUH42R2dkWxofxQrD43C8iYU1GMO8MJisq5Z/VDUVTz+XRDIU+/+okxU8+VZMgIc0gQDIQN8u9MkiLXRCNmHRJxjo+Eju4cvolxOppnaDrv4VvLqPUH4zZS/3nvS8P6rx3caW27Uuxs2FbF1KvzdPLttqW6vHt9LH11O/dOK8VXH8Cd7uTRZzcb2jm1r4v5U0byf//ZyaUlA+PeQ7M1zObB7dcVkp4mMGP82bSEZNMxELA+ADzasUsggQQSaA9dYVN2pKNdqeb7vqweyRyqD+BKdXD/za0luzSdXesP6rZCW52stQECtf6gqe2hqipNLWFuvfYcsnok6+tDxeXDTPvjdNgIhhR6pNijmTiRTDCbJCBE+Y8sxyChn0842sqyTRQJhMIsemFL3L5vTkUxjYEQTy3/GECXs57pTmTF+J3Dsrmd7E5PYskr27jpyrPi/h6xOXL1dvtkpnCgpsng+L5rUhE9XA4WLnlPl2tVVS39Flr5XO2/bZLI8rc/Z9wFZ0Tt4Mj8NbOD4dsXRHqywkpXaN+32tfMQV8zjz63Wc/Eag6Zy2BswoNmxy6YMQpVJcKPLqi6v8yT42booF4Gn9pdk4p4f3sVo84+BbfLSXKSnQefNspHitPO86u8um8s1menWlCpZPZIRhIxZIRlpEUysK10aez8VRQiJeUElalX5ZLZI+Ubr6D1XUNXrGFmbWi6MNbGkCRB37cdrI1cf3FRDoqqcuu159A3M5W6hqBBVm+bWIDdLhoyrrVgzQ3bqii/1GPwLQhE5wCtc+O+aDlRq/W/7W+SJNAr3dnh+t/umCT8dt8KtKefBAFT33Kqs1Wm2p6zZGYk6dUjXCkO6hpa2vVLaP6yisuH6RWNzA6+7XaRe6eVIgoCogiPPPM+gGFu9HA5mHTZcJa/tZNrLzlT718sqn3NhBXFMPetdEQobORpPtE45iL8Xq/3Rov/3dSZ+z0ez3BgNtAPWO/xeD70eDzLvV6vAvwIWOTxeHYCFwB3H2t/jwRaybVY9HZHyRyjkGUlQuiuqgiyYlBWYWD+U+9y+2//y6//9j5ffO2nprYZWY5EfMRCK7XhyXEzp6KYjLQk5lWW4MlxG56tpaV+Vd2gR97bJUk/zYZWMlVBEA391whk91Y1sHjF1igxsfH5bd/VYbfp9fW1th/460bOGNDDdFwkSUSVRMKCgCqJkdTXdscy/toE2kd7Y6kRtc9+Yh0LlmyMlGARRUs5troHVYgj6P39Pz4AFd7YsIfe7lTcriR6u1N5Y8Oedp4tcPUFp/GHn4/mj3eN5g8/H83VF5ymGxxtEQY9slh77gNLNhI+inFqb24m8N2ENne0DCRXil0v7Tn/qXeRFSznltnvsqxSPuZMPToaIjK7cMlGHNFyWdBa1gOsya/DYYWWKKuwM0mifMyZBg5Pnz/Avmq/vlHV7tPRJqs/Vv7DgTCCLEfngmzu+OjEepfANzNO7el4s3VSW3dbFBVZjiflXrBkI6PO7seCJRv19T/WSRxrW6iqik0SSLKLSILAQ7eUMaeiWLdFtDKijz63ma9rGvR2PDlu5lWWIMsqh+qauWjEgOgaYXwPzdYwmwe/efZ9qg41EZYVyzEQ27x+W5vD1s56l0ACCSRwtOgKm1KWFWRZNdXRqOY6b29VA0tf3Y5NFGlqCSNJIg/dUobb5eShW0ZRcfkwIMIZ+HVNgyn1QyisUD7mTFPb42BtgKWvbicsK8QGnVvtCwPBSElyWVZAlrGpCqKsoATlDsckYWd0D8TKsorK/Kfe5eKigabyEQzJePf68O71sWDJRh59bjMqUHW4CVVq/W5WdrLDJlF+qQdRsFrTBey2KPe0TJw9/dDSTYTCKt69Pt1HIoqCpXz6m0KG/05PTaJkeHb0nSPyamUHQ2QfajY/j2Yf+l2GlT+o0/db6Art+2r/1uVUVi1lUNNrmvzcdOVwBAQcAthQQRUoGZ7NnIpifnZDYdw8eGjpJgb2zeCr6gbKx5ypHwBqf1+wZCP7DvoNwfGxsie2o/dmP7GOGQ+/yc9/v4Z7Fm+I7EU7CqaIzl8pKstCOPLfJ5pj6ruArljDrNpABUFWSIq2FQwp2G0CdY1BHnv+Q+YuWs+SVz6hsTmMwy6hquiHGxCRxUef24y/MRjnvxs/ejC93REakQVLNrLklU+w2wScDhvpqUmGPR4qhMOq5frf9jdRoFPrf0dI+O2+XVBRARVbVK5VC9+ywx45QtP8CBDJpnM4bbSEVD0j+1Bds+m6r/klYv3Piqoyp6KYH1x8ZtwcefDpTXx9sIlf/Wk9obDC5/vq8fkDuo0z+4l1LF6xlf0HG9l3sIFLSwYiiSJJdqlTZxlW89vezbivj7k3Ho/nRY/H80OPx+M8mvu9Xu82r9creL1ej9frPSf6v6ujf1vv9XpzvV7vYK/Xe6nX663qqL2uhI1IWU7tQ2rRPkca6aFFvC9esZW7Hl/L7CfWUj5miH4Q2NudTO+eKcyfei6Txkaum/Hwmyx6YQuTxg7Fk+PWT8tXb9rD7dcV8sKbOyPRSZNHWEbfiaLArPJ8Q/+1Nu6eXMzqTXv039PTHHHvOruimLBsHo3fLzMtblwckoCvKcTsJ9YxdeFqZj+xDl9TCEkSTcdydkUxT764xXDt8So78W2ClVw6JPPfk5LEKK+ZcewdDpFUi79ZRa2pqsp5+f25Z/E73PzQm9yz+B3Oy+9PskU7yUki5xcMMFx/fsEA7HZzY6m9CKsEEjhW2ID5U1r17Own1rHohS3UNrTgdjlZ/tZO7p5cFCfH7368n9smFhh+n1WeT1hR6JuZZiqzTS0hXf9qZN693cmWWd41tc1MXbiaJ1/cwqHaAIte2KIbIpPGDmX+lHNZ9voOw31ulxOfv4XZT6yN6tG11PiD2B1Hljmrjc2xrHffFXwT42T2jJkT8nnyxS36mqpBi1rX1t2aumZT+eobXbNjZTG27dWb9jCrPJ9lr3s5VB/gq4MNzH5iLXc9vpbFK7byo8uGUjI8W7/2jusLcaU69EP1SWOHsuiFLUx/+E0ee/5DJFEkEJLjntUjLYnbryu0JIF3Omx6FGBH49z23Wc/sY5AKMzchBwnkEAC3RRWdm5tQ4vOzwetdsbGbV8zaexQnn51Gw1NEb7Tux5fy6+eXE9YVlnyyie6nXBK7zRuv67Q0Mbt1xWy/K2d9OmVapmppenvGQ+/aWhr7o3Fcf3J7ply1Po0YWd0P8gxmaWd2e/PKs/n0Wc3R2xnf1C3RwRBjZPfmRPy8TcHaQyE2bjt67h94q8qS6jzB3V718p+UVSVkuHZui/lkWfeJz3NEWdfzKkw+jZmTshn6cptlI8ZgkPqnJM+sQ89dpjZZm1t145ggzhbblZ5vu7/mjkh8m9o/T4OCVNfhM0mGORH88XVNoVoDMm8snYXEy71sH13TbsZiKs37bHc87WdJ5rsTbjUozukY/8+t6IYSMjayYauWMPaa6Pt3HntnS9ITbYxqzw/ToYP1wc6na2XkeZgdlQ/tt2z3bP4HX2PN6s8n988u5mlK7fF+UPmVBSTnuYw9f8mkAC0r/sVi6pagWDYIJPafQfrWnjyxS26HyIt2U7vnilx637bM415U85FEkUWr9iKz2KOZKQ5mDkhn2AozAtv7oyzXW6/rpBkp82wXvj8Ldw1eUSHZxkOSTCd3xmpScd17I8UXcEJeBswEfAALwLPAm9EM/lOJE6lC+rpHktdeK0mrFVd5bjybDKmtWbvu7mUcFglGApT29DCwL7p+OpbSHXaEUTYf7DBtC7+rdeegygK9MpIBlRskoi/MUiSw8YbG76gYEg2vTIiUXh1DQGSHBLVhwOGOr2V43It65hn90yJ9j8yLlZ8FrG1fVvHMkLirGW1aNce73q5HaEb1YQ+lXbkVxtLBEEnGZXjxlj7LgJPvhjP7zf1qjwAXlm7i0uKT0USQVZg1cYvuLzsdFMONCtutIUzynA6RAJBRZdp7b+trhdMOAE7U2u9u9XA/ibwbeWkOiHfUpJM9axW5/6SogFcfeFgJEnALom8snYXy9/+HE+Omxu+P4TMjGQcdglJEpAVFVWFOSbtLZhRhk0S2H+wEUEARVVRFJXe7hRTzgqN58KKD/a+aaVx91lxuVrNr46H5pvnQYnFySK/Vvq3KxF5hqCTYmucDm31YVudaSU/t157Dg67RA9XEg67iKpEvnOkFBLsPVDPstd3MH70YOw2wVSuHpg+ioamILUNLTjsEi/9d5e+jpjp+enj8/Q2taxbh11i6avbmVWez/yn3jG9JyfbZWIzxMujNT9uWSSSuovk+NuqfyHBCXgi0d36f7LKb3caQzg2rqsX3typ86RmpCZxqL6ZXhnJ/OpP6y33krEcWT8tL+DpVz8x6NyBfdM5VBfA7XJa2CqjmGPSn5+WF9AvKwVF5ohKfnaE47V+JuT36NCRr+LBGWWoQDAkc+BwI8te32Hgm9TsEVUSefLFraZ8PxqHZe+eThw2G6IQ2W82NAcN1YYs7d+bS7GJosHO8OS4uenKYfTKSEZWVGr9Lby7dT+XjTqNw/WBdu2mzoxHe/vQ44FuJL9wjJyAXbWXFx0Su/fX43TYCEcz3WySiCvFoVPitG3b7pAIyhj8a4qsEkawtFNDYZXFK7Yyr3Ik1b5GU/v33mmlyLJKc0uIh595L+7vd/5oBMlJ9jgeNu0Zp/XLICwrhlKPu/fXW+zhjk7WTqQ+6Y7yC13PCQhds1e2asNqT+d2OZk5IV8v8xn7Nyt/RuxvC2eU8craXRQMyaZPr1RT3+78KSMN86pkeDaV43KpbWghJcmu8w3G2hcpThuZGcnHVTd2FRKcgMcXWVkuqg83Wup+sDonKENFNbVD23L83nF9AWFZpVdGMoIAh2oDvPneXoqH99VlMic7Xdf1VnNkXuVIlq7cpvMRlgzPZupVeciKgk0UESWBOx9bY+pLaW6RcaXYyUhL4ulXt8WdZSycMUo/F4md3z17ph7X73WkMtwV5UAf9Xq9xcAI4HPgt8B+j8fz+2Nt+5uEVdmCjlKT2yt3oEV6WEW8K2qkFr+sKARl1ZJ36nBdgJ888ia3/fa/3LN4A3UNQe743X9RVZX6xiDLXt8Rd4I9e3KkXEZKki1qtLQ6hH3+ABcUDuCx5z9kxsNv8qs/rSfJbmPRv7Yayjlu2FZFQ1PQNGvg6Vc/iUyUmHHpKHoudixlRTFMGu3aULj7LyLdAdpY9nanGOTSTF6V6Fi3/baKEvkOsaVTIFK6EEGNy3y6bWIBCNbfuKU5ZCg52NIcspRpqyi3RJRwAscbVjKpRXGu2vQl9yx+h0BLGEFQGTook4UzRjF+9GD+9u9P+e2yD1BRCYZkBCA5STTPwJUiTpM5i9Yx+4l1zF20nl/+6R1+8+xm7p4cn+WlRbRaRWPXNwXj9LxVRGpbrpZOj02iFEenYKV/u/oZsqJw1+NrWbBko4F3z6A/hUgN+4UzRjGnopiN276Otwcqinll7efc9fha/vLSx4RCkT7bbQJC9FmhcKRNd3qSJddOTW0zt/32vyx7fQeZGcncdMVZCGAZ3ZfdMxVAX3vuWbwBURDw7vXxu2UfxM2btlkmHcmjNT9uQo4TSCCB7gmrTG/NabxgyUbuenwt/uYgcxet1yOZ2+OK1/4tRLneY3VuOKwQDMkEw+G45949uQghuobE0k9obQVDR17ysyN8E+tnAp0vx6jJ4+pNe+JshzkVxdilyOGv3S6S3TOVG74/RJeVWHvEIQlMHDNEr7KxeMVWrjjvdF54cydul5OcPi7Skh18Vd2Az9/CvoMNOGyiQabNIvJnTsjnn6t2xPEEe/f6uOvxdRz0NTNt4Wruenwty9/+HFnuhN3UDhL70GNHV2VTBkMK9yzewOwn1vHLP73D3EXruevxtUiioJc9bvt9QkFZ90XYUAnKKi1KpOyt22UsWlbta6ZHWhI5fVzcNrEAm00w9anNqSjm0Wc309Ac5KkXPzb9+2vvfIEkCXFO+2pfM316pep0D0migCArBEOK5bMSsta90VWlwbU2tAODsCAY5o4nx63L5qSxQ7HZBG6bWKBTNJjpyzkVxWT3TInTofWNLSx/+3N++ad3qKk1z7huiw3bqqipbWbxix8jSQJXXXAG40cP5oU3d+r2hRINKO0Ix1oeOIGTA9a6H8sMORuqznXd9r62HL+KAnMXrefRZzcjyypzFq1j1aYvDTZvrJ/PbI7ccX0hdrvIj688i9NOSee3t5/PpLHDcEhgU1WkqI8vtj+eHDeV43LJ6pFMcpKEoqqIAlxcNDDOdpYV9aTwp3XZOuP1encC93g8nheBR4CfADO7qv3jiaMlge7oPo0cM0wkdbrtabIsq8x9cp1+7/03l5pe17a+farTxtUXnMaBw4307+3C5w/wzMrtOpllIBjGlWpn2RufcsV5p7Pw6VYyzJkT8nl5zS4mjx0WJXSPnHirgmrKI+FKdeBOd/LT8gIEIXJA9MzK7TrfG3Kr4tdq4Lbtf9vr2rvWbhMJh488gyUBa9hsoulY22wCkiRx2ahBBgLhuycXYRNFHA6R6ePzcDpskQwOh6jX249rSxIwI0ywuj4iE/HXJ4iBEziekCSRsKJa6p5YuXNIAof8QT2CqLc7mTsnjSAcbo1W0g5Y/rv5SyrH5ZKR5sDtSkKK8puY6TmfP0AoHNb1dXpqEktXbtOdFRo3W9v+NTRFAj5i9TwqFvNLhKPIBEyge6GjNVWSRHyNIYOMzpyQz/vbD7BgxigO1UWi4f+7+Ut+fOVZTLs6j/rGCIm82+Vk0tih/G5ZK0H3bRMLSE91sP9gg6UtopXs0LJSe7uTeWDGKNPrqw438qPLhvLMyu16RLRmz/j8ARoDIX5aXkBmD2eU75AjyjI5EpsjgQQSSKA7IA9eB68AACAASURBVN7OjVRGacvT6kp24Mlx6zaBlW0Qy5FlxtejKJDitOOrb+Gl/+6iclwuvTKcuFLs/Pmlj9mwrUpfO2J1tcb9l9ClJx+OxK+hyePUq3JBgIUzylCimZ8OScAfCOPzt8TZCkte+UT3BUhE7OXnXv9Ut4XTU5N44c1ICftJY4fy15c/5tpLPAZ75a5JRZQMz9aDgr17fby8Zhfzp4xEEgUO1UWi/C8sHEDV4cZO+Ug0bu+jtQsS+9BjR1fZZpa+Ikns8PuYzYFZ5fksfXW7IdNJBX4VtWefuHO0qU8tPc3BTVcOJzMj2dznlubgohED9HZi9anPH0BA4H//vhmfP6DPQxVM2+rhciBb8FUm8O1DWzmdV1lCb3cybpeTH102lF/9qXW/9ss/xsvXy2t2GfZ7z73+KVOuytX9d5rftnzMmfpcsrIlzPZsiqrq/TCT7c7YCUfrZ0/g5IOVzt530I/b5aSXy2GquyXJ3F/cdn3XSof+6LKhljZBKNzq5/Pu9fHMyu1MH5/HKVlpAIRkhV8savXj3T25CLtdRNH8Kk0hfP6A3ob2PI3PUFtLHn3uA3z+QJztfLLYzdL8+fOPuRGPx3P6448//pPHH398EXAzsAa449Zbb917zI0fPXoAP21uDtJexdPU1CT8zSHmP/WuLkSNgTCbvdWMLspBaOdmRRQ6vE9VVWyiQNHwvmz2VtO/t4tbfng2V55/OofrA3j3+DhUF6AxEKba18RPfnA2mz+tpjEQ1gXz5TW72HewUVe8y97wMnHMUBoDIZKTbFxUmMOnew6x7I0dbP2shjElA3n61U+4uGggi174yNC/j3fV8IPRZ/KbZzdzcdEAHIKAgsCTL25l0mXD+HhXjf7sORXFpNolBFVFVuGRv73Ha+/uQRIF5k85F7skEQYEScQmCohA0fC++PwBJo8dzuVlgxg7ahBOW2RixSJ2TGKf16dXKs3NwaP+6MeK1NSke07Yw43otPw2NbWOlySJKKKATOt3QRQZdlovw7edVZ5PemoSYVll1YY93HRFLleeN4jz8wfw1vt7GZzj5p6n3mXl+i9YvelL3t68jw+9B7m4KIfhp8e31SMtCdVkIbcnSRQMyeYDr1GmXal2FIusT5tdRFYFVFVFFERskmCQn7bv/F1AZ9/5ZJff4w1FFFi84uM4XXf35CJSnDYIK4hE9JMiinx9qJHzzjmFkuF92b2/njNzevDY8x8adOoH3mq+d+4gFizZyKqNe9mw7QDnnd0fQRBBgJI2ei4SbSry2PMf8tKazzlU18SESz36HAmGwtx8TZ5hzsyO1uF/7Z0veO3dPWz9rIbSvH6s+fArbvj+sPhrU2yEVQx64FhLf38TSMivEVbrZKpdQlVVUxvk4101TB9/NnUNQXz+Fl54cydrt3zNhm0HuLgoRy/BOe3qvDj7YOtnNRR4snAm2RgxNNswR+ZVnkuvDCcj8/pS629h11d1uu1SdnZfiof3MVw/c0I+z77m5e3NX3HD94fi3XNY5xyUREE3mp9fvYMN2w5wSdEACCtHJKcdjU9X4duqfyHybs+97u10w9d9b0i3WX9Pdlugu/X/ZJXf7jSG0Lk+qaqKoKq6vXFGTk+DHps5IZ9/rPJy1YWn889VO5l2TS6vvbM7znaJ1am3X1dIrwwnmz6pMuhDUYJDtc08/s+PEBAYNqgXWe5kfvmn9ezYWwu0rh2xujqzRzJOSURVVX1voQCCJIEkgCC0a1uY7Udir+3qb5eQ31Z0xj8RC00eBUWTy8j/ywjsr2nk8X/G2wo/+WEeY0cNAhVUQWT+U++wY28taz7cx6qNe9n0yQGuOO90iof14XfLPuAHo8/ksec/MLTz4Y5qfnZ9IRu2HdBldtJlw3hy+VZWrt/NleefRkZaEn/450fs+qqOqVfnxtnusT6SWeX5/Hv9bsrHeOL8GS6nLc4XYYXY+Smo6jdiP3cj+YUjkGEzdMY264zsW7WTYhdRZaXd72NlH8+9sZi8M7IIhsL8+MqzuPfPG/RrWoJhbrxiOKs27tX3WpeWDOSNd7/g9P49cDkliob34fUNe/S/jzq7H4EWmUef2xz3rBuvGEZpXj/+scrL2LLTeO3dPfo8tBHxmb2xsbWtMSUDSbZFdK7dISEjRvWnhMMe70trixO5HnZH+QWO+3h0tM51hLZyapdEbr32HEbm9uXBaBLHT8vz+f0/PjSVr8Kh2Sxd+QlLXt3Omg/3se9gI1WHGykcms2v//a+7re9uHgAF+T3Z7O3mt3765l2TW6He7bZFcWIgsBvnt2sH4bc8P2hpDhtnJ/fn/MLTomUAkVAaue9j3Q9Ol5ob350R/k90T6II0VqahItzaE4na3J1hsb93DRiAEIcquvLUzEVyUJImXn9DPYr7Mrig3ru1ZdcVC/dEubYOaEfF57ZzcTLm21ASRR4JLiHJ7593ZyT8/insXvxvnxLinKQZREFKC+KYggCJTm9qOhKcjUqyNlQoeflkn14Sb2Vvl1e/m1d/fo/w6Gwvzs+kJQQZQkRLtISGnVC8nJjuP6vY5Uho85E9Dj8WwCzgRWAD8jwgdokhPUfdFe2YL2Bqiz92lRZQ/dWoavPsiDT7dGQsSeHm/YVsXUq3N56CdlhORIFJ7dLnJ52WlcdcEZejSHd6+Pm65QdGe0ZghXXpXLV1V+VFVlw7YqrrrgDMvU2kg5UvA1h2gJyWzYVkWtP6hHI/mbQrhS7cjRg5rYqDiHXaTOH2T+U2vjIjp6uRxMHDOkw2gPq0g7UexOJZlPLlhF2qQ4bSx9dbvh2y59dTt3XF+Awy5yXn7/uExAK9kOy6ppWz+7oRDJpE+BFpmV63Yzr3Kkzjm4/K2dXHvJmaZzy+6QqPEHWRjzDrMrisl0OQglIuMSOEYoimqq62w2kWBI0Um5G0MyLY0hnatB09VJDqlT5QpEAWrqmrnr8bWUDM/m/ptLqWsM4qtvYckrnwCR8ls52WnsrWpg5brdLJgxioPRCL2V63br/evtTkGSwFffwvTxeaSlOEh12nHYRS4/73SSbIKBXzbJLlBT15KIuPsWoKOIdCs9faguwF2Pr42zMWJLZFiVllMU+MtLHzNp7FDunVaKqqpRvuBmfvPs+6a2i6LA0le3c++0Unz1AYOtAnBqX5c+B266crieIdC2VNeRGqSJiP0EEkjgZIcsK7hS7QabRNOfk8cOp+LyYSiqytSr8xCABTNGEQ4rHKyN6O+Ky4fhbwrx15e3cVfFCO6/uRSfv0XPCpg4ZghpKQ49s+D3//iA2yYWmOr/nOw0FswYZeD+M9tbaFVlJo4ZYmpbJCL/TyyO1q9h1o5ZeXC3y0mS3cYvopkpD91SZvq8005JJyyrBt9D22sUFe6/uZRDdfG2gyyr9O/totoXKV8XmzXlTnfyr9U7uOmKs7jqgjNQVcjulcyESzw47CIPTB+lcwNq8yAhf98Muso2O5Z2rMrLHa4PsHjFVuZUFNPcEjZcs2rTl0CrjpUVSEmWGDooMxK8LKvYbSI/LS/AnZ6kH5BUXnWW6bOye6bqHGtXXXCG/rscPWy3ereEL+TkQFesc23Lf15YOIBf/HG9vkZ7ctxk9kg2la9TslxIEnH0Shu2VVF+qYd7p5VS19ASqVAUDejR9Keiqtx67TnYJBF3upNHn91s2LMtnDGKJLtIY0C2zIa6/bpCHvvHR4YMV7P37qr1KIHuD01nx/q0DGt69JubzZ25FcU8fGsZwZCCJAok2UWmXpXHj6808ruekpVmaRNocvxlVQOV43IZ2NdF9eEmBCLz4sbLh1v6t6sONxgqHvzixyVMuNRj8JHH+j5iS/Gf3j+d3u4huk0Um3muzY8ePbpXMH5XzL1HgJe9Xm98MeGTBEdbtuBI7pNlBRVJPwCEiND8/h8fGEjdUUFB5Rd/jKSp/uHnow2ZJ9oz9tc0GNp58OlNOhGsVm+3vdIxvd3JiILAgiUbI2Td7mSdR0K7TiPx1PovQNRAUXlgifE9FizZGLleVvUJ3fZvbY/3YttEVs2qQyZwBAiDxdiX4fMH9G8LrSUDZRk90ki758GnN7FwRpmlbJu1JQrmh7eSKLDlsxrdsNaunzjGYzq3gjK60av1Z2H0HRLHwwkcK8Roedq2uk4rPYSsEgaqDjcZyNo1XT1/yshOlStQVKhriET7bNhWxe799Uwfn2eYN4tXbDXo/oljhhgiSVdt+lLXw7Is6GWdY5+zcEYZwUA4Ro/KtCB2Wgcn0P3R3jppZYNoshdrYyxesdVQntnKPggEw3j3+pi7aD0A8ypLAEzngya/gWAYnz/A3gN+UwLuUFjlnsXvGOS9clyuvi4cS/mMhB2RQAIJnPRQMdWdX1U36Dpz4YxRqMCXVX7AqJO165ubZd1hoWH3/nrmTxlJ+ZgzdQeelf7/+lAjOdnpKDGOZrO9Raz+N7MtrPcjCTvkm0BXlmMMBMNxbZWPOdPwfesagqbPawkqVPsa2/VJfF3TQG93qsH+1f62v6aBnOx0Q2mvWBtiy2c1FA/vG+e7CMsKc6PlvjTs3l+fkL9vEF1lmx1tO6IFHYm/KaTro3mV8Xu6WJnS5Gzxiq0RuQLmP/UuleNy+e2yzVSOy8XnD5CSZDd91lfVDXGl8GPnodW7JXwhJwe6Yp2L1dXjRw+OW6PHjx7MgUPmZQ8lEUtakNqGFg7WBgxybLcJpnZG5bhc/ZBG8w8KskwLsL+mQe+H1jftXX/z7Pu6HdDeeyeoG75bkGUFQRJN1/RYX1vbufNAVIZsqgqyStCgH2WitKqG0qGxNsH08Xm6HHv3+li8Ymvc2Uh75cK1A0CtP4dqmy19H4tXbDXodFQh7n1+t+wDw/z49azzj9+gHwWOmZXT6/U+fzIfAELHJNBWZKYOSeD+m0t56JYy5lQUUzI8u11CXyUmCl+DFh0X+8zYiInlb+3k7slFcX1b9vqOuHacjsiTNRJMM5Jv7ffbJhYgSnDbxAKSkyTunDTC9P3N3r29iI6uIoNO4MhhNfaCCLPbyPfsimKcDtGQGRJ7DwJxcnf35CIkCe6cNIJ5lSUsnDGKeZUl3DlpBAjm37e9uWUuW+b9kZVE5GYCRw9N1hBUU52YZBdxSBHTVVZU0lIcpnKYZBeZazKXVm/agyfHzbzKEu6dVordJrJx29eGe/tmphnum1Wezwtv7tQzBQVBjZsrc6NzxWrtaEtAr/U/oYO/HeiISN1Mv86cEJErDdW+ZjLSHMypKCbJ3krK/cKbO5lVbpwLt00swJXqMPzWNzPNNBOg2tdMVg8n8ypL6JuZxr3TStm+uyZuft09uYjlb+3UbZ3YPmnXtGc3HSsSZPQJJJBAd4eZLtdsBM0WkCQBWVFZ99F+Mnskx+nv268rpKklZNDVnhw3leNysUkCA7JduF1OoHWf2PZ52T1TcLQp62FlU7jTk6gcl4usqKiSiN0h6bo2YYecWFjtvRyScETroQ3I7pkSJ2v9MtMM39dMnmZOyKepJcSy13fovoe7J8fbK8te30FTS8j0/mWv7wDB3M7RfBwbt33NnIpiFs4YReW4CK9hQv6+O4i18USHBJJEWBAs93uafVzta0ZWFe6aVGSpdzU5m1NRbJArLatVk/uQLJvqU22Pd+/UUtzpScyrLGH+lHM7tHcTvpDuibb7CQSOWs9obSmKyv03l1IyPNuQLa3JVkaaQ9ehZr40GzD3xnjbIbNHMqdkpfCHn4/m5z8awcA+Lk7pnRbnw7h7chGrN+0xtJtkb/WHaM/OSDP3i8Tu66zeuyM/ewLfPnT0za3XaDpll7SVeY02J/a3X9xYQk4fFw/dUkZqso3brytk1cYvTP3bwVA4rj9Ohw23y6nbF3MqinG7nGSkOQzrxJyK4nbPeLR/hyxosE4UEvOP9ssNWKV693I5OOQPmv5ulaovWkRCZEUj18zIMbVI+flTRmKTxEjfpEg2Vix6u1sJ4TUSzPIxZ9I3M4X5U0bSEpJJskvY7SLXXDQYm03grsday3neNrGAWeX52CSRzIxkbEQUudm7p6c4rCM6ODZC7gSOHjYLUlVBhfQ0Ow9MHxXhORAERAnCQdmQGRJ7D8CaD74ylPFctfELxl1wBuGwaiiTePt1hUiiiIJJCQCLuQUWspVqJVsiyIkcjwSOHLE6vHJcLqs37TGU3Xp5zS7GnX8GKU4b7hQ7oiTgSjaXQwGBHlF5VhRQUdn0yQEqr8ql0aRc1pdVrVGgqESJiV0RDlUJ7pxUiL8xpJcP0DaL/uYgDU1B7PaIIWS1dogitA2LTUTcfTvQmTIz8fpV5MkXt+iRcBD59pkZyTgkqKlr4bnXP6VyXC4ZaQ4yezh58CdlyLKKIMJTL241lMoNBMOgYpoJUDI8G0EQDGvB3ZOLcKcnsXBGGWFZISyrLH9rp57VGhs1l5mRzFOzL0EU0W2fEzGGCSSQQAInGm11uU0UESW44/oCbKJIIBTmzsfWMqs8n4tGDOBv/97Oleefzn3TShEEsNtEBEGgJSjrutqsfJdWnkjbJ04fn0e/rDRUFeoaAqQ67YSCRkYPK5siLdnBI8+8p7c9u6KYZa9/yoZtVcyrLEnYIScQZnsvhySY+i3aWw9lWSHVLpGSlcqCqN1rM9nre/f6eHnNLkNp+idf3MLFRQPx+QM8s3I740cPJj3VzvTxeTgdNr1MmM8fwFcf4S+ePj6P7J6pfFXdoP9t74F6lr2+IyKrmWnYbSIqKlOvyuOVtbu4sHCAQcbnVBTTw9WOnyIhf98axNp4bpeTSWOH6tkc8ypLeO2dL6gcl0v/3mlUHW40lKXr7U7GLkn8/d/bdZu4Z4YTuyRyxw2FSIKAIKJnfcTqNS1LS9OjkfLIn+q2c1hWsEkCd04egb8hyK+eXG+QT5fT1q5Lw8o3k/CFnDhY7SdKhmcbynF2Rs9YteWK8YFpsjWrPF/XobF7sx4uB3LU39wj2c6DPykjLEd0vYrKq2s/p3BoH4NunFtRTE+Xg4Uzyqipa6auIcj726uouPwsrrlocFzpZBX0Z2uHkGaZtR29d4K64buHjr65lV2576Aft8vZrl0i2UVsIVG3JQLBMKnJNoIhOWoTC9glgaZgmNl/WKfL//wp5/L90kHY7aJuq4Rllf/7z06Kh/eN648oYlhTYoPlQOWO6wv09wpjfv4ROz/sNpFwuPvob2n+/Pknug/HC0dEqmlFAm1FZnrRiBzmP/WOye8DLElONYJjnz/A5LHDubxsEGNHDcJpE1HCiv5Mh13k/IL+lJ1zCsXD+vDpnsMMG9SLNIekEyG3Jd38xU0lpKc6dELNM/pncEFBf+obQ9Q3thCWFYIhmcP1AXr3TOW+xRsMfd/6WQ0Fnt6kJTtItYvIsmL57mPOzaFoWB9TwmezvrUlg24PJ5rktBsRwx4xKaxokxh2Wi8DQeqs8nzS05L40/9twZ2ejKyoVB1u5l+rd5A/JBu7XaRgSB8+iPled08uJj3FzinZLqp9TYRllbrGFnIHZ2GXRO77s1F2tnx2kIuLcsAiAshsblnJ1vfOHciIYcb+zK4oxuWUdELsEy0jJwKdfeeTWX6PF2JlrfpwEz+85EwWvfARL635HO+ew0y6bBhLV27njY17GF2UA6rA4pe2MumyYYa5pJHRy7KCoKoIksDcRetZ8+F+ck/P5HcxZTI00m6NXPvuyUW8+d5ehpzaqsdVWQVB4OlXP+EHo8/kstJTOaW3i/97ayd9M9P456qdDOqXjsuVBAhcWNifwiG9OVDThCQKlnpVW2c6o4OPldC8q5GQ31Z0lkg9Vr/aRIFhp2cyYmg2/3PuqZSd3Y/LywbhlARCaqSM0Y69taz5cB+rNu7l3a1fc3HRAAQhcgB4xXmn8/bmr3jt3T1s/ayG0rx+rPnwKwqGZlM8PKKX+/d2ccsPz+aiEQPi+veBt5rBA3rwp+VbOWNAD/652st55/Tn8rJBfH/kIN7YuIeG5lBUHkVQ/j977x4nV1Xme//W2ntXVXfSSTpJJ4JcIgoFBjyThHRQURlA5owKyss7Q0AkUcEZGSUHHIWAF3SEoI5w4HW84pggknDOm0FGcTwoEQcR6RCiaIQio9wUyLWSdNKXqn05f+y9du1rXbqr01XVv+/nk0+6du299lpr/9aznmet2mvZIX9rsuqwFp1qfwG3bOsfKNSd8MV/dWLL9L/t7gu0Wv7bVb+tVIdAep6C/a3UNEhDomxX+l7lW0gAcBw4lvu3IwU+9Q033vzLJUfh9nt+jWde2IdNj7+IH/7iWTy27RX81bJjYVsObDj4y8VHY9e+Ibzz9OPwtY2/ifkl7z/39fj5E3+GJgXe/N+OxNc3/hb/8ctn8ZdLjkaXLuvyKa65dCm+9+On8MwL+/y0txZ24v898wQ8/Os/45XdQ/i7/+eUmA8V9EOa/eyo3zDR2MtC9f4wzR90PC26aTmA4w7oKU0on+Cti46CJgUMAQhN4Mi+6fjxo8/i0ne8Hi+8cgCnvG4uurM6erozKA6O4H//dDuKgyNYtXwRNjxQQHFwBGf3H4Pv/fgpbPjJM9CkwKrli/C9HxdQeKGInz/xZwxse8X1WSwbuhR4zVGzQkvlqzKddeox6F+YPE4xmT5uNVpIv0ADGh4rY9F+VKNCE/j0N13b+HfnvyFk717ZPYS/fbsb7/1m+24sPnEeHnriTyE93PUfv8dj23Zg594hLDxuDnKG7v2oQkBzHNgOcN3XHvHtnLJrSte/+8NuvLBjEHv2D2H5OSfi9nu24t8f/iO2/XEPzlhyNHKGhs8kjhVWb3MZQ2JJjbGQZtVps2hF/QJoWn2kxRP/+N4leGzbKw3ZmfQxsPD4qiYF+k9+FZYtPAI/2/KiH5uds+xYdOkSUgrYUqBsOxACyAjAgcDqrz6CvzrtNbH+X41Ta5rA/oMlfG3jb3DuW47D/oOjyGZ0zJnRhZMWzMa+g6OY3dsFxwbetvgoHHtEDx547HksPycfG2Pc8ECh6piEIm2c/XBSrX20on5bYQytEaL5qfbMk/zKKy9chIe3/hl9vV3o7s4kjktpmus37zs4iqERC+v/TwEbf/ZfeOx3r+Cv3/Qa954ANF1id3EEbzzlCCxbeASefekAfvzoczhj8dG4/muPYP0DBewsDuGk18zBxk3b8exLB2I+67tOPy60xKfyoc869Rg4phUqV1J5ou3jVXOmYXh44p5Xoxoe15uA+XxeAjgDwC8KhULrqLCJpL+umvaqfvomp5ZlY05PBhedc2LqL/E0TdZ8wzBpdn3urG7s3j+Ey959Cvpm5WA7iG1OufaHv69s3tqTC+V/Z9HdYDYrK7P0aWUvlavP7vPXHpNDqWzhzvufCr3ldOf9T+ETly7BuW95bejXQFdeuAgQQNm0oesCN1z+RkjhzuOZtu3+OsK0Q295XP/+fph6sibMMWw2n5TOaNnCXO9XSurXpBkN3AibjJmg1tQv6y579yk4Zv50vLDjYGzDYsDBY9t2hN6IGhwqo2eaASvwKn8w3eASHoqdxWEsOGIG1lxxOjQNeNfpx8VtoUBi2+ztyeB97zgJP3j4D7Hv1a+chbefRKy8df7ijm9JtTZj3Ui9XI7Y7ZX9gKHVXB4rqPneGVl0Zw3kshLvfttrsf9gCff8pIBVyxehO2tgzboBf9P6aHq5jI7CC0U8tOVF/O3ZeXzhzs0hfV3y1yemarfZcDN6QkgrkNTfqrfy/Lgspe8N2jFdkzGb1tuTQ3FwNNaX57LJyzjPnz0NX/jI6ejtySKX1UK/Zq7lU9i2uzIBhBN6+0GlrZY+KrxQxJ33P+W9PcZYsBWo1h9mG/QHlSa++NHTsS9hzKJnmoE773ff/uvtyWDFO1+P4uBoaFxi9Yp+zJhu4JU9Q1j5rtdjcKiMHz3yLM5aeiw+eN7JEELgn+/aElrZINh/W5YNIURKTMo3TzqN1JjFG8+KxmFBG7SrOAzbcfDRv/0L6Jr0Y7rHtu1A/pherHzX6/39q5Rt7u3JIpvREtP8+PuWQAhgzRWnw7YdSAlkA2+XqLGL4XL6sp7V2ly5xLGQViN1yx2Ihu1MtfHVnmlGKA4rlU2M2hbW/MPp7jhxjRW1eqYZie1B3UNt3XTn/e5bhtNyRuhNpysvXIQnt+/CrJ6cvy+lSnv2zCxuvuJ0mLYdWq2A9pU0ivIhlH0eHCrjoS0vJr7ZH5wjiWr+ygsX4bs/egoAcOBgKfGt8OB5miZ8DasVElT7zRgy9J2d0k5N246NIVRbzUO1Dylba0fXcW2OUigUbAD3deoEIFB5XTWIeiU/+Xj1B1yynMRNZNXiK2mbzJYiv/yxLNv9JZzjQFi2KyxvY/ld+0b8gTeVxm0btuKCM4/301t+zgkJeQ8b8PSyi9j9g9dV+45MHJqUKA66mwCv/uojuGntgLtsrCNim/nefs9WwBGAI/D5bz+GK764CX//hU244oub8PlvPwbLAm78TmTD1u8MQIoUTYjGDFs1bZVLFoRlefqx6PSScRHVmtos+OU9h3DT2oHIZtjCP19tNrz6q4/gjvt+Czjp6aqlYYIomyosC3bJSraFKW0zY+i4/Z6tOGvpsbHvb1o7AKvGJEo9NjitrzFjZ5LJoJqNTMMEcGPCRttmjfSimv/47Q/js3c8CjiAZTm48TsDeGzbDhwaNrFmnZt+mubVsuT9C4+I+SH1aLeZjKUOCSGk2ST1t9G4LK3vreVrLD/nhMS+3LadRPv3p50Hcc1XfoFPfv2XKJfri9WUT6E5NoRlAQ4S01ZLHwHuMmICYCzYIlTrD8fiD1qWDStlTAOO8OPRP+8awo69Q/6AnDpvzboBjIzauG3DVj9m/enmF3HHfb+FJiX+tHMwceuTYP8txzhOQdqPNI2q8awk2+jaIIFb1z+B67/2S3zqG48GYjpXOxecebw/AajSvW3DVuzYO+SfE08TEKZrfbIfPQAAIABJREFUC12baKM0YsbGLtLHCmXNNsexkNYizX5KiYbtTNXYxBvL/fjtD+MfvrQJV/3P/8RtG7YCjhO6R5p+lGbTxyXcmK84OIJDw2Ysjdvv2Yqz+xf4E4DBtMtlG/A0CW9sg/aVjBXLsiEA3Lr+Cdy0dgD9C49IHPOqNkdy+z2uHx30gy848/iYv3H7PVux/JwTIIXwNSws27Ozbvu1S1bou2r+RWp5vLTaoX0048fQ/5nP508rFAq/akJaLYfa2DL6S4uMlnxcR2WLJk1zO/ngr0NGa/wyPe3XIRCAo2mwbVeUSb+4UHkdLVt+GvljenHBmcejp9tA74wc8sf0ovBCEUfOne6vXVspk0DZiqdXrYz1klQXrdgg2pmMBqxe2R/65c7qlf2wnWRN2d5bT709udAbTxs3bU990xXCwScuPRWDh0r+Osw90zIQIv0ZJx0HmqctQqqRZMduuPw0GLqGL3zkdOw/WMKDm5/HReecWLc2NU3CgsA//d2b8NLug3jkNy9h1fJFoV8d1aNnIZxQ2xvY9jL6Fx4Bx3H8t7qT+wsgq8lx2VC+JdXajKX/rfpLf285iqT04N1r/QNP47y3vhZzZ3ZBCEAId28JlWZPt+H3F70zsvjsh96EtT/8HR7btsN/W9zQ3UGPtF+h2jagNbWm0mmmD0MIIWMl7RfF6s25aN8b9Jt1CNxw+Wm44Vu/wsZN22O+xpFzpyemnTVk7NxrLl0KKYB/+rs3Qkrh+uWabDgmS7Ktak9AALS1LUi1/rDW2IQiGs8JAaxavghzZnbBth3s2T+Mu/7jadi2498rqPFo+iMlMxZTzp/djYwGzJ/dnehXu+MhMuav9/bksPycE3Dk3OkQEK6fznGGjiHNv1XjWUHbGNKCgG8/k8bxIBAbAym8UEQuo8OyHay54nQMDpewc+9wKFaM2rWksQ6ZMi7jviUYLo8arxurTSYTSzPjiWppAZV47Kylx2Lm9Ax6e7L+GG1QZ4kxluPghstPw+BQCdeu6MfN66Lj1wK25cTGi4NpaDLZXnN8gDQb1RbWP/A0jpqX7MvWmiOZOT2DmdOzobGK6DxI74wsZvfkICTgIG5fGxmrzmgCJci2n9NoRlt+HsB/5PP5+wC8iMD7EoVC4dNNSH9SSVtarVyyqm94mfKa/4zu6ptVJ22UuWzhfOwbLMWW1You06HyasK9R29PLrYpvLt58R9g6BKf//s3oTg4GtsI1l8OtEkbuXLZucND6vIRVvJmpZoUkJpI3PQ0Y2gp10iYphNabu7qi5fA0CX27B9JXMY2bSN6LtVCDgdRO5YxJPYPlmIBYXDJ5UZt+7Ur+iGlgxs//GbAcTcTrqVnTZMoHirjjvt+i53FYSxbOB8Xvj2Pm9dV7Pw1ly5N3HS8no2Ta5G2KXOtDc3J4WEs/W+1Z1orvTmBJbs+9Y1fhtqG0qDtOLH+4toVS/Gh80/Bjj3DMHSJnpyONVe8GQ6S+x0HzmEbnONm9ISQyUbTJEzvrbyoPVRvzoXiwAQf4/qV/fjiR09HqWwjl9VC8due/SOJaQshcFTfdNx0xelwHAeW5eA7gR9trFq+CF/+3hM1lyNNIsm2ZjSBD73nFHzwvJNpa1uQav2hpsma/mBUl8sWzseKd74eAPDpgM9w9cVLYBgCvdLwfYEXdwwmpt/bk8WBoVJsCXPbcDDN0NDdNw03XfFmOI67v9C3/72i32AsmbYsKccZOoc0/9bQZCi++9JH3xJbHjloP4PjeGqMQsVhwXGykZKJ514+gDvu+y2uvHCRPwEYjBX9vFUZ50pb1jPY5vLH9MbG66jf1qKZ8UQ98VjS1lHBMbXL3n1Kcozl7Z/25e89gTe8bi4+96E3Yf+h+FhvcLw4moZlp48bcnyANJPgVmk79h5qeI5kXm8X5s7sghQVzaq3YKvNgwTnPKrZ7yQ/N21cu91s9biWA/XoAvB9uJN/RwE4OvCvI0hbUqLaUhNpr2lL75dH6vXS6K8/1Ix48PuV7zo5cVmtpGU6LMuGDvcXHsvPOSFxqbkPnncypHT3DLzmK7/ATWvdpb6iaaq3XSzbgZQCmja2JbS47Nzhw90wWnXQDmzLgdTcX2oGNbVq+SJIDbAtxF6Zvm3DVti2+0uiz1y2DGuueDM+c9ky3HD5abBtB7fcvSV0/i13b0HJtFOWsU1/9lyqhRwuglqzLCe2ZGJ0yeVGbfvN6wawc+8Irv/aI/7SIGl61jQJR5MoR9I5a+mx/gSgSvcLd27GynedHGq7V164CBseeKb68mHePUwh4GgSmhbv6pP6mmBfRCafRm1krWdaLb2S5SQu2XXT2gF88DxXg7omY9/fvG4zduwZxsHhMm741q9Qsty0jYS8XHnhInz73393WPt+9jOEkMnEBPDtf/8drrww7odv3LQ9ZqeTfIwb1w7A8sady2V3MkTFb+vu/32yjy8Bq2RCWhYyhoZPf/OX/g+KlK9fz3KkUZR/MertJ5uVIrasEm1ta5LWH9bjD0Z1edbSYxN9hlvu3gLbUuMRgK4J/62+YPrXr+yHlMBN0a0nAjGiXbIgLRtSuGMWQf0GY8m0ZUmTNF2Pf0xajzSNanBCy7mZVnw84kZvKXqle8B9m3Q0QTe337MV73/XyeiZlsHGTdv9Y2ctPTZxex6g+jhX2rKewfJccObxVZfBI61BM+OJWvFYrTG1jZu245pLlybGWDv2DmFncRj9C4/Ap7+ZPNYbHC9etnA+rlvZjy985HR89kNvwq+f2YHVKf0B7SdpNkrvGx54JuYnR+dIrk/qAzRAlxV7qt4KT5sHUba81lZsSWPVtbZ1ayfGPd5XKBTe34yMdBrVNn2t9uuP6K9Dnnt5EAeHSw29lq3S6M4lbwovIFBK3azY8Y38vqGyP1iugsreniymGVpDHR+XnTs8pP2SYVpOx533PxVa7uLO+5/Cx967GEDKK/+Wg3LZjv06U9dSdGOlb37NZ09aifHao7Tr1fID1dIJttGrLlocSidt+URNE6GNk7/7o6f8fQyT7lXvm9d8S6rzGM8ztWwHuUy6z/DFj56O0VKyPRcCMf1blo0Z3gb3qt9R2r3svFMO25KghBAymVi2g8e27cC+wZJvD03LxpF90/Cx9y6Ox4EpPsbu/cO45iu/8Af79g2WUHihiMILRdx5/1P43N+9CcUDIyEf359YTPHR05YjTYMru3Qm9fgOUV1WW+bTtG1kA1rp7cnhA+e9Hjdd8WbYNqBLAQ0ORmuMRaTdO3pevX499du+1Ovf1tJCtThMnevAwbfu/Z0fa9WK8cYSV0bLw7ESokjXQ8VeFl4oQkaWslUx1nve9joA6eMKwTgt7a3DuTOzsbYGgPaTNB2l953FYXz3R5Xx6r7eLhgI23jDkPgfyxejd0YWr+w5hK9tfNJfzWJOT8bX7K59w3jVnGl1jdc1Yn87yVY3Zfo+n8+fmM/nP5XP57/ifc7n8/k31HntP+fz+Wfz+byTz+dPDhw/IZ/PP5rP55/x/j++GXk9XFTb9LXWL0n8DdilwB33/RbFA6OpaaVhedcnXSdlJX/5Y3px3cp+/22vjOFKwgRib8uojZIbne2uugEuaRqpb59K6W/QrjZfLw6O+JsDJ2tExJ7/jWsHqm5y3dhxPnsyOYzXHqVdr5YfqJZOsI1GN+1O3cRbILRxsgpK0+7VyJvXfEuq8xjrM9WkwEjJTPUZLMvBS7sPJn4/UjJ9/WaMwK9EHYEHNz/v9zuFF4p+/0IIIZ1K8NfympRYtnA+Ci8UfT/8//tfv4YdeDMltDdJio+x/2AJQOXXzBecWQmLi4MjeOGVwZiPr1B7tUbTjC1HWgOu7NK51PIdorocHCqn+gyaFCGtFF4o4pqvPILrvvoINAnAsqqOU0S1WOu8etOJ6re3J4fi4AhGbYdvtbQB9fi3tbRQLQ5T576y55Afa6lj1WK84D3VuNoXPnI6NFldU8HxPo6VEMD1HeodU9u1bwR33PfbWIw1UnJ75NRxhYCu0t5sGi3H2xr7f9IsknxkAL6ffOv6JyAQngA0AdzwrV/h4HAZn/nmo/jsHY+h8EIx8Kas49vT2zZsxYs7kscsora8EfvbSbZ63N5OPp//GwAPA3g1gEu9wz0Abqkzie8DeCvcvQWDfB3AvxQKhRMA/AuAb4w3r4eTZiy1ptJ4cPPzVV+PHUsedLgbJV/6zpP8DuRrG5/E/sGSuwxoyky32ii5Ebjs3OEh7ZlBOFV1kPSdEMlpCYnYEgGrV/Yja4jEdDI1lr8l5HAzXnuUdL3aL6JWOsE2unHT9pBdf3Dz86nLbzSS52q/UiIkDR1IXbJL/VJuwwPP4KqLFseWneuZlsGDm5/HDZefhv2DJaz+6iP40JoHsfqrv8CFb8/7zr1qKxDUIiGkM7FtB8WhcsgOLj/nxJAdrOYrpPkYGzdt98/ZWRzGzOkZ//vVXqyYlv7MadlYmmnLkVaD/sXUJarLBzc/j3k1fIZaWqnXt611Xr3pBPOk9mH72sYnvXb6CIpDZU4Etjk1l8WvEocp/c6f3d1QjKfuuWzhfLzvHe642jVf+QVWf/UXdWmK42QEqLyl+s3vP5k47hsdU0saN7j+/f2Y5+lXLYtYTVeN9Ons/0kzUDpv1EdW+qv2hitQff4kyZY3Yn87yVYLtYHoWMnn808BWF4oFH6Tz+eLhUKhN5/PGwBeKhQKfQ2k8xyAdxUKhd/l8/l5AJ4BMKdQKFj5fF4DsAfA8YVCYVedSS4A8OyePQdhVzFOfX092LVrsN5sNoSmSXc92XEstabSgADgCNi2AykRSyutHFXzoGm49qu/CDWkeb1dWHPFmwEAq7/6SOy7D1/wBhwzv8dfU73RctSqi4l8HvXQ19fTKlP5C9Cgfh1NJj6zNVe8GTqQWv9Jz8aEwOpEbZyOjAaULCRucp10j2a0g7QyTxXqLXM76/dwMl5NquttG+5bTcIBnLhdjhJto/ljerH8nBPwqtnTkDE0r205gBCA49Rsp0n3qmYHGrXbhxvqd3Jxf5knYFpOaMkuy7J9XfX25HDJX5+IOTPdX75lMxos2wYcQEAk+hSfueyNOHBoFINDZTy4+Xl86D2ntLwWg3Sq/QXcsp37sfvqTvgHX353y2i+3dtfq+W/XfXbSnUIAHrOwD/e9p+J/rPrN9f2OcL9vcQ3v/+kvx9aUnoZTaBkOan+QV9fD/buPeSnqUsJqQGlcn35UTTTv2j2s6N+J56oH5rRBCygqs9QSyuVcY6431vt3tHz6vGRg3m6bmU/7rjvty3jK7eQfoEGNDxWJmv8LS0Oe3VfDzRvfM3RBJ596QCmd2fQnTVQKpvYd3AUrzlyBmxvT7/ke6aNndTWVDPGSibTnrSifgG0lX0NajN/TC8uOPN4zJyewdyZXdA9u5pkg6N9v9SEP06XMTQIITBaMhN11Uif3s7jC0D19tGK+m23MYh685Ouo+o+srrusnefUrPv1jQJYWgom5Y7f+I4kCJ9vK4R+ztWWz3Rz6tRDTdj4nIegCe9v53A/+PxGo4G8OdCoWABgDcR+JJ3vN5JQADAnDnTa57T19czljy2DLbtoDg4ApnRYdsOHMddM3fmtGzVZbd2FodS3hwTmDuzC5/8wDJ8/l8f89d9XrV8EWbPyGHurO4JXc6r3Z9HM2lEv7btxJ7ZJz+wbEzPa8/+YXzi0lMxeKiEXEbHSMlEz7QMNE1g1syu2gmMA9t2sP/QKMqmDUNP1vFU1Eg7lrkV7a/SF0wbuaxW006O5x5RDdu2g+ve34+bvuMup1EcHIGha/ifG7biE5eeilkzu5ty72bZgalOK+q3mdRja4PnKl196huPYl5vFz77oTdCCAFAwDAk7JRfiR4cdt8ObGcttuNzrke/Y6GV6qKV8jIW2j3/E0m9+m21OkyPrYAj5jbeJm3bwXv/+0l49qUDkT699tLKysbvLA7BMDTMrWHja/UHzfYvWu3ZNZN21W+zGItWlAYdIWr6JOPNU9rbBBAi8Zk04i91ChPlQyjq0X6z6t1Pp2zjxg+/GXfc91s8tm0HioMjmDOzC/NnV3Rp2w4OzCzHtNvbU93mVhtXO1ztvFPtyViZ6Ppopl3Ys384tMffxk3bUXihiG9/8u2Y3TutyTl3acROd8L4Qju1j3Ycg6gnP2P1kZX+vvfjp3DlhYtw+z1bG9JhsK0KXWJOisb99pwzmt7Pt9LzasYk4BYA7wNwZ+DYcgADTUh73LTbLHqjqFdq1z/wNM59y2tDDaLmZq2au7Z0dCYdjoM9ew5iZk7HzVecDlO9fagJCMv9bqKY7OfRSo0TaFy/M3N6bCPfsTwvmdFgmg6+tvFJX09XX7wEgDOhz6eeTdsnWyOTQQNvohyG3NRPq9nfevQ10feYNT2DD1/wBuQyur+Rd3FwBHAqbWu89dIsO3C4oX4PH2NpCzNzOv551VsxMmoiY0jsHyzhM998NHT9soXzY2+szJ3ZhW+uPquttBikU+0vMLY8t4rm27n9Aa2X/3bVbyvVIQAYOSM1thprXsfSpzdi4xs5t1n+xQS8Cdi0tJpBu+q3mTSilTlzpuPZl/ZPqH8ezJMD1N1OD0fs0Gr6BerT8FipR/vNqve0dD50/in+WyFRXSqdBN9QrWnnqoyrHY52PslvAk7KfWsx2WNWjaS1b6jsv+Gkli78wcN/GLd+aumiETvdruMLQM03AQ9zbmrTbmMQdednHHZyZk7Hh95zCiCANVecHlohMarDYH7qaasT3c8fhjcBGzq/GQufXwng8/l8/ucApuXz+f8D4J8AXDWONF8E8GpvGVB4/x/pHW87gptfNnvjabVJ61lLj/UnAIH6NmutuW66ZQOWBd2xIS0bdskacyOYyDogFdI2zTYyGhxN8+pfg5HRqqZjW8Atd28J6emWu7fATl4Fo2lw02EykVgQE66vWhoWloPenhxuXf8Eblo7gOLgSN3riddrR9PsACGKdJ2KmL6U7ka9YCQrBSzLwY0J13/wvJMTfAqHWiSETAmS9t8b754hY+nT02x8GYj5D42cS/+C1EOjS2btPzR6WOM/x3bw+b9/U117dTI2nRyaVe9p6cBBqg1Tdm5eb3dddk7TJDRNjMv2c6ysvWimXUhK6/Z7tuKD551cUz/j1U0jfXor9v9sN+3FePbVU/oTpg1hWdAc29/yytElHE2D5ekgOIFaT1udav38uN8ELBQKT+fz+RMBvAvAD+FO1P2wUCiM+WcBhUJhZz6f/zWAiwDc5f2/tYH9AFuGiZ5VrmeTzLSHbFk2eruN2C86mm3QD8cv6Eg6RkbD7sES1gTqf/XKfsztyaCcsra9aduJejJte0I3P6226XA7brpKWgdNkxixknXdTH3V0vBY7S7tKGkmaTrdvX8Y13zlF76+5vRksGewFNPdtJyeeL2AmHCfghBCWhUpxWGJrWqRZuN3FYdx6/onQv5DI+cSUoux+Ktlc+L983reCEucEGJsOik0q94n+vkFddXbk8OHL3gDjpw7HYYm/T0yG0mDMV570ExdpaUlIGruHzyVdTPVy9+ONHP+odqKiJ/8wDLMzOlVfdxgW51q/XxTpsoLhcIQgEcAPATg4UYmAPP5/O35fP5PAI4C8NN8Pr/N++rvAXw0n88/A+Cj3ue2Y6JnlTUpMK+3C4NDZX9GXTGvtwtajXVsD8cvOqbazHqrUbLgTwACbv2vWTuAlPk/ABVdBalHT+Nlsu5LOh8TwEu7D064vurRcDN/1U87SsZCmk73HywBqOirZCXrTkqZeL2UaLlfiRJCyOGkFX4tn2bjB4fKMf+hkXMJqcVY/FVDT/Ypmumfj+WNMICx6WTRrHqf6OcX1FXhhSI+e8dj+NQ3fgmnzgnAaBoAY7x2oJm6SktL1hipn+q6merlb1ea5SNXWxHx8//6WE0fN9hWp1o/P+5JwHw+f0w+n38YwHMA7gfwXD6ffzifzx9bz/WFQuHKQqFwVKFQ0AuFwqsKhcJC7/jThUJhWaFQOMH7vzDevE4G1WaVm4F6pfbBzc/jygsXNXX5mWYx0XVAqmOlvNVn2ekGdzyvao+Hybov6Xws28GGB56ZcDs5URqmHSXNJEmnV164CBs3bffPUf1Eku4gHNpqQghpUWrZ+KD/0Mi5hNRiLP7qRCyj24x8AYxNJ4tm1ftEP79mxGeM8dqPZupqrGlNdd1M9fJPdepZERGor31NtX6+GeVaB2ALgP9eKBQO5fP56XD3BFwH4IwmpN/WqFnloDD9WWVr/AZKvVL7D3/zFyibVmyTzFb4Ff5E1wGpjiaTN2DVpASs5NcBD9dSsa1yX9L5aFKgODiC7/7oKVz27lPQ021gpGRiVk8GVrXXYhtkojRMO0qaSVynEt/8/pMovFD0z1H9RPIG3qCtJoSQFiVo420H+NPOQXz3R0/5Nj7oP4T7A+DPu9LPJaQWY/FXD8cyumP1oxmbTg7NqveJfn7NiM8Y47UfzdTVmLcKmeK6merln+pEV0RM00E97Wuq9fPNWA50CYCPFwqFQwDgLQV6jXd8ynM4ZpUty0ZvTy60SWYrLcM11WbWW42MBqyO1P/qlf3IaNWvm6zljFphGSXSeSg7VBwcwU1rB3Dr+idcuzkBTuJEaJh2lDSboE51OLjonBNj+spo6bqjrSaEkNZF2eh5vd3o7cmhODgCINl/UOdmJWqeS0g1xvxWywT7FOPxo+nvTA7NqveJfH7NiM8Y47UnzdTVWNKa6rqZ6uWf6lRbEfGTH1iW6ONWa19TqZ9vRhv5FYB+uHsCKk4F8GgT0m57ptqschKsg8mlXLIwtyeDNVecDsu2oUmJjOYeJ2Sq0O52qN3zT1qbNH2VS1boeC6rwylb1B0hhLQJjbxlRV+DjJdW1VCr5ou0N83QFbVJxsJU181UL/9URz3/D73nFEAgtCLi3Fnd2LPn4GRnsWUZ0yRgPp//XODjHwD8KJ/P3w/gRQBHA3gHgLvHn73OwLJsCHiVbTmYilMvrIPJpVyyAvVvocwHQKYg7W6H2j3/pLVJ01fweG9PDrt2DU5WFgkhhIyBRvwH+hpkvLSqhlo1X6S9aYauqE0yFqa6bqZ6+ac66vkrNACw3B+/kXTG+ibg0ZHP/+b9Pw/AKIB7AeTGmilCCCGEEEIIIYQQQgghhBBCyNgZ0yRgoVB4f7MzQgghhBBCCCGEEEIIIYQQQghpDk3ZNzOfz3cDeB2A6cHjhULhl81In4wNTZMwAa6RTKpCnRDSOGw3pNNJ0jghhJDWhXabdCL0ucl4oH4ICcM2QdoNarZ5jDs2yOfzlwL4CoASgOHAVw6AY8abPhkbmiZRHCrjprUD2FkcxrzeLly3sh+93QYbC/GhTghpHLYb0umkaXzWLGeys0YIISQB2m3SidDnJuOB+iEkDNsEaTeo2eYim5DGFwFcUCgU5hYKhaMD/zgBOImYgN9IAGBncRg3rR2AObnZIi0GdUJI47DdkE4nTeP7D41ObsYIIYQkQrtNOhH63GQ8UD+EhGGbIO0GNdtcmjEJWALwUBPSIU3Esh2/kSh2Fodh2fw1KKlAnRDSOGw3pNNJ03jZ5K/tCCGkFaHdJp0IfW4yHqgfQsKwTZB2g5ptLs2YBPwUgFvy+fzcJqRFmoQmBeb1doWOzevtgibFJOWItCLUCSGNw3ZDOp00jRt6M9xGQgghzYZ2m3Qi9LnJeKB+CAnDNkHaDWq2uTQjKngGwHkAduTzecv7Z+fzeasJaZMxogO4bmW/31jUurncIJ4EoU4IaRy2G9LppGl85rTs5GaMEEJIIrTbpBOhz03GA/VDSBi2CdJuULPNpRn19l0AdwK4B8BwjXPJYcKybPR2G1hzxZth2Q40KaB7xwlRUCeENA7bDel00jQu+Ys7QghpSWi3SSdCn5uMB+qHkDBsE6TdoGabSzMmAecA+HShUOCCrC2GZdkQ8B6y5YCvZpIkqBNCGofthnQ61DghhLQXtNukE6GuyXigfggJwzZB2g1qtnk0YznQ7wB4XxPSIYQQQgghhBBCCCGEEEIIIYQ0gWa8CdgP4CP5fP56ADuCXxQKhbc2IX1CCCGEEEIIGRc9M7qQy9Yf/oyMmhg8wN0OCCGEEEIIIYS0L82YBPyW929CyOfzJwBYB3fZ0T0ALi0UCtvHm26u20DZdLBj7xBsKaFJASEATQpYlgPLdrw9FBzAEchlJRwHMC0HpmXDtgFdExBSwLbdlVBt24GuCzg2/OuzhkSpbLufhXC/d+DfI2NI2LYD03agCQFNE5BSoGzasCwbmiZh6O45lu34x7KGxEjJ8vOxs3gI0DRkMxIOgLJ3T00K6LqbB8fxyiTcV0CFECiVLWiaRMaQGB6xoGtuHkzLgSYBoFIfKm8CgKELjJRsWJZ7DyEBxwGyhsRoOZx307IhRSUdXXPzNFqy/DoaKdnQNYFdxSGYQkJKIGNoME0bpmVDkxLZjFsO9bk7KzE0asOy3c9dWYnhUSu0TjAAmEDHrR3c3W3g0KiNl3cfhKZpmJaVGBoq+8dVndQ6Hkyr3msm+/hEljnbZbi69o7nMhKjw2Xkug0MR7Q2MlSGpslEfaUdNzIaShb8dDIaUC5Zqed3KqoeXt59CLmc4ds7XZOevarYNilde6Z537nnurY2owfsq7fnjeM4bjqaa0dtxwHg2mkpBTQpUDJtt56lwGjZRjarwbGdkI2SUsB2HDiOa9ulcO2cFMK1xd6zUmie3VY2FjYAAehSwPTSVravVHbzpUu3fFLKis2XEsXBETi6hCYlhADKpuPbbyEATbj3LQf6IsfrqwyvT7EdB46XBykATavUnSZdOy+E26fZXlmkdMsGuH2Uprl1POLZatU/6rrbR6j86obb77l9lwVNSjiOg7LpQEq49wIgvGvanTRbBLj1bEHAtF0t6JqAsBzoGQ0jgb4xWK9SApr0fAGvHcQOv6wZAAAgAElEQVQ05gCGIeHYjlv3Xp+sS9cPcTw/QmkVngwzng+ifAwIuO0moLdMRsKyHPcmEOp2sCwHntRg2w6EENi9fwhaRgcEMFpyy5jNSJS9vrk7p4f8JEMXsJ1Ku5QRnwkCrs/kOMjoqg7cchu6AISo+DOaq0Hlr40qW61JaBIQcPXroNLm1flm4J5Ky6q96tJtP6WyPSXs71Qnl9Vx7sfuq/v8H3z53RicwPyQxgn6EJqm+b7UeIn6YhlNoGQ5sbhCy2ihWCeXkRgZde3UzuIQsl0GRss2ELFFQrr2TNcFdM31dYRnZIP9cKlsIZfVfb8gY2hwHNc2Si+uM03XRgm4/biyj2XThq67fbBpuufv3j+MjJcn5WtpUmBU+UKagGmG/Z+Safv9S7lsI2tIwPNH7OB5ZduLD10bbOgCoyXbz6uhueUbLbu+QS4rMVqq9J9dWYmy6fh+kpQCmgZICEg4sfrvBNusdLazOARoMrVcSXosW4DUAEvFEoExgYyhhTRjexowDDdIL5thnWhSQNO9Z285yGU13w9R/bfqg10/0+3LcwH9Zwz3GrUnjOpjAbjyl4BwKr6Ibrj+gtKh0nuw3QT9VED5ljaEcMcaLL9vB+D1+8rH1nQJAcCxHZStilYzhoTluhwom17bdFw/X5MCUhOwTCf82cuHZVWOG7pEybT8a1VZBeCNCbmOt227Po1lOTDtzvItlC7dinbLLFPKFzvXq8e9g8OwNVc7WUPCDsQMhtKFp1E3NqtovVS2/XhF+bGaBtg2kM1ImKZrKyEBeHqRwhuTC8SbGcONi8qmsjsCKqpT/uHO4iFA1/zYMaNLPzYEEIstpfBsnhQYHbWQMVxbbAfP98b7hBSwzMp4naZL2LYNy0LI99a8uFLXZGh8MGtIPx6wLAc797r+uVteeH2MHRh7E3DK7vMx/bx7DdRBx+hzPBgZDZZnUyrxlANdunGZmTAOa+jCj0PcsdHKGGjZdJcvNG035vfjEQd+W9B0iXLEF1BxnC4DcU2g/Sh7mw1oWPPiMd83kRKG4Y4pSE8XyqYZhutDWIF7AYChS8922nAchGJ/24vT/HqQ7liL7eUZqNhmQ5cYGTVDY8x+TAxgtGz7Y+CW3yYAy/Y0LwSkVtFocOzdj2st2/MxJGDZqbZH5Unlsd2px39oxrhimj/s2kmBslUZm9IksKs4BEfXXN2XbdeueH2h8OyREO7YgqHmR7y20pWRGB6tXKPumcu4i1aqdhX0Gdw2JmDbbppKw8oX2Lt/GI6huW3Ns9O6N8eh2pgazwqO82lCjdtV2vpo2ULQl3f7H9tvk6pdWAGbAQhMy0gc8uZLdu4dgp7V/fsZvp/u6jgYb2QMCdvChPoO454ELBQK65qRkSp8HcC/FAqFu/L5/CUAvgHgzPEkmOs2sO9gCcXBUdy2YSt2Focxr7cLn7j0VJimg1vu3uIfu/LCRfjBw3/AB999MsqmjX2Ra66+eAl0XeCLdz6O3p4cLn3nSf73yxbOx/JzTsSatQP+5/e94yTsP1jCbRu2xs6f19uFf7xkCaZ1Gfjst37lH1u9oh/dXRo+9fVHE9Od19uFqy5ajO///L9w0TknImNI3OBdv2zhfFz49jxuXrc5Vqbz3vpa3Hn/UygOjmD1yn48/vtX8JOBF7B6ZT/+84kXcVb/MTg4ZIbq4+qLl2DWjAyKg1bo/irN5eeciA0PPI3Htu3AvN4ufPqyZTAtB4eGy6Fyrl7Zj/t/8Uc8+V+7sXplP17aOYgj5/WE6iqab5Wve3/+R/9z8F6rV/bj93/cjW/dtw3zertw/cp+GIG6mNfbhetW9qO322hrJ6e728DLxZFQ/a9e2Y8jenMNHwfQtLTa5Xizyvyq3hx2FEdwU+D4dSv7Macngz2DpdjxuTOz2LV/NJZO38wsdu8fjZ3f7jpNw8ho2D1Ywpq1A3jD6+biHW9+jd/Ok9r91RcvwXd+sA2zejK48O0n4uZ1bj2d/7bj8LbFR4fqTdmhv337CdCExPoHnsa5b3ktbr+nYntWLV/k271Vyxfh93/cgze+4QjfLifZ9nr6iKA9Vcf+5uwTQucnle+6lf3QdYnP3VGxU9euWOoGxQAORPJ11UWLMX2aETv+sfcuwb/9bDsufHsehuH+yOJ///QZnPuW12LLU6/grYuPDmnvmhWnQgoZOpZU5mtX9ONHj7i2+toVS/HUs3tw0mvm+s9B5dcw3IEXTZMYPDSCf75rS6jOc1kNXRkd0wytrXVdzf6OjlrYN1TGjYHvVi1fhFfPm4ad+8LXXLtiKX70yLN48r924zOXnwYA2Dc4isd//wresuioWJ+95alXcPayYxN9kBnT3IGzaJ+85alXYm1k9YqlgBCxvHTldEgBDI1YsB0bI6MW/v0//5DYfnJZDQDwzX/7HYqDI7h2xVLc85MCXnfULLzpvx0Zy+PHLzkV//+mZ3DeW1+LmdMzyGV1DB4qY0OgfSb5Q1dfvATTu3V87o7HQsd6Z2QxPGKGy7WyHwBCaQa1XirZuHX9E4l24OqLl8AwhF+eTra/pHFKZQt9fT11n883ByeWoA8RbP9zezLjmgjUNIniUDlmV4Jxxg2Xn4ZsVsPeffE+QMUf57/tOLx18dGJtkjZHdefyeOenxQSz/n9H/dgyUnzcfO6zYm28doV/Xh464voX3hEzK797PEXcc5px4b64GtWnApNyFDZgjZQ2XBVzqh9/OnA8zj3rcfh4FA5ZqO/84Nt/nldOQ2GFo67Vi1fhO6cga9vfNKPN6OxWy6r4TPfeDTWz+QyOu68//f+uZ1gm5N0llSu6HnLFs7HReeciJ8/8WLMR4jG0UHN9Pbk8P5zF4b81vCz78c9P3kaPd0ZvOeM18Z84X+8ZAm+fZ/7jK+6aDH++Od9eP1xc0P3SfIVlB987lte648PRGP9aF6icf6q5YswqyeLUtlObE+fvmwZhkbMkNZXr+zHjOkGXtk9FCrHde/vR0+3gf0Hy7jnJ/G0VD1ExxROes2cWF1rmsDnv/1YrKx/+/YTfF8jqd12kn6T4qto+aqdq2zOvsESPvjuhbGYYeb0LH7zzM7E+n9p5yCO6OuJxSFPPbsHf5Gfh32Do4maDNo51Z6iNjHq3wZjvJ89/iLO7j8W9z60vWZsOasni1/+5iWc8rq+xPOT/NvVK/thOzb+10+eiZ3/8UtORVdOC53/mctPw/CIiS9+9/FQPrIZDY/8+s9466KjsWbdQKxtHDwYjlNUGS8658S21+d4MDIaDoyYGDxUDtnLqy5ajExG4gvrHg/VZbAf+/wVb8KhITNk2z592TIMj1j40l2V65LikWtXLMXDW/+EJSe9KvTMr7poMXJZPdH2XXXRYgxsezkU4ynfIxrfTe82MDxq4sZ/TR9jVRq49J2vj5U/2FajNm3V8kWYMT2Dg4fKsTKpGDc4jqDa2H888hz+8tSjI35N2A+5+uIl6O7SMXiolDq2rvLQ25MNjS8k9bOf/MAyzMzpba3vevyHen2MRu+j+kRlY0PP5O0nYsNPnsZ7//okmKad6tvOnJ7B8y8diPkBqu+PtoEbLj8NpbKd6ruuXtkPXRe460dP1RX7RzUW/Zw0zrd6ZT8yusBnA7Y3mHZSX582L1KtLSmbkvTdRPgO494TMJ/PfyDtXxPSngdgMYD13qH1ABbn8/m+8aQ7PGpjx96KcwgAO4vDGDxU8h+6Onb7PVtx1tJjYZruDG70mlvu3oLBQyXsLA7jgjOPD31/1tJjfYGrz7uKw/450fN3FoddJ2jvUOjYmnUDsCykpruzOIxb1z+Bs5Yei5vWDmBH4Pqzlh7rG/lomW7bsBUXnHm8e4+1AzhjydH+32f3L8Aur3zR8mqBgeNommvWDuCspcf6x3cVh1E8MBIr55q1Azj/jMq9T1wwJ1ZX0XyrfAU/B++1Zu0A+hce4X++MVIXO4vDuGntgPuLtDbm0Kgdq/81awfGdLyZabXL8WalNTRa6ZTU8ZvWDqBkIfH4aNlJTGe07CSe3+46TaNkwa+H8884PtTOk9r9LXdvwQVnHu99V6mns/sXxOpN2aEDB91J2LOWHus7BOqcoN27bcNWnLHk6JBdDt5X2XZ1rFofEUxXHYuen1S+m9YOYFcxbKduXrcZQkhoQsbydev6JxKPf/l7W/z0NSExeKjkl//s/gUx7R04WIodSyrzzesqtvrmdZvRv/CI0HNQxzUhsas4DF1KP5gP1vmBgyXs2DvU9rquZidMwA+s1Xe3bdgKy0TsmpvXbfbrVUD4/sXZ/QsS++yz+xek+iA79g5h3+BI4jXRNrLv4GhiXhzbfbNg3+CIP8Gc1n4OHCzhwMGSr/eb123GWUuPdX2IhDx+6a7H/TayqzgM4Qi//1bpJ/lDt9y9BbuKw7FjlhW3mWvWDmDf4Ehing8cLPlBQLAcKv+33L0lVJ5Otr+dRs+MLvT19TT0r1EyhoZzP3Zf3f8aWWqUNE7QhwAq7X+8LwKaiPtu0Thjx94h2Cn3V/GH6m+r+R+qr04754wlR/v9QJJtvHmdGw8l2bXzzzg+1gcrnyjNBqq8JH13y91bcP4Zx2Pv/ngsp/wz9ffe/SOxuOu2DVuxb3AkFG9GYzfHRuyaAwdL2Ll3KHRuJ9jmJJ0llSt6norxk3yEaBwd1MwFZx4f81vDz959HuefcXyiL/zPd1We8a3rn0D/wiNi90nSsfKDg+MD0Vg/mpdonH/bhq0QiPsL6vtdXv6idWFbiJXjpu8MwLbhlzealjoeTMf1d+N1rUuZWNagr5HUbjtJv0l1GC1ftXOVzbngzLi9cn3FodT6P3HBnMQ4pH/hEb4PWu2eQKU91fJvgzHe+We4bame2HLn3iGcseTo1POT/Ns1awdw4GAp8fwv3fV47Pyde4f8CcBgPgYPldx+aF28n7KteJyiytgJ+hwPJQvYuXcoZi9vXf+E2x9V8Q3MhDGeXd5zqxWP3LxuM87uXxB75reufyI1rrl1/ROxGC8p1r953WaYpoO9+ysxYrWx4aTyB9tq1KbdtmErNCETy1QZO6iMI6g2dv4ZSX5N2A+55e4t0GV4vCNpDPy2DVtj4wtJ/ezn//Wxttd3Pf5DvT5Go/dRfWI0zj9r6bFY4/WfupRVfdtdxeFEP0D1/dFrduwdquq7rlk7AE3IumP/qMain5PG+dasHYAQMjXtpHaRNi9SrS2pcw+X79CMSPV9kc+vAvBaAI8A+Ndxpn00gD8XCgULAAqFgpXP51/yju+qJ4E5c6bHjr28+yByGd2vXEXSsZ3FYfR0G5Ai/ftcxq3Gnm4j9H3SZ3VN0vfR9ILHAqvOpV6njgevr3VuME+Ot7bBzuIwNJleXsdx6koTgJ+XpPM1Wfnbsu2qdRe9JnjPaN6Cn5PqEkKMaSBoMkjTb1LdROuw9nF3+bfmpNUuxw9HmdPSb+x4VKftotkgyfo95JdXk2HbUM1eqb8V0WuTzq0nPXdpo+q2XVGtj0i6dzTPY7H5SedLkV529X0uoyOXqdjNevu7pLwEbXWa/Vf3TPveT7dj7a+7REYjtkLVa9C/SNN1tT45+syC19T73JXegn12Lb3mMgidW60tBf0TVR/B9BttG2nnKs3XU+ZgG1XXqs+dan+bQSvVRaNLewLu8p4TTb111Ep12Wqk6TfoQyh2FodhOQ6OGEd97gz8GCeYbjSmSevjVPyh7G49/kfaOcF7VIuH6j1ejw2MxlPB76r1P1E7GiXJvkbvFYxxo2mp69TxdvEh0vSbprNouaLnKR2kPfegn1FP3xp99vU+4yRt1jPWUM0Ximot+J3q75Pu0eg4hTpeq05qpZOk13rHeNpFv0CyhpUu6ylfrXOT4iT1uZqtTdNR0Aetdc9G/dtg26unPMH8N+LfpvmxSedXiwnS7EStMbx20mc9NFKWl3cfaig2DtqLpLikkbHmtOdVTQ/Ra9LSUDGmYiztsdp3aTFZcOwg+Hc1fSb5Bkn9YPS6XEZPtD3R89pJ39Xsb/hYst2tdk4t0tJIivOD/Xy1/lo9p2pjIvW2l6AepUjWRa1rkz7XGiNJOq/euZakvFcrV/S7Zmu3GcuB/mX0mPcW4EnjTbsZ7NlzMLYGsKZpGCmZmNfbFarkpGPzerswOFTGzOnZ1O9HSu7c7OBQOfR90mdDF/6x6PfR9ILHgkVIu04dD15f69xBbx+jeb1d/lrU83q7YNnp9SGEqCtNVafq++j56o3Web1d0KSsWnfRa4L3jOatVl3CcbBrV/IOL63WMaTpN6luonVY+7gAkPwsG0+rXY4fjjKnpd/Y8aBO+/p6UjUbpN30a9lh21DNXqm/1XfRa4PnKjtbT3pCiJq2XVGtj4imG7X31cpXzeYnnW876WVX34+UTJRNx7eb9fZ3SXkJ2uo0+6/umfa9n27H2l93s5pGbIWqV1V3ac+qVp8cfWbBa+p97qqYwT67ll7LphM6d+6srpptZKRk+vURTL/RtpF2rtJ8vb5d9Fr1uVPtb5Sx5LmeujgctFp9B6lXL61Sl0Dr1WeaflNtsBDjq08t2U5HY5q0Pk7FH8ru1uN/pJ0TvEe1eKje4/XYwGg8FfyuWv8TtaNRkuxr9F7Rx5zUz6jjaT5Eu+g3TWexcmnJcXHacw/6GfX0rdFnP2Na+jhH1GeOpl3PWEM1XyiqteB3qr9Pukej4xTqeK06qZVOkl7rHeNpF/0CKRrW4v6bIla+GucmxXbqczVbm6ajYDxX656N+rfBtldPeYL5b8S/TfNjk86vFhNYdrahtqHyWC1Gq0Yr6hdozF9NGx9Oe1ZBe5EUlzQy1pxm16vpIXpNWhoqxlRU02903CJa1rT00/KX9Hc1fSb5Bkn9YOLzSbA9nWp/67G79ZY9kZQ0ksbMgv38zOnZmjav2phIve0lqEfbSdZFrWuTPtcaI0k6r965lqS8N9LOaj2/RjU87uVAU1gL4INNSOdFAK/O5/MaAHj/H+kdHzNdWYn5s7uxavkit1LhVm7PtAyuvnhJ6NiVFy7Cg5ufh64LzEu45uqLl6BnWgbzeruwcdP20PcPbn4eq1f2hz739Xb550TPn9frrr0/b3Z36NjqFf3QNKSmO6/XXRv6wc3P47qV/ZgfuP7Bzc/j2hVLE8u0avkibNy03b3Hyn48tOVF/++fDjyHPq980fJajh27v0pz9cp+PLj5ef94X28XemfkYuVcvbIf9z5UuffTz+2J1VU03ypfwc/Be61e2Y+BbS/7n6+P1MW8Xm//rfGIpwWYlpWx+l+9sn9Mx5uZVrscb1Za3VmJ6yLHr1vZj4yGxONZQySmkzVE4vntrtM0Mhr8erj3oe2hdp7U7q++eAk2btrufVepp58OPBerN2WHZkzP4DrPPlx5Ydj2BO3equWL8NCWF0N2OXhfZdvVsWp9RDBddSx6flL5rlvZj77esJ26dsVSOI4Ny7Fj+brqosWJxz/23iV++pZjo2daxi//Tweei2lvxvRM7FhSma9dUbHV165YioFtL4eegzpuOTb6ertg2rbbj0XqfMb0DObP7m57XVezEzqA6yPfrVq+CJqO2DXXrljq16sDx/cvfjrwXGKf/dOB51J9kPmzuzGrJ5d4TbSNzJqeTcyLkICmAbN6cpgxPYNVyxeltp8Z0zOYMT3j6/3aFUvx4ObnXR8iIY8fv+RUv4309XbBEY7ff6v0k/yhqy9egr7ertgxTYvbzNUr+zGrJ5eY5xnTM7jqosWpduDqi5eEytPJ9pdMPGoPwXqWJe3r60HPjK5JznF7EfQhgEr7z2jjS1dH3HeLxhnzZ3dDptxfxR+qv63mf6i+Ou2ch7a86PcDSbbx2hVuPJRk1+59aHusD1Y+UZoNVHlJ+u7qi5fg3oe2Y/bMeCyn/DP19+yZuVjc5e6PlQvFm9HYTUjErpkxPYN5s7tD53aCbU7SWVK5ouepGD/JR4jG0UHNbNy0Pea3hp+9+zzufWh7oi/8j5dUnrHahyp6nyQdKz84OD4QjfWjeYnG+auWL4KDuL+gvu/z8hetC6khVo7r3t8PKeGXN5qWOh5Mx/V343Vt2nZiWYO+RlK77ST9JtVhtHzVzlU2Z+OmuL1yfcXu1Pp/+rk9iXHIwLaXfR+02j2BSnuq5d8GY7x7H3LbUj2x5bzZ3Xhoy4up5yf5t+6efZnE8z9+yamx8+fN7sYn3ndqLB890zJuP7Qi3k9JLR6nqDJ2gj7HQ0YD5s3ujtnLqy5a7PZHVXwDPWGMp897brXikWtXLMVPB56LPfOrLlqcGtdcddHiWIyXFOtfu2IpdF1g9sxKjFhtbDip/MG2GrVpq5YvguXYiWWqjB1UxhFUG7v3oSS/JuyHXH3xEph2eLwjaQx81fJFsfGFpH72kx9Y1vb6rsd/qNfHaPQ+qk+MxvkPbn4eq73+07Ttqr5tX29Xoh+g+v7oNfNnd1f1XVev7Ifl2HXH/lGNRT8njfOtXtkPx7FT005qF2nzItXakjr3cPkOIrh84ljI5/PRicRuAJcA+EShUDhuXIm76T8E4I5CoXBXPp+/BMAHk94+TGABgGfTfomX6zZQNh2ULQeO40BKASEATQpYlgPLdo8BABwgl5VwHMC0HJiWA9txoEsBIQVsxwEcwLYd6LqEY1euzxoSpbLtfhYCui7gOPDvkTEkbHW+ENA0ASkFyqYNy3KXFzP0yjnqWNaQGCnZfj4cL5/ZjIQDoOzdU5MCuu7mQZUTwp39FUKgVLahaQIZQ2J4xIKuuXkwLcd7a8rLq+N+llJAADB0gZGSdw8hICTgOEDWkBgth/NuWjakqNSrrrl5Gi1Zfh2NlGzouoDt1a+Ubp5M0/bzks245VCfu7MSQ6M2LNuGJiW6shLDo1al3N6zNoHQsWqbavb19YjULw8vC1BFv93dBg6NVp7xtKzE0FA5cNytk1rHw2nVd83kH5+4Mme7DE/X7vFcRmJ0uIxct4HhiNZGhsrQNJmor7TjRkZDyYKfTkYDyiUr9XxFA2+itIV+/XpwHOQymm/vlG0olW3XnmoCUgLlsmtPdF1WztUFMnrAvnq2zbHhpqO5dlTd3vbO0Tz7KqWALgVGTRvZjObabc/W6Z6tsx0HjmfbpWfnpBCwbMdPDwKAg1C6wssHJKALAdOz33rAHtuB+4RsvhQwDLecmpdW2Yz0U94bB+VAX6T6AMPrU2zH8fMg4W7yXDYrNlvT3LRMyy2Lsu+W158pLWZ0iRHPVmuagGW6dW9ajp9f3XD7PVUOTQo4jtu/uv2aW03Ccjra/gJuPVtwn7mUrhaF5UDPaBgJ9I3BelW6tG23/9M1V2MqfQgAtvtsHe8ctfyo8kMc24GpdCncTl44QCbig0ACGU2iFNBbJiNhWeFyOnD7fiHh+zdC+SgAIIDRkqv3bEai7PXN3Tkt5CcZmoCNSruUXjkhANty/DZrOQ4ynq+j+nhDF4AQrj/j+SDqn/Dur+pB0wSEp1tVEqVrTQqYAT8tyQ44cFx/aArY3yB9fT0NLaf5gy+/u2XeXlMTaWNZDrTRMk/0+a1Qp+2k36APoQnh+1LjJeqLZTSBkuUgGldoGS0U6+QyEiOjFTulYiFFsI8tld14R9dc26wWMAmdY9oh3yhjSDhOJT7SdTc+gnDtvBmwdWXTdmNRdb5ntw1N+HnWNffcUa+/1jUB0wz7P6ovV/nJ6hLw/JGKf+Z+F40PR0u2n1dDcws4atrQhEAuK/3vNSnQlZUom47vJ2lCQHr9jIQTq/80H6Kd9Kt05jqLTmq5kvRYtgCpVWLq4JhAxpAhzdieBjKeHspWWCeaFNA8LVm264+rPlj13xCepqSA4/XXuUwl1lfjGMF+1/eNbfg+hPJFdMP1F5QOVZvQNNeXGC2F/VQAvh696vLrQ9OE76/6utal65bbbnmVVjOGhOdyoGx6de0AtlNJyzQd/7OqY+X3quOG7vpP6lpVVuHAHRPynrltu/VuWQ5MO9m3CNJC+gVqaLiiXwCO8J45EssXPVfFMpru6sB2HGR1V6sqZjCCtsWr/6DWS2W70gasyvOzbQfZjIRpuhpWY1PKf80aFd9XaaJs2oFYxbVhAHz/0C+Hl5+Ml1cVc0V9SuHlX0iBkZLltz07eL66lxSwTLuShhrvi/jeKg7QNRkaH8wa0o8Hgm3G9ffh9zGVsTcBx+uXTAC2DU+/rn9ca4ysGq2oX6DxlSuMjAYLgThfeHUv3WNmwjisoQlomoQugeFSeAy0bNl+bOLHI7YTtj1eWwg+cxWv6d6Yg6837xrVKrNKw2ZlrNT3TbzxBACVcWRPJ4ZRsfvqXnAAwxvHDo6Pq7Fx24vT/HrwfAcb8MfCVTkNXWJk1KqMMY9a7ji418ZGVVuKjIGrenf9gIpGzYgtV+PBvo9h2am2R+Vp7qxu7NlzMPG5t6J+a9vfdP+h1rhiPaT5w66dFDGN2LYDRwCG5upZGVNlf3Vv3MmyHBhqbMJLrysjMTxq+zpU98xlXP2OlJJ9BiNgM4PX6imxv65VtKTmZYKfhXTH2MzInIvy50PjZYF2rNqF3568sk/LSBwK+DTB+xnKTzfd/jMYb2QMCdtCXb6DolENN2NS0QQQVeifAVzehLQB4O8BrMvn858GUARwaTMSHfEG7OarwR2vXlUIqQH+MQAYHaoEl9L7B9cH9B0GDYBTskPXl0yr8hmA5SUj4Fa+HTwfgG25t1XfwwRML43gsZJphfIxzytHabiST5UH0zsXgTI53j+VXtm0/L8t0/fdw/e1K9eNlgLHvYoTcPOVlPekMgXrSHpl9wfbLMD0Kkv30ldlU5+HhwL3siyMhD47/rNMOtbuDA2VIQAc4dXXkKdPdVzVSa3jY7lmso9PZJlHh8PHRz3NjUTOH/HOtyw7UV9px8ulsGbLVvXzOxVVD+pZRm2Db9tM13Zoge/8c8vuGxdA2F4LuLakhMrn4Dl24IiTlZ0AACAASURBVG/LS8sasf1z9cB56pj/gkHAPkf7CBvxfMBy7xFM14zYbhsR+2gBvbO7sWvXoJ981H5bgeMqHVVOZTeDeXAAmOVA3Xl1FCqfXckHAvktB2y17fUNlhXOr2WF7bwdTAOAY8WdhHYmzRYBFSdN1Y2qZ2vYDuk8WK+OewgA/L4wqBmFerbBdIK2IqpVIO6DwALKQS1YQHk42eLIQDrq+rmzKxNi0et1AKVh279Wwh0wFKi0yyQXNuoPqXTNiL8UbC/RerDNcHp+nu1KGwwei9qByn073/4S0u5EfYhykxpt1BcrW8kxRNSejwZ8k745Fb9GEbQ7yn8xy1ZoOZ7oOeaIHbONvs3y7qcI2sdgLKr6YGW3o32H7qcXt7PR/FilsG1X/llSfOjf26rEvSovKp5Wz07500H/xPF8p5D/1EG2WelMxbxp5UrSIxDxEQJjAqZlJfanob412v8FtGuOxPvv4HWqLy8Nx+8T7WMrhXD/U76IGRknUBrq641oFBX/KeibhnRqRo6ZbnkUQa2WAseT2qZqA1F/144cLwfaXrSs0TEhK+TTdJ5+FRrgxzu1zhUAYAOze904RyJST3Bthmr7thnXOqLHA772aGDMTI1NKf+1bFmJaSXFKurZqjaqdJqmd79NIWzzEs9HJb9AJY5T2o353p7GQnFcIP9+3xOIqd0XAqJjcpV7+vUSyEen6HM8qB8TheIpROKEyDisY7vPxkR8rLOeeMRS47SReCvYpqLXqM8hDVth26zGUvuiYy2oxJPRmNEMatC7V3Dcw4rEacFxbf+4N9YRG2NGRfdpY+AC8TZiI9mWR/MQJdp/Bif125l6/IdmjCum+cPquUQ1ovKj7JQiyeaVI37AiGorQX/RDtvzqM8QnSOJXjs78APexH4elfGsaJuL2tkke5/U10cXJBkKxgaBdqghon8LoXjDLgU1PjG+QzMmAV8T+XyoUCjsbkK6AIBCofA0gGXNSo8QQgghhBDSWvTM6EIu24zQhBBCCCGEEEIIIYpxR9qFQuH5ZmSEEEIIIYQQMjXJZfWGl9Jsd9QegvUyMmpi8MBw7RMJIYQQQgghhBCPMU8C5vP5n6H6Cl9OoVA4a6zpE0IIIYQQQkinkjG0hic+J38HQUIIIYQQQggh7cR43gS8K+X4qwFcCaB7HGk3Aw1AXev/dsoawSxHU1gA4E+obNE0WUw5/TYCy5zKAlC/HcEUrZcFoH5bHpY5lQVoM/2OhUbSnTY9x+U9J4AJerYL0Ib6bUV7xDzVR5PztADUb1szxetiAVpDv8AE+xCKdnne7ZJPYFLzugAtpl+gvZ7dRMJ6cKlSDwvQYvptxzEI5qc6E5yfBWhAw8Jxqr3MVz/5fH4OgNUALgdwD4DPFQqFPzUl8bFxOoCHJ/H+pH15DYDnJjkP1C8ZK9QvaWeoX9LOUL+knaF+STtD/ZJ2phX0C1DDZGxQv6SdoX5Ju1O3hsc9CZjP52cA+DiAjwD4IYAbCoXCH8aVaHPIAlgK4GUA1iTnhbQXrfBLEOqXjBXql7Qz1C9pZ6hf0s5Qv6SdoX5JO9MK+gWoYTI2qF/SzlC/pN2Z+DcB8/l8F4D/AeBjAB4C8JlCobBtTIkRQgghhBBCCCGEEEIIIYQQQprGeCYBdwCQAL4E4PGkcwqFwqaxZ40QQgghhBBCCCGEEEIIIYQQMhb0cVw7DMAB8OGU7x0Ax40jfUIIIYQQQgghhBBCCCGEEELIGBj3noCEEEIIIYQQQgghhBBCCCGEkNZCTnYGCCGEEEIIIYQQQgghhBBCCCHNhZOAhBBCCCGEEEIIIYQQQgghhHQYnAQkhBBCCCGEEEIIIYQQQgghpMPgJCAhhBBCCCGEEEIIIYQQQgghHQYnAQkhhBBCCCGEEEIIIYQQQgjpMDgJSAghhBBCCCGEEEIIIYQQQkiHwUlAQgghhBBCCCGEEEIIIYQQQjoMTgISQgghhBBCCCGEEEIIIYQQ0mFwEpAQQgghhBBCCCGEEEIIIYSQDoOTgIQQQgghhBBCCCGEEEIIIYR0GJwEJIQQQgghhBBCCCGEEEIIIaTD4CQgIYQQQgghhBBCCCGEEEIIIR0GJwEJIYQQQgghhBBCCCGEEEII6TA4CUgIIYQQQgghhBBCCCGEEEJIh8FJQEIIIYQQQgghhBBCCCGEEEI6DE4CEkIIIYQQQgghhBBCCCGEENJhcBKQEEIIIYQQQgghhBBCCCGEkA6Dk4CEEEIIIYQQQgghhBBCCCGEdBicBCSEEEIIIYQQQgghhBBCCCGkw+AkICGEEEIIIYQQQgghhBBCCCEdBicBCSGEEEIIIYQQQgghhBBCCOkwOAlICCGEEEIIIYQQQgghhBBCSIfBSUBCCCGEEEIIIYQQQgghhBBCOgxOAhJCCCGEEEIIIYQQQgghhBDSYXASkBBCCCGEEEIIIYQQQgghhJAOg5OAhBBCCCGEEEIIIYQQQgghhHQYnAQkhBBCCCGEEEIIIYQQQgghpMPo5ElAHcAC739C2g3ql7Qz1C9pZ6hf0s5Qv6SdoX5JO0P9knaHGibtDPVL2hnql0w4nSyuowA8u2fPQdi2k3pSb283isWhw5erCYLlaA59fT1i0m4eZkrptxFY5nSo385gqtYL9dv6sMzptJt+gfZ+nu2cd6D18k/9NgfmqT6anSfqt72Z6nXRQvoFGtDwWGmX590u+QQmN6+tqF/TtNrm2U0k7aThiaRaPbSiftttDIL5qc5E56dRDXfym4B1oevaZGehKbAcU5OpWF8sc+fQqeUaL6yX9mAqPieWubNo57K1c96B9s9/K9CKdcg81Ucr5ulwwzqowLqYWrTL826XfALtldfDAevDhfXg0mn10GrlYX6q02r5mfKTgIQQQgghhBBCCCGEEEIIIYR0GpwEJIQQQgghhBBCCCGEEEIIIaTD4CQgIYQQQgghhJD/y967h0dRnv3jnzns7G6SJVmSEA8FPLJgSGoCSSQJHgB53wqCml8heICoASEKiLZCsBVQIWBrrbYvtkDbgLVA31IV0X5fFLUCKqDQchBWpCgomhDYJLvZ0+zM/P6YncnOzkyygRBCeD7XxXWR3Tk8O3M/93M/9+FzExAQEBAQEBAQEBAQEPQwkCAgAQEBAQEBAQEBAQEBAQEBAQEBAQEBAQEBAUEPAwkCEhAQEBAQEBAQEBAQEBAQEBAQEBAQEBAQEBD0MLDnewAEiYNhaEQACKIEhqbAAhAE8XwPi6CHgsgbAUHHQOYMAQGZBxcClHdU7/EDDE3eEQFBD4Wjlx0AkJnpSOj4YCgCb3PgXA6JoIeD2AAE5xtEBgkIOg4ybwguZlxM8k+CgBcAGIaGxFDweMNYUrsT9Z4A+jjtmF9RCGeSpccKJ8H5A8PQ8Ph5Q3kDcNEoSIILF129kLc1Z8j8IOjJiJ1rnIVGozeMxWQedFsQXdVxXEwbQ4KeBZuVxe2Pv5Hw8W8+Px7eczgegp4NhqHR6Oc1NsCTFYVII+sLQRfhXNk4xA4g6MkwmzdpDg6UIBFZJ+jRuNhsF0IH2s2hKOSjJ5pVpQwA9Z4AltTuROQ8j4+gZyICGMqbAAoeP4/q5dsxrWYLqpdvh8fPg2GIKiHoPlD0ZlfKqdmcITqaoCcjfq4dPdGsGtAAmQfdEURXdQznYz0hICAguBAhgNLZAIuj+0cCgq7AubBxiB1A0NNhNm+Onmgmsk7Q43Gx2S5kNndzKArZxrGqUCqo9wQgiNL5GRhBj4YgSobyFhEl4jwk6PY4H05uszlDdDRBT0b8XCO2SvcH0VUdAwmaEhAQECSGiCia7h8JCLoC58LGIXYAQU+H2byxcSyRdYIej4vNdiF0oN0UsZQDleNzIEoS+jjtGuHs47SDoSlA6JnCSdA1MKK3kABDeaNpmBrWRJkQdBe0tQE8V3LK0NRZ6ejYeejxBsEwdI+kHyC4MJAo7VH8XPP6eWKrdHMwNIWi7CyMLOgPR5IFXj+PLbu+Ju/IBOdjPSEgICC4UKC1F2gUZWdhx4E69Xtl/wjhvA2RoIcjERk8GxuH2AEE3RWdRVNr5sfw+nki6wTdAueSkpk2kf+earuQudwJ6GyBNOJknjelAM9ML8aJkz7YOBbBcARZvZPke3XWDyG46GDGf9zbweHJikLd5yzT8UAH4dAn6ArEbwA7KxCh9GSNCBJEUQJL02Cg58ZnAcyvKNRx6Seio0mPLoLuhPZ6wmqOjQsoRQQRc6cMxbLVn2rWDoahEBYpzRpA1obzA46hMHnMdag/7QcAWFj5b46hwBODUoezSfAgMk5AQNATYKbLzHwWALDjQB36OO2YXZ4HlqEgdvH6cib6l+jszkVXPE8jGayuKATQKoOJ7seMYOEYSALwu7kjIIjAax8cxru7jpMEN4IzhtG8AHBG+qqz/AcsgIVTb0Ddab/qa3Ykc1j52n4i6wTnDcpcEUUJEVHCHzbu1+j1zvKVWS0M5k0pwNLVu9S5dL5sl64ACQKeJRJVvh0xgowoB9a/48bEW114ecNejWMNFubc/0iCHgsz/uOlD5fCYqExoyxXNQQsFhoM9AZCW8FoEtwg6ArEy1lRdhaqKwpRcwYBufjrtvACPKdDeHHdnjYbBQuCCGeSBTVVJQkb8Mq6EIpW/zkdNtR7AirNTE1VSQ9lIifozjCjPaqpKtEdyzEUykcPxLrNhzCyoD9SUzg47Byen30jAqEIWJpGkI/gid9s08zFdAeHU94wWRvOA0RQCIa1xD7BcAQpNn2Ql+DMEzyI/UNAQNAT0JYuM7IXlq7ehYVTh6H8VhesHAsm6kTrSoaLM9G/RGd3LjqSUHY2MJLBmtqdqKkqxYPjxLMKPkYiIhq8Yc1+ct6UAjiSLLgpv6+hHUACyQRtwWheLJx6A3he1CTfJ6J72tqvteU/MAtC8ryo8TXPmZSPNAeHGWW5pPCEoFORiJ40miuzJuah0RuG+5in03xlFo7BqaYg1r/jRuX4HKSmcEhNtoJmAKqHBr5JEPAskYjy7ahRaUQ5MLKgvxqZVu6zOHoflqHh8QYRoShibBB0CKb8x4KEhSs/0WW+PzezVGcgtBWMbmt+sMRIJugkxMuZQgFTU1UKQTzzDWAEQN1pvyrvgFb3xhsdgiCCQnRhFaQOO4hnTczDK28fhPuYJ2HqDbLZJOhsdKSfSliQsG7zIdw+/Gq8tH6PzsaJQLuWtK4BpVhSuxNOhw2V43PgSLLA4w0izcGRXeY5hggJwZCgWcdnl+eBaA1jnEmCB3DmzhkCAgKC7oS2dJmZvWDlGIR5AQtXfnxeAmpmY15aVdrhc4jOPjN0JKHsbGBus4pgJanN/Vh7eyiPN6gGAJXrLl29CzVVpWANWGFIIJmgPRjNCyNfQyK650xoas1kNM3B6QoDXli721TWY69H/BAEHUGietJorry0fg8qx+eon7fnK0tEPsNC630UH2Ifpx1LHy6FEOmZTgn6fA/gQkcizrKONhNWqIdikZrCGd5HFAGPn8dPXvwQ02q2oHr5dnj8PBiGvFqC9kEbyFpbvf8igmRYOWgmy2bzQ5Hb6uXbidwSnDWM5GzHgTp1A0gJ4hkZpIIowcaxCQdEOgIzw6ZsxLUAYujm2oBiRJF5RNCZMLJBzORRECWMLOivBgABrY3TlnPG6bDhvtsGYdUb+1C9fDte3rAXjd4wkd9zDEGAWtkMyO/jxXV7IPbQbMfOgCCIoISOrScdCaYTEBAQdFe0pcvM7AVIHfN9dNWY+SiFaUfOITr7zNBVz7MjNqvmvAT2UBHB3IY1sgM66vMjuPhgNC/O1NdwJrJvKqMdlHWA+CEIzgyJ6kmzNcQRrSZvT9YTlU/BtCim5wazyQw9SySifDtqBCnUQ8p1+zjt6JVsNQnWUMTYIDhjsAyF2eV5GlmT+Y9pQ3kTOyjLZvODyC1BZ+JMN4CJXDcYjpyTa7dl2MTSzbUFstkkOBcwskHM5JGhKdMkpbYchAxNo3z0ANPgIcG5g+k6LhFHZ2fiXK1LBAQEBF2JtnSZmb0gmjjVuiqgZjbmEw2+DiVhE5195uiq59kRmzUWieyhWMbchjUCCSQTtAejeXGmvoYzkf22EvQ7LaDY5qgJLnYkqifN1hCvn09I1hOVT4Y29nub6fmeAEIHepZIpFeIIsCxwt5Wg9VY6iFRBCRI2PX595g7uQDL1uzS3Iei5Enk6udE2Yhr4UiywOvnAersy7PP5nxSGt69YPY+KEFCptOOhVOHgaYAUQJYlgIDybj3X1RJJirLLIAnKwo1HOdPVhQCVMfpCwgIzHCmPZtiYcaPn9U7CbPL83Q9ARO9tnJdUAAkCqIogqYpcIzxupDptOMXs24ERUkI8yJEigJL02AMqDjOhAaEoOeivXU30e9DooReSRyem1mKMN82nS4LwOmwmq4LsXPT6bChfPQAXJaRAooC+mU5Epbf+LFzDIWwIBEb4wzAMBSKsrMwsqC/ajNu2fW1vI6TR9hp6Ix1iYCAgKCrYGYjGOmyhVNvAE1RCAkSUpIseG7mcIiiBEmS1+8IYGgXsDQNCed+7TYas0K5//g9+YY28tn0fyU+Dz3aep4dRVvPuCOU3bHXgWjMeiSIEqzR4xia0v2G6opCWC0UQqD1c6WDfhKC7o1zMbeN5kVW7ySdv8xI9zAMDcpCg+clCKIIiaKQnmrDs9OLQdMUWIYCJZhTdwLmfmnWQNbnVxSCYShQMO7nSvwQBPFIqNdfgrERQz/y/YVISeKwpKoELNO2Xm0r4E0zdKtvTpLw7PRi/GHjfuw4UKfqeY4B+AQ3bBeaHdBl89Plcv0SQBmAKwDkuN3u/dHPvwIQjP4DgLlut/v/ot/dAOD3AOwAvgJwr9vtru+qMScCI8NDdU5Fe/RxjF6pPhlVqmHRuI+f0luKgdysMvuqDKzdfEhtVul0WJFkZeAPCSjKztL145EniAUNjUE0+cLYsutrTBo9EOkOLiHHmVI+u3bzIYws6K/e084x4MNtzwYznt90B9fuuQSdD4ah0cILuoBesoUBzVDwe/XvKinVCh0JOQVwFgrVFYWaBtmykqQgwjiIYrHQmFGWq97bYqE7HBgnIGgLZ9qzSQHD0Gj08zrj25Fsgc3Com+WBUuiSRksTRkG5Myuq+hRIx29YOoNWBTtl6bMJZuFhjfAwx/i0ewL6+asJmhjMo9omoKExDbBsc/qQjNgCFrRHr9+W+sAAAigEBREnGjwYd3mL+DxBjXnm63cgiDCzjHmjrPo3HxuZikavWHdMUXZWSr/PqBfBxiGhsRQ8MScW5SdhfLRAzXrEOm5kjhsHI1Jowfq3oWNoxHqxr0P4vWTeAaZ9V2l45T7JNtY1FSVApQESCA6lYCAoFuivb17rI3NWWg0+cJYuHKbeuzs8jykOazoZWPBhwWwDG3o+wjyEbVPsPJZWpTaSxmH0V6yLZtVFCXQNK3Ts2kOTt1/ev08Xnn7IDzeYEJJ2ImuEaQHnDnOdm+mwGiPpshNbCCwvZ7s8e9qQWWR4R7KZmUQDAnweENo8oVx8GgDnp1eDFAUGIqC1UKhoSmke+e9ki2QIOGxu4fgV3/5zDRxlOy1Lgycq7ltNi9gYdTPWJoGzQAhXtZzih4MSRJ8jUEsXa0tCqEZCqcaA5p9nRmM/NLzKwphYYA0B6eOoaExiJc37IXHG8TCqTfAZmEREbWJocSfRxCLtuyI2PiDmQwaJdzE+5FZlsamrUcw6MoMTXxCFCTdHpGz0FhQWaTaABveOwyPNwgJEla8vl/nm5tfUYgZZbkQBECUJIQFCSxjHABXfq+S6O9pubDsAErqIvofl8tVCuBrAFsBjI0LAqp/xxxPA/gCQIXb7d7mcrl+BuAqt9v9QIK3vALA0VOnfG06CjIzHTh50tvBX2OORISfpWmdEdyWoEgMjerl23UKtqaqFCte34uKsYOxYMVHuu9nlOVi0aodavbbZwe/x035fdt0ErZOHhqbth3BkEGX6CZHewJtNt5npxfDSlNtntvZ76OjyMx0dBfOjytwBvJrZFhKDIVvT7ZoKplml+fh8sxkiCIw73+26d7V0odL8d0po3NS8PKGf+sqCB66MxfNLXoHb68kDvOWG1y/qhTNfv3xiSjL8y0j5wOJ/uYLXX6B87Q5YhhDOa0cn4NVb+w744Vc0YXKdeKv/2h5PnwBXjOXpt2Ri4YmPyKCZDxnYxIpjNab2eV5WPPWQV0Qp61zlDXqlEGQpisNmJ4gv+cL5nZCCShBBM0xmnWgKDsL948dDI6j0ewLG2bLe7xB9XwFZr+5vXlrNr5F04pV+0UJhGdEnY6KrHq88kZUOXd+RaHhfIofa2ehx+lfljFd99FNg4BGeutnDxTBmWxJuCK0q5y1id6nO+kP4AKS3xh0t2cIdL8xZWY6cPvjbyR8/JvPj++S8Xf2cyLye3ZIdO8ur/UUqg1s5hllueiX1QuUIMQcG2MXMDR++puthnvCzN5JOH26Rac7n6wohMVC63wmRjbrrIl5eHPrEUwaPRDOaGDxXOv89myvRNGN5BfogAyfKTok+yZ7tKVVpXKT4wQR/65c/ZyYPGaQZq+1YOoN4CMilvxJL1fT7sgBJYim73xB5TCsefsAfjxqAALBCFiGRjAcwZWX9VL3bufSDjmf+qQ7yi+As3oenTW3OwozGUlzcPAHBSxc+bGpv2J2eR5+kJkCIdxKeBgrF2ZFHklWRhfYVvaCAHTzRJFZ4Nzr2M5CW/OjO8rvheSDAOTx1J9uMbUjfva7j3RreHv7t0R9Bwun3gCeFzWJIoumDUOYF7D4T1ofmdNhhdcfRpgX8Zu//iuhsRrJc+w8NfPzxeqKc/2+OirDXUZ06na7t7nd7uMdOGUIgKDb7d4W/ft3ACZ0/sg6D4phbMQ9GxYQzZ5jAArw+nlUjs+Bq58T9Z4A1m4+hAgoCBQFiWEAlobEMJBYuo1SaxE7DtTBFwgbfm/jWPX/L63fg9tKrsLazYd0Y4vAqHHmNpRe/wN8dvB7VI7PQU1VCSrH50TH2TbMxuvxhs6YI1quBqARoShIDE0azhrArPmpBGDjh0c073Hjh0cQESREBLNGqK0BCOWzF9ftQUSQsONAHZbU7kT18u1YUrsTOw7UISJIaqWqRlbMGq2KItIdnBzIrh6JmqpSpDs4NRhN3vXFiXPZYLotuTKTU2cva4yepNodR/w9QLX2+TO6fprDig3vHUb18u3Y8N5hjCzoD0EUkZ5qN51/sfcJiRLSHByWVpViRfUozCjLxZq3DsJ9zKPT78q4IqAM14GwQHj9uzOM5FfzGQCnw6Y5R7YTZJmJ1emufk7cPvxqPLXiI3x5vEn33l9avwdlI65Vz6c5FhTLIEJRchZ9dB5o5UrOLmVoCoIoqXKnwMwuoCjg6WnF+N28kVg8owQf7j6OcFTOFS5/G8eq455fUYh+WSkmNhHJOk0Eba373RVGfR1e/X8HccobTni9MOsNITFUp9ocpEcKAQHBhYa29u5ClBpGsdEbmgKmfgdBlFRdSjNan1SIN9sTymuPYOBDWVy7ExaWQfWUAjzz0DDMLs9DiBcMbdaX1u/ByIL+qk8lltpc3muWdLpzmvSAOzsksuc39yV07BnHvyv3MQ/WvHUQTz9UjJqqEsyccD1YmlYDgMp9Xlq/B2NLr4IgyU5ps77KvkAYtw+/Gv/77hfgLAyql2/HolU7EOZjE+JkO3rOpHzZueywEfugm6Iz57aZnBt9bmpDChJoypjCVvEzKL6C2GvGBpKUazd6w0i2s0hNsUIQJIQjsh/P6bBhfkUh5kzKB01RePyeIZhdnocwL6h7zFi/iNYPMRJLq0qR5uAQEiXiw7sI0ZYdES/PiaRvxF/P1c+JyvE5YGhgSVUJnn90OJwOGxq9YTUAqNzj+1MtagBQ+ezFdXtwqimI51/djYw0u26sTocNkoSE9HPsPDXz83VnO6C70PW+6nK5KADbAMx3u92NAPpBrhwEALjd7gaXy0W7XK7ebrf7dKIXTk9PafeYzEzHGQxZC1GU8PX3zQiEIqqzKrZHnzcQxst/26vLpJg1MQ8ffHYcNw/pq2bVxWYd3T78atCUCXczI/OOe5pDht97/bz6d70ngNPNQdw+/Go0esNwH/Oon4OiQLE0ltRu10yUv77rxoRRLk0fwicmDwVFUZBYBhaWRmqyFXRcw1iPN2g4niZfGBlp9nafd/z3yrN99o871HH87IEi9L+kl+7ePQ0dkV+PN6h7h0tqd6Lm4VL8eNQAeFvCAAALS+HHowaApilQJrLF0JShMhMlybCXEGehdSXVsybmqTIaf30rx8LjC+nead8+Dhyv97b7rjtjzl5ouBB/c0f1r5kM/3L2jcjsnXzG42hPh9R7/IZymmLn4OrnhPuYBw1NAditrE4WRVGC1x9CRJDg8Wqz6J68X6Y7VBoYx1+/7nQL7rttkLoGKPNn2SOlhvNPkuRnavRbUpIsWLRqBwBo1h+KouDnBSxY8bFmbsavA2aGGyjqgpS9zkBX2Q/twUx+LSytea9KFajyXmVaIxZOhw3fn2pR32/ZiGtVWVMC3bFQDNo+TjtONsrfbfzwiJo12ruXDb1T7TjtDeF0c1ClS7oxv6+GojN2jpnZBd81+MBZGLV6ddbEPDAMjfTeyaj3+FHvCcDr5zW055Xjc0wonFhdILSzcCHOATP5rT9trO8YmkJm7+75OxVZiMXIgv6GjhJlvRBFCU0tIfARERaWBm/ggHY6bGj0hTVZ/2drXxqN1UyXXohy1VVIRP8C3fMZdscxdQRdNf4L/Tm1hQtNftvau6elWHFJegqaWkJYUrvddA12OmwABZxqDEKSgFQHp1LeF2VnYeodxufRNBCJiOBNElRONwfBR0Rs2vYfjLvxavxx4wE8MC7b8NjMNBtuH361xqdyLn0GZs/tXNojXYVEZfhsrp+IeVbbVgAAIABJREFUf8dsj8YwFDKdic8fo3fl8QZx7HsvNrx3GPfdNgiNvpChXF2SkQwaFBp9IaSmcKZzZdUb+6Kte6wYVdAXe79sUGVBFCUc/a5JZbbQVFt10l6ru+iT7oKzeR6dNbfN9nBmPq9kmwVOhw2V43NUX9uG9w5DJu8z9tspPl9lP/+HjfvUPZsoARnOZLAsjXqPH06HDVPvHIxQWMBTv2+teHr8niFgGArPrflUt69U9mevvN2aaNzQFMDc327rsA/vfOJCmh/dxQfREdisrKlujEWie6/YOejq58R9tw3S+JrnTSnArIk/BBdNFtaMhWMN51Fmmlw529yijZ8oleE/j5kTbenn+tOtez0zP1+8ruhO76vL6EAVxNN/ulyuvm63+7jL5bIC+DUAh9vtvtflcpUBeMDtdo+JOdcP4AcJBgGvQBeW0sbSvm3Z9bUuIDJ3cgGC4YimugNQyk5L8O1Jr46vNra0G4COGi7TaUcgEMFf4vpNKTRf3kAYnuaQ7nozJ1yPn//+Y7j6OfHAuOuQnmqHKEqoO+3Hn/9xSHUgxlNuGdEmJEo3F0+lYIb09BQ0NPo1pcERoMvK8btROfgV6KD8RigKz7+6WxN83vDeYTwxZSj8QR4MRYOmAFECBEmEw84BAL496TOk/XzCgLLlFzOHwxsI46QnoHIzZzrtcNg5LDegCZ1R9kM0evW8+WkODk/8Rk/toVDcxl8nVm4SoUBtL8vzQuPj73F0dFHE/64IRWFazRbdcSuqR4I9i7WqPUoP1sZq+j8oOvzNrUcwtvQqRAQRWb2TUXe6RUfr0sILaG7hQVES/MEIbBwLUZKiXOQM0lNt2LH/Owy8Il2TUBFLubigchgWrWql91g8oxhhXtCtCUsfLgUkGNLiLJw6DAtXfgynw6YzkoyCQ5Xjc7CkdmfMsyg1pHc617QnsbjQ5fdcwUx+Fcpvo89i12eaoRAWgIamAJp8YWSm2fC7v+/D5DGDkJlmV2kv4q9jYRlIkoRN2/6jszEeHDcYgVAEdacD2LLra1NackV+jHoSOpI5rHxtv2qfbHjvMMpHD8DlmQ65nwQl4dj3Xmz/9wmUjRigXt9oI5AotciZoKfpX9Zmgc8f1vWHTEniEAnyuuO7A4zmwLJHSjH3t9t0x/7hZ6NASRT4uB6XsRQvChZUFmmoZoGz13uJUkh1QyqfC0J+Y9HdniHQ/cZE6EC7HFfgApTftvbu/z3sCvTLckAQJUyr2QJXPycqxl6HF9bu1vg5GIaCzcLib+99gb1fNmhsz8UzipGRaocoSfj+VIuql2eX5yHZzsKRZEU4LOD70/J38faqElzZsutrTL4tGxQF1J1uwfZ/n0Bh9qVwJFkQDEdwaXoKnjK0RUrlPzrQnzWRvWJn0Tt2I/kFuogO1Iw6Ln6tjKez7+O047G7h+CS9CSEeQGchYYoAIIoGvaGVGD0ruZNKQAFgLOwWPP2AUy+LRu+QBhNvjA2vHcY7mMeFGVnYeKtA7F0tXlf6nlTCvD29qN4d9dxLHukFP4gj6zeyXIFVVQW2rLl+2U5znqvRehAVVyBTqAD7ay53VZbJ6N993Mzh+Pbkz5N8qXTYQVnoQFQ8HhDGtmLDc4VZWdh2p254CMivouxf5+8vxCpKVZEBBHH6+RnYmT7Gu0rZ5fnoSUQQWoKhxQ7h5fW71H3bWZ+BCUZOTWFQ0aqHSyk8+5nI3Sg5xaZmQ5DSu/5FYVYu/kQdhyoU49NdO9l4Rg0eMOoMaHcLMrOwkN35UIUJfC8iIamAP78j0Pom5WC8tEuCKIEQZDw9/cPqzYJIMdU5kzKR+9UG06c9MHGyVWxq986oBmnmX62cAwCvKjuKc38ErG6orvRgZ73SkCFItTtdodcLtdyABujXx0D0F85zuVyZQAQO1IFeK4QaxTGGh6V43Ow88B3OmdYvSeAZWt24emHig2zkP1B3jAryJEkZ4Kkp9oRCPJYUlUCjzcET3NIzcp4bmYpZpTlAqCwpKoUFA14W8KqAdzHaUf1lEKkJFuwfrNbzWb6xazhSLKyaPSFMD+6MPVx2jFnUj5qN30O9zEPUlM4zXjLRlyro6hbUrsTS6pKQEWb1gqCCJqhkOawYkm0sWyjN4S/v38Yk0YPNGz4Gftc4zNl5lcUwpFsXmJ73gW4G4Gz0Log7ezyPFhZGk28hGdWfxxjrBaCSqYQDgt4/9PjWFA5DAwNCCLw2geHMem/BmLOpHzNBm/OpHxIAJp8YY28zi7PQ4qdM6wEFCQJGalW1FSVQhBFMDQNq4WCPyQYZmcIkmRYtQgTtXYmBhpp4t59ca4aTLdF6WFlaHiaQhoe8bmTC/CPj45ix4E6VIzN1lRbxcqKxFCgIzRe++AwJt46EC9v2A2nw4b7b8/Gi+s+05xz4D8N8jxjKFgYGgwDzC7Pg9cfRpKdwZxJ+fD6eew88B1oitLNsWS7BScb5Qy+BZXDEOYjONkYVDepLUEesybmgY8I6jxUfueL6/ZojPV6TwCpKZz6fOdXFIJjgIVTb9AFBtrS2QRdAzP5VSi/Yz+7PNOBFdUjWxvNA7q+OfOmFGDaXYPR7AvjDxv3Y9bEPJ3hygsiwryAjLQkjCzor36vUInG8uXPmpiHEB9pc45JDIVwQMTLG/bC6bChfPQApNg5zJmUj7+99wUy0/TB67mTC+DsZcP/N2IABKm1QsB9zINX3j6IyvE5uOJSh9pk/Hz3tLxQQFNAmBc1OmZ+RSHOV6JuIo5WFtA1ke/dy6ZbL4qys+CNa84+Z1I+REmC189j8YwSnG4OQBSBYDiCflmOTrcvjcYq61gKYdCapvUEBAQE3QGCILdpeHZ6sZoQ9+bWI7jjpmsgSkpyjczu4j7mQe2mz/HMQ8XwBXgk2Syo3bQfOw7UqWv38To5wXRB5TC89sFh2K0WTYb9vCmF4CMRZKTJVQLV/9NauVc9pRARUYQoikhNsQESkHtNhlrlpyTNKddZ/84h9d5PPzTMUKfXe/x4Ye1uXd/As90rCoIIZ5IFNVGfx4WQWNpd0NbeLHb9pQQJTocVM8pyYeNYSBLgSLbgid9shdNhM2TZin/HDENDAIUkmwVLqkrAMjQEUcSK1/Zhx4E6/Pqxm3SypVzn/rGDNYFlxUm8oHIYmltC8Pp5rH/HjZEF/eH1h5GeagNNU7ByDJJtLMIRETTDQDChNb0sI6XDey0ju4mgc9FZc7uttk6GvjBRwsYPjxgWlPz1XTfKb3Xh6WnFoBkKNAX4AjzKRlwrM7Lk9dXoUsWnvPhPO/HEfUMRCEVwWWaKKaVtShKH5x8djtRkq9wOgqbQ4uc18yvWrxz/exINiBD0TBjNGY6hMGn0QBw90azKw2UZKarsO3tZkWS1IMxHIIECxzHgo8n2YUHCumi7qSsu1e7XXP2cuOdHgxAOi2hqaU3inzXxhwjzkia+MW9KAQA5+DdzwvWo9wTwwtrdeHZ6sS4GE8+UdXlmimb/xkZ1r9fPY9G0YtX2eXPrETw7vRgUKNB0YolG5xPnlajX5XIlu1yu1Oj/KQDlAP4V/fozAHaXyxVN3cJ0AP/b1WOM52q2cIzas+r5V3fj25MtmLd8G6bVbMGqN/bh5iF9wQuCoWIVRQl9nHbN5+WjBxhy2j92dz7SHFZMu2swnvr9R5jz6w8xf/l2hMKC6vRVDKVGbxhP/GYrfvHKp4jwoo7LvGb1TnxT58XIgn741aM3QhAkJNssqPf4dUG9F9bKlWQyrYdVM14zvtuTnoDai4WzsWiIjqdy8bv4+e8+Amdh8PCPf9iu8o8AagBQufaS2p2AROmeWx+nHZyF9I6LhSjCsI9YiBfV7DXl86WrdyISEcGxNG4Z2heLVn2M6cvew6JVH+OWoX3B0hRe/+eXmh5/r//zyzZ7BcYHHl5avwcMReFkUwjV0TlSvXwbTjaFYLeymDxmEFa9sQ/Vy7dj1RtyVYqVpUHFOSIpCmDojvX4aYtbn/Tr6b5QnKfKfFcMx7Pd3CjBRQWufk4sqCwCAERA4S9xPfKWrdmFwuxLUZSdZcoNzjA0Gr1hNLWEMLKgvzrH7v3RQPzqL5/p5GvodZfI82ypPA+UrNbnX/0MJ062oHbT51j1xj6UjRigBt+V819ctwdJVhZ/f/9LfPWdF75AGDarBQePNuC+2wahKDsLnuYQXnn7ILJ6JxvqaUe0gbfyXB1JHFZUj1J7pIiCnEX18oa9qF6+HS9v2Aue777Gy8WEePkF5HcYDEd0nzE0wEoSKEGEIIiG+m7p6l1o9oVh41jsOFCHDz6TE0F+N3cEFlQOg7OXFXYrgzAvQBQl9Luk1fCOpRJVrvfS+j2wWhjDMVotDFp4Af6ggFBYwOzyPFSMvQ4vb9iL6Uu34KkVH+G2kivhSOZ01122ZhfqTwfw1IqPwPMiirKz1Gu7j3mw6o19YGgKlCAiLEhEryeIMC8a964+D/M90T6wsRtLpbdTRqpdt148OG6w7re9sHY3wryAx1/8EE++vB0RQULtps/x8oa9KtVsLNTEkzOE0VjTHZyuf+HX3zdf9HYrAQFB9wEflquq/EEemWk2VIzNhrOXDWFewPOv7saK1/eiOkbnipKEU01BLFjxkRoYUdZupa+wLxBG2YgBhvvQtBQbBEHC0tW7dH4Lnz+MiCDhhb/sxlMrPsLY0quQ1suqsxOWrt6JkQX91b+/a2gxtEW8fl61VxQq6c7aKwqCKLOKxNheBMZQ/Gr1Hj8YmjZcf+P9OwCQbGHQL8uB9FQbsnonqTSzRgnq8e+YYWg0+vmov+5dzF++Hd+e9KHRF0KjN5p0zDCGtu3k27LhDYR1+6odB+rQ3BJC7abPAQB33HQNrrysFyaPuQ7zl2/HT1/aiur/2YZvTvrwyz9/hurl2yCIMJRNC0N3SGbM7CaSWNT56Iy5bbaHYxna0BcmipIm+RJo1asjC/qjZvUunGoO4FRjAPOXb8ejv/onVr2xDzfl98W6dw7pZHjOpHw4HTY4kjl5bkkATVNYUFkEVz+nZkwZaTZQoDB/+XY8VLMF39R5dXpQ8SvfPKSver5sN8tJIkb7RLIfu3gQP2f4sIB0ByezvVWPRE1VKZJsrX7gn760FYtWfYxgWMCpJj9awiLAMnLihihhx4E6LKndqbawUjB5zCBEIiKeWvER5v52G1a9sQ+3D78aLMOgRmdv7MKdN8s2ic0qexWN+v+9tH4PykZcq96jj1OmnT7ta92/zfufbThxsgUrXtuHBSs+wr0/GoQV1SMxZUw2GIYGR+OCsAO6LHHE5XK9BOAuAJcAeNflcp0CcDuADS6XiwHAAPgcQBUAuN1u0eVy3Qfg9y6XywbgKwD3dtV4AfMssLVRh3Hl+BxDw2NB5TDDapZTTQHMLs/TZFNcmpFi6LA93RzEC2t3Y3Z5HpwOWzSLLYA3tx7BrIl5aG4JIRiOgKFpLKndidxrMlA2Qq6YMrqejWPxwtrdWDh1GDzNQfTuZUNKEqdmbMRSSF79g1QsrSqFJa4yJDXFavi7nL3kSP7azYcw7Y5ctURduXdN7U7UVJW2S3NglikjipIuq3rh1BvQFNMElGSZABGTXgqC6ecSKBgHDpc+XIoHxmVDEOSqgdQUKx4Yl22aOWT2uRCTwaHI17rNhzDtzlzD+/5i5nAEQ4KuCsoMiWYRnu05BF2Dc5FRq2R/PvNQMU40+LD93ydwy9C+uqxRZSOo6ML0VBsmj7kOC1dqs0Jfefug3OiXprAkSk8gU3XIerBP7yTjOSJIOnlXqvNi/9/cYtyPIhCK6LIC51cUAhQw7Y5ctITkTMBTTQFDPd27l9zsW6E6fXHdHjx+zxD1+UoMjcW1O+F02DBzwvVIT5Ud4RJDgznLd0BwdjCqLHqyohAWS2vP1diAeWw2sZm+y+qdDJqmUJSdhZuHyIkgSoWejXMgEpFUPbz8iRFqL9h+WSkqdWdsphxDU3h6WjH+FM2IU/r/REQJNE2rtDQPjLsO/mBErXzd8N5hLF29C0uqSkyD18oGctG0Yk0mYezvJXo9cUQE4+zjiND1z8rM0VpTVaIjABAEERSiGxdBAsvSuvXCzBZRqmbjde+S2p14drq5XHUUSnZ+KDoeK01BEESEQet+57N/3GH4OwkI2oKjl111YhAQdDYoQUJW7ySZcm61nsJ+3eZDqKkqhQQJx+u8OtYgQNtXWOkFpBwT63NgGApNPn2ARbFRvP4wHr9nCOpOtyDECxAESfWJxN9LwbrNX+jspXlTChEI8WqPb8WuIHvFroWRX626ohAA1ErOtvw7lCDKbWJiKurMEtRj3zFoSr2e8v2L6/ZgRlkuJo8ZhJZABAxDGV6HoYEkqwVF2Vkamrii7CykOayYc3c+RFFCKBzBSU8AfXrb8dP7hqrteBR7Y8N7h+FpDuLph4o1NI3zKwrBQOqQvWFmN/1y9o0deyEEXYL4PZzSUkEQJZkuNsbP++K6PaipKm1Tr9Z7AshItauV1cp3ik8iVk7rPQFIkDDtrsHgLDRYVt6PKTbxtLsG4x/bv0LJDy/DZRkpEAQJ699xq9e1GfRaq/cEcGl6Mr471YLH7xkCjzcIp8MKq4XC/IpChHjjYhiiOy8+KD44f1jfnsGoEGlGWS7m/naLqvfTHK39V/cerkd1RaEaZzCaAy+t34Nnpxcb7nEtLIVlj5QiLdqv9ZahfQ37/8UyZc2amIe6UwH8ep0+OX92eR7EaGWgxxtEmBfBWRg4HVbYY6oZuyu6bC663e5ZAGYZfGXq4Xe73R8ByDlngzJAbHm9BEoN+AF6BWtmeFhYynCRBwW0BHjMnHA9bFYWyTYLOJbWOGxd/ZwoHz0AqSlWVI7PwcYPj+DeHw3EP3d/gwmjXPAHeQ1VwfyKQuRek4EfFV+JBSs+Mm3WrWTAef1yJLuP046npxWjKDvL0LGc5rCCl2TB/n8ff6U69eZNKVSz+ZQAzd+2fIGSH16GirHZAAVDA10QxXaFrS0qwHhHDwUKC1du072bi9mhQps9P4Y2+ZwydQbSDIVAi6ALgKenWVRncGzPPpYxvjcoGNN7wnhzxQuiYXBwSVUpLNG5We/xA1H6WSl6HyOZMaOPPFeUkwSdg3hH79ksoe0lcgCtRsPs8jxYOUaV1W9P+pDptCP3mgy8u+u4etyMslyw0Z4Tj98zBGkOK1iGxsyJP8Qrbx/E5NuyseyRUk0/iT5OO6i4ypJYx0Xs/5t8YUP5TLZbsPhP+k1f5fgcLHljJ+ZMykdGmg2pKZzOATK7PA+/+stueLxBlerU4w3i25NeOB02OJMsCImycyW+z4vSf5ZlGEREkVAddSFiAwppDg5Lq0o17wBAuwFzJhroG1t6FdJT7aBpCk2+ILz+EP648XPMmZSPp1Z8pOklGc+7zzLAtDtzEInIlGApdgum3jkYK1/bD0BmNIgIEupOt2DKmOtQVfZDhAS5/19ssP2JyUPB0DSef7VVvhSjWxSNdXlss3tfIIwZZbnRnoGQ6TkECQJFqRmoRK+3D6uFwfSyXDR6gwDkdXl6WS6sFhpCuGvn9dk6WuPXC9rE3lHkSLl+rO71+nk8O70YLCNnSIuiXEHLRisQ4im3GAuNEC9pKM7DwUib9HGKfjWifWrXNr7AehgTnFvYrGyHe/wRECQCRddYORYvrvtEZycryRMPjpP17vZ/n8CEUQMMdW4wHFHX91aGIT3t97PTiw3Pr4v2Bpw8ZhB+89d/xQT0CnD020YMvCIDDC33ubdyjBrg83iDaAnyeLQ8H1m97QjxIlqCPMK8gKl3Dsb/vvsFvH5ersShaUAw3mXE7hVj+1sxNC0HbYgO7jCMgldKoviD40RT/46SZC5QFFiaBscAd950FUYVXgELK1c0xfeRVN6xhaHBxyRhxgahe6faIET9Dmb+s2N1Pqx6Y59KJ6f4w8pHD9S0ilCoQyfcOgDBkNw/7dFJ+djw3hfIdNox/a4cNPpC8DTLdtfsSdcjyWoBA6nDzmIzu4mPiBetD6w7wMxWi01wBgV4W3hdSwWlp1+9JwBQksrG5nTYVHkNhiMQJZlVjqL0QWunw4b+lzhQU1Wi2pgebxDfn2pBVu9kiKKkS7RfUHkDxg6/SmWRi6dEjAii6bzYsutrPDhuMDgLg29P+nB5nxT0SubUMRrtxySY9+wk6Fkw6zP8ytsH4fEaJ7wrwWmnwwaPN6jSN59qCiA1xYb/+/ioTIVLy35vo5iDhTVuj+XxBjH3t9tNfYFvbj2C2eV5YBkKv5s3EqeaAljz1kFUjL1Ocw9XPyfu/dFApKfa8V2DDxveO4xbhvbV2CkXQmESCcjHwExY47lhlQixYmDEG4gKZaIS/AvxEWza9h/cPKQvXlq/R+Uv/9uWL/DjUQPU6kAzXvNLM5MxYdQA0LRx5s/iGSV48mWZ93bDe4d1/X2UCdfHaUeYF9Rz/7RpPx4cN1hdiGKvOaMsFxaWwZtbZU7qRm9Yw4HOshROnPTh/U+Pq78rdqIpjcCB1hJxM0NbAQvgZw8UaXoCzi7PQ5CPINnCyMJKU1GOarNg48WbZWJhaF2l6ezyPLAshXlTClS6FWUTxbI0WJoyVJSUZCxrSx8u1TXDrq4oBGehDeWOoSmEwvrKPjOHrSgaV7JKkgRPQO9cS3foAx7tZfGb9es5k8x/4pzr3jDLljTKlLukdxK+P+3XyerE0S4cr/OpxvmlGSmQaAm+Fh7Pv9ra92/ulKG446ZrDPtJjLvxajT5gpqxxTqmY/+/ZdfXWDjtBtSdau3Nl5ZihS/AG84NJRlFyaB67Ncfoig7S+0X8G29V6OPl63ZhRllufjv4isQCEYQ4gVEwIGlKZSPNqYifXpaMeat2KaZL93duLnQ0V4/GiF6TOvxFAQBiFCURhdZLTQmjR6oCwqnpnDom5Wi0hxVjs9R9XdsgpOrnxMRQcLJRm1A77G7h2DaXYMRDAm69cPrD8PpsOkSOrwtYU0j8NjAOk1TqJ5SqFJ4xNougDxHfNHrWqM/O7YHYFF2liZD8Gwruno64ntRzy7PQ2+HtcvH0dlJObHru1LZekl6MhoaA6qTOF73nmoK4q/vunXzRKm2XRilHevjtKPmkRKcbgrp5MzpsIIXjGlWa6pKTHs2cxYaYhsOQNLDmICAoCsQq2vmTMo3tTeV4JlESbhlaF/846OjmDu5QKbvjtkXJtssaAmE1V5V8ysK4fEGdTRxf9i43zBxbc1bBw2pHte/I+vq+L6AD0/4IV79x0HcPvxqrHnrICaPGYTv4xKRZpfnYeodOVj5+j6Nf8FIlyprydrNhwyTpYkO7jja6o3GShIgSIjEBTeUPtTVy1v3IAun3YCb8vtqZECRGY83qO695lcUghdFfHvSZxqEnjelALnXZLTpP6v3yHRyz80cjql3yO9c6Tel/AYlmTQYEnRB6/RUG47XeXU2V9AqgKEopDo4hHlR7Z8VFqR2k/uM7CYLSyMSIRbv+UC7e7ZowhoYxrANlJJg0cdpByVRsFkZPFV5A5p8IW0/vopCLJtZCkHQBtpc/ZyYPGaQprIptnffrUX9wVn0+7J6j99wX6aMh6YpnW9x1sQ8fPDZcV1/+OqKQqzbfAiN3rChP/K5Vz7TzM/2+rISXNgw8sEpshXmBdOETaOekvOmFIJlKPzXsCvxpzdb+w8bxRxEyZjlbuaE69W/432ByjoTy/41b0ohJo8ZBElqTVI2GpvSq9No79edkzJIM4oYmAlrPDes02FFUXYWku0sqqcUoig7C/fdJvPazv3tNixc+THuu22Q2j/K6bBh75cNeOXtg5hRlot5FUMR5gVMGZMNb0sY7396HJXjc/CTe4cY0ouGQgKm1WzBqaagifHUalS5j3nwytsHUTk+ByuqR2JGWW404h7EY3cPQYrdovI3x/L3x1/TxrEqp3rsM9hxoA4WCw0KAB+RNwDxBv2L6/agfPQA9XlVVxSCYxJ7B6nJHJ55qBjLnxiBmROux5q3DmLhyk8gMZSG/3z+8u2YPGaQjsv6bPq4XOiQIMFmZTCjLBc1VSVyA20rg1BIxGcH67B4htyfZvGMEnx2sA6hsABBNO7xF5s1p6DeE0BElAzpXkNhEW9uPaLpIfjm1iMQTHoICoKEuVOGYkFlEWqqSrCgsghzpwxVKwpj0cdpB01Rxj2MBEnXe6c9g8KoX8+ZGCGJ9jIiOH8w23AqiRwK+jjtkEAZyqooSKr+U2Qzwku6qrxmX1gXQHtp/R5MGZMNZy8rHEmcer5iuOw88B0WVBbhmYeKceVlvbDskRLcPy4b4bC2Nx8oCnxENJwbsZVSl2emYPkTIzC29Cr8adN+SKKERat2qMaRctwl6cngeRG/+eu/MPe321C9fBuCfASXmdBTN8VQlCpzj3D7n1u0148mVv88/+pufH8qoPYnXvH6PoREuUIuFNH3yntx3R6c9ARQNmKAaojHBv6UBCdApseNrehTrvGrv3yGtGT9hlJJaDKae2a0MpekJ2Pl63sBSJg54Xq8MOcmPDu9GG9uPQIA6hzpf0kvZKTZwAPg457PjgN1Kk1ZrF4HQHoHx4E3WZf5Lq6YZBgaDEN1ah9YZX1/buZwTL8rFy9v2Itfr92DiCDi0Ul5+OWs4VhSVYK+l6Rg5fxReHZ6Mfpf4sCEW/X9uRfX7kTdab+68ascnwOIlM4GWlK7E/850YSTnoChfIsiIArGm1KxHX8d6WFMQEDQFVB0jdNhQ69kK5Y9Uor5FYWank+pKVY881AxQAGeZtk5/do//4MVr+1D5fgcLHukFE9PK0avZAt+9rvtmPPrD7HqjX0YVdQfHEfj8ky9jbnjQB0cyRbMnHA9Xp47As9OL1adekaMS0qvt1iduHT1TnAMg8m3ZasVNempdkOdC1CoGDsY7396HAtXfmKqS5W1ZNoduaS/VSfBrDdarO8m/hij/mJ1p/yGdu1j9+R6efIfAAAgAElEQVRjQeUwJNtY/PewKxDiZYrO7f8+gTmT8lE+eoBBT8ldcjsdAK+8fRAzJ1yPFdUjUTk+R5UlQE48b/SGMH/5dtO13kjmlq7eBZ43ZjlyJHFYXLsTR080q7Z7Q1zvYCO/ghKgjrebRFEidu55QiK2GsPQ4E1a9CgJFk9VFkGQJITCAuwcq5Obmtqd+OpEM37xymeYXZ6nykD56AH6Y6O9+24Z2hcRQQTP6+9tti9LTeHg6udERqodSVZW7hs/r3VeFGZfqptLNbU7cdct18J9zCPr16nDsKJ6FGaU5ao6XfGNJNKXleDChpkPzpFkAWeh8djdQwz9YrHJGMo5S1fvxH++bcZTv/8Itw+/Gq5+TsOYw9zJBfD5jSnG2Ri9KOtrm/q30TqzdPVOhHkBqQ4OT94v61uj45RenfH3E7p5j9aLtWjKEO05jJVFNsnKqBnDTocNs8vz1Mixck5sFgUFSqXs4iw0Gr1hTTaQkmk0vSzX8P4hXoCrnxMp9lZeXAV9nHZIcWXX7mMerHpjHxbPKAEAVIy9DsFwBCxLYd07btz7o4H4+e8/loMrJtlECn2oYoAr1El9nHZ8W+/Fus1foHz0AKSn2jFzwvX48z8OaaolL8904PfVIyGKEuzW9nlxzaowletFBGNH5oyyXCxatYNk/QMI8yJ2H6zHzUP6QpIkUBSFDz47jtFF/ZFzTaZaLapUcVhZ2pS3WxSNS/lpijKltLrjpms0NIJzJuWbZ/1JkhroiD2eZSldNUd1RSEYxjhYLYgSKEnqMH1kZ1BOdqSXEcH5gVm2ZK/k1v6miuEhiia9M0VJNc5nTczDn96UK6gTNaQpAKIIsCyFR8vzkZ5mAwVZ540pvUoj67PL88BQNJbUateTmtqdeOahYl229ROTh0KSgN/NGwlJlCBFs5883iDmTMoHFf398XQiDE3rApYLV36CpQ+XGj4vpadL/HMhBsS5Q3s0ibH6Z+aE6/Grv3ymBitiszOXPVJqeB0bx6K5JYT0XnK2aKMvqL772IzoWNpE/ViM54wE4PtTLTpZCoYjplVf4268GoGQgPRUO76p9+HT75ow7c4cNPt4TXXgvCkFWP+OG3fcdI2hM/HBca0Z5SBVVIZoq7dvV7mOYm0+p8OGGWW5uCwjBRamY1RrRtX4gNz3sGb1TsPM/5/cOwRJNhYLft+a8VkdpdZ/d9dx9drKPHH1c2LqnYPhbQmbyryNYzXsIAoUOzticl6kHap80peKgICgKyBEKYvvu22QIaNF+eiBWP3WATUD/+mHijV+hyW1OwHIFOU03VrNpQRPNn54BA+Oy8GCyiJVXyp0daGwnJTmdNjwk3vlHlMADHWqWa8smgZESKqtS1HmzDK1m/Zj8m3ZOF7na7svoCBCMKDdIzr4zJAIE0/8MUbv22y/1egNYe5vt6ly+8eNn8PjlfvwnWqS+5gZndfcEkLZiGvldig0hW9P+jSU+IAcZFHGZLbWm9lWprayJKn2AwCMu/FqNHqD+Ol9Q5FktSDMR+DxBpHm4DTOrdhkZlGUk8D/sLG1OobYuecWRnZnKAFbLQLgRINPU1VUNuJapKfakJZiRc0jpfD6wnh61fZ292/uYx6seUsuLrkkPVmjc+OPfWHtbsyccD0amgIJ78sy0+yYUZarqSxcNK1YnRdmLbFSo/3Wbh7SFwtXfow5k/KxaNUO3XGJ9GUluLDBmrRnkCQgI9UOjy+EhVOHIRCKINlmAUUDtwztC1/AOIjnSLLA6bCBjwiYXZ6Hb+plKs6s3slY9kgpUuycWrhkFtuI/duR1Nrr1cyusHEsFq38BM/NLFVjOW3FimKvz9C0roVLd0JCY3K5XCUAvnG73V+7XK4+AJ4BIAL4udvtbjiXA+xKmDmMM1LtWFE9slXR862UP/WeAJp8xry2iuOYpqE2MxYF42rDmROuh41jDe9vt7KYeudgrHn7gI6qoHpKIaxWBj97oAinmgIqfVxW7ySsemOfhvKuj9Muc573TsKyR0qRmiLTF82ZlK8J3sTShyqGjrOXDQsqi9ArhcM/tn+lc6rMmZQPUZJAUxSC4QhONvqx8cMj0ZJfuU8LG3XuGAUE2yoZXvXGPlPD6vJMh+bdXMwGj83KYMigLE2wb96UAoiSpDqIgdYqjmWPlJr2C2QZffm/wpNsRmnlTLVi8YwSNQApSKJpr0CWpnRBiBfW7kZNVSnWbT6kCTKui/YCMHMen69+T8Q5172hNCN+5qFiTTPiWRPzsPmTo1g8oyTanyGE9z89jh+PNO5r0ugNoU9vOxZUDoM/xGNkQX9DOlszQ/p4vbyZfOK+oRAlCX/cuB8jC/rLvP0GiQ3PTi82lCuKokDTFBZOHQarlQEkCc0tPPxBHk/FGOlzJuWjdtPneGHtbjw9rRg/f7AIzS1hHZ2IEZ2yJEl48v5CtcoxluYgFud77l0MaI8mMVb/pKe2HhefpWbWYzIYjoCPSLByDCRIuDzTofLu/3Hj53hz6xE8Pa0YLEvheJ3X8Bp8xLzvw7rNX+hsiz69k/DY3UPU9UhZP/767he4ZWhftfG2snY1NAbU/oFAa1Z15fgcUydMrFxKDKUGxBWHI0nUgPm6zFDoqrTcWJuv3hNQk7lqqkoStuNEUdIFeRUKTwqyQySW5haQZeiXf/4MM2KS7uo9cqLFwqnDNEFAZZ5MHjNIpTVfUDnMNLGEZWksmlaM2k2tzrhZE/MASjpj2lPSw5iAoOdBcSLH9jjvzP1rrJOapWnQDFS6QeVe8cdwDIXH7s5Xnb5A6168pqoUm7YdwciC/igfPRApdgtoGlj+xAj8/f3Dqt5UHHwRQcT8ikI4kizolWzFv76oQ+UdOfD59TTUSTYL/v5+a+KRzx9W/7/hvcO6dhaxSXwK+jjtiAgSWIbGqjf2qQnaRscJooQfjxoAXhAwecygdimZOQttGLgkOrjj0PZGowBJ0si+IpOOZIvqBzBKgDfbb2Wk2tV+aLFVfJ7mID79/HuMHX61yfrNIT3Vpsq/02HT+dsuiQkgmlGHNnpDprJpuI5HfTBeP49RBX2RbLNg44fyPBNTJPRKtmLvnm/U3u2xOkIQRLAMjQhNoaEpiJEF/dX2Re3ZuaSVyZnDjPYzzcGhKDsLIwv6q/6rLbu+1ugJQZSwbvMXamJFPM2wkuTY3v4tPdWG//npCIQjAjiWgd3KQBDM+6rXe+QqqJ0HvsP8+ws1/f8cyZyhH/hko1+3/6rdtF/Vx2Z7sO8afLjz5mvVRBKz45TPiR7tOM61/dAZkMcoGfqSL8tMhiBKeG7Np8i9JgO3lVyJp1Z8hJkTrsdv/vov0/6sEUHUxR+UXn9PvvyRvK4ASE2x6JJNFKpa5VpzJuXD4w3igXGDcf/tgwGp7fnD8xIYSQTLMIbHpaZoiwuqKwqx4vW9msSMtLTuJeeJ+qpXABgT/f/zAOwAAtHP7zoH4zovYAE8WVGIxXEOBRZytZFSMRSfFWam4ILhCJ68vxAcQ0GE7JA2ywbOSLOjdtN+XaWHUnny38OuwI4DdWj0hvHT+4bK/Ud4EQ1NAXx+tAHZV2VoDOv5FYVo9OqrN1JTOJw46VMdLrPL5Z6DNVWliAgiTjT4VPpQZZGaN6UAf9vyBfZ+2YA5k/Jxy9C+upJzpR+Vct3H7xmCSf81UBOQml2ehzSHFb1srC4Q2FZkfX5FIViTHnJMtEcgAcDzkrpRAlodpotnlBg+24ggO4DN+gX2SuGwcOow0JTceF2Q5AXGiNLiF7OGwx8QsHS1lks5xWnBT+4dgl/+udXp+5N7h0CCeWXfjgN1muA1AFSOlwwrBDmGAn+eSj+Jc67r0NENi5GRXj2lEP4Qj40fyob3qjf24fbhV2Pnge9w85C++OOb+/H4PUM0ff4eu3sIkpNYnIzqOOXzhVNv0AXL0hw21biO70XldNiQksRh+7+Pqka/Wc8V0cQQ+fakV9Wv1RWF6JVkQaM3qOPyf2HtbrUKHRTgD0YM6UQUfR17j2N1Xlx5WS/UVJWioSmAJl8Y//hIHvPRE82a9eVirrruCrSXMc1ZaCyZUYI0hxWcpXV9jM/ONHJWzC7Pg5VjsP1f3yIzra9G/88uz8Pj9+aDoWmEeQGnmkK4vE+KzpCfMykfr32gv3Z1RSEAIM3BwWKhZVrqaHKSKEp4d+fXePqhYlAUcOKkz7Tvz9LVu/CMSUDckWRB7abPdfdWaSSjCQChsKwjajd9rto0r7x98KJP1OAsNBZOvQF1p/2axDHOQiPcRf1kziaJRlkPGpoChhSeM8py1QxUs2xlJfM+9rPY4GhsQJGhadUx/toHeqf0vCkFWPN2a4XMvCkFeHB8Dr460Yw3tx7BQ3fkgoGkm88/e6CoXT3amT2MCQgIzj/OdZ9Po+vH9ktT+qnH9tRtTehkDPUlKKAw+1Kdo/jNrUcw8VYXAGDvlw2YXZ6HSzKSUH86gINHGzCq8ApYORrZV2Xgm5ieaMp1X1y3B89ML8bxOp/aysRus+DDPd9gQeUwMDRA01Q0QSmIJl8Ymz85qtsPzptSgL+/fxi3FvVXqxlXv3WgTZ/K5ZkpWPKnnVhaVdrms4xnb5pdngenw0p08BlCYeLJzHTg5Emv+gxj5VZJAK/3yNVS8bZeVnqSoZPXGwjh9X9+iZEF/VEx9jo1EJNst2BUUX/4QzyenV4MjzeEJl8YW3Z9jduHX43aTQcw7sarVfmv9wRUeXQkWeDsZcNJj1+1D5TWOzPKcnF5pgMRQUQoHIE/FDEMqPz9/cM6G7p6SiGC4QieqizC6x8cQdmIAajdtF8XGJo7uQBrNx/CtDtyNEE9MwYtJfhpZkuRPsNnBzMWqF/MHI7y0QM1emnB1BtAUZTaq52LJia+8vZBPDopDwtWaFl/lCRHxQdmtH977O4haPaH8dyaTzX6b+ueb/DE5KHwtoRVu96RzGHla/vV5IzheT/A2v87hJkTrkdGmh3fn2rBytf2I83B4dnpxfD6eZxqksdXMfY63Vqw40Adpt2Zi8UzStAS5HX6de7kAqx4bR/m3N3q3zALmCs9O5U9GwlKJ4YLZf5GADQ0BrHmrYOawo41bx2U/cCSLPOF2Zeq+ymWoVHvCZjKDEUZ+6Afv2eImmj8+D358HhD8PrDePyefKSmyJSf//fxUYws6I+7brkWaQ4r/AEeNau1zFrxunvu5AL846Oj0YQNOUmWZmAY2EyysVj6sBxLYWgam7YdwY4DdWq1b4gXcKopIBcodJP3lKgf5Adut/srl8vFAPgRgKsAhAB8e85Gdp4Q77SyWGRyJK0TmlbLRwFZwcULxLwpheAjEaQkcwhGJIiihBMNXnAW4wiyhaWx40Adym91aSaLspjfcdM1AIC+WTJdktKQWJn8azcf0tA0ytHt6zD3t9s190lNtuKPGw8AkCk6wryACC/iq++8OHi0AWNKr8K0O3Ng41iE+QhGFvTH+nfcGFnQH+/uOo4X1u42dcwpTpV6TwDPv6rPtFboO21ZvXTZSW1VYbKQAAMHilGUvbspwa6EGd0ETVGG2Uk0RYHnRWyN2WwJIvDuzq9w5y3XgqYo1J1uUedCn95JECVjxx0fkbB0tb5HQ83DpaBpSjOn6Cj3v1kAzehzmqLw4e7junGOLb3qvFV0EOdc16A9g8coQGhkpK975xCm3pGDKWOycaopgEZvGC+t34MFlcPUjLVGb1g2jlPtYFkajb4QOJbB6//UGjGr3/oc0+7MwaPl+UhzWMEyFCwWmev/uZnD4WkOaSgMH7t7CGiawn8XX4kTJ31wOmymySOnmgKGQZs1bx1Uf0tN7U4sqSoxpcRRqtCbfCE4kowpDi7LSNE4vJXN4+P35AMA5v52m3r88TofKsfn4IpLHWDpjtH1EZwZYjOmFdnmGAphQQLF0mjyhdXKuWWPlKg2SLxcuY958ObWI3gmGniTJDl48r/vfoHKO3LwTZ1XUym38UPZqRcb5Jh/fyEuyUhWr1F32o/aTZ/DfcwTIxu9EBFEhCMR1J8O4IFxg3HipFyBG9uwe0ZZLr5r8CEliVOD0GaBGgrmmXmKE+bxe/KRnpoEURTViod456Yi2y+tl22Qiz1RIyJI4OPmLy+IiHThM2FoY7uk3co4hkYLL6DutB+XpiejcnwONrx3WENHb+NY1G76HHMm5ZtWDATD2pLHPk47OAuDmqpSldWCoiRAoiDF0MopFS8LKofBwlJgaFq1Q5X7L129CzMnXI9Vb+yTdT9DIcSLSHNwWFpVqtLDZKQl4dQpX5vPyUgPEAcJAcGFi3PdSsDo+i+ua21RIt+r1PCYRdOMK50BGPa8rhyfg6Wrd2FJVQkKsy/FmrcOYs7d+TjyjQc/Kr4SHm8IAIe1mw8ZUnjXewIQBQnT7hqMU40hOJIs8PnDGFXUH4tWaumaLSyNKy7rhasvT0UowqtJqoIIvPbBYez9sgE35f8AsybmwRcIY2RBf1gstKFPZcqYbNA0hdxrMlRKZrO9xGKD57S0qhSCQHZ6nYlY5gZRklSHrPuYB58d/B5LqkpkynKawlvb/gOvn8ezM0rkROXo5+9/ehwTbx2o+iOUAInNysDn5xEIRTS+OsXJu+NAHY6eaNZU+isVdX2cdtXOUIIXIwv6IzWFQ2qyFUE+glm//ED9HUr/4H6XOCAIEl5av0e1lZ9+qBie5iCC4Qj8IR41q/eg5uFS/HjkADT6QhhZ0N+w39Tj9wzRBfXaY9Ays6VIK5Ozg1kCGy+IGnYfhQZ50bpPNIllC6fegIUrP0Gj15hFLpZWUNm/1VSVQhBFNDQGIYgifrVGnzQ5uzwPPC+32VESkVNsFkwZcx3SHBxYlsHPooUZSnCifPQAtZJKSfxUYFrgEhJUn4ki66kpciXtus1upDk4XfX0B58dVwPmDE0BlIRpd+So8mzm4wFAgoNxuFDmryBKaPKF4fEGVapwoLWN2bcnfbpETUXmlP195fgcpKfa4Eiy4A8b95vaEKkpHGoeLoG3Rb/3b/IF1YrWz496UDbiWpl6N65o5n/f/QL3jx2MRVOHwWKhUXfaj7++68bEW12YMGoAaBoAxyIiiOAsDBZUDkOYj+BkNNAZy6Kg3Nvr53HzkL66ZOXuEqtINAjY4nK5MgAMBuB2u93NLpeLA2A5d0PrWjAMDYGisHDlJ5r+SXWn/bj6B6k43RTSBaAAOSvC4w3C6bBi5oTrwTI0/n/2zjw+ivr+/8+Z2TPZTbIEEkAIlySQkEACSSAJqFxVORSxEjwgKnJ5oLZfFWzFk6P9VWtrxQrWo61AW7y1FsULAQEB5RACAgKiECCbO3vO/P6YzGQnO8tR0aLwfjx4PMju7Mzs7Pvz/rzP16u2IcifX9oMwLSxOYbP3TMxn5kT8w3V51llBdQ1Bpg9qRCnw8qiV9dHG91AqKmanM7sp1dHLf4ZpbkoClGKphUrtb//9Z6akBua35Gxg9OpqfdzuLKBRJeVnw3ogiwr/OLxj6Kej1aEPFFiTpPIoqBWBde6qRCinZLYBZXmZLMxERKdeDkTjeAPKVpxOiqpJhGV1L1nYj6SpE5RFmS1M/A/3DFeLQLU1hthW+68ui/xDqvpbx8bB1/hn+/uZEh+Jxw2CIbUv6eP7W0+2WcTmTkxn6o6v140THLZkSTo27NtFE/F//LHPpec+2HkeA6PJUaBMN5hLI5pPGmRzRN3T8hHFMBqaZ7sLt/v5dd/XgPA/FtK+Mtr2/jldX2jOjO1zX3WglVkpHkM8ARzphXrxRntfh99cYNhUvq2cbl8sOGAaaeTVuybdFk2aakuEAQee3GjnuQGNbgAgeREFaa5ZaElOdHBQ1OK8IfCHK40crNpjr8gqNCiiiIDIg3+IKXD07FZRcJhc57ZaWNzSEt1n9PxH0giuUslBL24NemybFas38eM0lySE1WH2iKJ/OKaPJyOaBiM0YO68eiLG0ly27h+VC+SEx1MHZtDtQk/sSAQNVE+59l1PDiliKnzVvDQlAH88R+fRenG3OkliKKILyAYYD0jO5MrvI20a+0iEAobpvsjg03NX0h02ZBluGtCP0PHq9b0BOq0od1qYdaTHzcH2der75slRuY8t472rV1nfaOGAjT6wlH7e0L8D3cPNkmI6po+mel+RRLwVvpNebXL93v1juexg7vjsEkkJzqjfA2NEzCyCeK+SYUc8Tby278169od4/N45cMvGf+zHvz+zguoqFQ7VA8crqPCW09qq3gU5CjkggpvI6mt4nlg8gBEQaDBF8IfCHG0KkxqsvOU3ZZT5TA+B/V1Ts7JmSvfN5VArPNH8vvGahq1WkSTSecCQmHj8do+nZbqYtJl2frr067MwWoR6ZfZloNHjDD8obBsGj/WNPixWS365FfztJ0KWa81vs29uQRvjY94h5XHl3weBQs2q6yAsCIb4sRIDqvIax6urCfRZefqi3sQCsmINomquoAB3ePesgJc8eYNSificv2py3fdYyRJxFvrM0xIHasN8PaarxiS36mZI+3mYkCgtj5giN+0GKqisiFKByL9P4/bgc8fJt5pJTHeHuXbzn9Bnbx6d/0BKryNBIIh07hM8y82bD/E+OE9omLOSP9A84m14rMOSVrrY/+hWha9ukWP9Sq8jRytUvmYaxuCOi9VZN6stiFIcqIDm1Uk5GtuXjohghbmfu45KpP/TjSdR1ZM4+6WeTAzhJNHnlvHvJtLmDu9BAVziNhIuOMUj5PSYT34zV8/1e2o3WY+rZ2c6OS+JijbKNt4fQGhFvdXvt/LA4vW8tjtgwCYGRFH3T0hn407DpsiwIBsaKzQdP63tw1k85dHmXdrSVRsqU1P26yCAXo5DCiSaJrjmTe9hJqGgGlx8Gz2Z8/E9RsJTyrZJOQwIMu4nFZ+fWMhDz2z1qBbz7y2laragD4wpRWMZaUZ9U2zo7eNy8UdZ2HCpVnYbdGogIVZqVgkkUBAbqrHNPsNf1i6SecujszVtUTi0nKE9z1tLOJV1QaY9/x65t5cTFVdAJ8/bKAzuW1crg4P/s3Ruqi8Q+SQgfb6mVSrOFl9WQCsBRzAPU2v9Qd2fh839UOLJIn4FQU5rJgaz4enFhkMlNrd4ePG0dncdHmOyqMC3L/wE4NS/b/bBkYZNg3i6hfX9MXjtiMr4LCJfHu0Qe/eaGl077y6LxaLQOlwtWhntvhbJzqjcPznNE2L3Di6F7ICoiCw+cujZKR5uKSoC8+9sdXQzfSvFTsp7t3+uAW+FI+To1W+qCRj5KSKdpxWuDRz1M2wzT1xVv7fjEH4/CFTpzIyERKSzRMvZ7MTY7cKTBiRSUVlA6AWNyaMyCQsRyd1VaNWgkB0d+djizcy7+YSUx7BuTeXmBbvjsf9Z1ZACSmKKfffzT/vgyAIUdC2oihGdcdpPBX/SznV5Nw5OXU5nsODKMQoEJYY9LElT5oW/N16VR/sNgvzbymhui6gO7MpHietk5z84po8BDDVvdmTBpieW4iYGom838hJaa0oEQknc/BIrYHDQgsiQQ0eNclI8zBhRE9D4SMS6mlGaS41DQH++e5ORg/qxqrPv9GDWo/bweQrelFTF+BYdSO+QIg2Hid/jYCyu7esgFZuW5SNj5wUPFtt7P9SIovhbZIc/HxoOv5A2MAHOev6AiyiwDvr9/PA5CJq6v0AeBIc3HlNHijgD4V5+Jm1BrglaNbLOTHgo1HUgFUUzflij1Y34HLaoybCIwtw2rS3zSLxnzV7Dbw/M0pzdajelnzDGkxZnN2Kwy4y+fIcJo9Re0Du+dPHxiD72XXcelUfg3+gJUBTPE6sknjWd/DLsjlPsOYTnE6JlSwMhJUoTtS5JxEchcJKVGIlsvv9l9f2Jd5pNRSi77+pPw9NLaKuIYjHbVc7OqGpu1ohFJbxBUJ6AVA772OLN3LrVX2Y82wzPNldE/oRCjU/v9mTCs0boxRFh3rS7OfrK3czelA33Vb/6oZCEh2W084F9mOACjon5+Rsle+bSiDW+SPjeDNe6xSPk0BQZuk75YbYbOWmA1xS1MXQqGMW12/fe5Q4R1sD39Q9EwtobILhv3JIuqlfGQjK/O7vsScXtdeCIXWCus6nNqzZbc3d+FV1fpLcdu7640oDKlJNvS+qqKn5st5aH3OmFfO7v29k4ojMqOY9LWEf67eSEM7KZovvusc0f96IaPXhxgOm+QJREKJ+m+MlWDU4xYw0D2UjM/Ucx/xbSkx92w4pLuZOL26azgvpcVm71i5EAZ55baseF15S1IVfPRWda9P8g0iut9dX7ubiAZ0B9ObrJLdd55cfO7g7K9bvw+W0IUkCr3z4JWUje1GYlWrKFdcqwWF4hgrHR9CK9VucozI5dTkZiGVLi0Z8T4JDL0hootkxjXfynokFhqnVGaW5LHtvp26/tIlRLS+g6f3xmvHNeLDnPKvmRcy4Te02S9RU1PwX1vPg5CJECR6ZVoyiwOHKelas388lRV0MDRuazifE2Zh0WTayiW//+BJ14jUcBiwiKAKyLCOKQswhgpCs/Cgm3n5oOdPWb+Ta8LgdTBjR05AfmFVWwP9d149QSKa2IYgooMfnCjI2i4XfLWuO1+6bVMhd1/UjzmFFFAXqfQHqGsPMe35dVI2kMCuV0uE9ohpEIhuPFVnNXUTm6lpOuZrlCCNzFyjgsFp03ybymGljc/C4HSxYttnwXCq8jUhibOqrMyGPdlL3UF5e/lBGRsarQKi8vPyLppePAFO+tzv7ASUEhEIKhyvrKR2eHqUI3oiRbTPn956J+ew9WMVDUwcQDoMooEMemv34igLJiQ4WvrKFtdsO8+Rdg3UnpcLbyAtvaolhF4oCj764EYBfXNuXYFDtpIucVvQFQlgsgum1ZBlEUcRb3YgoCjw0tQg5rBBWZG66PJtQSCYsw38+2cslRV349+q9pgm+F97cTmFWKmUje1HXGMAdb5jPTHYAACAASURBVGNGqeqcJSc6qGkI6IlqLXGX4LKZPs9YRjwclmnTKp4jvlrVkEkiok0iFFbhVCNh6M40I/hDiykprAxVtf6o7psUj3nXkCwrOiZzy/dC4diFl3inpckpUBAEAQUFBKKwlO8Yn4coCmzYfigaxjO5myn335QxsnlH0M3mzrssK0in55GekzNUjrfWYxUIEYzwwVp3Zcvj2nji9M65G0Zn8n/X9VV1ShIBhWde28qVQ9JNPytJ8Njtg3RSbc2pPh4JduTnNdjmNh4nNfV+2ibHUzo8Xe+c1gjC3XE2HpxcRHW9n1BYJjnBqXcraed6fIna7bT/UK0elEy6LFt//Yi3gRmluaS2iuNwZYNuIwqzUrl+ZC/KRmYxJL8Ty97bxYvLdzD58hzinVbuv2kA/oAKd6AlTc4WG3s8OV2k3CfTTR15jAZJZLNaqPDWR/HrzHlW5UN7+cM9fLHXy4QRPYmzW3UImMgO+1gQnLG69Z12Cw9PLUKWFY5UNer7v4bxXzYykwZ/0PScWgHunon5/L+/bdAhnywWkftvGoDNIhIIh7jp8mzdmdc+qyZGshAENVisqQtQVecntVUcFsl8b2ud6DS8pjUl3VtWgChBQBbOqqRdSwk3Nby17OY93YHJ8ZKF/pjNHWA/DmdCrIRBtw4Jqp8hCXx7tM7QDXr/wk+YNjYHq0UiyW1DDshYbVJT047C1xV1pKW6zHUpyci1WVsfMKy7Jct3mnL9PPv61qhgcUZpLoFgmBmluXxdUcff31Z9fQXR1Ab8N9MWPxaooHNyTs5W+b6pBCzAvWUFOoxlyzj+xtG9EIRoio1ZZQXYrCoHXiR816yyAp55bavetDN2cHdeX7nbsH8sXr6DspFZJhxX67i9NI9JTXxmCvCbWwfiD4YJhxWOVTfisMeGtdckxaNOUMc7bVTW+PSJMdmlkBhvJ85poarOb9rIPXtSf+ZML+ZIU/Lvgw0H9PwJAvzyur6EY8S8ITnGc5KEKNjxs6XZ4rvuMbE+b1bUe33lbm66PNsQY2nJ3VgJ1k7t3Dx2+yBsVgsvvLVNPyYUlk2LILUNfn3aLtFl446rc7FI6p4sy3DjZdncMKoXkiTEzJlo/sEflm5izvRiFr6yhdJhPYh3Wnns9kEcqfLx1qq9jCzpGoUq5nCKKGG4cXQvJEmFr713waoW60iF3BVp9qsWL98RNbWo8gwfn67hHJXJqUssiOU5TTpvQeUKa4luodndyIlBbWLI43bgSVC5+GiyjpIkUNs0/ZbiUXnKGn0h5k4v1nU2GA4bGntvGJ1JcqJaBJwzrTjm9HJYlolzWKmuC7B971Gd+kN7v+Xx1fV+NVZIcOCt8fHHf3zGpMuydQ5A7bg/LN3Ew1OLOFKlTsLeeU2e+fXDMv9evZfh/btQU+/TOTlvHN3LNOYUz/ACyv9KzoT1GxmbKAj69LWWd2pp2x+eWsTv/q4iWj0yrUi3w4nxdg60oCT561vbuenybKrrVG7LJJedmX9a1Vz3iLfpOWhRFFj4yhZDPOsLhJgwoif3LlitNv5aRR6cPABBEPS4sCXnYKwcYYcUF2Mu6EptQxB/MGx6zHlt3EiSoOfvIte6JJk3W50pebSTXkfl5eWbW/y9PdaxPzYJN2GJL1m+k9vH50b9yNV1Af1HNKsWa5szQFVtI3957QuS3LaYhk2DA5hZVsDoQd2iFEsb0X565lAkCX28ta4hwNJ3yrlrQj/8gXBUpT2Sp1C7FigsfGULI0u60jrJyTdH6vjyQBV9e6by4KLmxKBGKluQ1Y73Pz3AnOnFhEIyFotIXWOAqVdkIyvoUKTahOK76/Zx1dAMwmGZB6eoCcJj1WribvSgbpzXxjyxcqJEj8b74q30G77nvWUFJDUFBv9rI/i/EkkSqWoIGgK8e8sKSHDbTQlT58boZBRFARTM+QKPU3iprgtEQYumeJy44q06R4OsQFhRu3wG5nY0wLPcM7EAi0VgzAVdGVrQ2VAcjFV8DIXNYRPUbsxz0Fc/ZYnl8CAQs5sZpRk+WJZBEM0hjLVk8U1jeuEPhA3dRHde3ZfrR2UhIJhOClZW+5EkwRSiJlYjReS1U1rFMa/pvP9csVOfyv7FNXnY7RLL1+zjxtHZNPiC3Pf0ar3DqjrGNLi3xoi7rgWlmvN+27hcZLl5isYM/uCO8Xk4bJIBFkTtTNzV3O3IT9/GHk9i2d+kU0z+nEw3dcsOu9Lh6dw+Xk1QuGJwPWoTp+X7vciyonNTau9rHfaxin2SJEbp7+yb+uOt8xs6/G8bl8tzb36hr4fahiBOuznncXKi6qALTfcF6gT6tLE5LHplKxNHZNIq0aEXp7TPazracqLq7TVfMXpQN9q3iTe9ntXabBf05+q2U+8LctcfP475vM8WsVlFrh+VZYA1ufPqvtgsInLg9D2L4yULYzV3HDxSqyZHYvwuFhObX5iVSk1d0MDDqsE9H6nysey9XThsFh5bvJG500uw2iSO1gb0ZE1hViqTx+SY65JFMjRxtORhLd/v5YU3tzNnWjHeOj91DQES4q26L67BenkS7CTG23jmta361PUd4/No8IV0FJFInYTYPCnH09czESronJyTc9IskVQCGlHv6YxbwmGZRLdN52IPNZ132pU5oKBPMhVmpfLItGLCYYVvj9WxYNlmHU1CS1xnpHnomOJi7bbDVNUGmHRZNl3PSyDOET2xZbNKpsWaVokOKiobeHzJJtNJgQcmF5naXo23VfNDQ2EZp93Cyx/sMkxKaYXNOLuFu67rx9OvGJujHlj0CXNvLuGxxRtNi4QzSnNj+hKiAPFOq4o6IyigqDFJIHz2Tql81z3G7PMetwO7TTToDxBF4xA5xSkI5j7EN0fqsFoklrxTzqiB3aiqDQAqGlbLJukEl426+qBhsklrwNT26bsn5PPxZ1/TL7MtbVuZ64nmH1R41eb7iwd05qmXNusQjsve20Xp8PRorvrlO6LgRR9qgq9r+XxlGUSMfpW2JhNdNlonqgg253iGT7/E0nmlKb/glxUkBNMJuEgqkFllBbzx8R4emVaE027lqWWbo6Y+756QT+mwDOKdNqrqfDr9guanJ7nsSKLAvFtK8PlDeGv9hjUSKx988EgdDyxaS2FWKuOGZej7wPxbik2L49V1AZWjtTHA829+wayygpiFEBCwWyXumtAXRTHPtwiiQEmfDoY88t0T8gnJsnk+NwbC2JlSQPlfyfftP5xIzHIXGnRmrObisKxww+hM/vLaF0iiyIJlqi8wdWyOwSbfNaEfwaBs0Od7JhaQc35rhuSn8cqHXzJqYDceXtoML3rH+DysVpHfvPCpnidJTnQyZ1oxiW6bPnDVsijfjMTlipljPlxZr09/T7os2/QYRVG48eF3DefX7P5bq/ZEIRHcewbl0cRYb2RkZOzKyMjYeaJ/P+TNfl8iiWpXuLfWx9GqxqbiWbOsWL+PWWUFpHicMRX8iLeRWU+uwmqR+OV1fSkbmcUzr23l7gn5+vm0hbLsvV1UeFXoIwEBh80Sdc0Uj5OjVY28/+kB5t5czP9d15d5z69n7bbDNPpCppV2reiofX5GaS7+UJjLLzifP/7jM6bNf48FyzaTn9mWpe+URxUyhxZ0xpNg56J+HZn15Cqmzn+PWU+uQlEEAqFwVPfHoy9u4Kqh6VTWqKSbU+et4P6FaxBFgZ8PTee1j3YTDCmm3+3gkVq8DcGmiZtoCQGHm4KGyGs+8tw6teATYQSfnjmEudOLz5pkXhghiqj8kefWqc/E7WBWWQFzpxc3JT4dCALcNi43Sg8FAaxWkXHDMtSi9JOrWPTqFsYNy8BuFZumCI36JImCKbRoIChT3xDi/oVrmDr/Pe5fuIb6hhDBkBwFDzfv+XWEwgqD8tTi4NT57/HAojUMyuuoJwYjRdv0Te9HEvA2BJn55Comz13BzCdXHVevzsmPTyLX+sKZQ3l4ahGLl+/gxoff5elXNjOzyTYPze/Ik3cNVrvqBAGLTZ0RVVAbE+4Yn2fQn3smFrBk+U7GDu5ObX0gytY8+uIGUAR+/efV3P3Exyx6dQvXXdqTwqxUZpTmYrWK/O7vRki9PyzdRHHv9iS6bDwweQDzbylpghyVDJPSd17dl0Z/kH3f1rBk+Q5GDezGole3cPcTH/Orp1bjrfaT1zOFg0dq9QLQbePUwozWlBIpkUFo5N8pHieeBLUA8/rK3fp9gjn8wWOLN1JV5ze89viSTdx1Xb+zysYeT2La31NM/cQqkIRMjtESVwuWbWba/Pe4d8Eq3E6bqR5oibOMNI8+xRQpFV61a1mMYVMra1Q0gluv6sOCuwczbWwOldU+UxiMsYO76/q87L1dMc95pKqRRa9uoa7ROA2b5LJz3aU9+f0S1X/49Z9XM2FETzLSPEBsiI4h+Z14fMkm5DCm17NKYpRvEArLBsh2s+d9tkgsOFBZPr2B9YmShZpfnZHmYfakQh6YPACLJLJ4+Y6Yv4uEwr1NnwP1N79hVK+oYvf8F9ZT1xjEahG4fbw6/epxO5BlhUAYPVmjFZqPVjXE8DHQ/XYAXyAUte68tT72fFPD//1hJUuW78QflJl/SwmPTCuibGQmi17dwv/9YSW/emo1owZ2IyPNo9vbw5UNJjopEMYc6vpE+no8H+qcnJNzcmZIOCwjhGVSPHEIYfm0+VWSJKJIIoGgTDCk8NwbX/C3f++gvjGEw2Yx2JS12w7zdUUt9z29mgcWrdWLdo8v2UTp8HQdev5QE690+X4vc55bh6KYQ+T7A2E9jrzuUnUfT/E4Dc1nZjxZz72xVd8LoBlNKM5h4cm7BjPpsmxeeHM7L7z1BYGQzJD8Tvr1Nfv9q6dWN8WAH+s2VpMKbyMoCjNKc03RiR5fsolAQDa1/zX1AW6a8y4zn/yY2vqgnmw9Lk3BT1y+6x7T8vPNFAerDPozYURPUz0rHZ7OvdcXIIhw59V9o3IbS5bv1P1EzU8dO7h7FPXJ40s24WxqDmqZ0xiS30n/e/4L6xnevwuvfbQbURL0xufIa2r+gZa7i1xPf1i6iRtGZ5o2xQ/J7xS1z39ztM70+VolAaVpQlE7XluTdz/xMeEmeMWTEc3+WBTltNqfn6rE0nlBhKPVPr76ttYQO2tS4VX5oedOL2ba2BySEx1c1K8jgaAKbxhpy7Tj57+wniNVPry1Pv7f36L9dH8wjNUiEQ4rpnnSOc+to2ykMR98z8R8lixXU/ZD8jvpebyMNA+yDAuWbdbX3oQRPblrQj9WrN+HLxDCW+PHW+sjwWUjOdFh+hwOV9ZT2xAgEJQ5Vu3j7on9oq5vlcSoPPL8F9bz9eF6Fi/fwcNTi1g4c6geswlhJWpf0BqRz3b5vvyHkxGz3IVmZ7W8U6SkeJwcOlZPcmIcd13XT489xw7uHlU0r60PmNjjdVw5OJ3HFm80XS+PLd5IbX3AkCeZOm8Fv1+ykdr6oN4EEunbgBq3JbkdrP/iEPW+YJSuaXuJhgapTQ+29BGOVkfnyx6eWky71vH0z27HW6v2MumybN0GJLptZ4y9Pd5auuUHu4v/sViAkIiOa9xyvH788B4kJzq49ao+tE5yGirBGWkeSoenk+iyM+mybJa+U65PdKzddhh3nI0HJhchifDVt0bOpwpvI62THHhrfcycWGDoYr73+gI8CQ6S3DYOHWvAIom6wU5ONE/syYqid/5pEF2lw9OjIcMiMNMjPy9JAglxtihuwbnPreORGDxB4XB0MunxJepo+PUje7Hys6+58+q+ho7zmWUFxDusNPiDhBCa8KGblVGSRIJAx1S3jp3urfHrnYVaAuls5WMLKzE6khSiOiw1yDYzSM52rbs1Femii3rzbi7BbpN0ffIFQthtEoEYXUAhEz149MUNPDzVvKMtHIMP6De3DuTuif2oaRoD9wVCJLhUvPz3Pz1g+A4vf7CLq4ZmsLgFt+Di5TuY3AQ9c7rku5Kgn+7znE1ifGYib3y8hyH5nbj8gvORFQVFUfjtbQOprPFz/8I1Bjvz0cYDvPzhHvXvifnMvbmEYDBMWEa1K7U+HXLITE8jp+40R2fu9BIWL9/BFRd1N/1M2+R4da0Ewtz9xMeAuk9oOto6yYkgwKwnV3HH+DxTp2bOc+v0tac5NnWNAYMj0hKSeuk75UCz8/L6yt3MKM3lsRc3kuS2cf2oXobJyVgNLdokmSY557eGpuePKGKzSQQDZ4u1jZZY9jesnBo08cl0U2vHmHE8PPvG1qgOs5kTC7BY1WD12kt6cOhYvWnnWqsEB7Ki8PvFmwy284U3t3PH+FzGDu4OoE/gzZ1uvv93aZ/QxPOgJtD8wTBPLdscdc7JY7KZWVaAK87Kb28biLfGz4r1+7BZo7koIjtnY0F0aLobCIXxuO2GfcrjtoOW4FB/MMJAWDCHTD8bJ6SON3F/WuFAjwfl3NTcMf/WErw1AQMvym3jcjHbwLW9wBWvTWaowxmx1mTrJKdhinRGaS52u+rHaBMHTrvE6yt3M3FElj4lG6m7v7y2r95AMXtSIe3buHhoShF/ed040ScrCo/dPggF9H1o9qTCKP87kmfCzN563A5CYRlBQIf/jYwZBFFAQSIsy0iiiE3CYI/PBKigc3JOzskPK2rxT8DbAqIyskv+jvHRMG0tJ5uhGeLqjqvzuO/Pq8k5v7XOMVxdF0COYW/rfc3TUBpXTpLLgT8Q0o838zvXbjvMTZdnG2zvc2+oKANzpxfrCBePTFPRhiKhm0/I5YPG0QpJLhsWizmEeE1DIMqXcNglZEUhI82jF1vmTS9BkUSQFWZPKoyG/zoLplS+6x7T8vOlw9NNeX5jTcSd18aNzSqyYNnn/HxoOg9NKaKyxkdtQ9CQY9N0LdFli807FpJj+piRf9fU+xmS3wlFUbBZRZVPWFFAUQycgTPLCniqBTeUx+3AbrVw8EhdlD9k5uMuWb4z6vnOLCsgKMv8akHsiZRzjT7fn5jp/MyyAsOU0UNTzSeav66oY85z68hI8/DLa/vy+JJNui2OFYcnumy4Y6C9VNX6ufuJj1lw1+CY9ruuMWCwp5EoLJHXjFUcf2hKEdeP6oVVEqmu9zP7pv68/tFu+mW2jXoOGoLQ3Bax6Kzr84mzqw2n7396gIF9zjO9187tExhZ0hVvrT+Kz/K7Tqy2zLmd7kbHs1Fi5S4SXTb+8tq2qHqGNr19e9PgxvF8gVj6rEHDHi9vZeYLPPrihihu4bbJ8Tq87pLlOygb2Yvn3tjK6EHdmDO9uKmQ3ajvJVrjvTY9qE1eu+NsNPiDLHx5K9CM+hIMhdl/uI4V6/cxamA3Dhyu4931zShdT88ccsbkHGLeR3l5+X9+yBv5X0o4LCNIaiJgSH4nUjwOHplWTCgsc+hYvQ6R8ctr+7J5V4VuAM1gLW4bl0u8w8KRKh8pHifvrj/AgcN1/PLavjrcgCYpHieCIPDS+18ycUSmfk1JFHRekwZfiLfXfMWES7N0suDDleaJPYskGhxSiL2gEl02w2vq5wUCwbApkW0oLJvCRkYu6MjjZVnh22P1XNC3A8GgzO2leXgS7Bw6Vs9Ty5ohEhb/Z4dOoDx+eA8SEmQd69yMIPr1lbvPCif7eCLGgMAQRcEUDvS3tw7kgjwjJOessgKsVhG/P0ZRT1b457sqRKHDBsGQ+ncsyCxRNNeDWHCNkiiYchKBgiiIhvHwmWUFCAJc1M/4HWaU5uK0S6Z6cjorgN+VBP10n+dsErNnphW8qmoDTBjRk3nPr2f2pAGmReXZkwbw8od71L+fX2+A5bhrQj/uGJ9ngBxqqafVdQHD/VR4Gzla3chF/TrGhKqwWkSOeBtxOW06JIeWQEjxOA3FvSS3HY/bbprs1Zo5tM5lLfBr6Yi4nDZe/mAXQ/I7ccVF3UlOVKd/Lx7QWYcgHTWwm859qEE9xuIu1J4HwND8jlxa3MUADzqzrIDWbttZWwiMaX+FUzM6J8Nrqx3T0vHNSPMwJL8TLqeVOdOLqWsMUlHZyJJ3VGih39xagi8g89iLG6MKxjNKc3n0xY2UDk/HW2uEkE3xONl/uI5Fr27hgckD9GvG0hVFUZj5p2bYjoenFpmeU+PeuTcC4mNmWUFM/yG1VTzzbymhVYLD9Lra/Xhr/JzXJp60VPcJg8SznUc4UmLBfYuicForRSdKFobDMgpSFFqA1mwRKRpE/OHKBj1J2zY5HpddAtn8+3hroqeaH5pSxK+bbGHp8HTat4nnqqEZHK6sN9Xd6jo/owd1w2m3GgqVs8oKmHKFCq9XXa9Ci956VR8dugli+99agrGlvdUmIrRGvMgAuny/twn2NBCViIq0x+egvs7JOTm7RPOTvbW+qKYDDXa7wttouo9rk80tbWcwJFPXqHbXX9i3owHC7eEYyW5vjV//u8LbSNtW8VisIl8friXF49R9XrPPhmXFNEeSnKii26zb9i1xdiv3L1xjKIIcL4muneOO8XksenULowZ2i+m/BYMyjsTmlFgwpPD0S1t1fm3N5gbDssE+R8J/nS3NFqdjj0ly25h7cwmyrDZymv2GCOZxGSj4/CGG5HfiNy98yqTLsk11R9P3JJcdKUa8pmB+jZbIKtV1AZITHfgCIarrAjy4RIWj06BoJ12WjSAIOoVPpGgwoBqiS6Q/7jFZD95aH/5gyJAfWbJ8B5cNOp8Kr3kjqMZT6a31ERLObs7r70OidV7k6Vc268MUHreDusZAFJXCHePzeO6NLwBVDyprfAZbHCu2ap3oRMEcolDLSwiiENN+e2v8hiaI20ub+f8irxnLflbVqYVGLedit0pcWtyVyppGFEXh/psGYJEEvvq2lvg4K8eqGg1QvnOfX8eDU4qobwzquZewHL3WCrNSqWsIGCBPI/Ni32XYwyx/9KsbCkl0WM6ti+8gsWJpd5yNspGZxDuthoEkDb45KMtIUjNlyKn4I1rMery8VSxdbsktDILeZAQw/mc9GDWwW1QtRxMNDXLOc+so3+/V6dwcDonn39ymw6a3hBnXahZjB3dn2Xu7GDu4O4kuGxZJRBTVeotZI+cPKdL9999v+kZGRsasJ554YuCJ/t16660rf9hbPmlJAm5vbAygHCe/Ex9vp6EhgCQKnJ/WitdW7qZvj7Z8XVHLb/+2gbdWf8Wxah/1vhCf7zrCNRf3JBCSGdwvjUF55xkgsup9IbbuPsqQ/E4898Y2bivtw4DsdvTrmYrTYaVPegqbvzxCvS+kO4/+YIjzO3r4+LODtG0dz8N/WcuSd3Zy+Fg9RTntccfZ6J2ewjtrv+LKIRnMe349u7+uZvKYbLbuPqqf67ZxuSxevoOrhqVzsKKOY9WqE1LSuz3b91ZS72tONKR4nIwo7sLabYf0z88sK2D159/w/oYDTLkih5Le7SnMakdFZQOSKDC8sBPdO7biD0s38drKPZTvq2TqFTnYbBIVlQ0cPFJvOH+3Dh6efnkLBVltefE/O+iQ4ubRF43Pc+vuo1w5OJ0Fyz7nukt60uALYrNK3L9wjf56y2f7y2v6YhMElOP9qN9B4uPtD3wvJz51iam/klWib89UNpVX6L/fPRPzcdotvPifcsOx9b4Qo0q66p3p2msbyysY3LcjgiDwyZZvo/RjeGEn0tM8PB7xe08f25skl5W8HqlsjLj2rLIC4h0WVm/+Juo8lxR1Jq9HStS9JrpsdG6fwIJln+vnn3JFNgnxdgOxfL0vxKbyCgb3S+MRk7V2Ub+OpmtwaH4nLKKALAqEAUESsYjNemO1SYQRm96TsFlF5BiJYFkUDDBy+vPLT0M4BT38LufR7NSJ5Megv5HS8ntJkmj4zRQEZi+M1ocrB6eTn5lKIBhmYJ/ziI+zsvQdIzp1vS/E6IFdeGPVXv3vi/t3ZsX6A9T7QlTX+Snucx7JiQ7sVone6W0MNvWeiQW8vnJ3lG0bXtiZUFjGHW+jT3obPt91xLAWahsCvLN2P8mJDgbmdqAouz1fV9TpkLYfbTpIfmZbendvw0N/WcvSd3dRvq+SaWNzGNyvI8MK0ijp3R6bVeTFt8sZWpDGP97dRUVlg2739x+upXxfJfmZbVn4yhbWbz/Mys8O8u66/VxS1BlBFPjF4ys5Vu1jypgc3ZYeq/ZxsKKO60dl0vW8RC7M60BJn/MoyGxLIBhi+tjeuOOtfLr9MPW+EL+8th8P/2Vt1PO/qN+p6f7Jyo9Bf2PZ33inFeUUAguLKJCf1S7allol3U7ZrCL9MttS1xjQ93HN2Vyw7HOWvLOTT7Z+S25GCkuWl/P5l0fZWF7BRf06cvBIHSs/O8jO/VVce0lPxg/PoGeXVvz97XLK93s5dLSBKVcY/Yi7J+Tz4cYDbNl9jH49U/RrRupe5L0GwzIZnVpRUdnA/sO1VHgbmD62t+E73TYulyXvlJPaKo63Vn8FNOvRkPyOuh+iSYrHSc8urQCBNz/ew7hhGVG+zttr9nLDqF7YbCJOuwVCMiIgNE0G/7fPO5b81OyvxSaR2SXZ8FxnlObicdtPSYdPJIqiEGe3MDg/jZElXRhWkEa8VTIE4DKwxMR2jyrpikjzzQtWFQ3jiX+qPsP2vZX07t4Gp9PK0apGCrLaRn0fgPc+PWA470V9O9KvZyoDctrz2OKNdGmfxBsf76ZH51aMKO5Cv54pHDqq+r0zywr49+q9pHjiDR2m2t49ND8NBahtCJDVtTUdUt2G71KY1Y7yfdH+d69urSnfV8kd4/NITnSw/gvV3t7y89488c9ov/faS3pSvq+SX17TlwcWfXJCe6woCoKinHBNtJQfi/5GysmuzR9Svu97io+3s3h5+YkPbJKrf9bjlI//IZ7p6X5OP0X9bekTR8YxmmixxUX9OvLKh7sN79X7Qows6co7a/eb7uOXX9iNgqx2Bn9mZlkBW748Quf2SXRu7+ZPLWxShbeB6Vf2ZuOOCoO9XbK8XM898skjEAAAIABJREFUpHicnN/Rw7L3dnJB3w6U9GlPj86tWPpOORMuzYyy1QnxNvIz2xruY0ZpLg2+EAuWfc7NV/bhb29/wZWD02mT5KC493l8trNCt6UtbezIkq4UZbcjN6MNoijwwls72Lr7KIW92tK/V7uo67dNjqOuIcg9f1rFivUHWPnZQT1XcWmRGjekeJz06Owx+DFbdx9l9qT+DM3vGLW3naqcQfoLJ9Dh/3aP0RLz9z29hsXLy8nLaENtQ8A0TzW4b0cGZBt9trsn5PO3f28n5/wURBFe/WiPqV5rfuK0K3pjt6sYHQUt9OueiQUkxNvo27Pl6/l67Bd5rqKc9nxzpN6wRx88Us/abYcYVtgJUAuiF7SIqwbldmDpuztpnegk5/zWjCjuwpgLuzG0II16X4jB+R35tMkH0PzSha9s5ZUPd+u6ePBIPWMHd+fNVXv1OO7aS3py3SU9GFaQhtth4VhtgF//ebUeF+RntcMVZ42Z2zjdcibqL3Da9phInQ8DT720RX9vypgc/rj0M3buU+Ot0YO6MrywE+44G+1auwgEQ5Q0TcKV76tk7zc1TB6Tzdtr9kbZw5llBcQ5LIRlhQvzOnKkqkHXxbsm9ANgeGEn4h1WJEmkb4+UqM9H6q9m37RcXyAYYtrY3mwqj20/s7q2ZuVnB3Ufs6R3B559Yyvd0zw889o23l7zFUML0vjXezvp1bU1jy3eqOfyJo/JZuf+KjK7tMJpt/LPFbsoHZbBO2u/4uqf9eSznc1r7Y7xfaNyDCeTFzuVfTHy3Bt2HI557jNRf081h/ZDiBZLe2t9TByRxciSLowo7oIoCcx5dh2byo+Q1yOF3y/ZxNuf7FNjqYn5OGwW/vL6Vl3f935Twy1X9Tbk0IYUdGRQbgeDPb57Qj4r1u1jzIXdTdfLnVf3xemw0OALmu4j/Xu1o7BXO/0+N2w/RL/Mtqz87KC6z/RL4zd/XR8z7po+tjcfbjzAz/p34YoLuzEkvxPBkIzdKpGb3oZLirpQ0rs9VXV+dn9dHVXjSGnlpGfnVixY9jmvfrSHNVu+JbNrMk8t28Ibq/bQN7Mt7tNkp09Vh483kZh9Ep//UbdPS5Kod80oQOtEO+OH9yAclmN28B6r9undEQ/GgCpo8KsdRKGQYphoenhakcpXhTpNIEoCjb4gFd5GRl/QTYfRapPkQI4g79a6SQRBPX+FVx1TnT1pAHWNAarrAnqn8N5vagzTLu3buJhZVqBPyWgOhigJzJ1eQjAU1qEVDxyu47pLe3L/wjV6l/Tt43MRRQFBwITbTe3uKBvZi73f1OifaZscz9GqRjxuB/OeX693M8Wq0Fd4m2GbTjQiLwjCWd/BEZYVlr5TbugSW/pOecwpvVij26GwQpxDMu3Ut9lE7CGJ+28agCiArKjkx8GwgiQJBsgUSVLXz10T+lFb3wzj6Y63EQzLWCyi4TwhWcYflE2nFudOLzG915jQeWFzKA9ZUfA2mk/diZLA0dqAYU0cb7rpu5Kgf5fzaFAGFd4GkMSfdGefWddWLDgYT4IdiyjqOvTkXYNj6L76/0jYZq2r+MK+HfnVglV43A5uGJ1J+zbxzJlejCwDKNQ2BhhzYXf2flNj0KFgOEx1XYCX3t/FhBGZzLq+AIfNwrdH6/Sp8fsmFVJTF8Rbo3Z03nlNHhZRpKYhwNjB6XhrG/nd340QHI8t3sgvrsmjui5IosuGx21nUG57AObfUkJ1XYAPNhwwkMAfrW4wPJsUj4q93jHVHbPTr3y/lyXLdzLlihzDZMvdE/JJcttQgNtL1f0m1qRWWJZNdfZsgLs9nv09lVnAE3VTS5LIsdoAi5fv4Moh6bqdPhH8VYVXncRfsnyn3okGIApqd7sm5fu9vP/pgabpPRXm6+PPvmbs4HR+NqAzPn+I+2/qz/0LP6F8v5fXV+7mgclF+AIhnDYLz76hwiEWZqVy+/g86hoDeGv8JLpt3HpVH5IT1e69Y9WNVNUGTGFmg00wopEdeLPKCkhy21WoJeD1lbt1nk9FURAEgRtG9UKUBJYuL+eqoenYbBJyWN1bYunduQmpCFHAFWc17OOuOOt/7dEfb92fqJM39lQihpGKUFgx9RkenlqEO87OS+/vioILv6SoC7PKCgzoFXEOK/5AWN//2yQ5dDQBzYe94+o8rBaRN1buZnC/NAQBU+SCYFjmmyN1OGwWrBaBUCisT38DLHtvV5R+3z0hH7EJ6vOVD79k2tjezJ40gGA4TLzDauim1jiFOrVVofG17x0px7PH5+ScnJMfr5wseogWW8TZraa2NN6hdsKX7/fywYYD+hSHJInIssLSd3ZETR3dOLoX/169l4sHdNHPp8FdueOsJLrszL25hEAgjCQJ+INhA+/1zIkFvLlqDyNLuiLLYBEl3Q5W1QYMSBZ/WLqJO6/Jw+cPc/9NA5BEQd/fh+Sn4XE7EEUoG5mlogm9tIUkt0q1YreJpjB9H2w4wN+bmmLnTi8GmmDFBHUKYO70EgKhMIKg+igAdqsl5lSYdt43P94T9SwUwMpZ6kucoihN02raPpfksvPUS1uiJttuG5fLX17fyuQxzTCxWvOlRrVz1dB0UjxGdJS0ti5QBCSLwMUDOvPUS5v1Kc29B6t0+PpQWOGl93dR3Ls9b6/5Sqf7sUgiVovAlDE5XP2zAIcrG3WkKoXY0/3hsEKDPwCKELVe7XaR+bcUY7VYomDPX1+5mysHp/Pw1GJkRcFqEZFEIWqaMMXjxGYRdRi7Ze/tYtGrW5g7vRghLBNANOUQfnhqEXZJPKebp1laTkNpcbY2qXndpT357V8/1X/reybmY7WIrFi/T9f1v761nYkjMklt5eTByUUgQGW1j7Asc9cfVxI5aXr9qF5YJJHaFlNzs2/qj6JYeGhqEQLqniE02corh6TjrfHzwpvb+cW1ffnFNX1pnegABOr9QWZPGkBYkbl7Qr7O1afp5V/f2q5/V4/bgUUSuH5UL+oaVASmexesJhAKc9Pl2cxqQngBIxR0dV1A9++9NX4Kstqxccdh5kwvJhSSCcvq5Nd/kxc7lX3xVM59Tk4s4bBMstvG+OE9on6Dh6cVI4dlBEFg3i0leGv8HKv2UdcYJBCSWbvtMFW16sRscqLTQDtV2xDk6Ze2kuS26VRgHrcdQYAv9nrpl9mW60f1QhQFnT8+GJLxB0I0+EO44mxRUKS/vLYvLqeV3y/ZaPAP1GsU0jrJGVMHO7dz88i0Yup9QV7+cA+1DUHGDk6npt5PKCyj1Cq4422AQn2jWve5aUwvFr68VY/dkhMduONshmEVLXbVcjZzn1vH3Oklp5XC6mTleHCg43/IG/mhpdmIrDIo8OLlO5hwaRaiqPJ/aIq57L1deGt9+hh2hbeRuoZAzDHs0uHpBo40j9tBbVO1PpLvLMll5+01XzFxRCaXX3A+jy3eGAVtoCWI779pgH698v1eaur9zHxyleF7VXhVvPSn7hnCt0frqKhsYOOOwwY8/8XLdzBuWAYup437IqauZpUV6ImQlmOts8oKTGFCHTaLPgIf77BG4UTLikJygoOwjCE5oj0rzbk+dKyeCu+JR+RPFXLtpyjhsGpII58lwOQxiqkjbZGEmFCuobBCWJENycCwIiPLqjPSkl/QnhzPQ8+sjfpd5t1cElX0vvPqvlgEgb//e3vUtW8cnW2aVAsr5vAHMaHcJHO4UVEQTB3iudOLIYwpdGQsI3y6YORO9TxnG3yoGdmwRpDe8pm5nFbDxOhL7++K5kcrK+DddV/pEGstE7H/eLdct3VaQU77XCAYQpZBFGHO9GKq6wK446w881ozD9Rt43J54c0vmHx5jg6XCWpyoLouoF+vMCuVccMyorjbIu1pRpqHay/pQaLLQYMvxF9e26YHrs+9sc1wzddX7mb0oG785q+f4q31GeCIbhuXywcbDjB+eA8enlqEooBgAn9UOjw9qqlj/gvrmTO9mAOHa0ltFYfdZkGWY61HEcLGdL7VJtEYlPWC0or1+xg/vMdPTl9j2d8bLzv1wCJWgUQtqjTbsCH5nVixfp+a5Ijgw9FEK/aCBtOpQgp9sOEAVw3NMA3wyvd7Ke7dXm820iYMI2G/Zl1foE+ZHzpWz+8XqzCic5vsfEaah1EDuxk/U1ZAu9bxBq6MGaW5alEnQq4alsHBilqSXHYemDwAQRAMhfQZpbmMueh8gqEw/mCYv/17O6MGdtPvPcWjQuseqVKfRctCopnena08wi3FH5R5/aM9jLmwe1PRzK5y7A7LOGUd/q771MnyC8Xi9AGobfCbwoUnuW0G3Z9ZVsDyT/YyrLCz/lmNl9LM771tXC6pyXHU+4KmfMuSKBh8nhmludx0ebbeOOKt9eGwSzw4uYjaxgAup43n3jDuIWFZ5uUPdnFpcRfuXbAqap16a30oCjywaM1xuICi7fE5OSfn5MctZj6xFsdExipabBEIhkxjQLtVpDArlZElXWmdpMbbS5bvxFvr48HJA2L4M9mMLOmmQzKa2cc7r+5LvNPC7IVr8bgdTBubQ9vkeLUZKMHGkPw0XvnwS4bkdzL4LRo8PqgFOm+tD0XGkKibUZrLsMI0QmGF6y7taWiK1mzj7KdXM/fmkihe+CVNeZxe3VrjC4SQmxqKtNxCkttGdb3f4JPPKisAQYlq2rhnYj6uOBuTLstmyfIdXDygs94wHZUj+Yn5uqdb1Mb7gGHPnFVWwLQrc6hvDPLg5CKqm/JUmp93w6heLHp1i65/BytUaNl31x8gtVVcFEzbQ1OK2He4NgoedPHyHZQO68HTL29mSH4nEl02xg5OxxcIUVUbQBAEA3/wzIkFWCwCKa2c3Di6F/94dydXDkmPCVdns4rIspX7mnxhaF6vD04pappoNTZ+as17v/3bp0wbm0PrJCc19X7WbP7GkLNbsX4fowd141hNIzOfXKWvD4/b3gytHsM/UnnWHP+TBPNPWVr6rZF6YdaoOe/59dxemqfTH82eNABfIEScw8obH+9haEFn3cdbtGSLIb6KtH3q7+7QC44PLPyEh6YUEQ6rsXek7Zo5sYB4p4Ukt41QSMbpsBAKK1TV+XS9GjWwGx9sOMC0sTmc18ZNKCzrEIdgDlE/s6yAofkdafSFqQ0HTfWubXI8z72xjYsHdGZWWQGJLtXm9uySrBcNUzxOHphsDi0tigIKzQ2FkY2GCgKLl+846X3xu+buzkm0BMKKrvtaQ4w/GMZmVZt9tBi+bXIc819Yzx3j83RuPVAHoZ59fStlI7N4YNFawNhYI0kC/1qxk7KRWdhtUnQOb2I/JEE0xI23jcvlPxu+MnDECoJxGrTC28iS5TuYfHkOcQ4rNotEnMO8+UeW4b6nVzHpsmwKs1K5pKgLs59erVPB/SaiyK9Oi3/F6EHd9CJ5isdJostGVa3fdI1oORu1MP2/aeSMCQdqJhkZGbYnnngi5Yknnkh44okn3E888YT71ltvrf3+bu87yXFHaWNB8105OJ2tu4+Q1jbBMN485YpshuSn8cKb23W4iysHp5N9fusWEHL5tEuOwxVnM8AC3Xl1Hp4EJ6Ggop93+95K+vZMJaNTK0JhmUZ/iGEFaaS2iqN39zY6HKc2Wjo0vyN5PVL168WC+hxe2Il7F6zirdVfMbywEwkuB4++uIFXP9qjwwpsKq9gWGEnCrPa6lALI0u68OpHewzwcZHP5vpRmXy48aDhWrkZbahvDBEMyTzeAi5py5dHyctI4f/++DGfbj/E1CtyqKr1RUEsTL2iN8+/8QXHqn06rIPZyO9t43Jxx1sRvkdi1zNoHDym/ooWiTUmEJ5DCzqx+D87uHJwOpcWdaZXt9a8vWYv/bPb0aOzB18ghEUSURSFATntiHNYCYZkHly0lrdWf8WK9Qf4cONBPis/wkV9O/Kiybn6pKeYQneNKOnKI88aR/o3f3mEIflp9OqWTKO/+drFvdvjdFjocl5iFBxoK7ed7PPbGGBz77y6L0luOz27tIqCcGmV4KBfz2h40jiHpHeBRt7nyJIuKIpi+h1GlnShRZ4a+G4wct/lPP8NfOiPQX8jJRLKIEw0LNyhow3ceXWe4ZnNLFMn7yKP3fNNDeGwyj16aXEXBuV2QBDBHWdjWGEnPdgH9Tl+tlO19Zldklmw7HM8bgdTxuRwQV4H6hoDtE2O53BlIy++Xc4Xe4/Rv1c7fvXUanbur9LPoY36J7qibb0GU1qY1Y6srsk8+uJGw/U3RdhTrfjyx398xtJ3d7J9bzOcxjvr9nHl4HQdlmPr7qPcXprH0y9voXy/V39t1vUFdOuQxKrPD3JRv4488uw6lryzk3XbDtEnPYURJV3Iy0jh4v6dKendno6pbhYvj14DA7Lb8cd/fEbv9DYkueyIIvRrAaEzs6wAt0MyQBdIkkhlfZAHFn3Cqx+p63nCpZkse38XuT1STxo69Megv7Hs7/DCTuqo83cUrajS6A/x8gfqFN+lRZ15/q3trPzsIDnd2xwXYvCuCf2w2yQuzOtAz87JBrvcIcVNZpdWDMlPozinPeelxOt6YLrv76igR+dknn19GxmdWjEgux0pnjhd32P5Ctnd2lDcuz0jirswuF8awVCY1klOHY6mMCuVHp1a8djijbz0wW7Wf3GInO6tdahSTa8zu7QiIc7Bg898okOEX3tJT309jB+WQX1jkD8s/czETnY6LlTMqchPDQ5Uskmktopn3vPrWPruLj7dfohrLu5Jost2ynCg3xUu+2QgQwFESWLN1uh1l3N+G0JhOUoHtu4+Sna3Nry2co/+2qbyCn7WvwtJbjvrmmBoL+rXgVc+3G2qyyq0eBp2q2QKR97y/Ft3H+XCvh3p0cnDuKEq/K4rzkZljRoz1DUG6NG5FVcN6U63Dh7eXrOX3IxU4pumYVqef+oVOYws6UJdY5CenZNZt+1bHR63Q4qbW37em9GDuqlTPacBJv/Hor+Rcg4O9MRyDg70B5fTor9mPnGHFDdFvdsb9jYRyM9qR1Wdn7dW7Y2K2wqz2nF+Rw+/+/sG/vHuLoOP2bl9giGPkJHm4Zaf9ybObuVYtY9WiXbyMlLo3jEpChZ085dH6N+rHZcUdaZnl1Y0+MK8/MEu0tM81DeEePWjLxk1sBsLln1Otw4eU7/l4gGdGZKfRmWNj/J9XgOU1qiBXamtDxh4VrX37p6QT15GCg6bxFMvbWHlZwcN0IkX5J3HPX9axfa9lRRlt1cnWC7N5O01e7lxdC8efCYagu6Soi4s+Ndmrr2kJ5cWdSY/MxWrVcRpsxDvtNIx1U37FBdpbaMhUv8biggTXThT9BdOQYdPVmL5ChmdVKi0wl7tWNQEg6nl2S7I60B+Zio9u7TiT//8nEAwzPQr+zAo9zwcdiufbj/EpMtUKoVLBnRGkgRkReHVj/YYrj1xRBavr9yt6+OrH+1h/ReHuLh/M/VJyxgtq2syyQlq0rptcjxxdgvueBs5LfJ+M8sKsFkkZFlm6bu7DNet94UY2Oc8JFHUfYXI9y4t6sxrK/cwbmgGNXUBXv7gSy7sm8b8F9brsdT0sb1xOiy8teorSof34IK8DviDYVI9caAoyKKgUruY+EdZXVuT6LKZ5jZOt5yJ+gunDw40UiL91ssu6EqrBCf5mWo+9YK8Dqa/9cVFnXnu9S8YUdIVj9vGoWMNPPriBjbsOMLAPu15beUeXR/APL7SYApXfnZQf23UwK54a/wGpAxNh/MyUijq3Z44hwWfL8yDzxhj9NdX7mZwv44kuR3s+6aa9zccYMyF5+sxvxlEfVWtjwmXZlLvC+Jy2vh0ezSlQ5/uKeRmpCArCjarxNGqRpITnIamlnpfiKNVDUy9IicKBnrBvzbzxqq9OqRtZX2Q+xd+okPdTrg000B9ZZbDM8u5/eqGQuIsoqmvfCbq75kIBwrNvkkkPYlmUzXf4oONXzM0vxND89NIcNkIhcNckNeRXl2T+f2SjVw5OJ1Gvwrh2SHFrZ/ntZV7WLftEFOv6I0gQl19UM+hqQ3zPUlJiuPBZz6JWhtXXHQ+lTV+7l/4CSs/O8iYC87nHyuabXJGmoefD1U5Wl/9SL1OYa92/GxAJ9ZubaZIm1VWgCAodO/YijZJDgp7tdMHYGKtSy1HMaK4K+u2HeKO8XmIokC9L8jAPu25uH9nA81ar26tdUjSYQWdTgvFzumEA9UlIyMjHXgeyIeohhLpVC54poismMPqJLpsdGqXGNV99/iSTTwyvZixg7uzbtu3XJDXAUnCACEnSQKgsP9QLZaICaWMNA9Ou5WDFbVRpN2PL9nEQ1OLaGgM8dIa1WGeG9GddveEfP69ei+bvzzaBF2BOuEB2K1iFNTnzLICQkozRGIoLJPospl+11BI5sONB5hRmkubJJUsc/akQpJcdsOEilaZb+Nx6tN8+mSYTWLhy1spG5lpeg0NAkzrhJkzvZgbRvfCIoogKEwZk4NkaYY+0GAdZpTmEgiF9ZFgb42f11fuZvLlOWc96bEkCtw9sZ9hojTBZcNuFZkwIpOKShUi0GoRmDAiE1lWqK4LRHWsu5w2ZDkGvJSi6BBZkZ0Osci1RcH8PAoQCMpRnX9Ouzm017ybS2iVYOORacU69JuiyATDMu9/eiAK7mvcsAwcDslwfFiREThOB1DM98y76bXR97nTSwjL8n9N5HqqcHRnG5SBWddWJEF6cqJDDWZEAcWEYHrzl0e5aqjM1HkrABVC84FFa5k7vTimrZdlBY/bQdnITB5bbJwGXLF+H9dd2lOFxRAE08nVRJcNSVS7rKtqVZiMeIfV0LEUCza6XWsXKR7nCeEdI0mNK7yNVNf59S69yNeWvbdL7baq9zPpsmwdTu7RFzfw4JQiFizbrMPdhcIKc6YV8/ybzeTIWpe0thbnTC9GDMu0PgndN+tY177DT01fY9lfqYmf4LtKCPhw4wFGlHTVYWDliAnpddu+jYJvmVVWgKKoXezhsMKvmzpH59/SDK9sRlx9z8R8XXc7pMSeMCzf79WJrT1uB7MnFbJk+c6YsN2tEuz6PWj7jT8QViexBfD5ZX1qS/tMJDyG9poGs+hxO3DHWfG4HXQ9L4En7xpMVa0fu91CmyRn1D143A5CYZnKGh++QIjUVnHEWyX9+Z7tcKCKrBiQKiq8jTz64gbm3VxyyueK3KdaQqRZThKGymxCsyXEqE2Ce68v0Itxmt4vWLY5pv/ZEjiiwqvCs4iCwOxJ/anwNhDvsEb5vZHHH61ujPme2fkFBCySiEUS6JiaEAXfNKM0l9rGIFaLCq8kiULM6d5WiY6oCZgPNhwwRd44N4lyTs7JT0ta+sTaVEbkJIW27j1xVpJMILpmlhVw8Ei9PmUHRv9syfKd3DepP0e8DSS57ChgnJC7voDkRAcuZwxKj3gbdruEK2Qj0WVn0mXZhGQZiygycUQWhyvr8bgdLHtvV9SU4qyyAgKhMBWVjQZ/W4PSkmV1DzG7bl1jgJlPrmL2pELTeC4QDOvHPrZ4I3Ob9rbJY9SpM7NzygokuW0AWCwirT1OjlX5jM/7+gI6pLjPqtjsdEmsmFbzI+c9v06ns9HEahGx2yTi7VadTicSkUXNJ4iIghXJIlJbH8DjtkfpRKLLxpD8TlGx1r9X7+VnEZC3kfflirNR3xg0wMvNKitQp/unFCHLCvW+IIFgmJlPfhxzUj/RZW/yOVR0MVlRkGW1OOJy2ijMSsVqEWmdpMaYGiKAdh9znlvHL67py4V9O+r3r8FEAhyt8vHep/ujplg15JjJl2efm3z6HiQclrFIIt56FQnD43bw0BQ1PxuZ/732kh60TnRitYpMuzIHSRKwWiQ6t3Nz61V9EEWBRJed2ZMKDbHe8WiUNEnxOLGIAp4Ecx/VFdc0iVTZEJV/1vaA89q4sdlEPv3iEGMHp6Mgq+heYRlRFHS0ofL9Xn06UdPRwqzUKBSmWWXqFG11XQCLJOJyWvlwwwGG9+8cdY9rtx1m8picpuspHDxSq18LaJrwK4mZX9DiRbMJP7OcW+ukOI4dqzs9CnAWi+abaPmryPyYLxDSp+HCikJdQwBREPjd3zficTu48xqV9suTYGfRK1u5bVwuwVDYZHp2HXOmF2O3WPS1pOUwNOqwSKnwNtK+tYvGQIhZZQUse29XFN2EWb5tzrMqbPIj04oRBNXnAAiHFTq1davfN4Ia50T0ZqIA08bmYLOJxDmsNPhCUSgyDrvE0y9tbW4ikSD4PwBzOVl/ZQGwERgDbAd6AI8AH31P9/W9iiSJhGLAnHncdsC8oBEKyWzfe5QRJV1ZsnxHVJEkEpbtrgn99ALd2MHdmff8uphKKwoCVXU+g8Nc4VVHvee/sJ4HJxdx1fB0GhpCfLLlAMP7d6Gm3o/LaWOJCQzG5MtzKMxKZfSgbiS67Ngs5pCJx6p99MtsiygIhkTDzIkFzL+lGFEUo+CL7p6Qz/if9fj/7H15eBRV1v5bVb2nO0mTjQgkIJAAYUtCOmQBlCCjgghmPgguJGoIEkcQnRESZ2QREpfxN6PjuCBqwPEDdFwA4ZtBwFFglEVwWMSAwAAKZO0k3UmvVfX7o/pWqrqqEBQdB3Kex+fBTnet59577jnveV9EW41gOR6BAIs7bxoAmlYm5UlSWfYMWR5NrR7ZgHj0boeoPVTv9IQKgjyoEAWSdDOz/P0DsuDvakx6BDlOQb358B2Z4HjA4w3KPn/krhGIMOmx/pPjMj9Z/4lQUNXrtItlG7bLf7Nh+3Hc/z/D8VhpNhpCCVqvP4g4uxkGPaN6HECdzmbpfeqFGZbj4fYEZQHFguIsxEXrVem+zEYGLe4gzjnbZdcDI4Wls3MRDPKiFqFOJyR9GT2lWjw36imo1fWINtflSLhdCh3d1UZloEYLN7coHa+t/xIAcNfNA8XCh1rgObcoXQQTZKclICbKhCd/lQ+r2aD6HCMjjDjf5EbxhM6FxgcEAAAgAElEQVQCINBJD/v4fbngWB6/uSsTeoZWpYPrFmXC8vcP4K6bB8LdEQTH8Qp/b3V7VamlO7wBsXitFVCEz6HZaQmCFotEF8Lp8iK+m0CN+NhyJWVS7WknWt0+VTqncCpRogNQ7/SI6LOAn5X4LKsaqGht7qNCxbEryV+15t8gx10Wyh2GoTAmo5cs8fTwHZl4rHQk3tj0Ja7L7IW3ttSKmjpRViPavX48uXIvHpg6HM+s6SzuEPqNeqc2Rc2y2Xmod3agrrldlTba1RFQLSDOLUpHkOUUYys7LQEcD5m22bNrBI0IAIgOFejU/KVnvFX07a17TgmaszSNX00bBn+AVSRAf31nJgJBTnbdHM+DoWkZfc3conRYE6xobvV1FU4ABEPgBwUdN8dfMqqPrFOaNPLf4/kyDI32AIu65g5xXe8RbxWSKIVDYbUYEGHSw6CnUTxhEIwG9fjD6w/KjhtvN4donQ9i8ph+sjFMCuLhlPVWswEuDep/s0mHP/9mrAhM2rL73+B4XlH0k8b0z67Zj2Wz82R6MUs0KJHONbpVEx/+AKsAUanRIXVZl3XZf6+Fx8RF41O0xz3LASzCkp40lr9/AJPH9NOMMaNtBgRCQE01KZKq14XCTCConjPhOcDjZVHvFChGo20GBf09iS2JblvvxEgAPF5dfwg2iwG3Xd8f90waDJ4Dym4bjIf/uF1IbjMU4uxm1fMSaZY1m48qih8EoJyaZO8sKPI8GpoFEEiESa8611OA7NoXlmYrEudVrwtJ6atpb3a5TEer56LIHockccl3stMSYDHp4O4IoOLVHZK12oFJo/ti1cYjqKoREsU8gOXvCvmh39yRgYUzR6K+WShsGw066HQ0oq1GhaxNxoAEnNOQnYi2GtHc5pWBKqtqhPHw8B+3AwAen5Ujrvdqhe4FxVn469ajGOdIFoGYMyYMlMUIFSUObNp5Au99fEIG3CNW7/TAbjPKqPvDaSLnTc/A1j2nBUrebhE4U+8WtQzDqdW77PIZK5FtqHd6cOq8S9T927D9uCjzRN7T/OIRAE+jYsUO2XxFKBQfuj0Tj8wYgadW7dWURSJxLfltIMjjfFO7AjBSND4FkREGnG1wI0YFLEn26AAPnz+IrEHdUfPBIUwe0w+LVn8m8+H7pw7Dn9/6l2IfSebQhaU5cHv8iI0y47NDZ5GcGBU2Dhww6NXHP3heCKApCms2HxWBhJ37EvX9onDt6Cw8Qunn4Tk3mu6KkC+HGRgqJJ3Dqu79FhQ7hFwAx6PV7RPX0XqnBxSEPIHVbIDT5RUbf9TecVOrVwR1SH1PS0u+qdWLyhd3inGH1x9ARYkDHm8Q0TYjDHpaVdqMyNisWHcwVMSmsWTFZ+I6dO+kwXhm7mg0tXpV8x7xdjM4nsfC0myhNhLk8daHR3H3xMF45k054PbZNfuxpCwX90xKg91mhMXIwO+V71V/KrsoOtDnn3/+jwBuqK2tbX3++ecramtrf/f8889vA7DmgQceeO7HvsjvaZqttBxNYdPOk7j9FwPxxdHONuH5M7Kwbc9pDEuJU22rH9i7G4b0i8fS13aJbZ/kxUrbtP/22Sl8cbQBE/P7YPC1sUiMi8DaD48iOy1RlQ5j7IheeOqNvfjXsUYM7huDcY4kjBzcHWcb2mE26pA7NBEUhITegN7dRMq1MRk9ULPxiIIGY0J+H6Qk2dHhDeCZNz9H5sAEZA1KUFApGvQM4qItWBpG47i/th7DU+Lw6Iv/xJ4vz4tt16frXPjiaD0yBsSDZXkseuVTrN1yDIdPNOG6zF4oyOqFvV/Wyc6xZnOt2K4dbzdjTEZPMZFPzrfvq3rcOLI3CrJ64dYxfTEuqxdMDA2TnpFQRCVj5cbD4oJzueg3wu1n1A6u6b8UTct0+dq9QRw41oDrR/QSJy3y+RdHGzAuOxmJsVYZ9eaMmwfBZjWAYWhkDkiQteIvKHbAatahb49oBIKsSOM5YmACLCY9mlp9+NNbX3RS2g7oDqtFj8wB3RXHMehoVerNW8f0VR1jNziSsTjsHvbX1uP6zF6qdFwFWUloaPHg+bf/JV7P8JR4RFmNaHX7sfS1XVi75Rj2fCm0fEeYdPAHeazc+KWMMmfD9uMYnhKv6ksXojvT0RS4UAfQ5aCdk9r3oSH9b/BfYgxDwxvk4Gd5UAwNGoA5NOZvyb8W4xxJePGvB1B72qlov/+2oR0tLi8eLMpA/rBrkJ4ah1i7GREmA24ZJVBfrlh3CDUbj6CptQPlhcNkz3HOtHTs+OIbOIYkwmRg1Cluc/tg9lPb8Nmhc7gus5eCxuLQ8Uakp8SjZuMRZA1KwJ/e+gI35vRWUA8M6x8njhdCe3vjyGR0eINY9vpuXNsjWnVdSE+NwzhHEjZsP45vG4QCTdH4AVj62i7xWHOnD8dNOb0RCHJobPHg+DetMjqlO28aiNpTzUi7NlakPpXeQ7vHjznT0jF6eA90eAM48HUjmlq94ljU8meGoWV+r9fR+OcB5XiekNcHhkugqftv8F+t+fcGxw+nA2UYGgEeWBw23xw41oD0lHhkpyXiqTf24OjpFmz/4lts2X0auw+fR9bA7rgusyeirUYZHRGh1z50vFGTomZCbm88sXIP/AEWU67rh+fW7hf9a3bhMHx+5DxGZ/SS+U7PeBsG9umGxNgIjB3RC/XODk0fJdQgIwYmQMfQePovezEhrw92SeZ/QkFmMxvQ0OLF1j2nMO2GVMREmdDuDYBleURFGGXi2u3eIP51rAG35F+LlKRu4nWPGn6NKn3Y9ZlJWPTKp2IS5c6bBkKno2Ey6b9z3r7S6EB1egbXqtBxR9uMl0wHStapfr2iLhtFGqUXuj+k67ojrTve/NtX2HtEoOl6ctUerN58FAePN+LG3N5wpCUq4xiLHp8fqZNRZza1epGabMcfVaiTfn1HJnYdPi9bJ97eWovcYddgSF85Bdiv78yEyajD4hWfiZSqd940CAeO1WP7F2fF40rpm4jfRVr06NvTjvrmDpyuc6GhpQOzpgyV7UcWFDtEmnxihPIoNtqCtVsuntL8Yu2/xX+l1kUH+t3WRQf6k9tl8d9wquTICMN3ShnwPA+K56FnaLAAUnrZEW0zydZboDPGHJXeE4+HaLWkVHTS4984sjdW/71WjCWk8id/+dsRvPzeQZFidEDvbrKkl3QOfP/j46g91YwxGT3x2MufIinBhpvz+gj7tA+PYveX5zE6vSduyk1G/rAeMOgZrNlci6LxqQppEJJbaGr14tt6NyrvdmDU8B64Mac3PL4gKFAYOyIJjpAWokFHY/Xmr/DSewfx2aFzCnmSuUXpiLIZsGh5Z+x140j153FL/rUYOfiHS0So+MLPxX+By0wHyjA0PAEWw1LiZO9y3vQMrP57rbjvGNY/DjfmCLIF+ek9QIFS5DUIzWF6ajyOnm5BSpId1TW7MePmQUiMsSBrUCJ4XkjE0gwlk0eYddsQGY3g1IIUrFh3WOHblSUOrNr0JWo2HkHtqWbMKRqOUcN7IG/oNUiMjUBdczu+bWjH1IIUcc9HfPHOmwbijhsH4PrMXlj3yXE40hLx578KMakaldz+2npMHtMPH33+DRyDuqvuB6/P7CWu+WrHOPh1I24Z1RdLX9uNW0f3RbTNgKyBCbCZdAjw+FFyFOH2c/Rf4MehA9UbGLCgwXIcRg3vCX8giBNn21Df3IH/GZeCDduPY8bNaXhCku/sGW9D/rAeePy1XZq54wNfN+Dm3D4Y50iCycggZ8g1+OJofWcMO+pa2CxGjMtOwk25vfHiXw9gcL8YrNp4RPThnvE2zJgwEM+//S+s/VCQGMkf1gPO0HxHLN5uxo05ffD6hkPIGpiIRa98il+OTcELf5VLpLR7AoiNMqNfr2hYTDoF1S6hXzbqGeyvrUP24ERFvmR/bT3GpPdCVloCvjjaIBtnNpMOLCjodLTqvsRuM+GfB84qxsSkUX0vKCGgZhdab3+O/vvzpQOlsHLjl8galIg+PSIVez+yn3ptwyEM7hsrW0dvGdUXw/vHo+aDQ5hx8yB8vO8b9OulTheedm0sPj14FrOmDEVkhEHcN40dkYSstATkDE4UZW4KHL3w2vrDshzYTbl9EAhyePove/HW1mP47NA5xRpAzmOz6LF++wnsq63HoD7dsOmf/xbpQ6tX7sF7/xDil+syeyI7LVEmW/XIjBEAT+FPb32Bt7YcE/PskVaDqvRO3rBrsODPO7Hr8Hlcl5l02eblH4UOFIAfnbSfTampqT0BOAHEX8rJfjZGAZkDu8vQ9JERRnAci/c+PoGzje2KjhSCZJs3XahWx0UrUdS1p50yoUcixNrUIgQ3WiihV9cfUq2kz5ueAYNBiWgnVexWt19EwMdFm2DQC4hpmqKw9sNa/LIgRbyOVRuPyK531cYjeHB6OhpbParVdymNp7Ttut7pQWyUWbwm8h3SOUPOEWQ5GA2M2JlDkho+f1D1fEGOB8DDZGTAB1hxMicIjiDHKYTL651XJ/1GkNWgibzA52p0g9X35yMQYLH2Q3k36doPv0J54TB0+IIKClG7Bo1YVXk+bBF6GW0gBx60BvWmjqEwb3qGDCE1b3oGQGl3KKh9HmQ5VVrR6vJ8sdOPfF4dohVgOR67Dtcp/OneSYNVfUmry4njAKc3cFk6S8KpzwhNHUH1gqIAnr9i6OuI7llVjZLWiGK50GLTOX+otd/vOlyHeycJNKE6moY3EMSr6w+Kou8lEwfDZjFgy54zmP6LASi9dQjiQp0gFAVMHNUXHZ6gZqc0FUKM2W0mBIPqSDQuVPQxGXQKdFJqkh1zpqWr0h5WlwtUpVroUUHXUo+P953BxPxrUTIxDWajDifPtoodVrsPn4PXx+LJlerdfwQpV1niwOrNXynQ4ARNWvHCDtnviW6Gy+OH1awXNo6h3wQBcByPICeguFtcfhSNT8E1sVYsm52HFesOyml69PQl0+b+3E1r/g1e4lqkNuZ5hkLQx6oen6KAtnZ1gWl7pBEdvgD0OnlHVO1pJzZsP47q+/PB8+pIfp2ORkVxFqwWg4KGqDqEsubCKB/VOr7KJg+BL8CJhTZyjOfWCtSyLMeD54Tx1O4NoKLYgeqVu0VkdDiN0doPa3Hb9f3BcYKOcpWE1ldKOynEO50i8WQshj8jgibVuv6rqSOQ47TpuC/VyDplMWk990sbF3oDAz8LxfU9sXIPSm8dAgCKeObbejf+9um/FXHMxPxrMbcoHRajXkbpVVHsUEWDegMCBT3DUNAzNNp9ARRkJaPdE1DE0NFWE377knK8LCzNAXBYdlybRa/qd2S+3nW4DnfcNBDLZuehuc2LVrcfHl9AXP+Ikc7E5lDCNHwsd3WidFmXXVkm7WTgGVq1Wz983DMMjZaOAP43xFj0yf5vVCnEo20GBIKda7tW54mrIyBKdZTeOgTJiTZwHI+aDzqBuWSt16K/J8wWlSUOMLQA8phyXX9FfFxdsxuLZgpdJRRNYeq4FLAcj+r780HTFAIBAfAmNafLi9PnXaiq2Y0X54/Fs2v2q3ZczZmWjhaXH7WnnXhi5R48PisXxRPSQNMUmlo9sjgHgCbin6bDOy6vXmrxi7UggEWvfKagjaNpIU4l63KEWQ+aBqwRetCU9v7bZNDhD6v3YXbhULg6ArDbTNDrKGQN6i7b06h14hPK0Xi7QNEo7UaJiTKD54SOoRaXkFy320zw+VmZLy2aORJlk4eC5eTSCrWnnVix7iCqy/OxevNXcKQlyui+tajkYqPNSE2yq+4H50wTcnXEF7WOYY80huIAgGIFIMDlYjHqsk7TGxg0uvwyNqkFxVkAgC17zmDD9uOYXTgMLMfJcqJmkw6uDr/m/Ej+HQhyMsBiVXke2toDeEISw86bnoEoqxH3TEqDxagXfbj01iFI6m7DY2E52qqa3VhclouTZ9tkvuD2+PHLghTw4GWyC+GxakWJAz3irPAFWFTNzgMPHjRFiWtQTJQJHMcje3AiaIZSzY+3tvvAcTzmF49AhEmQYzEZGLi8QSx65TM8MHW4DMAp3ZeE5+SFzj8B8PJdrFpddvmMYWghT8HyKJ6QBleHHz0T1OmxQVHYdbgOBVnJsriF5QSJp4KsZESY9Vg2Ow86HaVad/nH52dwXWYvhSyCXk/B3yqXmqoozsKdNw2AjqHFHBkAGXhYbQ0gea+CrGTxO1aLkDtTG0tPrdqLqvI8LCnLBU1ToCiApilU/HmHIvexbHbed3a/N7Z6YNQz/5F5+WL35jsAFAL4C4D3AXwAwAvg4x/pun5c4ylxciMBbLzdHNq8hziKJw/B7MKhIoXbG5sEyjSW66S7IrQZ2WkJeHB6Bjq8AZgMOqQm2eF0eUHTFKprdmN+cSc16Bubjgjt+jERYGgK3gCLXYfrUFniUCQ2SIAT7rykILf78DlMuyEVaz+sVWgJzpueIbbQujqEZALhTib3e76pXZPiI5zGkyxQ8XYzKC39N54XdYNsFj38fg5L78tDa7tPpFk9U+dSPR/P82hsFfRUYqJM0DMMGPDigLjaqBEvZFq6fFqfh29sgM4CBs9DtSBWNlk9SVhVrk5VwfM8XB1+BY1nTKRJlaoFAAwGWhxjXn8QBgMNHa1OuyjoHSo/5zW0PS+kp3epvqT1fZqmVKlOL5WSq7Mgph6oUwDi4mxoaHBdMYGOmoZc+LNjwItBgVZygmx2eAjdneEUzfNnZOFMnVvUHJk8pp8scCcd0Wo+2tTaWTA439yuen57pAmVJQ6RDrmp1YO5RelY/4lQSHN71AP+QLCz0CNNriQlWHGuqR06HS1S1kVY9PD6WXh9rCzgWVyWi4XL5cGJFLARbzcjKsIIk5HGLaP6wusPyu5BS4twYWkOVm06jIKsZHgsQfxh9T4smjkSgQCHZTXyTYheT+OpVXtlfls2ZQjAC8HFlVYABC4w/9IUcJHxm9aYt1n0CloXcnyvP6i6XmenJYDnAY4DaAYKcMXkMf3g9QWxatOXqiCkjTtOIHNgd/g1Ct0NTo/Md1Q59UMAC/L/4cdoavVi/vM7EG8346HbBQrPuGgTFs3MgUFPixSf5PvEj3UMjSa3V5y74+3qtJPS5J72XCEU+7Wv/+qhUrxchWxiLMuBYdTBFBcTo5GCOEUBLq9AASulkyWghpgoE8xGZbHRZNCpxjGTx/SDqyOgiGWqVbSHstMSEAhwWLpql8yvtu45hanjUhFtM8hi6Jfmj1V9hoI2eKeRsas1384tSoc/wMKkZ9Dg9MBgoLFi3UHYbcrYiYxfQEmdrUWH1GVd1mVXhhkYCkXjB8hi2Mq7HdAxNHzSYhQoLKvZjdJbh+DzI+cxfmQf+AJBLCzNQZBlYTbqRBDXw3dkiPP2O9uO4ZEZI+Bql+sdL3/3EACIBQ6Sw1AD5nIaUivdIk2oLs+HLxgQ91QMrR4v0BTwzrZjinuV0tdL/72gOAubdp4U8xr1Tg9Kbx2imPfDAc08IDIMhVN+7T58DmaTTjEHP3q3Qyz46RgaoCmwHA/Q1EVr4F6NRvbkJN4i9tL8sXhx/licb2rHS+8egNPlRUVxFowGBuebOuAPsJo5qnqnB4kxEWj3BTDrtqFodXvxzJtyaQc1renuMRGoLs8TaRXJno3nISZ8pSCdwrH9Zb5kt5ngdPnw7JpOysSHbs/E6xsOi76p01G4fkQv8fzkHrTiU2ebD3OmpaOt3QeaBqrvz0d9c4eYfwQgrv9ax7CZDVhcliPEcAwNT4C7LDmKLpObn4UCZP7Eyj1YWJqDA183onjCIHR4A/D6WTGWKxqfggiTkEdVoyImOVeSW5LmB3x+TiwAkvOR/PDiFbtk8ihVNbs1KWXdHr+sKKnX0Qr5FY7nUTQ+RRGrVtfslhVO5halo+aDL4XxWuLAxh0CnS0pGB452YiBfWIRZTXgwekZ2PzZSbS6/WI8/ZhKc4uW/ivL8l2gi5+BEZkGZ7NPtiZWhKg/w32aoYR1fvfhcygaPwBrNn+Fgqxk2G1GmPQMtu45JYLG50xLx+dHzqOqPA9NrQIQksy9qk0s5fkyGR+7zYQOX1AG1FhQnIVWt3oOLqFbBJZXFIAHsPfL8yiZOBhujx+VJQ5s3XMKNrMBT7+xV1PGLRDksHB5pzzV4rIcjTEXUN3HkTk93m4WaUj/E/Pyxe73p0n+/QiAuwHYALx62a/oJzBOg1+4w9c5CYMCzEa92PVEEsr/PtuCmZOHoKlV4AnffVigilu4XD6hxdnNoECJSS63xy8rKr6z7Rjyhl2DnvE2YfHWQPaQjjzpZ6Qg50hLFNHRagXEB4syxAq3WqfJi+8cAADF30hwTYwsUNICjloAomcYlN02GG0hrn5fQKCb++vWoyjISsY7245h5pTBigHx0O2ZeHvrUVw/opcoXh5eCFHTC7takx5MSKhXrVAWrpO2oDgLOoZSRY/SNAUK6u9Su/tNo5uEobB9/zcCil6ijzNpTD8YDYys2Gc0MOB4Hk+u3Ks4zhP352t2KKh2/N2voc1wgUS9TlJcCvclqHTnAOq+B0q70HgpidSLKYhdaXahIi15dizLwRahFzr4ok2qSGYy/lmOVxV9f3KVMD9u3XMK904aLKKJyN+fXbNf1A+R+igR7ZWKHofPk/OLR6DB2YEoqwF2mxFLZ+fi1XWH8D/jUlA8IQ2LXvlUVSg+Oy0BOh2NJ3+Vj1a3X0xyr1h3EA9MHY41m4+iaHwKSiamgecBo4GGz6/ssNLqCiOI67lF6QhyLFhO0PecNLovFhQ7xM1ElNWguVG4ZVRfERlV7/SgTkVUXA2kItWnuVLnZa35l6Gpi60BgmcoOF1eWaGDaKWu2XxUdb3W62hFIY/QbxLfIH75wNThIhqOpiFSLLe4/CL7QZzdjPONHbghuzfqmts1OwXJ9ZF71opVWI5DnUaxnOj31DuFzvFls/PQEkIoawXZveKtMJkY0BSwsDQbBj0tFkzUNgUk0SO9VjFxF9J8XXpfLjiOl2m8dF7/1cMqwNDqMQFDff8V5/vGaNKCOIkv1TZMTpcXNosejS3KLrhwgAPQ6bta/poYaxW1Wr3+IHrEWRXrA/GrJ1ftwdL75ChqnUYHuU4SewgxmANRNgMCfmWHr91mgsWol93vvOkZQhKREbQrHp+Vixa3T9wUk66JaJuhKynSZV12FZmf5RXJZ6LZR5KzlSVCN1W9U2AsihneU5afmD9DYB/adbgOy2bnwuXxi+slAIXecUWoYxCAbD4uGp+iOv+1uHyqet1ur18AhPAUVn5wAHOmpYPjtfafQEFWsuJepQUdAZSah7rmDqz9sBY35vTGhLxr8dK7Ql5Da96XAppb3V7MuDkNvyxIgdVsEJ8LeU5vbakVYybSuRZlNYD1s98J3uwyuWmBaXU6OQgMAFrcPgAQNfTCC9O2CANeee8Q4u0CY0ub248X3zmgGUuSd07OCVB4/+Ovccuovvho7xmMyeiJeyYNxtkGt6xrkKz/4b4UXhQkcW1VeR78AQ5BjkNDyF/rnfLiutViwJKyXLz+QaevEUAl6Yol4+79j7+WJdY3bD+OhaU5CLCsatfM6x8cQvkvhyEY5MBy/AVZjC5V+/lqNTXGFp9GDlmvE3K+JgODU+fbRP9V6qUJXYMEfHD3xMFwefxYWJoNW4QBTZKuTwDo8AkFbykDisBGYURqkh0FWclC00l5PtweP8xGvRjbkv2b0+WFs80nFsMXlmbLpHfI/PpgUQYSY62q9ydliJPOxdUhwMl7H59AvdODNZu/wtRxqYp8zQc7TqAgK1kmCSU9llZxm6YpsCwr0/a7UvMLP2cLAqq5oOqVuxX7o8oSB3QM8Lt7s8HQNDy+IEomDkaNZN6TgnfJXPvK+wcx/RcDxCYrrTxVuE6k2pxMCvNqPlXXLNDiRloNSOsbK4uRKkscOP6NM5QrMWJhaTbWbD4q5gukYCNyLi3wdovLC1uEAUvvywUgdAy6PQEUju2PrXtO4ZZRffHGpiP/sRzExZ6PD/2H2tpaFsCK1NTUHyI98R81LUFJZ5tPDP627TmDsVlJWFKWi9Z2YQO+44tvMDqjlxiwEGdZvfkrxYS2ZFYufvuyXMiYOJGUFoigfbWSGASpJP0sKjTxk8GhFexSFPDGRqGSHmHSYWFpDvQ6WgjEKR7FEwZh297ToChgyaxcAYXd7ofJqJPReFaWOMT27lUbj+C+24aIVF7k/h66PRN6Pa3oVplblI5Ztw3Fy+8K2l5vbzmK0slDQgOCQmOLB69vOKw6gKWFECk14tWe9Aho0Lv+5q5MrP2wNowSqxazC4cphNoXFGeBCSEYw4sr82dkXbDbUC0BrmNojErvKQtiFxRngQLw9hahCGwyAIEgj7e3HEXZlKG4wZGE6zJ7CRoWFIV/fH4GQVY9uNLqXACgWRAl3bfSoNpgoBHwBBBjM8ioSw0MwLG85qZOzfeCGhvYS+1OvZiC2JVmF92NKem4Tk2yiwWM2CgzGIYsTAzAcUjublOleIuyGjB9/ACAomR/IwF1TJQZNEUh0mIAx/Mw6Czw+oNi0plsCEm3Xs94K1wdPvj9nAx1VFniwNQbUkCDAsNQ4uYvvGgz7YZU2RpCgBqTRveFyciodjmpdb+0uv2qzzDebhGTI29sOoKyKUMxffwAVNUI1IsExW3QM6q/t5oNWLXpsBicANoUi2oglSvZbwHt+ffXd2Ze1MaaYWg4XX7ZOkloLygKMloXMfFkM8DVEcDdEweD0VECRScPMBQlUh8BocL3yr2YXTgUv3v5U8TbzVhWnqegZgGA2YVDZaCbR2aMUHQRSullV208guryfHAaxcK2dj9io80XRL2Ra+TRCXzQ2vidb24X0X0Wkx6BAAd7pAE6mlH1RSIS73R5YTHq8HgopmFoGkY9hcZWnyrFOymqXE2sAjodpRoT6HQU2O+5u/6uGE2L8loKgomJMlZ59KsAACAASURBVCuoV55bK1C32G0mvLr+ECaN7qvwMVuEQeG7BMymlawGIBuDF6KxI5/PLhyKxJgINLR44PEHVWMMfzCIpfflot0bhEHHIMCy4DkeTW1eReE1ytpJU0rO94fV+zqTK+V5qPngS8wuHCqug2StoVgeVAgg15UU6bIuu/JNa68QbTWKTAK+QBCREQY8+at8WC0Gcd4g331y1R7MLUrHpNF90b1bBJa/fwCTRvfFklm5YGhKlRK8ujwfk8d0diXVnnZizeajikLEgmIHPL4ANu08iQemDkdCtwjQoUyR2xOAxagDzwOTRgvFl+m/GKCYQxcUZ+G9fxzDDdnJFyzo1DsFhgGeB1pcfvSIs8Kg75QQ0KLyJPFGRXEWeEC2Z5UmJZ9ctQdLZuXi9HmXDDC0vGIcTCYhwlUDclWX54OlqKs6R6FmaiCh396TLet6IiYtNthtJgQCctq3edMzEG0zYHbhULy2/pAodaAVS5I8GnnHNR8cQtnkoTjxrRMZAxIUVHNSSQUSg0uPq5Vzawit3/OmZ8BkkMep4fewoDgL038xAHqGQYvbKzKqkGNV1ygT64Tppva0E6sWjcey2XngeR40TYu0jj4/KxazF5ZmaxZVrvaAQSseDf9Oe4BFXXMHTAYdaBroFikUkdUKAxRFwR8MwqCnkRgTgXqnR7VJ44mVe1BVnofpvxgAjuPxmKQA8es7M2HQM1hcloPzTe1Ys/ko3B2C9FM409GC4izMnDJYxsazaOZItHsDijxslNUg6z7qHro+qdU7PYi2GTXzM1oMcdJ/A8DE/GsVhb6qmt14YOpwGPTycUHyML0TbeA4oKI4S8ZqN2dauqCGw9BXfe73P20sx2vmglwdATE/F2U1guNZ8BBoQx9/VX2NDe/Mt1n02HW4Dr+aOlyWn1UHCvEXNSf7A0HVRiejgcEr7x1C0fgURVFz9eavxJxZ+H5SYB5w4OUQ2IiYWjxUWeJAhEWPdo8fDEPD1eEXqUlJrv3//nnyP5qDuNhc3VYAvwWwU/JZDoAlAAou90X92GbU01g4cyTqQxO71x9EfDcLKAoovXUI3toi0GuyLCdOzoAQwChQeCEEhBStU+/0oNXtk33vCQn9kLS9td7pwaqNR3DPpEEKByLoICmqeM60dKzceBizbhsKi1EnC2q1Ah8A8PpZtLh96JVgE5OGQkJ6gIxnes60dBiNjKz4+fG+Mxg/sg/skUYUjU+BP8givpuQaA4EOTjbfAI/b4DVoJDMw32FQ1EykQXHAYEgCz3DgKaFjUHJxEGIshq/sxAi1UYAywMMfVUuDHQoURxO78pxGtSeU3gx2Qd0BiHV9+fDqKdhi9Bj0cwc0BTA8UKCkKEp/PrOTPz+L52dsL++MxMMTWkUIEdoniM8cJkzLR06hsKIQd3FDSdJoOm0KMU0ipI8D83rWbNZrnW4ZvNXmDVlKBgNnnxC9xU+vklnUzgKScfQl9z5oKoDhstTTPxvsovtGpF+j3TLPVrigC8QxIc7TmFcdrJsHi+7bTCWv3tIFpjbLAY8u2a/LBmsps9ENFgfW/kp7DYTHizKQJzdLP6m9rRTpNpodQdkyRWBHsaLHnFW1DV3wO0R5mQp1WeU1YBukSZFkoVQcD63dj/m3Z4havyF/z3cR7buOaVAXM+Zlo7l7x8QO/luGdUXAI+P952RBWg0BWzbc1qRTK8sccBkYlA8IQ3t3gDumZQGu80EgFfd9KiBVK5kvwW051/6Ipuo1Dp/n1u7H4tm5mDTzhNYMisXraHOn/c//hpF4wfgpXcOyNBzb2w6gnsmpSEyQh0ll9Q9EiseHSdQPnO8gnaDppRUxk+t2ou5Remin5BxQ953tM0Al8eP1X//SrVTMchx+Mv/HcGk0X1DBTgKQZbDyo2HxWN0PqvOxI+WBgrp/ppdOBSPvvhP8TwwqCf3rGahMyrIcmB0NM42usV5oWe8TfWZl946BCvWHbzqWAWCrHZM8EO6z8NjNPI8L9Q14ZPo/WpRzSd1FxIEk8f0gz3ShL9uPSoCMuqa2/HKewJdnQgSiRZo6++ZlIYgy+E3d47A03+R0xa/vuGQ7P7PNbovmDTu8AWxeMUuVJY4xLl/XFYvUUOQoQUtbleHH3dPHIwIkw7fNrix819nkTfsGlwTa8W9kwbLuk0qS9S1CUk3N6Hy7+r667Iu6zK15KxUnoTo4C34s1znmSTdACFWjbYa0eL2wRVifSAx4JO/ytfQZOdk1FsARJAc0Qg82+AWwb6pSXbQNCVqppIk2ot/PSDSJd6Y2xvNbV78/dN/Y3FZDhhaSBi++9ExHPi6EVPHqYM3pLR5hEZrdqGgzeZs84rUjmpUnhXFDgA8Hpg6HBazHr/T6Pwm65SzzYsV6w7K4pHGFg9MRgZWix5/+/TfirissdUjUp9XljgQYzPAz/JX/dytBhKKjbagoaVD8Z6lRbvCsf1lvlcfKrRVleehwxcUNafi7Wa8s+2YAgxUebcDFqMeT88ZBWebTyzw3Xa9B8mJUZrd/wIdbUpI6wyyYvWFmAfI9Un3bGr3QFi8bBa9Jg0izwNLQ4U+juPFYvSUMdeiqdWH6hqlpnZ2WgJKJg7GLwtS4PUFMb94hMi6RPwU4MFcxdS1F9vFS+lp0EEeFpMe/gALi0Eny1lJCwMVJQ7sPnwOyYlRqHp9t8gCpFWcIJSH4SCN3//lc8wuHIrfPLddzIvFRJlUmYyeWLlHBMgRXwIo1Q7qJ+7Px23X9xcp8htbPKo+XNfcjp3/OqsAZ2gxxIX/OzXJjthos+o9C+DtzjVMLQ9TUeJA9f15aG7zwdnmw4btx2X3rvWuukxpF1PovqTj0ZTm3Gcx6eAP6NHq9uOzg2cxaUxfBFlO4YtqhT9yDFdHAFPGXIvGFq/4u+y0BEWuUGBeurg5uaHFi3e2HcMDU4cjNkrQgOU44K0ttag97VQtahZkJSvyBaSW4Q9wMJs6wUakiB1lNSDaZsQjd41AhFmQdXnxnc54JxDk8fQbcqAHYSo78HWjag7icr8/NbvYIuBwAP8M++xTAOmX9Wp+IgtygKtdjpR46PZMsBwnJvZOnm3D0vvyZM5hj1QvVBEEOjESnIZ/r0ecVQiyI5VB9vznd+LV347D7MKhsFoMsBj18AeC8AdZVJXno6nVI6MDOnm2DXOL0sWAVy0pZ4vQK3TUHro9U0w6FGQlK3imn1u7H4vLcsTiJ5mkpa2yFSUOvPl/X+HA141YUOwAwwA2i0FTey4Q4ECBhl5Hw+dnoaNpbNp5AmMyeokLoBpiKTstAQxNI8hxqqjyq5WKQ6uAotdpawKqbew4jkeQ5WW0FWSC7RlvhcWkk1EkWiToR2UBUqObjeWxYftx2bk3bD+OsilDVYt0swuHqaLr9Xoaj5Vmo8HpEa8nzm6GPkSrp3Y9RNSbWIvLD5blwdPq9JtV5Xnq98DxMGpMxpfSnarlszE2w1VHdUue3e/njobXF9R8duHP2BBawBtaPJg0pi/qmjwKxNs9kwZh/vM7BaRN8Qg0tXpQMnEQDAZa7GBW4xn/w+p9ePiODJlPnjzUong3URFG8TcAVAPZx0pHiucixct50zM0kdxt7T44XV7VcUQE75eU5eBcCBnodHlx2/X9sWnnSSyamQNXh1+xPhAE4qKZOcgc2B3/+PwMHGmJAICYKBPGZPQEqFBXGQc0tnjA8hzqmzpUO2qkOizRNgPunTQYPCAWB8lGyMBQCFypjovvT3tITMsHjAYG+cN7yrQSKksc+HjfGRHYQXzhN3dmguOFjXw4F392WgJc7XKQQzj67vH71LueaIoSi2KgBG0INbpEKa1oTJSg5XDybCtaXH6sCrEPxESZEGk1YOoNKTI0c0WJAwYJuIkUyh+flYvmNq+s2wCQo8KranZj2ew8PHLXCDz1xl7ZMVdtOtxJcdbuD9PPVOfr751oQ3V53lWXmGM1YoIfq4s3CGB12Hq/evNXKJs8BAaJ3q8WfXKrOyADq0kTEnfdPBBOl1ccG1FWY0ijOID5z+9AapIdM6cMxuzCoUjoFoG65nbQDKUAS63ZfFRGl0zGn8Wsx+9Ks0U9F2mi8UydG/XOdnSPicD5pg4AwC2j+sqQ3QuKs7D2w1pVCpyqGqU2YbzdjNhooYO3odmDRTNHdnX9dVmXdZlq7HH3xMHifKOlgze3KB3tniBsFj3sNhNa2714dg0Bn3XuhYIsp6q9TmtQoJ9v7kBVzW6My+qFm/P6INpmwOOzchDfzaKgVpTSx63/5DiKJ6RBr6Nx4OtGbNlzBqlJdtx50wAUju2PvGHX4K0tR1XPuWrjEVnRrd4pUDvrdTQWLhcAfL++MxOPvrgTQ/vFihIVHA9YTAwe+dMOQU9eIw4LT0qSZzi7cCgMegZ6PY0nVu7Bopk5KMhKxq7DdbLvSKnP1ToKrpY8hZoRkBDZTze2eqBjaAWzVJxd6LZ66PZMTWAQxwEebwALS7MRbTNh6X25eHX9IRgMNBbNFIA5NEXB1eEXi9HEpDk6tWPbI42KcTBvegYeviMDdpsJNE0prpmweZCOXJ2OEgtwWoWgmCgToqwG8FqsQgyFYJCHy+OHu8OPG3N7w9Xhx4T8a0U2GemYT02y45ZRfWX5unnTM1BVnocGp0fMv9yY0xtJCbYrVm7ku+xiJFgYhkZzGHsI0a6TzmlV5fngeR6bdp7AwD6xYh6AgBu1ihP+AIue8UraTbvNhMRYK6rL88S82I05vTUpOqOtRtx180BRvsNuU89TN7R4oNfR2LTzpDjfqoEkbBF63JCdJObn7JFG2MwGsBwnY4iTzsUkxgWAovEpmtSIeh2N1o5Oumi1PEx1zW4sKcsVi6CVJQ68uv7QBd9Vlyntx8iR6wAkdLPgodszZVJpC4qzUPNBp7buXTcPxNmGdk1wQ/gaS+bPDduPY+bkITJ66F2H63Db9f3wYFEGom1G0DSFplYPnC4fPjt4LsRwSAGg8PAdmXjmzc7rqihxYM3mrwAIDJALX5Ey1Tlw/YheqnOvIPGj3B+7OgKY94ePkZ2WgEfvduB///6Vosll/ozOZ0GMFBAvJQfxU9U4Lna/7wIQC6BB8lksAI/613/eFmQ50YGBTj7vx+/LFRdwV0cAFN3pHOOyesFuM6pObJERnZ+HT4jS733b4MaazUcx67YhMmof4vx1TR7YIgzw+VmxYkwc+bX1cjR9vdODOLsF4IHbru8Pq0WPqvI8tLr9aGr1YvXmr3DvpMGqvOUkENemEaXEgGLOtHSRLoP8nfA/b9lzBk+s3I3q+/Ohoyn4NASc3d4A9AG5AG1FsZxGdc1mecBPtI5I12L4ANBexK98Kg6W5TrpLHkeDEXBwABBqHfv6XW06sZOr6MRZDlNrb0lK3Yp3mX1/fkadKDa9KFF4wegJRQ86HXC/wNQ7RAEAIahZMVHhqEAHmj3BGWJ3YfvyESU1agIxiuKHTDqNe5ZT8EfUKcc5Tj1QFxH03B2KDsHiS9eLE/5hQLPq5HqlmU5xHWLQIPXdcFnJ904OiUdnAtLsxVt/M+uEQSDl1cUgGFotLl9+NNbX4hoyfWfCB0kSQnqwbdBp8OKdftkvhRtM4QKZTz0egaNLR7ZWqAWyC5Z8RkeviMDS+/LRWu7H842H2o++BL3TEpT9TGvP4j5M7IU4yg1yY4ZEwbKUHALih0IBIVx4erwg6KA+c/vkN0LCbTqnR60e4WNH+HoD0eOdm5ujNAxNH67UqmbKNVhefKBUWh1+RTX5PEJG5ayyUOu6OBca/4N+C+cmieoLhrq8wzP8yKFCkGX+QIsxo/sjRGDusNi1EGno9HW7kdlWAc1ALHIIE0KAuroO2hcQ7coIfD9eN8ZjErvKadLlBTSSAEDAJ6ZOxoPP/sJ4u0CpWggwMmKyBXFDhFB19TqETeX0nXE6fKCBxTdBlKEKbkXjueVOrN6Wiw2qlFKam1KGZq6ovUrtcyoERMYdTRY/6WvO9+JWKQ01nsKYNlODUC1rlAtLVdSPNuw/TiWleehXWXD9ML866FnGLS1+xEI8vD5O7v5wv3B6fLC4wtg2WxhHT7X6BaRnAuKHaDNnbej19N4+I4M6HU6PLFSQOMXjU9B0fhU2Qa23tmJ+Jcmi6VjMTHGKts/zJmWjhXrDord3LePHwDotYmGfwq0aJd1WZf9543EHkvvy4XT5YM/wAKSIokaUNluM8FikuuOVpYIazIADO0XC0daolggDI8dnl2zH4/cNUJV2510YG/ZcwYJ3Sy4/RcDsOx1eWEknFqRFCoWvfKpKIdCGAeCLIeFyzvzDWfq3AJ9fbcINLYKOY97JqUp9FF1jCAtQpLzLMvBbjPhusxeMrrPyhIHnp47Cu0dAQDalHfSayfPIaFbhKghXu/0gKYpGQVevdOD7jER+OPq/eJnah0FV3sCWy25uWjmSDwwdTiirEYRbOxq96NbpEmT1tWgp2HU6/DMm/KuP4OOlmlkX4jmvnBsf9Vj221GxTpOaLqjrCYwFBBp1cvYCP7x+Rlcl9lL0dVUebcDVrNe9Tw2ix7+IAsdwyjinkdmjIA77DktKM5C2ZShCAQ7cxjSPJ4WuHVJWS4qXtgpu/eH78i4qATwlRhfXIwEi5puu3QvTH7DcRycLh/e+/gEHGmJsj3SPz4/g2njUxU5qsoSB1heqaFO9vtSIOicaemwSzRZw33IaNBhzYe1YnytBqQjRe8V6w5iSVkuztS54XR5YTQwWFaeBzbI4VxTO156V4h3K0scaHH58c62Y7jr5oF4+o1/yqREdAyNFrcPpZMHw2Y24O+fnURBVjJuu74/7DYjnnlzn7quvZ6GzWwAE5KXUsvDkLl1eUVBSLoKCsBe+LsCrkw//SF2MYXuSzWW5RChZ2CJ0YuxQGSEUQTgAsKa1+AUwPlavkjW2MoSBxiGwsLSHPgDQRRkJasC4c0mPdrcftm8XlHiQN7wHrL1/ZEZI/DA1OHQMTS8/iA4nkNBVjL6XBOpYOAi7Izx3Sx49G6HLG7pFqWeI7NZ9KgsceCdbcdgNetRPCFNvCZyXNLdF84OGQior2NaOYgf4/2p2cXq+r0P4I3U1NR+qampdGpqan8ANQDevYzX8pMZx6mjfygIlBoVL+zEinUH4fUFUXm3A9lpCbgptw9eef8g5s/IQrxdyAaQCXrzZ0I3RnV5Hh4sykCEWYdJo/vKvje3KB1rNh9F4dj+Chqm59bux72TBmPlxi/h8QYVRZnqmt0oGp8iu954u0A/9ur6gyEqIkFc+eFnP0FVzW7sOlwHp8unep8kcCUDMfy4OobGk7/Kw6zbhsLt8aseo2e8FalJdsG5gxwCLIdX1x9CRbFDdt/zpmcgEOAUzly9cjcKspLFYxLNoaX35WJ5xTiUTR6qSr1KyOe0FvHGVg/Kqrei4oWdcHYEwDD/tdKVmkboLCte2IGyqi2oeGEHmlx+cBwPmhYKaNXleZhdOBQ0TYHjeNVCH8fxmmNBW1Cah8nIyM5hMjLQ6QQNPum7Jx0fgKC9U/HCTrz4TiePcniw+tza/WA5Hktf3YXFK3ah4oWdWLxiF5a+uguBICciPMj3n3nzc/gDHNZ8KCSVq0PaV2s+/Aqs1j2znZQ6UhOKfZSYHCSfCXqY6pOxnAjxu+1CgSfLcgLSnxcQ/1dz8KJl4YuiFje5OAf8eQc6fEHYbSZRc3TX4TpU1ezG6Tq3wgeKxqco9JmqV+7G0dOtqHxhJwKsQH389Bt78daWo6KvaIEpOA747Uv/hDtUQCZJjrlF6fJxUuxAcmIkaErocJw3PUP8e9H4FBWx491odQfw1Kq9KL11iKhxKzVpoOVs88nEuNX0V59dsx91zR2aPirl/mdZ5Xz+xMrdaPcI1Dwsd+VSgQLa8++F1hqiLXG6zgVXux8LirMU80y7p1P8/a6bB2LFuoOY//wOLFz+KQCBOruxxaMaH8y4OQ3V5XlYMisXLo01W4q+a2zxYM60dEUs8//e3Ieqmt0Y2CdWEaecCxXSpBZvN6Op1St+x9XuV9AeVa/cjRPftqH8qW343cufYtfhOjS3eUUaZ3EdMdD49Z2ZiriJaBiSz3Q0jcfD1ohFr3wGq8mAJbNyQYchx1OT7DDoGdW5/UrWrryQaa2P32fskqRexQs7tWMvnlJd770+Do2tHlnihNAnvzR/LEpvHSJ2Y0it3ulB924ReGn+WEzMvxYNzR7VNZoCjUdfFOLiFesOgqIoZKcliMXGcF8j3YWPvfxPLF6xS0xeP7FyN1i2k57sqVV7xe5Eu82Eu24eiBffOYAGp+eCYy/8/+PtZuh0FJbNzsNL88diYWkO/vG50Pn73Nr9KMhKxjKNWINhaIBh4GV5nK5z4Zk3913RcW+XdVmXCWAjI00hNsqEhG4WGHS0OI9ZjHr1uFZlbjzxbRteef8gJuRfK+Y9WtvVcwYRZj3e3nIUgaCwx+x9TST8fg4lEwUZk9QkO9KujRUTaeR3z63dj8Kx/QF0xqTSQgXZ988uHIrlFeMUOlW1p51YvGIXWtw+/O7lT/HMXz5HkOWwYt1BsQBYWeLAxh0n4A+BkAGA5YT7Dl9zqmp2IxDgUNfcgdc/OKRYAypLHIiLNuHxWbkyNoJ4uxnf1AuJcxJv6xgKHN+5XsbbzfD4gigc2x/V5XmoLHEgoZs6Ld6VHiNfyMg+zm4zobLEgXnTM1DX3IFr4gVNx8oXduLEt20CXW2HH8+u2a94TxUlDrAcr1zzX9+NuuYO2WdPrdoLjuexsDQHT/4qH6W3DhHf7dY9pxRx4Zxp6XC1q8fQUVYDKACBII9AgMeKdQfx7Jr90OsY5A27RrWrqb7Zg4/2nlE9z6vrD+GbunZ8W+8SGZNIHsPvV9tn7QHLcrI9nzSPpwnsp4E/PDhavHenyysUWL7DLiq2+y80rRwQeSYMQ6MlpNtO8sF33TwQdptJFsuRY0SFGkHCc6qOtEQ8+sJObNx5AgtLc/DS/LFYNDMHMVEmtLn9iLYaZTlltf3+c2v3w6DX4fUNyvmqolgoohRkJSs6EMN97Z1tx1Dv9KC13YeH78hAdXm+IA0CCo8t/1QW71aFcs7hc/XiFbuwcPmnaG7z4jfPbcdvntuOP6zeh1/k9IHNIlBB8pDr2pP9nS8QxDf1bjzz5j6cPu/CinUHVfMw5D0YQ8UR8LjguyLv60r00x9iF8o3/qDjshz8AVbcf7e1+2QFL5tFL+bm1HxxQXEWusdasLgsF1aLHg/8/h+4/+ltmPdHoXahls8yG3Sqc6t0niZzvcfH4g+r98GgZ7D83UOoqtmt+SxMBh0Wv/IZWI7H4rJccX1odflU98c8LzSylN02GC6PX7NLXY0dsq1DmfepKBEaVlSf84/0/sLtYnMgCwD8CcCXABgI6/gqAI9c1qv5iUyra6mxxSsm4QrH9keHN4huUSaUTRmKihDHvs1iwOKyXLSF9PI2bD+O/ylIgdnEgILQqvr2lqO4fkQvLJudJwSJPPD//ncfak87NRdpluPhdHk122e7x0Qo0MIAUDwhDQDEBKLUWt1+BcqkaHyKKCJ+5GSjgv7oodsz4QsE0eEN4sV39mlW8uua28UW9HONbvSIs2LX4TpMvSFFpif4/sdf4+5bBl/UQHG6vKAoCgYK8HHq3VoE/aElXCuleLhSEXea1FpThuLtLUdRkJUMk0EIVN/echT3TlJ//kGW16QQZULJsoKsZPEcW/ecAk1T4MPmIJ4XumvVOvg4lldsQKtDlG6q75fVeO+an/OqOoj3TlL/fpDjYNbT6pSjDDo7fEKCtAYG8Gh0Dl4qdZqWz17pGmqXy8IXRS0tVKIN5uoIYP0nx1E4tr9i3lXrONGi20jubgsFBn78cY1Q4CDIZ9IhqKaXR5LX18R2dnroGBqvrT8sG7svvXsA90xKE3VE5hePQFV5vlAYlminSa+J3E9zmxevrT+s6M4l3eVS/ThyHK01yGTQaWpySrn/L1QovBr8+ULUhlprDc9QcDb7xM667LQELCnLDVEWCd3PHh8nFhnCA95n1wi0rlKtYWL1Tg+o0Ik5jtdEnEkR7qTY8WBRBmKjhS7ExtbO78dEyXXKUpPs0DE0lszKxblGt0j/Om96Bmo++FL8nlZhPnzj3Or2y7oJAeDpOaPw6rrDmF04FNfEWUFTFFpDNLnkd0L3mAZAheexcPmneGDqcPH+SUH12TX7RSTrNSHqMB48gryg7Xq1gS6CGutpkL10OtCLQSxyGvFchy+giFMJfTJBXKt17cXbzThT7xb1oOLsFtXjt4WS2tLO2pmTh+CV9w/ijU1HRGRzW7sfAC/QxPHam69lIX2ehaU5AIR7IrTL9U6P5pok7WaNt5tDSclsJMZawXG8TCdwzrR0nKlzo/a0E3HRQmcuywnUvwTdrNZNQeb6KzXu7bIu6zLBpAwkDM+LFKH+QFAR14YX1oDONbkgK1m2Pwufi4HOPUrprUPQ7g2ApilZLEwAFLHRJs3zkOTfpp0ncUN2suJ7gSAPHgIoNZzeXDp/kqJhVXmeIO0AoNXtRd7wHqAoiPIoHb4ArtGI54MsD5NBh12H60RacxLH0QyFpa/uDnVayuOODduPY25ROlrdXiwozsLGHSeQNShR/M6imSPhD3Aiy1N2WgLunTS4a88XZkSeJFxCQaCgh5g8njllMKKsBllBwWbRw+sPItpmRCDAau5jwj/rZjPB7Q0gJsoEluVRMnGQQNPYzQKfnxXzFoSKXqofTyzeLkhBuL1+LH/3EKJtBpHW8I1NR/BgqEM0/Nz2SCMizDEABZmvkULk5DH9UPPBl2LnCWEViNEYTx5fEBaTXszdvbPtmOj3kRHGkGa9X9QPjLebcbbBDUCI06QAuO9iwPipulF+avsuSQct3fbZhUNlepVzi9LR2u6H0cBgQXEWtu//RpYfju9mht1mQkFWktixlJ2WgOnjTaD7hgAAIABJREFUB+Bvn/4bBVnJiIkyifqWJj2jGXuqzVeRVkEDMMraqQ0vBdIldbfh9HmXrGvaH9LqaAxJTIXv9cg5r4m1okVjvxltNeLxWTlix1VTqwePvigohv3xoTHiGkT8bc60dLy2/ks4XV4BqB9iflOTspozLR3L3z+A6eMHwB7aN36X/MaV6qc/xH7MfKP02OF7HldHQMwrE1+U6vHxADbtOIGMAQlI6GZR5M78wSAWzhyJ+uYOMZfMQ73YpjbX9060oao8DzRDoWh8CtZsPqr5LEiOzudn8fK7B1E4tn9If15D2izI4cV3DuCh2zNhsug0aZztNqOYPxeoRY3o8AWw+u+1CgmssslDVX30p8oXX9R+v7a2tgPAvampqbMAJAI4V1tbe9GNMKmpqb8HUAigN4AhtbW1h0KfpwBYCSAGQBOAGbW1tce+628/1BieV7R/VpY48MGOExiX1QuFY1PgCwQFGiG3X+SHJcnfM3VuFI7tj96JkZg5eQgoCmBZHgYDjePftIkc99Uhug1XR0AMKLWSBASZ7/UHVYsvBj0t0hSxLI8tu/+NrEGJqHxRaPFfXJarOC5BORHUVXh7a2WJAzabPlT04MEwFHQ0hd/8aTvmTc8Qg7HwSXpBsQMvv3sA0TYDZhcOQ5DlwHFA1ew80KDw121HUThW6FwsyEpGc6tX9Z7DaVQrSxyIMDHwhhAcFxoAOgCPljiwTCUJQuz7FGr+K0yDWovS+Fwrsa8Lcear0XsaDDTuunkgGkK/0eso3HXzQOh1tGqhsWzKULz5f0dkn7/5f0cwc/JQVW5litKa4LTeu8bnjHqxUuv7OpqGn+VV9QhnTR6KNhXaz2ib4bJMxj9US+xKtYulcQhfFMnmR+q7C4qzRGoC4v8RJh0aWryhxdkkFgVpGqgqz4erww+DjoFeMk6kYr8MQ6HB2Y7kxO7iuVOT7BjYJ0akjCHjhmjnkblIGGfC3N3i9sFq1qtqWAZZTqSibnP7QYHCQ3/8BFWz8y5Y0CHFFIKm7hFnBccB3kAQxRPS8Oya/SG9rM65VmsNommBN/3x+3IBXtgkrP/kOCaN7ivj/m9x+VR/7/UHrw5/vgC1oZYFWR7rP5Fro77+wSEhfmA5sGBQE0Km63XqQCCG0RblbnH5UPniTkwZcy1uyE5WjItH73bAZNSJuim9Eqz4n3EpoCAUhN796BgOfN2IOdPS8fmR87BZ9OK8ao80wmo2oOaDzkIF8dXw6U/r+qQb58oSgQpcavF2oWOVoE1fWlAAigbe3nJUoSdbPCFNMZa9/iDcngBKbx0Cq1mvqjlR7/Rg8YpdiLeb8fisXJw678LWPacwffwAxNgM8LP8VUMloxlfMRQutcVdCgog86bNogePzgIrrbGh8QdYmI0MFpfl4LxE71Qay0nj2PBYr94pAOQoqG/GWt1+Vc3WyhIHrBY9fH4O72w7hi17zgAAqsvzEG+3aK73p+vaYDLoYDYxsJmNeHlBARiGwtB+sdiy54xGvNwpDxBvN+Ox0mwEgrwCtCHV7FxYmoMAywI8ZNIBhIZcK0lFCqdXZNzbZV3WZQqT6mbzANZ8KE80OdvU4zVXR0ATHEf0paKsBkRFGPHWlqM48LUAGraYdPhtGLUW0bxRO0+3SBMWluZg1SYB/EZRnXO12txcUeLAbdf3E+JYfxCRVgOWv3tIPCYBC1MUQJpBrBY99DQDPoIXNfikYCDp9bAsL8YpUiBSvN2M2YVDsaA4Cx/v+0YEcbOsQCN9Y05vxNnNoCkarg4/BvaJRZzdjJcXFEAf6jhZ9MoO8XwFWcl4df0hVVq8Kz5G1jCGoRHkeM0uzYWlOeJ7eeW9Q/j1XZkilec7246haHwKusdEgGU58FBf80msKf3sfLMQW6jlwExGGhRlkoGCbREGBYXo/BlZ+Ou2o3B1+PGbuzLBcjyMehrV9wuATcKgxXEQ8xxOlxcRJj2efkNgbSFrufTagiwn7uGqy/PQ2i4wDGgB8M1GHRgdhZPfOlFVnhcqajO4d9JgOF1C8WnrnlMiSP+WUX1D9J+ZIsXixca3F0Ob+d9o0jlTLebXuu/EWCu8/iBeeGSsqE2moyl8tOc0Jo/phzEZvWR6jJUlDjwwbZhMWqcgKxmrNyu1xBYUZ4ExqdPGksaV8PnqganD0T02QpZLBTqBdIvLcmXx44LiLASCLJwuL1rdAURZDegWZcTC0myxCE78Vq+n0S3SpFpU5nngT299IR73odszkZpkR7TNAKtZD5blUVWeB1e7Hw0tXjGWJxSghWP746O9Z8T8eXV5PlrcPjS1esWC5cmzbUIRT/KuOE7IUYCSAzivVD/9IfZj5hvJsVdv/kqxfztyshETR10rUuD2SrAiwqyX6fERrT6ST5Dmzgw6ndiFS76/9D5lfYPEFoSek/hmXXMHgiyH7jERMOp1ePiODBh0tOYeMt4ugDLJvpVlebS61WMmg55G6a1D8N4/juHW0f0QZdVj/owskWWLrBMWk05VC7glJGcktXsncao++lPliyk+vK3nR7DU1NR8AKcAbAcwUVIE3Abgtdra2r+kpqbeCeCe2trasd/1t4u03gBONjW5wam0T+oNDDwBTrZoTr0hBTRFY43KBE2clFSrs9MSMO2GVJEyK94u8JEfPt6I5MQocUOu11H426f/Fo+nVowjaPpomwFlUwSkibQKHt/NAr+fRbXkXBUlDnA8h4f/uF28nnCnmz8jCzu++AYD+8QiqbtNppMDQBxcUhTy0vtyUVa9FZUlDnHxkCbEbRYBmfXR3jOYfF1ftLr9CmFZfzAo8rQDEEXDnwi7/r1fnkdyYpR4XJ2OFvhxg+x3imISerW60HOKshqxcuNhBYKQLCIXa3Fxtp8LcKQ3NPyXZxhRK5FYvF3Q6yMdq9LPfz93NBpbvLKOzwXFDsRGm+D1sXjmzc/FCZAEARUlWfi2wa0oDvaMs6KhVaB9I/5pizAgLtqMM3VuRWK8Z7xV9Ti9E22od3oV3Xix0SacPu9SfL9Xgg1n6pSfJyXY0NDikfkWabk+pXKcHnER8Ac4lFVvVTzw5RXjVAXEnyjPVy0Ofh+B1u/LWx4XZ0NDg+tivvez91+pxcRYcfJs60U9W9U54W4HIkx6NLd50S3ShBXrDirmgEUzc/DuR8dQND4VrvaAjJt/4cyRMOl1CHIcjHoGLW4fVquI/VaWOOALCPOa3WbCnGnpcHv8iuC4qjwPZ+pcsmR2hEmH6pV7MG96BqIjjfB4gzJ/faw0G+2eoEzQeEGxA5t2nsCEvD7gAdn3SZKGbO6kWrFP/iofgSCHNzYdwUN3ZKC51QtXRwBHTjZiTEYvTUDI/OIRYCi5buvconREWY2wRQjJ8mBQKBYBUJ3PY6NM4ALsDyqe/Df4r+b8W54PilUPz3gdjXONHYr5MTE2AlSQBUtRmFm9FalJdsybniHT5SHHf/KBfDS3eeH1sYo1l9FRaHX5EBdtxrmmduz811lR58frD8Ji0iHI8vho7xlM/8UAtLX7ZXMvQekf+LoR1eX5+GDHcYzO6CX7jlTfJ95uFpMa0gB+4cyRcHcE8O5HxzqTiFYj9DoaLS4fLCY9Nn92EpkDuyueRfixt+45haLxA2TXUFkiCNd7/Sz+P3vXHR5Fnf4/07Yku0mWhISghM4SQnIkIYkkwUITpYsnwQJRQygKiN5JuVNAEdA79fTHqYeggAXwTkWaHmJB1KOjQMClCiiQkGST7G62Tfn9MTuTmZ2ZAGc5Rd7n8ZFnMzszO/N+3+9bP586BWRHssOKP97ZE0veOwDXKTdGXNcBg4s7IsxymPDkx5r3sWBSEZ5duQfTx+SBogjYrAxeXrNfVeRU2qDLzf6aYhhUuwMau5vksCCkmFq7GBEoEjNf+MKwu1/q5o223Xr8kVJxTvkuppZkwxFnhommUFMfQL03hB0VZ5GfkYp4mwmJ8RZs+Py4Rqck/7dffjtdWy3pr6R7Upeys20CahuCGt/EaqHw6Ev/QVanJI39k9bP5p2nZcSNq1raQRDAxi+OI719kswdRFOknECRRLofKUCUEi96SUMpcaXnw0h6rfR7L8Xn+LXor1Iudm3+nPJT31PLlnYMeei9iz5+3dPDLvn4n+OZ/tjP6beuv3o+stLOSlNGrRJjUV0nci8pYa8AYMR1HWRfMXp/dnsCmD+pCGVPbNZc+9VH+6Oyxo9n3tyt8iHjYk1Y9NbXcJ1yY8GkIixbfxDjRnSHxxdCSotYVEYKNEoUDYnvVS9hOGNsPlZ/qE4gJtjNWLHhIPrmtVXlLaL3I2lap6jHVQiG1H7UrLvzEWNm8NZmF/Ydrcaccb1A0wQavCHExYp5D56Har+SGjzKh2dqbPKCSUWY+cIXqsYYT2MYHa+OA8H+4u0vcAk6fLEiUCQWr9mP0sEZmKjjlz099Vr4g6z8zmaXFcgcgRL/rjJmIUCo9uFpo3PAMCSeWrFLk7sb2aez7n4qFahvuaEzEuxmCDzw6voDqPOEUDKgC1KTbDjvbsTr74uNa5JOGXGrS76wxLNuNlG4d95mjT4WZKTg7sHdQdMEwiyPxgCLBJsZi9fsw/aKSl39lWK/smGZaPCF8NZmF+wxJgwu7qBZr+u2HsOYmzPw/GqxEfRSc2HS+5r5gjYnYnSuX6L+Arh0+0pRmKET4z18V08EQpzqnTxaVgCWFZHcpMKF8jvzJhTi6Tf2yPZNQinS08WF9xejzhOKytXlYfehSmR2aqmyrdNG54AXBITCHPYdOY/iHlerihEzS/Px2Z7TSG+fhHibCXGxZrz98WGMGuCE1xdCXaTQwdCUKg8+9NqOSIy3oM4TUl1P0qnb+jnx1maXJs/y5ORiuBuCqvWohNiP1uWpJdlomRCDP7/0BeZNLEL5fO2esnhmX9CRGoVezl6aFmSBi9bT5vbbX6L+Xsj+Nvd7fkqeRMZEocajzovOLM0HTRF4fOl2OOwW3DO0Gxx2i4rTHWiaogWAxHgrBF6AyUSBJIFQmMcjUcfr1Teim+7XbT2G4dd10th/aY+u84QwdlA3JMZbcEaBZjR9bE+EQuo4dPa4a+DxhVX6/+DtueB4Xoanvjo5FnWeEFZu+kbOdcTFmrFp2wlcl9MGKyM+i/I3S36N8rPm8kb/zfu7VB3+WYrkLpfrcwBwOp3yZ06nMxlADoD+kY9WAljkdDpbQuyp1/2by+U6/2PcU4gTNIo5sFc7mcxSD45L6ZjeO7S76vtVbhGPfN6EQrz/5QmUDctE+9ZxECB2pknwYfE2E5ISLBEYOQAQQJAEurV3IDe9FWobAgiFOVUVfGpJNmiKUF1rwTLxWpJsr6jE6Bu7Yt6EQngaw6ipD4AkgHe3HMe7W45jwSR9+EW3R+SL2l5RiSq3Xx5vVXY0S10lU0uy0RgM45Ndp/H7fl1w5rxXtelVuUX+n/lR18rPSMXqD7VjsLfc0BnTF30OAHhxeh+EwywoEwOWICBAgmbU79RhAcx5eZt8HYlQ98SZhp+0av5LEN4IrooTdKfuwiyP1R+qJ99WfyjCh5IkDCaT9DmDnpzcGywrqPTzwdtzIQj6HH8L7ivWPc+C+4p1p/EmjvydzDkoFRktZgphlpc5pKTjV2w4hIfv6qnhrlq4fCcW3FeMT3adxuyyXqBIkSPi3U+P4LZ+XQzHrElSf+Sc5ZvvGrsUUcL4gBMuO928VKn3BS8axkHVvSeI09cNvgDmvyoWth68IwfDr+uEvnlt5WRvldsPiiRwc1EHnK70qOxVVqckBEM85ka6d8WC4TUoHZyB2Yv/o7mnybf1wMNjeiIY4lRkxMoCBssJCLMi3Iw0udQ3T4Q/enblHswZ10tjCxu8YRlaSbrewogd5TgBZIRE2xFnhsNuhscXwpibM7BiYwVcp9yqJg2b1YQVGytQMqALqmob8cg//iM/v375aXhiYhGq6/ygaXE6kWV5ECQBAsAj//hSs04njsxCg5dGq8QYNIY57DtajbJhmbr2vHx41iUHmb9GMbK/vCCAgr7jxhpwoi2YVAwA8qSU65QbNQ1+3elslhWw+J0DGDMoHY+NLwTPC6ip98PEEKjzhlSdmUqdBMTAU3qfoTCngWheuHwnZpf1wuadpxFmOaS3T9Ico5w0qnKLMEdlwzJBUyT+eFcueB5oDIbRKjEGJf27qortM8bmIxBi5SD14Am37A8lxlvw8pomjp/oe3+sXITmCoRYcAIPjgPqPSHNvvKX15u6rW8qbI/q+kbEx1p0bb0EAyKReM9/b4dqGutyh5IJswI4gVfts5zAI8xeerJP6lh0ewK63f163bwCBFTXBTR2b/6yHVhwXzGGXdsJw6/rFClgM3A3BGE102A5Hh/tPKk7ifvp7tMoG5aJxHiRt2XnwXPonX21oa2WpmAkmKd4mxnxNjNYVsBne9S+w+Yd3yKrc0tUuf0YcX1n+ZzSfS9cvhNzxonrx+0JgKEpECSwZM1++V6njc7B3CXbDX1xJU9gvTfULNesEdJB9DT2hZrprsgVuSKXj3Acj0S7CU9N7i36omEO1fV+bNnzHaaWZCPWwqhswYO35+LRsgJ5UiXZYcVNhe01uY3oKWM921NV2whbjAkPlOTAESc2/hAEUF3XBKspwXqGw7wqhlTa5Sp3E8yXlOuYXdYL9w7NBMvxqmZfpZ8qocFI96WExWuXagdJig3e1+e2wT83H8bQazvi8fGFIAiAIAlQJIE3P/hGRkSQUDRml/XC0rUHdHM+C5fvxOTbesi+nvK5SIgb0dM7EkLUb0GifWE+Ams4uLiDrg7FWhh8/tV3MhpJnTeAeJslwiGm9hWeXL4L08f2FOMYjse5Gp/cTP/Y+EIAAiiSxF9f390sFQ9DE9heUYm+eW3x9Bu7NQgT9d6AHEfNKs2X/Y6yYZm6uQ1pnTy3ai8eKMmB19+kB5I+JrewAoJYbNTzZSQ/VIZ1TLHhVKVXXiP3DO2OJ1fsxNSSbLSIs8rTZ9J9SOu1IQKnP6s0HyaKQPgSEw6/RfQiccCA1UVTscUwmPl39RT0ebcfL769T0ZPU4qUYy0Z0AVzl2yHM82BuFiRNkrv2GCQ08nVuTD02o6gaTXdDsOQEARgxYZDGH9Llvw9qRjx+VffYWCv9qhtEBvnXllbAbcngFEDnGgMsvjgP9/itn5OzFXY/imjRIjOccMz5QKIdG9SvBrNASf9neMETS5Oss1hVtDNqc8YmweH3QII+nuKhLZFUaSm4DRlVLZMw/Fb1NOLkZ8y3xjitJysC5btwMSRWahyi8g79d4wAK2uO+wWxNvMqPcG5eEkyR+JsWopRbZXVOKOm9Ixu6wXGJrA9+e9qqEsCT2lzhvQoAet/tCFvnltRWqJF7/Ak/eL+2/p4G5gOR42qwmPLFfbz7kvb8OTk4vx+PhCcLyAOk8QcbEM/CEW00bnIBBiwQtNaCzSelA2c5YNy1Stkyq3ltZtZmk+TBQM7fLPkS/+X07KtgHwvcvl4gDA5XJxTqfzTORzopm/XVIRMDHRpvt5lYI8WBKJz8bIWUhNsmHJn/rJVXkjgz+wV3twvACSBOq9TUooYcNu239WnhZUJp9DLA+blZEh5qRzPrdqb8SpUV9LAFQJYDNDwe0JwB5jBgDZ+ahyG0PASckGSarrmxKQSs4UEyNW6dduOYb8jFTwEVx9/SSF2qDbYxhd3ra7h3RHv7w28DSGQJIECILCqcoGuUL/53sK0CbZDo8/hDDLg6BJJCbERDZQ9fuT4RTuE5OqDE0iPrLZ/ppFT3/Puxt136WJIXU70wx584YJMNGkbsLZCBOZ4wSNc/DMm7uxYFKx/vGG5+FR5wmpPq/zhBAKc3j/i28x4vrOkQScGe9+egQlA7rqFiubgwG4qagdqtw+2Wm6qagdrBYacTFm/PmeAsx7pcn5+fM9BbCYKN3najHToqPyP5aWLe3/61u4ZDGyv5JEr2PxMz9AEM3+XrcngL//8yuMHZQhT6BI3UPSFMj7X4qTTRRFYOHyHbKTLtnMDlfFaWztnJe3Ye64Xrr3RFMk/AFWNcWhDLiWvLcfNEVEwW+I0MnSsSQB1Vp0pjkw1YBLIhQWEx53D+4un1Oa0JZ+MwD8vl8XeHyhyJr14c6b0hFjoREOC+iX1wanK70YO6gbKEospq/ZclTuNJX2DofdrHsPUjImzPLYsuc05pYXgiJhaE9Sf4U62pxciv2lSAKJDhtOnmvQ2JZYi9qnkJ49xwuwWBjYrSbZJpEEgWXrD2oaHqbdngO3JyBzL0jXnV3WS5dMXnJGxaYOXuaIEARg2ugcuUmkqVgu2VSoOCYkiS5U2Kwm/OU1seNO6moOszx8flYuAErfk4rayutKtvwvU3qjb15bDL+uExxxFjz7ZlPXbJ0nJMOshlkBb314GOXDs5BgoK9pKTbRBxAAngf+9fFhDVyHEmqyyi0WM6OfmZ4Nupzsb2VtI55cvkujw/MnFSNF8Tt5XkC9L4gwyzfrUyUkCIh16/vNerZc9KH1EyHVdX7EWGjEWGkQIMDxAuwxTGSq7gTKh2ehul5Mwr39sTiZHGY53HJDZ3xX5cXid/fj4TE9cU331qqJ3WhbLSWkq9x+XJ1iB8vyMnTpwRNuvLvluOre8jNE/ifKoFmIpkg8+8C1OF8XwLqtxzCwVzsVj0uLOIucCDcqTCv1c2SfzrrHgQAWr9mHh8f0VCEypLSIQayFQVysGTQtwtOJPpN6j5u/bAf+OvVatGwRq3mPvzS5kP8gyS9xbf4S7+lS5Oe6/1/7c2pOfm795XkBZ6q9qG0IqGK6h8f0hM1iUiEMSLHbw3f1lAsTNitjGPtJ3H51nqAMty3t/fcO7Y56Xwg0SSLGSiEQ4uRGOim5Z4uhZbsmdd1L5472V5QcqlVuEQqdIgnU+8Iav9NhtyA1ySaS04PQQDIueW8/5k0oxOlKkapFonMhCQJnqr1Ia2XHse8aZOSBm4raYfE7B2QfhKbEItG9Q7vrPpfkFjGIsdCwR8WVH+08qXpOki+YFMlh/FrkQjps5COwLI9TlQ0q2p0nIvQGr7//jQYmdWpJNsI8hwHXtMMraw/g3qGZaAywSEogDf1RngcaGoNIsJnROsmGqSXZqPME4fWH8PK7B2SfGTCm4gmzYr5KyvtJe6Qk/5jZV4ZLbBFnkamBjPKEko8s+ZYMQ2JueaEMp7/kvf14fEIhHnnpS92BA+VaiOZHlu6ZIgn88a6ecNjNqPeGdO+jTbINFEWIzX07vsUNPdPQtlXcJeteQoKAv0699oI+4C9ZLsW+uj0BzIkgWyh5KBPjLQiGtNzWUh60uRxrmxQbCjJS8Pt+XUSowRZW3WMJktCNrctHZOLUOY8KsjPBbkLZsEy4PQH4g2EM7NUOFhOtKvhdl3M1dlScRb/8dpg2Ohs0TYKmCLlYLcVFgFr3jPNqvDxBGH3vvKDvF6cm2cDQhGY4wXXKjRgLg4fuzMXSCCKH0h786e582VbWNmj9WOleQRBo4Yi5JD39NfkcF+ND/JS/x8i+G+XulDx99hhGl2e4ZEAXnHc3aoaInnlztyGs+LnqRrmpVDlNJ33X6w8hxkzrNlXEWpru6ZW1BzFxZJY8wQcDva2uC8DdEIQ9hoHXH0aMlQbL8vA0ijC6LMvLNBDK79ljGDjsFrRtZZenfqX1SpIE5k0oBEkSoCnxWUqx2v9KLnu4XL1RWooiwesQOko48UbGXBAgJ41nlxU0U1QzwdMYgpmxyg6gslI8u6yXqpPYYbegITJ6OscgAS1E/YZkhxUkQeiOWD+3ai8S7CbcM7Q7HivvhbM1Phw9XadxSGeV5oOiCJAECWeaA65Tbqz97BjGDuqm6jhpDIbxt5ViV9ysu0UuoDArGPL/AFAZdKPjzlZ7cWufLvCHWFUCX0qCzHtlO+ZNKJS775RdzNI5lOd0ewKAIIDgeLAsh5rApcFaAb+8zUFPf2kLjZml+Rq4KkHQn95bMKlYP2lNEKAoAi0dImQiSQC8ANA0AYbSn5YznEJsppNH73Oa0i9YmhkKN/Rso+ren1qSDRND6v5miYA2+vwMpb/585yAurpG0JS6q4qmCAgRXrbobiIhzP2ocD0/MRzoD7/JH1EuBGXAGGDgQxCa/b0URWL0gK6orPXp8ks8uWInHisvxPDrO4IgRBubGG/F0j/1gycyGfFYub6tZRj9KQuW45EYb9X9TrzNhFml+QizPJ6YWASCEGEN/KGwCuZIue9IcC+VtT7d61XW+jCkd0f8e9sJWS+VPIivbTyEh+7MVTlT0npp8IUinXb5YBgCjyu6/WaMzQMAOSCdMiob1XX6vK0SvwZJEij83VWormtEalKsoT35oevk16C/RvaXpglU1zXKSSBA1I15r2xX2WAjjjJp8l2A/nR2Tb1fl0S9MRhuNmknQeayHA9BAGrq/Vi2/qCKey3BbgJFkXh8QiEIQC5YGBUqpo/Jw7L1B+TfM6R3RznB+OT9+g0hNfUBzHzhC9Ue7/aIjraU/Js4MkteL9J0v7JTcMqobACC4ZqpbQgipUUMOJ5HvM0MT2MI7395AnPLCwFBwLlan2rKUCpmSv6PssiptEGXm/3lCX3eSV7xmy91iow04Bk0suUUpd90o4T6UV57zrhr0C+/rVzYk5LbFEGgzhtEvTcIhiYwZVQPEVZGJ2Ej2WplIbggIwW+qN8ZDf+vtIUcr89F9P15Dxiaku/9xbfF5g9pEsSZ5pB5NPR4tu2xDOaM64XlG8QJ7x0VZzU++8xSESa6zhPSTNNMG52D5RsOajgupWKp9Fuq3H4EgizOB7Tv5Neiv0r5rcKB/tTyK4UD/dHO9WPIz62/AkXiXI1PlVxz2C0IhjhwXFDXHsZYGMTZzPA2hjDrhS8MufQCIXE65pW1FeiZnownJhaBJAGPL6yJ0Vdt+kaT3JsxNg8De7XDVS1tzforSgg56dpZu3AcAAAgAElEQVSnIvy944ZnqjiqAGh8hJlj89EYFLnhxwxKh8NuxtK1IryjZHeV/HLhsCBPl6/a9A3uHdpdZfcJQtwjjHhta+oCIBIs8AdY2KwMHrojRy5CbvziRISr2w6KFBNuNTVew/f3S9NfoHkdNvQR7GawPC8XAAHxHS95b78cy0hN5qlJNpAEUO8LgQ3z4EgBQ3p3xNK1+/H7fl0QDLGIj+I8A5p8t9mLv8TUkmyEwhwsJhpefxhvbxB5zZQ+sx6H/JRR2Xj3UxH1yihPRZEEYixiMnvNlqMYMygdKzYcAsvxhj6y9G8CBJasOSDCzo3Jw7jhmSApAjwnYNroHMTb9JvZ4m0m+RxKDm0pflPCpc8t1+fLOlfrk5HLpozKxhsfHEJ5hIP8vxECuGBe7Zeov8Cl7WUsITanVbnVxWCJT9Eob6zHBy3RDTA0ifLhmThX24jnVolQsnq6WO/VxuEFGSnw+MIqX+/P9xbARFNo8IUwt7xQplhQQj63TrKBoUn0K2iLuVF8bFmdkgyL2PE2k2aQA5DWAgkTQ2n4MmeV5jeT6yPg8YVVzdESfOPZai9aJ9lUzXJSodAWw6CmxguKIhHg9POO8TaTJr64kJ5eAA60Gc3438gPgQP9odJcDAhcmJPV0yjuw9HronVLG2rrA7rv1O0JynyCSn35dPdpzCrNN8xNOOxmsJwg06ZJ55OmBCVxewKIt5swqn/XZrlXY8wMlnx8QEYomlqSDauZkSfSJVt8utIbleMTMGZQuoyslexoaoJ6JMpPIjj+R0dkuVQdpubMmXPBg5xO57ZFixbRixYtOj558mT/Bb9gIIsWLXoAwJuTJ0+uWrRoEQfgT4sWLfrL5MmTBafTSQGYF/mvwehvkydPbrzIyyUAeMDvDyGa9pAnCdQ0+JGf0QoHjlXDFxCN+M1F7ZDbtRU2fnkc40dk4avDVfLfpo/JwxsfHMLhU3UAgHPVjRh/S6bq+zPH5mPd1mPI7ZoCM0PDFwjjnU+Oqq7tC7AY2rs9Vm8+In82fkQW/v4vcbrkmu6tUHG8Br5A00JKdljRNz8NOyrOqa4Va2VUCUdfgMWBY9UYf0smuqS1wMLlO7F68xEcOlGLkv5OHDxRg5L+XTHs2g7om9cGKzYexMvvVWDXoXMoH5EJnz+Ekv5dERfLwNsYRos4C7z+MJavFx3yO29KB02RsJho+INhmBgKPdNTVM9gVmk+BAh4ec0B3HlTOm4ubAd7LIPe2VdjzzdNz3PKqGy8+YELRb9LxWNLtml+w503peODbSdR3OMqvPfZcflve1xV6JOXBhpAXkYq9riqVNeOZSj8EJ7L2Fjz3P/6yz+uGOovJxBYvqECt/bpgpsL26F7xySs23oMPbokY9WHh1XH+gIsRtzQCRkdElXvaWpJNuJsZggC4G4I4olXd2D15iPYefAceqanwB5rQveOSdh39Lz8nQdvz4U9hsF/9p/V6OeAgrboFnWNKaNEPgi9ayfYzHhcR3f75qWpAoemz9sgEOKQ2TERA69ph2xnS1jMNGKtDNLbt9Ce325BbX0Ai/75NdZuPY5DJ2rRMz0FtlgGnAC8sq4CbVPjYWYo+IMc3v30KHo4k2G30LihZxoGF7dH//y2sFsosAb8DZcq0oY65+VtWPXhYWw7cBZ5GamIMdMX1NnYWDMaG0PNHhM57hevv0pxxMcgs2PSJa9jQRAQY6aREG9BjJnB6s1ave+dfRUCQQ5efxgFma3Q4AuhtiEgO6+Diztgx8FzGl3O6ZqC3j2uUtl/qchTWx/AoRO1mu8M6d0ByzccxD/ePYDtB84io0MS3trsQpe0Fjhd6QFFEnjw9lxQNIHCrNbY66rCnTel48W3v8ax7+pRPiJTs3be/MCFLXu+w43XtEdsDANn2xYoyGgFAcDg4g5Ib98CsRYa83XWy6CiDvjq8Hl0bhMPh92CDlcloKq2EacqPdjrqsLUUTno3aM1bi5qj617v0NO12QUdE9V2eipJdloEW+BI86CxgCLmroA/r3tW6S3T0Rh1lXY61I/H7uFAs/9MO6QX4P+Gtnf7C4p4HhB1wYPu64DCiL7lfTele9sj6sKN/RsA4LjQZOEZm+TEmP7jlRj3PDuuK1fF6S3a4E3P3ChS1qCrk7eXNQeOV1bgiZJzF2yDW9tPoJtB84iP6MV8ru3wsHjtdiy5ztMuCUL2c5kzF2yDV+5zqNtqh3xNrN8v0ofKN5uwoCCtuB4AUvXVgAQ/Rfl78nv1gquk9r7yeiQhK1ffS/r6N1DuqHod62xapNLXh+J8RbsPFgJX4DF/b//HRb982uNbvfucTWWvlehWTOz7s5HrJXGI//4Eqs+PIydB89hwi1ZaNc6DvOX7cDXR6pRmNUam3eeUq2ztza7MKi4A1wna9G9YxJcJ2s1Nuhys78UQ+ru4zde01bsBILoJysh15X+F6FzUj29NbLlFEWCpEjkdWulOn7KqGys2iS+j+cUjR2+AIue6SmqKWxfgEXfvDYIhnnVPt+jS0v4gyysZhrbK7T2fUjvDli56Rt8fbQayQ4r/nBHLubq+KB3D+mGLXu+lwtsNiuDva4quBsCuHtIhsr+Ke31AyU5CIQ5fBTRM/k3kwSG9O6A9q3jkZhgxbU9rsbg4vZIb9cCgiBg5b+/QZsUOwqzWqNnejI6tUnAO58c0diZ63LaoHOaAy/8S7029h+txq19umDt1mPo2MYh+xmuk7UoH5GJ76u8qKkXk0z98/Xf4a9Ff5VysWvz55Sf+p5iY81Yucl10cfffmPXSz7+53imP/Zz+q3rLwcRtWHt1qYpZml/zuiQpLsvd2rjQDDE4pk3xQRyQWYrTVw/c2w+zCYKsVYG3sYgbujZFnXeIEw0hceWaveIW/t0wdavvpev4wuwGHlDZ8RYGNQ2BLE/cm7lfQzp3QFZnVrCbKJk2yn5HVv2nMaN17TD/GU78N5nx2Wb1jM9Gc+uVO8Te11VyHEmI719InYfOof09olIS4lDx6sT8MXX36NkgBPXZLbG31buwVubj2DHwXMYc3M3Gbo/3mZGIMjC6w+L+9GHLpQO7g6vP6R5LtNG58BkIvH40u1Y9eFh/Gf/WeR1a4UVGw5hzZZjOH6mAVv2fI8hvduD4oWLifN+KfoLXIQOG/kIndokgACJiuM1qKkPyMd/f96H3/frjH55abgmMxWOOAuefn03/rP/LNq3jofZRCEh1izzKfXucRWeem0Xaur9KB2coYrJZoxtysl9X+VFtjMZz63aiw+2nQRFErLPfOpcA/5wRy56ZabCFmtCn9w2GNK7AzpclYBVm1zYeagS31d5kdM1GX17pqn8kZml+Vix4SCWbTgE18laWU9uH+iEyaTNf00tyZb92SmjsrE64lt+sO0kvjpchb55afD4xBzA2q3H0TM9Wdd3H1DQDr17tEb3jklo1TIWCTYLbi5shxuvaYc3/31IHirwBVhU1zVq8pVTS7Lxxgcu1NQHZH/m1j5dEG8z4aecO/kl6i+AS7KvBEVi2wGtb9w/Xz/vOLi4PXK6puCT3adx+FQd7h7SDaMHdMUNPdvgjQ8OYenaClTW+pDbtZWc26qpD+D7Ki/uHtINd9zYFRkdErH+8+PITU9BUVRsPW10Lh5X2Nirk+3IcSbj8aXb8e6nx7Dz4DncfmM64mwMBvZqh8yOSXh25R6s3izaox5dWuLwyTpZF/a6qjBx5O9QXRfQ3Q9u6tUeb398BHcMTFfl/2aV5uPD7d/i7//aB29jCA/dkYvBRe3RtV0LLFt/EFmdk5DtTNbkMWKtjK5/PW10Lpa8dwC9slJx3t2Ir49WY+tX3+OjnafhOlmL/nltAUEATxL47rxXd50MKmoPE0FcUs63uf32l6i/F/Ihfkpfs7kYUG8tTBudA6uFxleHRb0JhVmM6u+U45jBxe0xsFd7UJHpTr13mts1GVYrjX75bdE/Pw03XtMWn+39DoVZV+HFt7/GV4fPa2ovD96ei3VbjyOzU5Ju/qVvXhts2n5SbrikCEJeU2aG1MRzM8bm4dPdpzCwsD18/hDG3NwNJEHgr2/s0vgayjhxyqhskISaZ9kXYLHv6Hl0a5+IjV9+q3mOenHYD5FL1eGLnQR8HsCdAP7qdDo/BPAagHUul+vSR60i4nK5qpxO51cARgN4PfL/vRLnX3N/+6HC8QJ4HhqOsTWfHsOI6ztFxqpJzJtQKJOQUhFICPn+I/CTj40vhLtB5KsJsayM3QwAvKDf6azsJHamOXB1clNn3Ovvf6Ppsnjw9lwVzq0gAAlxJoTCnG6Xb2K8Ve6Mkz6bv0yE43p5zX4Vebb09+dX78X8SUVYtcmFG3u1wyP/+I88dqs3uTCzNB8mhgQBAvMnFSEc5lFd78fKTd9g9ICuSLCb8PbHR3DP0G6AQKg4ESWCWrcnYIiNLXUF1ntDmr9xvABCEC6Kp+2nJEb9XwnH8/qQAcOzDDvZ4m0m1eRbvM0EihQJqZ96bZdKF556bRfmTyrCq+sqVOvj1XUVmFGapwsfSpKETFgtHb9u6zGUD8/S5fJ76M5c3ffOGnT8hDlBxVEl/TYj7r+SAV11pyLnTyoGQQDDr+ukWmPTRueAoggN7vh/y5+jywuGJgxp6Z4ud+6pCwlJEpp1bKIIcYqBIJpdsxzHAxzA0MYTJY44MaBv8Ibw4tv78Me7esp21x8Ma3R5ZqSLuc4TkqGQq+tEOKIFS3fBYbdouppmlebLnZmAGqrimTd3Y96EQlAkiade2wUAeOjOXFlfq9x+OOwWEARknjcTQ8HjC2Jkn854++MjIhRObVNXojPNgQdGZ2P6os/xwsN9dNeLIAgamy0RiZORCeA1W45i3PBM3FTYHovf3Y86TwgP39UTcbEmCIIIDf3axkMYcX1nvLquQp4ce+P9Qxg7OB0LJhWD43lQJAkzQyCkcOYuZzGyv/cO1fLCANI0FGCPZWR+D713xvGCrOtK7kuSIBAIsXB7xM65/3vrK8wuuwZpKXEoH5EJXhA0k4lTRmXj2Tf3YGSfzhouFYmnYWSfzpi/bAeSEqz480si/M24Ed3h8YVw3u0HSQLzJhRCBNkClq4V4Yz+9uB1YBRTXNEdpXodscrJK+k+WiXGorYhgAm3ZMIea4LbE4TZROPRsgLQFAWCgK5/EwxzKr4UewyDxHgLztf5MT/K5i9cvhPzI1DVVW4Rjle5F0lTgbfc0BmzSsVprAWTii4LP6FZIYA/3JmLv77eRHz+hztzAaJpJ2oOalsvcFDprY6/Je2JIAC3T+wuddgtKjsrvQ89+C8l/LwEp5sYbzWEz1+69oBmkm7a6Bx8sus07h7cHfcM6Y4z1V7U+/ThtK5qacfimSL8/6vrD6j2BIuZwvxJRTjv9qv0CBCLff/66LDGj582Ogcvvv01hvTuiA82uZCfkYq0FBvmLtmOZx+4VgNjM6s0H3URf0QppYMyUOfVn+qxxzAy/0W0fy/BoF7hSrkiV+TXL9ExhokiIHDaKX5pf1buy9K0iGR37bGivS0blomnVuySofAccWbEWhgwNCk23lloXJfTRuYgM5r6lyaZJEl2iJzr9fVBLN9wUHcSeuMXx9E7+2qZb1qZK8jPSNWFTZxvwK9qMdFYs+UobuvnlPcHqaBoj2EMOQ/jbSacqfaiZIAT+Rmpsl0vHZwBCARaJcZg3oRC1NQH4GkMgxcEPLVil+65ouEb8QMb5H6JYuQjWEw0FiwX+aGU0G2SL0xwPGgAJobEPUO7wcTQWBDxB0oGdEHp4AwMLu4Am1XU3c07T+N0pVf23ZJbxICL8AsCav7Htql21HmCAAT84c5cCIIg+65SvoLnBVUOzHXKjedW7cXUkmzMm1AE8XUJqKkLyLQlynebGG/Fy2v2Y+i1HeW4rTEQRlysWeaDl3Rn+HWd5O8D6hzAqk2HdSfCnl+9V55Aeay8UL7XBZOKNHHH9opKjL6xq4pj+y+v7Zb9EenaUs7nctTDH1Oa5ZeL+LgLJxUjzPGoqQ/AbKKw+ZOjmFteiMZAGDEWGgxFyogVElJKtM/mOuXG3CXb8eT9xUhNikXfvLbYtv8s+uS1UcUoXr/aPx3Zp7OGe0/iNk9rZddQMSh5KqXPaIrQndCadXc+bDE0RvV3giSBxycUQuAFcDzw5b7vMai4I268pj3O1nhRVduopkapFSGQo3OAdw/Rh1H2+kNwewI4c96LUf2dAKBao4Ewi1iGQpAXsGrTYc29zhl3DWiKRJDjQVHk5R+z/Q+kuRhQysEr46Bl6w8CEPWmNrJHbvzihMzXmxhvEZs8i9rDHmvSzb81BsKYt3Q7HHYL7rypK+JsJgwu7iivpyq3Hys2iFPkrVvacOa8F6+uE9FTbu3TRTf/Yoth8OL0PgiGWZgZMbcwu6wX3v30CPIzUmWfI5pHUJoiXLGxAqWDMwziRBsWz+wLQQAaA2GYDWjSlDCpyuf4v9bdiyoCulyuNwG86XQ6UyAW5GYBeNnpdK4G8LrL5fqyue87nc7nAdwCoBWAzU6ns8blcmUAmABgudPpfBSAG8AYxdea+9sPEopsSqpFQ27d0LMNzAwNmqIAggDHiXwkjA50kdsTiBAW78eMsfkIsyziYk3Ytv8sunVIwqpN3+ChO3Lx9Bu7VUq+ece38jj0kN4dVdBWrlNuLFsvYta2aiFy8QXDLPYdrcbmnadRkJGCkgFdMf3/Plc5DZLDkeywGmL619QHMKR3R5hNlO7f3Z4g9h2txg0922BWaT6SEkTc6pF9Omsc8AXLduCx8kLY4hh8e6YhMh3IyUmLx8cXwhcQSZWffkNMhCghUSeOzMKg4g7wG0AwBEKsCgZB+TfJkbkQaealwln9WoQi9WG3GIbQhaljWR6vbTyEvnltYTGJ3EqvbTyE8SOyDHWFF/Qh6cJhXreo94c7c3WxmAlS/zw0SaAgI0XeHKSxccoAhpQi9IvFHC/owocKBrClQqQIEs1J8ezKPVhwX7FhkY6+hGKykd7ZY/XhF4ySqr8VUa5jCpdWiGVMFEK8oHHaJft679DusMeY4G4IwGG3wGY1yTZtwfKdurj/5cOzEGI5nKvx4W8rRWhlyZGtcvtVxYcWcRYZx18pyuKIyA8rIMFuwvaKSnx7pgHxNhPqvSEUZKRgSO+OMkRIyYAuSE2ywetvgjNKSrDgnU+aJsdH9umMczXinlFT79ddLwQIjc1+duUeOSiXup1WbXJh39FqeQ+pbQjinx8dltdl37y2ePfTI3LB6PnVYqAcCgmYs/jzy8quXqwY2V/RLmh1UQogWQEyv4fR96UAXVoTFEXiVGUDYiy03MThaQyjzhvEc6vETrZ+eW1w+8CuWHBfMTiOx7dnPbI/YAT5kmAzw2ph8OT9xSBJETJszKB0BEOcBlqWpgg47FYM7NUOw6/rBIaisGJjhRyURcOnu065sW7rMTw+vhC1DQG0dIgJE2VSItlhxelKL97++AjGDEqXITykPeu1jU1Jm2j/xmqmZfhOCUZ0bnkhTIy+X6OEtjlfF1Alf6R7SYq3goYALjL1fbkXSFhWwKZtJzXNM6P6O0FFjjEqaDeXSDLyyZR7olSMkuypZI8kKNhkhwjtEn1tCXZJ4kN9fvVeQ/h8nhdQ5wnBzFCq5ieLiUJ212ScrfHKej6rNF/3d5IkgWAoDH+Ik/lWAiEWvoAIjy8W2LW6VFMfiPhaFBZMKkadNwiLiUYozKJvXlus23oMQ6/tCJ9fJJefXVYAq5nRwNjMX6afRGUY0hC6TOKr0Hsm7VLtv40C9xW5Ipe56MUYygY2ZXJNshVSoWRqSTZizIwKbmtWaT4KMlJUvGhvf3wEd92cLvP+JjusmDehUBUj6fH9JDusKvhGufAiQM67fLq7qXGTF4AQy6J3dhts/OK47HvarCaZR61vXpqBndeHJGM5Hn3z2mo4r55csdNwz2iTbANJEQiFORCEWDwd2aczPtp5Eu6GIGa9+IX8DKT4cYFBETIazvFybbow8hE8jSJEfavEWJUeKJ8FRZGobQiC44AFb+xQ7evS8dPH5KEgIwXbKypV/t78SUX4vsqj8TuXvLcfE0dmgaEpvLZR5NGOboiXin3RRYWHx/QExwngBQHfn/di1abDKsh8iTtberdS3KaMOWk6jJkvfKF5FtK/w2E1RLk0TDB/okgDwHFiw5HkBz14ey7+9fFhQ19bOq+JptA21Y6TZz3gOEHmQVQekxhvAQECFEVe2f+bkQs1s0n/jzFR4OwmBEM8in7XGsvWH8CQ3h3x5IqdmDY6R35HI/t0xrqtx1A6uLths3KC3YzEeAvapNjB81D5ldH+6YVgPPX+JtEcACK8KEWSKB2UAZOJxBMTi1DbEEC9N4SV//4GQ6/tiHibCa9tPKTKayQ7rLg2+2pYLFTkPtVQ89J+EZ0D1IM4TXZY4W0MqWghnphYhOHXdZJzim5PAE9NLgYFAvcMzQDL8Zh8Ww/QFAmSFCfe57z828xB/FxyoRiQ43iQFKXKpwKiHVN+tnnnaTnGy89Ixfm6AD7aeVLVRFHnCSLWwsBqolR879P/73PVegKaCugvTe+jio8CYVZ3SIUmCaz/8gR6Z1+t4ip+tKwAZoaW9W7Z+oNynmL4dZ1Q5faDoUkMu7aT4bPw+sNYuHwnZpf1QiDEwWyiDWsaSkl2iPRA31d6RD53hvqf6O4l5Z5dLlel0+l8AcB3AB4BMBbAEKfTWQ/gPpfLtcXge1MATNH5/BsABQbfMfzbDxUaQEqLGI2yTB+Th/e/PIH8jFT8bdUeVWe8xUTpcurZY014YmIRfIEw6r1hfLj9FG7t0wWCIODuId1hNpGYODILthgTbFYTTCYSWZ1bItZCo2xYJv70otiBr+wadnsCMDEUBAigKIAWmhIZcbFmFZ9glVvd5Tu1JBueRn3HvN4bwpL39mN2WS/dv4fDPGaW5iMQZOVziUlAff4YfzAMf5BQJQ0lo04QwMLlOzSLV/puSotYLN9QgWHXdtJ9rgl2EygAowd0xYkzDbpO5IXkcp28MhsU+8KsgFWRiUupsCZyHGTqT64ME0ARBjjeJKF5LzPG5oGhCd2iHmU0CTgiSz8pTpMY1d+pOb+JIfHg7bl45s2mwvmDt+c2WxzU5UG8z4AHkSQMpw05Tp9DiOcBd+Dii8nGemd8T1e680S5lDXLmCi5YJjVKUk1uS01WCxdewBjbs5AIMSiZEAXLFt/AFNGZYOhSTnZEY37X10XwHufHUXfvLYoHdwNcbFmnK32qho1pGB03oRCVLv1C3FSwHauxoeUFrG4d2h3nDjTgLc/PoJpo3PwzidHUDq4O2Yv/lI3AJ4yKhtrPzuG8SOycPeQ7hjYqx1WbTqMeJsJr6ytkAudepPjfgOeOKkbqcotTkmVDcvE5p2n5T2kZYKlWWLlKrcfSfFWGe/8Qu/ochQj+ytOQ3KGASRNkYacYHr7GkWRECgCVyXbAAGIixVwrsaHtz8+gom3Zsmd+vGxJqz77Bhy01shzHJyAOlMcyBOh0ulICMFAqDi0Zlako2UFjGGU1WBMItWiTY0+IKo8wYw4vrO2H7gDOaWFyIYZjF9TJ6ccEt2WHHLDZ3xzJt74PYE8NAdORjV36naxyWoppIBXTT2e0GkULS9olLj30wZlY1X1x1AyYAuWLXpMEoGdMHVKXZwrKBbOJL2Mj1OTfWzF3RtuTRtUeVuBC6jblOGJnWbZxiaBB8Sf1+zHdGXeD2lXTdKYrRqEYsXHu6Dylofgiyn8T+uSrZhZmk+6jwBee0YNUHU1PtRMqCLCoZI+pvkS0sNIC0TLBr9nTk2H4AAXgBc39bimu6tEeZ4cJyAdz8Vkx4f7Typ+3xefHufHEg+/4fr4Q+yqnM/PKYnKJJU6aARP21qkk2TTGcoEu1bxzXb+GLkZxAcf1kmo6/IFfktiZ6fLO2b85ftwCe7TuOx8kLU+4JgOV6OqVyn3AiFOc2eO3/ZDjw2vlDl5+o1/7o96mkWvan/B2/PBUFC3XxhpsDxPK5qacMTk4rg8YVUe8/MsfkQwMvNzkATJ/CJMw2GxQ9BEHSTfgAMmyGMuP2UHGozxuZh2fqDSLCbcO/Q7uAFcS98++MjWLr2gLxfGN1XUrxV5hC7XHwGPdHzEaQcULJDbEacM66X+BxoAiaSQDgk7kAsgHmvbJeLsmXDMlX65rBb0OAL4t6hTbGPVJTbfuAssp3Jujk8kgDqvEHcM7SbXBSRkAOk3ESMmcZL74gNee1S40CSQHVdQJV3kH6HcrJTalACYDiZGr1fr9hwSD5ftY6/4vYEwAti4e6VtQcxsk9nDL+uEwIhFhRFqKYgWyZYdJ/3c6v24qE7ctAqKQY2K6M5ZmpJNv7y2m64PYErxZKLkOjGZBbQIBMJJAGSIBEIs0hNssmTQ1Vuv8ouSM20y9Yf0PiZks82sFc72fYsuK9I1muH3YJ4m/p9GjWAxceacb6usdkChDREouTVjua/PnGmARNHZqF0cHcAiEAki1PZuw6dQ0aHJN31LjV/zp9UhJr6gJyHGTuom2YYZsbYfPiDYdV167xBVQHdmeaQh0qU11qz5SjuHdpdM839W8pB/FxyMTEgBQF/Ks3HE4pJbpoiMG9CoWoCW9KTe4Zm4JW1Fbh7SIbK3s4szcfStftVxye3iNGsJ0mkIpr0uTPNAauZxov/2qdFnrsjF/3y26lqJw67BfXeEJ5btV2jy25PQL4mSQKzXvwCTz/QWxOTTi3Jhs0q+hlefwgzX/gCBRkpGk5DCTY8em94NpIjmVqSjZiWsf+TTqGLLgI6nc7rANwFYASAfQCeA/BPAF4AtwNYCaD1T3CPP7pwHI9YhoItxYZ5EwrRGGTBUBQCIRa39esiQ1Q67BaEw7xc5CrISMG8CYXgBRE1iWFIcKyAOm8Qf3x+K5xpDky4JRNna7ywmGgIApCSaA2va+cAACAASURBVJUr1RKM26pNhzGyT2dYzbRc8V62/iAm39YDifFWmBgSlbWNWL7hIEYP6IqK49Wy4TUqqrVLtWP+pCJQlLhRzRibJ4+0KmE1qtx+hMKsxnEXOeIYxFgYOblJEgSWrRehO/QWoNXM4NHFWliNiSOzQEQmt4wW73dVXmyvqMS9Q7vD4w/JHR4tHTGgKYDgBPAA4mJMmDehECRJgKYIEJx+sk73PV8inNWvRYJhXr/YNyxThq6QpM4TMu7mIAiAgC50m0AANE1izrheIAmxW5PledA0qbspMAyJ3/frAo9PvD5DE/h9vy4AAbRIMKugAxkTgVCI10AaLFy+EwvuK4aZIVUBpJkRUex1YUgp6L5jQNCF4xIggCShP4VoMOFDksQlFZON9I7XmVi7nLtE/xu52DVLUST8YV5+lpt3nsagovao94Zkp1uCH2RoAqlJNtAUgTpPCK9tPGRo06rrAjCZSIy4vrPsoDx5f7EGjqIgIwX3Du2OYJhHyxZWTeFacu4lp+IPd+UCAjBnXC/QFAGrhcbYQd1AQNANgCVbOvm2HgizPNwNYmfnlFE9YDJRSLCbwNAk7hnaHTRJYuH9xeA4sUjE8TysFv2CiNSVKl1D6hCU/m1iaENiZWeaAyUDuoAg9Nfcr92uXqwY2d/y4ZkgYDwNJXWYlg/PBAhgwaRiGZ4VhABWAOhIl67U6b9y0zca6OI5465BKMyriNbnTSjEn1/6UoarXbf1GIZf1wkrNlZo7ODdg7tr9u3nVu3F/In6Xe0QBLAsj3lLm5zlR8sKMLCwvUx07UxzyHBEjjgLvI0hPHhHjhg0UyQqjlXLz4vleNAUgYfuzG26RtQ1lZ2rVW4/0lJsKBuWqYLnGn9LFlZ/+I1ctHbYLbrwIgwFVWHWxJBYOKkYLM83m6S7XJEEANHOGjXPSHvahTqiL/V6TRBz+sTuJkZMFH/x9RkxYRfHyH4DSRJo8IWw+kMXxg5qgmXRg8+fNjoHa7YcxT0GMERXJdsBQcADo7NxrsaHl97ZjwS7CY+NLwRBAGfOe/HSO/vg9gTw4O25yHYmq5ImU0Zlwx7D4KbC9qBpEgsmFcMfYmE10QAhYOygbhAgctbGmhl53UjX9/hCckwhfXa2xqf7TBha7Qs57GYIEEBwTXD4PC/CaoMQUD48EyaKuOJnXJErchmLkZ8s7Zv5GamqPd6Z5pChjCkDCg4AcMSZZduh16wRPfknJX7njOuFYIiF1cyAJMXYMa1VnOjLkCRAAuEwj6VrD+jSkSxYLjZJKpNsbk8AFjOFJyYWwWTSxp3TRuegMRDWRaa5Z2iGYVNQTb1fN/+xYsMh+X4WLt+Jybf1AEEQcrJZmSQ0MaRhA8ms0nyAuHzoR5qTaHjEM9VeOYk6szQfr65vSgL/qTQfCQq/TvIJGFqMu+0xjAqGVjkJqiwcfLLrNAYWtkOdJ4SUFjFYMKkYLMeDoghs+Pw43t1yXJWXkNBWoicMAXHiavJtPWBiKDl+A7SwrhI9zfQxeQiyHMy0MeqEGOORoCkC5+saVfCgCXaTRl9mjM2Dxx8Cz0NuMJXkpel9NagXT03urUIFkZ63iaHgC7CYsehzGWa9dZINNfUBLN/QNOFypVhy8aJsNFau70S7CTX1Qfnz+ROLVE0HyuYICZ1he0Ul7DEmzC0vRIOvqVl5VH8ndh+qFIdKYhhU1vjR0mHFQ3fkwESLsLrS+0xNssFiojRNqLNK88EJPNZ/fhwzxuZjoaIA8dAduXDYLVg8sy8IgsCfXtQ2ekbDhVpMNMIch1H9nXIeGQDyurXC+1+ekGO+kX06g6FJ/PGuXNTU+0HTJFZFoO7tMSJHodkkcoLPLuuFxmAYCTYzlry3XzNl6I3itSsZ0EV+vsprlQ/PAm+A9PVbyUH8XHIxMSDH8UiIYfCXyb3h9gRVa2XG2DyMuTkdAInGYBglA7qIun1XDiiCwPyJRQizPEAAy9ZXaCh1pKYKvWajWaX5MJtIzJ9UhO0HzqJtajzqPAHcM7Qb4m0W8LwAluORYDeBJAmZfkeSkX06a2JgqX7B0BTWbT0m7yGzywoQa2ZQ7wth3oQi8Dwvo+fc2qczkh1N1GXSb5g/qQhuTxA2KwOaJlFb78fk23ogKV5sOFIWwEWqqqKflK/VSC5qvTidzlMAghC5AHNdLte3UYe84XQ67/+R7+0nFY7jIUDkuREdBC00Rt+8tqqR1u0VlXKXhLJjzB6BmBszKB1BRdFQ+rsSzqC2IYAxg9I1MAKvbTyER/7xHyQ7rCqDfOJMA+ZNKAQkfh5F5VuSZIcV35/3Yu6S7XKnx9a93+G2fk5N14mnMSSP4j5WXgiPPwR3Q1Aev358fKFsdONizbhnaAZqG/x4eExPGfu+qQCjH0y0TrLJjl1z/EDJDiu+PeuRO/zXbDkqBwhzxl2DcJjHEzoJuIuV/wbO6tcgfAQLP3qyb+LI36H8lu5o8DYV4spvEadRox2DGWNF48ayvO4E3/gRWfhk5yn0y28HEIAgAJ/sPIVh13UCJ/CqxBQn8BAEEWJMqfsP3p4LM03ifF1AMzUjYf0rRdrElUUIQHxnC+8rRpzNpCpKcgIPAkYcXCLnmYrzc8tRMUnG6E8hWsyk7oQPZVBoNHI4jPSOJPGjJVUvJ1FzmxhDLSrXLAtoupKjof6kTmJlEC8F+8+v3quLMV9TH4AgkEiwmbHw/mIEg5zIYeUJyDCgLRMsEADVeR+5twDzJhSCiEBI+4NhuRCZYDfBE0lgS00ZJEnA52fh9YfkAFhPx5ISmqbupPtvEW9ByYCuKj2dWpKNeJsJ6z8/ju0VlXCmOZpNdEjPVQlVwwtigVzvPkIshzGD0rH2s2MYc3MGnry/GPXekAwFcjnY1YsVI/tbNjRThlI0EqlACESKTH5tkSnRbkKIA4JhDmNuzsCKjRUqR7WytlFTRJDWQpVbhKudNjpHTgLaY0x4fEIheE4AQYrGXDeBIQi6a4+hKSxcrEYfeGzJdsybUKhKBs5ftkNMNt6apemWa3dVPDZvPyknaGaMzQfLcaAN1ruyWJ3ssIp8fwqOHQIEFi7foSqeV7mbuAJSk2zgOAErNlaIxdnIJCZIAqGwWPwzkwS4ZiajLlckAeDimy0uBLl+sWJiSNnv1SvWzorss2YTjZuL2mPZ+gpN4m7mWJEj77sq9VS2DJ+fGAueBwhSwL1Du6O6TgtDVJCRAm9jSKWfkj/66D++1MBvPvPmbkwcmaXSgXVbj2H0gK4q+z9jbD5e27hPTlj+4c5ccILYIKiEnPY0hpGYoL4nZ5oDNEXKkzjKiQcAaJsSJydYn1v1laqbn+B40eZEXgxFkQhxQoTbshg8L9r0K37GFbkil480B8MIaCHjJPisBZOK4LDrN2HU1gdAUQTe+eQIyoZlokWcRdMseehEtaYbfsT1neEPhUESJB6NoFro5TgkdA4jOpLqej9YTowt01rZAYFAmONB0QRq6oJY/eE3TY1GdjOCLIez5xt1kWkS4y34ZNdp3dyD5ANPHJmFlBZiUfSZN/doONQS461YvqFCExuXDOgCM0Pho50nsb2iUm4OJwhCwz93uTQNNSfSb7NQJNJS7HjojhxQJInFa/apkrpPRPlOkk9Q2+AX80kkdPWmzhOC65Rb9vdu6NkGwRCHtZ8d00UtOXjCLfujD5Tk6E4NPblip5zs/XT3adzat4uuTjrixEJyy4gfu/jd/bjzpq5IjLcaNgw3Nobwz82HUT4iU+Y6lO6vfEQmLCZSLojExZjg8YvHD+zVTnX9ZIcVZ2u8EUSD7XI+gqQIJNgtmiIQzwvyZ1XuJpj1smGZGt2+Uiy5sEQ3GgNqVCfl58s3HMS00Tkqv/S1jWIscnWyHUIktpKmnEf26QJAnLLbuvc79M6+WmVTZ5bmw2EXudqj3+dj5YWwmpvQ4QQBsMUw4HgB5cOzAEJQFYlfWVsBtyeAx8oLQZAXhgtNdoiTgy0dVrz8/n7dArqnMYzrc9to8gv2WBqDe3dAVa0fa7YcxZDeHbH43f0YOygDvkAYMWYGLM9rEN5mluYDivgz2WFF6ySbnIuORkmaN6Hwsszt/hLlYmJAqZ4SvVZWf+jCqP5dsXB509T/jLF5sJgo/PnlbfJnc8t76VLqCELT8Ef0elLuszNL83HweDW6tU9EY5BVoRzNLM0HwxAA1LkGo5zbVS1t8PnDGHZtJ7SIt6C2PqChSJHqJWIdhJRjSEm2V1TiniHdZSh1qYgdbzOBoghVjCldl+fxyy0CArjd5XJ93twBLper149wPz+rcLygGuEGFNAYESgNPSWJhlRbcF8RpozKRssEq8bZWLh8Jx6fUChzijjsFt3pOSXclVKZpARfvTeEj3aexKTf/0531F9ybPvmtZVhQaLx8J9fvVeeqmub2h3PvKF2fAGAIMXqvCPOjHM1PjkhMW10Dh66IwfxNgvqvUG8srYCD92Ro2uIPY0hmE1WzeJNTbKhNtKV5PYEMH1MHha/u1++t7nlhXj748MoG5YJAgRqPSKPl7QJXio/248JZ/VLEtIgcQoAgaCW04njBcTbGTwxsQiCIIAgCJAi5SVIksDQaztqJuwokkC/graoqvXJxb5+BW3BcQKeXL5Lc+0Fk4o1nXTPvLkbC+4rlh1T6fMFy3YYwnWSBtx/AiEWGR9fpoCQKc0HSRK6gR5FiVCyutxcYUF/CnFSscGET5bB5KC+w3EhYukfI6l6uQjPC6ppm4KMFN0ut+g1y/GCpis5GupPD2rwuVV75UTvuq3HMLe8EF5/CDzPI8zyMtG1lNj9xzv7ADRNy85ftgOzywo0RZjHl27HY+MLQUIAJ/AqjrMHRufIfAHKiaW/vL5Lntwygvg4V+PT3P+CScWYvew/ms8fKMlB2bBMea8hSeCJiUXw+sPwB1jYYxmZK0K5b0gF0FCYl7kGo+/DHsNg1SZx6koJ4yQld0YP6Pqrt6sXK0b2lySJi3oAUtE7yIsQQMo9buWmbzR2S5kIAQCLDvE0y/GYXVYgB34CmoK9/IxUeWIP0HJMSPcPAI+NL8Sr6xTO9dh88NAPHPVgtUoGdMH8V7XE9BNHZmFwcUf0L2gHE0Pi5TX7cffg7li69oBuh5/EBSzpqcVMwZnmkKezGJqQg1e9ZOcLD/dBgy8oow2Y/4upvssVSQAAaIMkMk0SwE+Qr+Q5yLa4yi0Wax8oyUFivAVnqkV+PrcngPmTimSYYg0P9XKRIy96KjvBbkJqog0efwjexhDatY7D9P8Tu+EfHtMzAucv+jCtW9pUa0Hpf89ftkOXvD3BbpG7tD2NYcRaaU2wKyUoJQjbv76+Gw+UiMgD0YlNqclQataITnA8WnYNaJKELxAGAYCkCHi9YSQ7YjHhlkycrwtgpWLyWJLLeXL1ilyRK9IkejGGxAkIwNCfNJsokTs+Cq5qVmk+fIEwnn5DbHreXlGJfnltdJsldx+qlGMkQQBaJcaA5XgZSrxsWKZuh71k043oSOq9IcTbTDCbKFTXBfDOJ0fQN68tOreJR703IPP2SEnt+ZOKDOG9QyyHtqnx2H3oHOaWF4KKZNeWrm3iW2NoCs+tErld9TjUaIrQLTK1SoxBVa0f9w7NxLjhmTJCEQtg5ou/XYg6ZWzL8rxuUlfpO0k+gUS7Y7eaNDQDyr1Z8vdaxFnwyD++NERPUR5PEGIznTKmlxoXr2ppQ2VtI/r0TFPxRkuS7LAi1sJgyqhsvLxmP0Zc3xljBqUjpUUMBOivvxDLIhzmUecJIRhqQgxpkyI2xlfV+hEKcwiEWMTZTCAIAv/cfBglA7qCoUk5z6BE77rlhs5YMKlIzkcM7NUOaa3sKvqLlZu+kXnro5+5xGGo/F1XiiUXFr1GY0DSYy23478+PqzSCbcngASbBX99fTfapNjkCdD8jFTMXqyOx6LzUQsi8MzRDWRvf3wE9b4gaFpEWKFpEjarCS+v2a+I2fIQbzNrGt1eXX8A5SOydPVcgguV8h72WLEoOLi4g2aNPblC5D+LpqWS4rwwK2DJe/tleq3tFZW45YbOmL7oc/ledh86Jw63RBon/rn5sAx5G28zISneCiJyP3qw1EvXHrgsc7u/ZtHjo+yb11YePgGacq3RjZVGeSeSJCLT3kUiGh3HgyQILFZMkkrrZf6kIpyu9Ghyc+LfivH14SpVbtHIRyIIAqs+dGFUfyeOfVenOZ9ycva5VXux8L5irNt6TFVLSXaI3O1KGPYl7+3HzNJ8NPj06droi8wf/dhyUbkMqQDodDpNABKBJp/G5XKd+Wlu7acXiiQMceP9IRZJkW4fh90i44kHQqwMiSgZZookREgv6E9RCHzThNST9xfrGva0FBvmjOuF5RsqZGWS4NfiYk0iaWyrDLjrg1i5Sd0R99bmw/J3pKSYUZXbF2CxYNkOPDGxSOP4il3SYfxtVRO00sNjesIfYEGSBJLirRAgIBTmRM4+Ugs7NG10Dl5ecwAP3ZGjgen410eHcWvfLjI8AklAvu8qtx9hjtN0l0STMoMA3L6LS3T8mHBWvyghBN3CF8cJWPuZeqpv7WciL1+DL4w6T0BOhiXYLXDYSYRZHp/saiJpl0acbx/YFXWeoKagmOzQ7+KMdorkzw149gDocg5SlP6kK3gYFhN1uQiHZyLRblLBkJooIBziwBoUGrlI4BIdvIy/BZrJK5EDjERIR5cuW737CaTeF1QlVKVn3/Te9J8dRRL4aOdJ1ToQCyoi9GydNwibjg102C1okxKHl6b3AUAgxHGwx4i2LBomY2Ek6Tx3yXZ5CjAx3mJoW90NATy7cg+mj8nDU5N7IxTmxII7CbnQLnH/eRpDckL8tY2HMGZQuu60rlSEVF5Hb6057BYkt7CC5wWktIjFO58cwb6j1Xjojly8slbcU/7+x+sxu6wXGJoAzwO+YAj3DM1AXKwZDb4gnn5jt1yUVBVl7s4HQUC3Yeb51WJR0ohT7bIUA/sL4sJBtV6iXrnH9c1rqykwKBMbgDbB50xzgCJJvPi2fqdktL7qTedPLcnG02/skaeM7rgpHfWeIHjwIEHoTn5W1jaquCvuGdpNLmgqpcotNk5xggBBEIOFMTdngCDE9V7nCansd5zNhIG92mlI4udPKgbL8vjXx4cx+sauEQgeM2aXFWDVpiYfSErgxcWa8eT9xaBIEhwufarvckUSAADBEC4bP0nCktVJmnj9Tb6mfBzLyzqr5ye3SoyVp7InjsxCmxQ7PL6w3Fgn+4N2CwCo4PzFLmp97j0J7kuPvD0ulsH/s/flgVFU6fanqrqql3Qn6azgAihC0JAMCSQxIbiwPUfZNDOQ6EiihgBRQHRGCOqwiCzOOC7P5wJxBHQGcJ4Lq++H6DgCKkRgRtbgKAoKJCydpNPptap+f1Tfm6quKsDd0f7+ytJdVV19697vnu9857REc2XewiDZaUXuZWlU8ohcG2FTE9ZnarIiSTM7pot2wbIdmFtTgsPH2nQAh+JTEdSRssgzMHVcHt5q+BwjB/XUfVE/5c7VeMQjHp0Ru8ewsCwiskTXTZbVFynm1FyJUEhC3f9so/JyXVIT4GkLItllRTAsaubGwuyuhmTJ2dXFaPMF6bw3qSwXCSplF7P8mPzdyI7k3lv6w51oA2SZdpGNHNQT67Z8grTkPpo5nPi+cRyDyhuuQEqSDQtrSwEWECOSpkNgxvgC7Dp4AoXZXeELhDFhTA5uG9kXR054ac5llA/NrCwAyzKmvm9qjITiDz9h0tBXjfPJnUhO0OzxY+lre3F3RZ7puCHvT02yaf5u9vqhBRdj7LAsRTVIkuG081i2fj9dQ9dt+QRNZzrw3y//Ew9NLEFHIGyY0/M8C4YBxlx9GfzBcJQc30HJnERyk2GAjmAYLGPBYyu3Y8rYfuA4huIJf5g6SOPFSdb11EQWd4zqC483AIbhdEX3aeV58HgDuP+Z9+hnvOWXlyMYkuDtCGly8uuKexjec7UsbrxYcn7BcSyCBkRjgIxjPRH0o3+fQsV/ZWHRnaUIRUTwHAcwCtGT7E3I/lv9PrNxLMt6Atns6iths1oQDotoaw+h/vW9dEwToujCaGOKOncmc13Ff4V0OMP0inxIsoznZg6BLAMvrN+LFm8I5cN744J0p+G1cSYqcDbBApvQWSycVp6Hgb+4AC6HQD1VyX72gWffw/xJJZi48C16DLLHXVI3FHZewZdj1yVA2TfW3JgTx9h+JMFxLCIGRAqz+oozOh7I+Nz2r2OYWVmI1W8epCSIJKcVwXAEb37wOUr7XYSXN3cqaVWN6AuXQ6Cdtc0eRYrZiCDd7PEjIoro3T0FLgdP5aNb2gO6PfDUcUpHevXoHNz/zDZT+zW1jU44ImHkoJ6aztbfV18JWUZnbsLIEEXAwjGwCixm3VZIydIZbkUqm8MP0xRyvnKgvQEsB1AAPUZwLgWsH21YAKSY+JPYBQuWvP4R7hs/AMGQdvGuqyrUSEGku/vg8VW7UD06x/BYx1XdHBFR0k3s08rzcLotgBUbDmDiTbk4fKzNUFJjxvgCvLy5UVOoyHDbMbkslz4MxIPPzItPiGqZ+wJhXREm1ivI7bIhGBI13TFTx+Vh/dZPUTG8DyKSpClIJrusaPMpwDLLMoYyHYXZXam2efXoHM3/OIY9K7Mrw63IPH4lf7afYueVDOPC1425hsxFhgE6AmFdQc/tsoK3sLh2wMWa7p5p5XlgGcbUM8gwKeJMZBxN/g4ociBqWVGBZ8GCMfT+M9MAlyTZsONP4BhDLXe3g4cM40KjmRSlbFaArC01Bdd+kuPuO4hwRF/QUrp3JFhk2fTeWaB0esYSIsAAp1r9mPHUViy+SztWiTzoLJW/08zKAvzljQMYc/VlhuPrgjQnMtx2NB7x4K2GzzFuWB98ebLdcJx4O8I0+VVLRk8rz0Oy00rJJGROI8doPOLB/c+8h6LsTDw8eSDOtCmm2v5g2JChLMYkW52fa5vmcwHAo3/ZierROXir4XMEQhIWrzA2QZ4zoZhuyEnB0+Xg4U604YV1e3HHqL6mCZ0oSWDk/+yiyFcKs/l3TM4532oE1KvXOLN7TNi8GW47MlIcGoCvfHhvXRe2mikZmwsQH5/Z1cVgGKApRp9+wbIdmDK2H+w2CwJBEXXLtX5oRNaLqA/ce0s+XA4BHm/Q9NkIhCJgGWjWGVKoVPugZLjtWFA7UCeXAQCRiIjfL3kfMyoHoK09pAHiYiU6OI5Bw/4TWLpmHzLcdipzHntfzwbQ/VSVBJQwkcu+MfdbPxPHsZANCslGY12UOqWJjfJkfzCiuWZRlGlHC9CZDxJGslrOv9lj7r0XCEUw67ZCRERJA5g9eEcRTnq0cjAzKgfghoGXajppSI4S29m3+K5Sw3HXEQijenQOumVqQRYjnwo181TdUbOwtjTm3sVB6HjE4+cS6j2GDBkPqLrQAIXUqybTMQyDOUu20jxPLRcYESWdh54ZON3uD6Hu6W103nM5eBxt8tL3mmEPZG4ldiTzJ5XAH4wgEBKRYLfggSgJ75n7BlPCWfXoHF0nweIVil9fRzCiWR9mVhZg9ZuNmg6BxSsaMH9SCSX4KUSQEo1tAMmH5tYUo8WrFDdXv9mIO0YZd1ZFIhKmV+TTIijBH37KpKGvGueTO6nvV+MRD061+E33VQR3C4QVP76zYVwsy2DMNT1x0tOhGR/TK/KxbP1+PLl6N+bVlKA9EILbpSgRAMD/vf+ZLqevGtFXc4z5k0qw4IUG3TM0f1IJ1m85jJuu7YVmj2LjIIqd+7TEBEGnQEBUXZ5fuxejruqJFJtg6Nc2ZWw/+vmKsjMhiTLmPK9VY3lx4wGs2nTI+J5HfYvByIAclwY/VxCipscb0BGNyTwjyhLmTLgSTWc6KH6VmeKA1cKizR+Gpy2Ite9+gl8P7Y1p5XlY++4nuKa/grPF4sSm4zgGg3O7bGhpD+KJ+g90331sB2wk2o0Xe8zmM34k2C0auVBSHCfdfYSoHItTqI9j4YznukAognBEptfrsPKG1leda4vxcb486YXbZaPWGIYYogzF5gGIY2w/cEQAQ1UfM19el12gUpkkj0hLtuqw3Htu7o/rSi7Bn9fuNZSlPdrUTknJEVE27+4Dg1BExH3/vZ2qLjZ7FJlOgh867QJWbNyHCWNy0OYLnTWXUdvoyDLw4sYDmDK2HzLcDrS0B+D1hTBP9Zyq8YkHbi9CqlP40RSwz1eC9BkAuwBcBKANwAUAXgBw23d0Xd9LiKKEtCQ7lccCQCd5YmjsD3QmmmTAhMIibhvRFw9PLkHlDdloiUp6EUaZ+lh1lYVYtekQPackyYabfCkqDeYPhjG5LBe/u7W/bgFo8wVReUM2ZlUVIqubm76fANUA8FbD55hZWUAXL/W1TB2Xh1A4QheDLbu/wMLaUjxXNwQLagcCzPkZZ5JuhWMnfdi+rwkLlu3An9fuw6kWP/7w4oeY8dRWLH19D35fXYTZ1UVYWDsQs6uLMKNyAF55+2NNIZVc26yqQgRCEcOkm7C0Fd1zk44z6eeTZJMCSP2aPah7ehvq1+xBxfA+AGBYRJUlGI65iChDNBmPEVEx7Z5VVYiFtQM17HqjccVxTLRTsPPv08rzIFgUnz3NM1FVCIFnMa9+O+bWb0fd09swt3475tVvhwwg2WXF5LJcLKwdiMlluUh2WemGQR0k4SeM2CV1QxTPCwePkCgbFosj0fs3Z8KVmrE5Z8KVEDjo5oJZVYXmXY5SPJH+pkG8Q9VBN85nCcKErhmTgx5dXUhLssHKMrBbWKQl2VGUnakbk0byoIuWN2BIQXe62MdeB8sydCxW3pCN1W8ehMBzmFlZqHsGXnn7Y3pctWS0cs4OxRQ5udP4fm5NCYqyM+kxyof3gSzLmPHUVixYtgMrNhzQPWvTK/Kx6YPPNOPU7HONG5aF6RX56N7FhdtHx/9/CwAAIABJREFU9TWUh/7NL/ug2ePXPF+kKPPYyl04csKL7fua8PzavTShO5/viuNYyByLCMNA5lhw3A+hdv7dhNn8ez5AuxlQT9a4pATje+xyCHQ+9AcjaNh/AvMmlmDxXaXITEmg+QmZr4cUdIc7yYp5NSXISFESZvU4GnWVklC3tgcxt367zjOkS1oCHFYeFo5F9egcZHVz0zEz/vpsyixtPOJBa3sYTWcUwIVINcauA64EAe3+sK5QObOyQPdaSYLuHijPM4vpFfmwCzxWvXlQt2bdc0s+JpflwipwWL/lUxT17Yo/TB2E6tE5aGkPfOV5Rt1t8fwDw+ja8lMAUDiWwa3XXw7eonx+3qL8znHfbs8YAVTqnt6KGU9tRf2aPbj1+stRlJ1pOJ9s3vEZ6qoKwcCYhORyCJrnjvxPHSQnNioyrtp0CHWV+jX+wnQXnHYef9usyNEvrFWY1Fae0xXY29pDusLjE6t2gwGj6+wjTHJ1ZLjtcNh41K/Zg5NR4JPE2bob1D83exQClOZem+RI51pL4xGPePxnh1FesX1fE0RJIdMxooSIiSpLklPA8VM+cBYGs27rnBsJoKaODLci20ne+8Sq3Wg+48eqTYeoJxaR6NTtBXkWM8YXID3ZhiEF3fH82r1IjEq/RUQZs6uL8Yepg8DzLDJSjFUMAAUL6ZKaoMtNSC4f+/nUkn7NHj9eWK/PO268phd8AQUQX7BsB7bva6Jy57Gf//hpH11/br3+crhdNkq0MNo//hwJGOrcSb0vV+dOFgAP3F5E75fAs7pxM7OyAD0vSoziAIq3eku7QvQywrhmVhYgM8WBk3Tf1fm9P7ZyF8oGK0U6lmXgtPH47W/6499HW5DudmDUVT01ucW4YX2wbP1ezTHM5CE93iAG/uICnG5V1vMTp3149e8f03Fm4RiaVxD8rtnjV3yDvSH4/BHTvYHAc/TzVY3oa0giJJK2DjuP6tE5+MPUQZhbU4KVmw7i9ofeRN3TW+H1heMFwPMIQtRctekQ7UauHp2DxXeVYm5NCTZuO4zn1+xFOKKoTNQ9vQ3PvPIRwmEJEUmG1xdCKCyi8oZseH0h/P3Doxh/fTbNC2NxYoLZFmVnYlZVIRbfVYp5NSWw8Ox54bFkTKs7Zk+1+jXnKMrOxNyaErgTrbBwLNKS7Xhs5S4sWLaDdhJ2BJX9mTqHNcK0p47Lw4atnxru3VwJAsVByof31uXJT67ejfLhvSneIssyfl9dpDv+qk2HsGDZDoREGRbI8Xn1Rx6iJGP7viZKIH/6vsGYXJYLrz9kMKcX4oWYeXXtu58gHJYpqZ/sv15752NIorFt2+IVDSgb3IuOh1f//jFcCYLufNMr8vHndXthFxR1GXVOQbCuGU9tRbs/hHHDsrBh66ewCRaayxjhGaSOMa08D16/0oEbESU8+Nx7aG0P68inRHa82ePH/D9vR1iMFrCjudkPOSef73OUB+CXjY2NoaysLKaxsbEpKyvrbgD/ArDiu7u87z4sFhZuB49FdyotorIMWCwMZZNZOJYCbLHeHaRjiUyiL248QB+CbplOnGkLIjlR0HRzkOOpgyz0s6oKIcsyfP6IJtkwOre6i8PCKR1VXVMTcKSpHRu3HUbViGwEwyI1IPa0BbFuyye4rrgHpo7Lw4HDp1Ha7yLUqbpi5tZozVbNAAl3olUDdAP6BarFG0J7R0TDoJ5ZWYBJN+WgIxiB086j8oZs3DGqLxiWAWSAZYxZIeluu+IFCGWBNnrNz4ltp06wwTCArGxAQiZJpCTLhtJaBEQyeg9jYNJNvAKNumAmjMnRyb+u2HAA9/5G8W9Sy3L6Q4pHmdF5Q2EJm7d/jqGFPaLypFZs3v4ZRgzqaSzBZ3aPzsaKZxmdTNj9VYWQeNlQQtSMicSxLCDG+UffJJISrOfdbcPFeIECWiYYOBanvZ3+CL9/7j3NuE92Wk0B1mXr9xt6k9WrtMcfu/sqjBzUKetJpGC8HSEsfX2vRo6QMIWINFzX1ARYrRxa2kKUhUTOMWFMDmQZeGfnUQzqdxEda2pz8S6pCWAYBi+s24vy4X3Acgxl8yWZfK4zbQHK2J5n0gmVlqwUTC08q/sepo7Lwzs7j1LZBo5jcP9thXj4hbN/Vz91byqz+fd8PpsZWzzd7cDkslz879tav7Oi7EzcNqIvvP4QnbdJt1u7P4SOQBipSTYUZWfqmHKzqgrhdPBIsgoIR2TMrSlGuz+MQDACq8DB4w0YMt2KsjPhO4tkabs/pCkaJjkF6gfQ7NF2kqa7FdnQv20+pAPotu9rQvWYHE03uFXg8PLmRt09GH/DFfii2QubYEHTGR9+PbS3xiex2aPIrgs8B1GUMfzKHjja5NV4Gs+ZcCXmqMzIz6erj3RbpKe7cPKk9yfDNpUhoyOgzc9++5v+SLAL537zVwizzteFtaWw8ozO/7X/5V3w4f4TuDr/IsP5KiJKmDexBJ42ZewyjPHaLPAK0BH7P483gOREAfMnleB0q3KMZ175CI1HPHjyt9fonqGHJunnTTPJmbRkO1KTtXK4RlJzCmFEKTZekJagUT4wY7KqmafkmWVZaAbvT7tzNR7xiIdZmOUVAs9CjJI9zZROkpxWvLBuL2puzIEoSVh4ZylEUQbL6i0bSB5Aotmj+K41HvFg2fr9mD+pBJIk43RbAFPG9oOFYzv3grfk4+XNh6iM8ojSS+EPRLB8w/7ovKudt4qyM3X5CVG9UHf2qXMTI/8zUrQksX2f4mm4oHYgwhEJp1r8eGGd4jOoVh2SAZ1cGGH0k8/+5GrFB4tjmbgNREycSw1HFCV075LYeb84BidOd2DexOgYavXjuVf34N5b8rFq0yFKjJ9eoVjNTL85H/5AGLOrixGKiBAsHELhCEJh0XSNJmS7L096Mbd+O4qyM3HHqL7gWAZCsgMLagdGCflBuBJ4nTWImTxka3sIPbq68MZ7hzGrqpDmFJkpDiy6q1SRblTt+4iiBscyFGcx67py2gUsvqsUTruAdn/ItJBP8JBX3v4YZYN70U4b8pq4NPj5hRizlyFWUElOKx776y40HvFgVlXnPhhQ7u/Dy3bgkSmDYOUt1FuVfNehsBbvkmUZD00qAWRFuWjngSaMHZpFibrqOZCMwbMRxNR54dRxnXPUlLH9kJmagA5/mPoQZriVDqtp5XmwcCySEqz437cPYeAvLogSTrVFEjWmfaSpnc61V+VdhClj+yE1SSFLWwUWz0bHfYbbjq5pxlKiXVITsGz9Pkwdl4c/r9uLm67thXtvyUdKoh3hiITTrZ33VJRkMLL8lebVWJzo5zwHf19B8g9SVFsYVfPJ6ubGhBv7avb4sfNqVjc3Rg7qCa8/ZKhkx/OsqUJSj64uzJlQDF8gjI/+fQpHm9rxu1v7a9aQZev3o/GIB7eNzMbdFXmU3BM7z6Yl23GqxY/9h5WxTfZtBIPrmuYExzGwcAwmleXipEfxtq8acQUy3HZ0SU2gz6PZc0p+jkgSOI79UYzN8y0ChtAp+3k6KyvrIgAeABnfyVV9z0G+BCvHQuYYhMKdkkBkYjUyJzWS6VmwbAfq1+zBQxNLIEoSwmHpvDb5LodiiH3kRBtcDh4OK08XAKNzk+QzyWnF2x8eQWm/C8EwDOrX7IHbZYMvEI7KmGp9zDoCYfz9w6MoG9xbY07b7PFjWZQhR5J+s2t12gUUZWdq/FNiB37Z4F46BvWi5YqMB6vy31In1skuQbfpmFVVCD76HYlQiqhxoMMYnGSjMhmx3xerSjTV99zKsxAlmZpRk+LdWw2fA7Jx9+CiO0upv5n6WBaONZR/tbAMfBEZ8+q3asahy258rQLPojC7q0Y2bnpFPljT4mOuYcEh2SWYFosjAB5eZpTAlRpKiKYlWXVgZV1VoeIx+HMadN9BqDs5z5a0mRWWUl0CwiHlS4gAWLnpIEZd1RNMtKuZbH6ATpauEcCqLrhdmO4Ex7KQIWuSFYG3YOFyYymYZJcCPqiLNg9NLIbdZsEjK5TN2OzqIp3J8IJlO6g8wdRxefh/HxzWmYvbBAuWrVdkCq4r7gFJlpAgWCHwHB5baS5DrWZsHz9lLNN44rQPt4/qi+bTHVQekEirdgQjKBvcCydO+6hkyJwJV2JRbamSxJh8Vz8Hb6pzFYfMNiJmQD3DyFQCkxikpyfbwDCMxu+MAF7pyXY8EJUXqr9/qE7Km9zzyWW5SEywUhlxEjdefSkenqw8c/MnlWh8dKpG9NXlBiS/qV+zh3YrkmtKSrDi+OnO8UU2AhluO30P0ftXR4bbjhOnfACAZKcVR5vDWPqaUkz3doSiZAwZFguD46d8OjlrBQx8jx7LYmGRnmzXfBZyvx5buQuL7iyNA3TRkCXgjy9p87M/vrQTi+4sPcc7v1qYk3EkBMMMVm06iClj+yEtWZmLCLHt2gEXG85XDMPgyAkvBdQenlyikw+/95b+8AUUHxQj70vIoH5A6uNbWFaXY3gNTNzN8uIvT3pxcaZL8z8iNbewthSiLOOzY20URC/MVuSwAVDAXJL1Xo0kP1aDh0Y5bxyEjse3FaGwiPR013m/PhCMwNvmP/cL4/GdhFFeMWfClWj1huhepyg7U7ePmVVVCJYFJozJQTgsoa09hDe2fYZfDe6NB57VkuiSnFYs37BPQwDKcHf6qDYe8eCz417wFkaT55LXyTJwTf+LdfPxiNJLdfjGyk0HMWFMDnz+sCY/MVK90OQmTr3/2cpN+ryDZRg88uKHms8CgALqM8YXYPWmRgDA3JoStPmCmiIAiWaP0nVO5uK4DcRXC5ZlwIgSrBwLjy+skw5MdgmIiDLKh/dGOKx0skZECR5vgOYBRL5wYbSIPLu6CIAxOSgQitD1lIDPJI8mWEO6245H/7ITU8b202EjBw6fwozxBZpiDVmTryvugavzL4YgKFhIVjc3Lr8kFZ8fb9Pt+55cvRvzJpaAYzsVD8wIQ0+u3o3GIx48dvdVcFh5Q39uImV3XXEP3Hr95bAKnEneFZcGP1fEytSq9zLk2TcrSkREyZD0Nru6GBluu0ZqUz0H9umRolPqUXtHu102JDmtht99IBTBzMoCJDkFzJlQTOforG5uMAyDL5u9uvH3p7/u1BAe5k0swelWP+65uT/8Qb19RP2aPRpf+gy3Iuus/n1eTQmGFHTHTdf2gsshgDUh6HEsgyEF3Wkx8VdDeiMYknTEDlIkhyif97z6Uycg/1gjNv8ge6TGIx4sfU2RCW33hxCOyDjTGtCMC1LfmF1dTIlAQOez89DEEs26TiLDbYfHG0Q4ImHdlk/ovPzpl214q+FzOm+XDe4VxbQZPL5yF24fdYWh5dSJ0z48sWo3ppXnoSMYwYsbD2B2dTECoQgcNh4vrNN6DRNCdrrbjtnVCsE6w23XWEqor1VN5PzsuLJ2/RjG5vmuB1sBlAF4CcDrANYDCAD4x3d0XT9IiKIEGaxG2/aVt5WWfiPvqtjqLkkgp1fko6U9gK6pCZj1zDZNIs2y0CXi08rzIMoSPG1aZnZdVSEAcwbIhekueP1B9MvKAG9hsWqTwqAPR0Q8suJDzXkDoYhiihkFndt8elkDwsy/uzwf7kQrrAKn6/yYOi4Py9YrHlEs2+nzwrLaCd/smtOS7Ji99H3dtakBvTkTimHhWHCsXr88DnSYB8PAuFuOAda+qwW31r77CSbemAuOYzBuWB+NUfDMykLa2aEOkkQmOQUNsyPJKUCSZdw3fgC8vpCK8SGAZRlDP71Fd5bqCr4zKwsgy3ofHwLgGhcfjT0iF9WWmhaLzTomRdG8eJFm0CFIik/x+GZxPgmeWWFp/qQSWDlWef4ZYOSgngiFRRwz8CZ7q+Fz3ZggPiKA0iVit/J49C+74PEG8PDkgZpjELkMdSiFuiDGX5+N8mFZkKEYaxMDY6dNQO5ladjccPSs7FSS8MytKcGnX3iwoHYgIhEJoqRI5FUM7wNJVoolVsGC0y1+2nmbnmwz3JiqGdtGfhHkNdNv7gSdt+9romxrIy3/OUs/ULqyDfwa1YWvn/MG9FwbEaP1KyJ3rp9k42lUNH5y9W7cXZ4PWe7s4G71BeGyG29KbYKF+uIQ4+qi7ExclX+xZtM1Y3wByodl4WRLwFSWOyNF8dYDlDU6GIrAHxQhWFlkpDh0ifWsqkI47DzuLs+H025BxfA+GvNsNYP/mRmDNUzpccP6YMnrH6HFG9JJozd7FEIKuRayFvzhxZ1UlYF0CarJWaIkg5PiHhLA2Z/Rb9Pk+2w+SURChsw5ZYN7oWrEFUh328FzrOFG7XSrn8onLVregBUbDqDmpr6YM6EY3o4QWtsVn58HnlXGdos3RIkNKYk2tHeEIIoy0lOUPEed9wg8q2Oi3jd+gM7EPSPFYZgXv7jxACb/KtcwD1ry+kcYNyyLSuAbKXsQxmpRdmZ0UxlCstOKU61+VI/pC4eVR1gUUTMmFxbIhjlvHISOx7cRAs9h5L1rzvv16x4dDe93eD3xOHsY5RUMGMxZupXOvYQENLu6GG0+xftu5aaDuK64BwDQzqhxw7LQ6gvQ+ZcAxfeNH4AxV1+mWcNnVhbAYunsMHyr4XOMv0EPss2qKgTPs4ZEauJHDXR2+tmtPJa+vofm0XeM6ouqkdlgGcZw3UpyKjJgoihi/qQSeLxBtLaH8I9dRzFuWJbmmqeV54HlQAs1pNMnEIqgS1oCBdK372vCrKpCSoiaVVVo6NHNW1iIkfhM+03CTDFgbk0JXnn7EH41uBeVzmZZhvqsEbxLPa5WbTqEmpv6asYg6fgDw6DptEI8MyLXP7ZyF+ZPKsHMygJs2f0Fxg3L0mEUOw80aZQums/4UTUiG6da/FTOrq6qEC3eAJ5crXQuGo1ZhgFCEVFTbKFdV11cOHLCS/PjouxMSLLWU1vjz71xH0YO6klJVKToZJR3/VwUs75uGBEq6qoKsSpKJlCKecZFiYgJTmzhGPy+uggno+M6dg6cb6A40exR/FenlefBYeMxZ6n+ux83rA/8wXC0Y7Y/rAKLG6/phcPH2uj4Nht/auza0xbAE6t2477xA+DkBF1urMZJYskV5P9b/vkFundNgiTJYFkGb7x3WIfxTSvPw6N/6SRSZLjtcFh5Xdfqk6uVe/JVmzt+DgTkH2OIogRXAk/nRTWZsfGIBys27sO4YVmoX7MH08rzNOOCFNTN8DUwQCAYNhxLEVEpAN42si94iyK5fGG6A+XD+2hqLDMrC/D/PjiMssG9IEnAig0HMK08D6lJdtox6LTz9Hm8uzyfqh61tofw8uZGDCnojjFXXwZvRxgvb25E+fDeEHiO4g7TK/LxYHURQmHRcO+qJnK+s/MoqkfnIBgWEYEAC8Ewf4A4X0xunOrn+wDcDsAJ4Plv/Yp+4CDABAEPXA4eToeAcPjc1d3UJBvmTSzBC9EWZwn6bpSsbm787tb+Sis4AAbKhkuUZDxUv1UzeS1ctgML7yxFOGJ8bkDG4uVac839n57GVVEpJfV5AeAPUwfRTpfPjnsNj8mCQZLTiq3//BKD+l2EBDuvKR6RpOSOUTmY9fQ2zUNWV1lAO2Vi2dJZ3dwoH96b+nSxDKNhO8+sVHTSt+9rwpirL0Nqkg2MCYgRBzpMQoZht9ykslyMufoyzf2eXpEPGUBElHXG64uW78DC2lLj8cEw+NehkyjM7gpZlsEwDHbsO46Bv7gQkYisKWLfc3N/U7AxIsnYuO0wZlcXR2U/gdfe+Rhjh2aZvt4qcDrpOFE2O755sZjljLsQzwaMhjVjTox3AH7PYfbdeLxBpCXZlARPZvDk6t2oqyxARzCiS2QrhveBICjStOGICIBBSBRx28i+uGNUX8gy0BEIo2xwL+zYdxxtHUHNYt7eYS4FI0ky7aI1MzA+l8lws8cPgWdxYYZLN7eu3/opPvr3KUwdlwd/UEQwHIHHG6DSL+nJNhV5gsGS1z/SMJY93gAcNl5jCE7lpFktsGLmPaAupsQmDurCl1lnIsey4EzA659SnGsjYrR+GXW4X2Aip5IW3WwuvqsUobAIFgy+NCh6k7HV7PHDH4xgbk0JWAaQZBmzl7yvub7FKxpQPToHr7z9Me6Oevuoj1WUnQnIwIPPdbKmZ1UVIi3Zjr++cRBHm9ox8aYcLKgtjcpMy2j1heBpC8CdaMPLmw/hN7/sg4cnD8SZtgBa20M0l8hw22HlOTwyZRDCEQmSLON0S4AW8swIWAwDPH3fYDSd8WHFhgN0vKvHKtnskrUrHkqYSaKwLAN8i4+nGlBxu2woH94bF6Q5wYCBwBszrh+ZUgoZgM2qXe/dLitCEQnVo3OwcdunnSSyoAiHlceMp7YCAJ6dMVgDrJEcePFdpZjx1NZO0GL3F9Sf1WkXIIqyLn/62+ZDmFSWS4lxJ0778OSqfyLZJWBB7UCc9Pg1ebHPHwbHsloyX2UhRl3VE6vfbMTtI/vi2Kl2Q2UP0s1CQL1xw/rgTzGdJwCwpG4IGDkO5MUjHvHojNi8ImJQMCP767qnt9G/jbn6MvrzkILuWLS8AdMr8vH3D4/SLjjSaeRyCJoi2+o3GzHm6sswrTwPXVIdgMygIxhGZkqntKLCFxMRiRjn8GQtIl0yobCIte9+osujZ1YWQJRkw3XLaRfw5OrdSHYJqLwhm1pd7D/swbFTPlr4TEm0wesPYe0/PsGDdxShzRfSgHX331aIdVs+xchBPXH4WJuGzGzUqTWtPA8s+60umT/LMNvfBUIRFGZ3BcsyWL/1U6oK9Oe1+1A2uBcSbBY47Nrx0HjEgyWv7sWMygFYUDsQDAO0tYc1HX9Tx+UhwWZMygSU3GNEaU9ql0P+t2h5A80ti7IzUTG8Dx5fpZV+9AXCSHTwsPFKN57Zvo9lGYRCWmyPdF0tqB2IjBTleIRovfT1PbqcYWFtKXzBsKazSrn+uGLW1w0jQoXAMagZk4M7RvWFwLNgwNBCGCEquF1WyLJx95soyWjviFDJQHWQ3w07ndqCsFs5HZFeKZAX4/GVu+keihAUXli3j8p3nm38qbHrdLeDyip62oLYse847i7PR1qyDZ8d92LjtsOaIgjLMfT39GQ7/r7zKC6/JFVHbLPyLM2TI6IEt8tKr5PgvgJvbJHFgPnKWMHPnYD8QwYjM5TICyiY/+SyXFyUoShK+AIhzJtYAoYBIhGRYr+8hUNRdiY8bUHDcXq6JYBkl5UqL2rspm7JV+x0AMgyaMeq+jrU87bLwSMiSkh2CZBl4PcqPGPG+AJkdXOj8YgHbpcVz8wYDN7CwipwhjKlmSkO/PGlnXTOfWzlLiyoHYiH6rdrmpxkGUhz2zG9Ig9Hmtrxzs6jOkWEH7Ij8Lyei8bGRjHm56Xf2RV9T0G6Fpo9HYBKm9US1c1Xgwezq4vwf+9/ZpgAkuouMYhMSbShZkwu1m/9BMOv7EEHNWG4Oay8BuAlyYjVpEskIkp4Yd1e3blnVRXi+bV6c81xw7IMu2Ay3HakJNrgsPJgWYaaKsce85EXP4THG6CV8xGlPTUPFDmW2mScPGRTxvaji8/ptgDuubk//vTXnXC7bJrOEqMOh0XLO2XxAqFInLH0NYJjGdx4TacMKynEyRJMu+vMFk2GBX77m/5UMizDrXgGWQUWV1yapukiqYt6WcbKv/7przux8E7jYiLHMvjo36ewueGo5u/lw/uYFh+Jr5RNAMIRGX/bfAg1Y3LNOw3MisWMbNgxyXHmXQvxsfjDhllHidL5YYUFgCRJcLtskKJStsS3r0tqAjiWgdcfwpwlH2JaeR71jfB2hLFj33GMKL1Us2GaMb4AL7/ZSMkgl16YCEnSMwTVUjAuB29qYEwKLLEMIXXHXoZbMcqOLSAtWt6A2dXFGFLQDYFQBIlOAVarQOWdYxnX7iSrIfv55c2NuHbAxXj9H//GkILuuH1UNhITrOB5rVfMubwHjJ4HdeHLTNZmyesfoWJ4nx9c/uC7jq+zEYll0nk7wmhpN06KIcsaVui08jxs+9cxw3v+4kYlP2k640f9mj20w8jo+pKcAsoG98Ky9fp8445RfSmIQl5P5EZHlF6Khv0ncM/j72LxXaXITHHg8LFW+nz9ea3itxOOyPjjSzsx/obLNV1/08rzwLDAmbaAof/QqSgDPPY+NJ3pgIVjqYyq+rMQlmuGW5HLUTri43O4OsxUA77NIIDKI1NK0RIjtX1/VaHOp5FI2AXCIgX6yHofDImY/vi7eOzuq9D/8i6aa1f7WYuSMaCilkdWg3mAsmGtuamvjkFaV1WIzduPoCT3Qk3hHACuK+6hk71jwOjyoIXLledk5KCesFgYXJhuXNzv0TVR8TcKRzCkoDvCkYhh50k8H4lHPOJhFFoZcmMPQAL+kt8DoQjCEWU+cSdaKXA88BcX0C64rG5u3Hr95QhHRE0eAACHj7Xh3lvy0doe0q3f67Z8grHDeoNjWDR5jYnHre0BTUfX9Ip8wzx60fIG3HtLf8Mc+snVuwEoSiCxHTMvbjyANl8Qj63chdnVxVRy/Jcll+jIbg+/oMzVpCPLnWijBcqywb1gFTjMmVAMq8AhIip+gqIkx7tMvmGY7e9sgoVKfk68KQer32xE9egcjfWIkc2DxxvAv4+2AoBOnpYUUcy65b482Q6bYEFqknFxguyDjHJiclwwAMMon+mVtz82lPj2+cNITBAwb2IJjp9qp/7VdVWF2L73OLp3TdL4ZKoVLsj5TrX6YbEwsFs5VI24QmPncr6KWXEPNX3EYkdhUUmNrRwLTzSPzb0sDRXD+2hy2odrBxrm1cFQBH/6607DMVeUnYmgQffQ9Ip8LFu/H9PK84wLhzJoAXB6RT4AGalJdvpskOfCjLxAsOvpFfmK/YLqc9xzc3+4Enh8ebKd7tUIVpfhtmukRBfUDkT2pWm0GE6u78nVSpf38VM+PPjc+1GC3yAsqi1FWJRw7FQ7nnsNDdU1AAAgAElEQVT1I5QP721SJMdXrlifTXkknjN//TifOYLloBnDRFmL5YBwWEYwJKH5jBcZKTZIErBo+XbNPuvdXUd143RmZQFcCUoTlpHdlCQDD0TrKUTuPBQWDZ+XJKeAiCjBbrMY2qcQnK5+zR4cbVbG/fxJA+G081QJhryWzPOx0uBEQY/gIySW1A2FxcLRImVsbvNDdquaFgGzsrKWnM8BGhsba769y/l+4mz+Ut5ARDcZZ6Y4MP6GK9DeoVSyZUmGIHA41dJBF94VG5Suintv6Y9H/7ITMysLYLdy1AuHyNQZdVg8PHkgZNmY4cYAqBjeh8oMEMaJwLM602LC4nO7bLqHaXpFPoKhCObWf0CLcmvf/UTxY0myg+dZtPs7O1IWLW+ghU0jaY9X//6xRkrD2xGG087jv1/+J32Q7hs/AFPG9kO620Er7gBMZfGIpAcB9eOMpa8WwbBEWUDkO3lh3T7ce0t/w/sdiU7oRuNOloEEO69h4ifYeYQjkqG85/xJA02Bb6OkiGWMvbEs0U5RI9lPI4DOJnwNxpsM7DxwQtOFuHnHZxh9dc84e+5HGkYSHQRkqBmTA4iKBEX58N5UFrPZ0+nbt7C2FIuXKxLJLMNoOjXm1ZSYJgQLlu3AK29/jJobc7B4RQNyL0vDvJoStPoUJvS6LZ/gpmt7ITXJBoABy/pNN47JLgFdUh1U6pNhGfx57V6ayM8YX4DWduMCTbs/hLqnt1H23MZtn6Liv/ronkVFRrIYLMvg4ckD0dIehCTJSE2yYVhRd1gsevnfuspCzK6+EnPrFTDezPMqEIoYPg8cxyIMRDcTYbzy9semsjaHj7X95KU5vvZGJMpkI+8bWnCxoSxLLPmHMORe3HjA0F+NAGFulw28hUFqks3w+hITBCS7rDolBHWnqjqaPYrcKJHl7QiEo0V5Ackum26u/ujjZmXDl+LA3BpFQ9/TFsSKDQfwu9/0pwAiOTbpjnrpjYOUUKTepL6wbp/pBpKwX2dWFsIfVOSva8bkfLMv9icUsolqQM2Y3G/9XERmP5bc8HBUtttIwi4W6AMUMl5RdiYE3gJJBi2YnWwJYJPKS/W1dz7WScfEyiOTfJNE4xEPFi//EIumlGLOhOJoxyyQ4LDgzR1H0Ldnmm78G0kspyTZTJ8TwhQ1Y4szjFbu677xA3TjPp6PxCMe8TCKWFzDyAMwVtZuWnkebFYOS17dCwBwWHkKHN9d0Qk+Nx7x4J2dR/Hrob0N57fUJDslN5O/Pbl6Nx6ZMgiiKCvFCo7FfeMHUI9scj2hcETT0eXtCJt6biU6BHSEwlE/YwnHT/lobjmrqtCww3pyWS4lAhF/NYXIbEzWujDdpXR6R7u9fl9dhNZ2bccgyT883sCPwtfnPz0sgE52e2ZlAZat30v3chu3HcZtI/oiLIqYPeFKNJ/pgE2wGFrsKCpEEhLsPOwmeBNv0WIHRdmZqBrRF+3+ENo7QrBwxkV0d6JCLlVL8quPq6gUCfAFQzQPkWRZo8JC8MLJZbl0j0py1VWbDmL89dk0FyDHVStckGsJhUVERIb6G5NnSuAYhEPiORWz4h5qXy3UZNfC7K66nPaLJi/+7/3PdHn1kILuaPb4EQpHDAmWz6/di1FX9cS8iSWQJBkt3iBYVpl3zfaTPM9i6ayhYFkG/mAE86LYLsHP1KTjFzcewOSyXHRNc6IjEIY/GKES8w47hxn/re14/dNfd2JyWS5WbTpkWJh57tU9NK9e+voe3Dayr+GzwHEMXnrjYGfuygJBEWhpD1LiyapNhwwx5q+T5xrhRPGc+ZvF+c4RobBELWrI2Od5Bm3eMBaqMIyHJpZQRSFApXpYWwqwwILoflCSgGXrFR8+o1yGdOHfe0t/WDgWSU4BDAMEQ8ZKb0kJVpxs6cAjKz7E724dYDheu3VxUQnqZo8frb4gBIsxGYR4IavPIZkQUC0sAznafMKbHO+H6lY92zlPq352A7gVwP8B+BxANwDXAXjxu7u07y7M5bpKMWfpBzoz7De3f4bC7K4aJs9DE0sw46ltumO7HAItos2ZUAxARuUN2Ziz9H1TbeaOQBiJ0QJYbPGD4xjwFgaVN2SD4xhYOBYWlkFElDUMNZeDR0qiDW6XTaMt7nLwcCfacLrVTxnXzR7FT+r2UVfAylswW8WcmzG+AG+8dxibG44iLcmOB597D7mXpdGCiSQDDhsHb0dI52sys7IAcyZcSdlyRFf/D1MHaT63WXt6WrIdLANEIhIiwA+qk/ufGCwLQ7aEKSjNMACMi3QAsGLDfk3n3YoN+3HHqBzTxd5s8jMCGyfemAvewmgAN1lW2Fdq2UJiygoAmW6bxpfPbmUR6Ah/ZY9IgWMwtKg7ms/46DmGFnUHh/Nnz8Xj+w1RlJDq0koRrdvyCSqG96EJngUwlVAUJaVzuXp0jq4rtjXGH5WQG7plOjGrqhB2K0cLi5sbjuJoUzvKh/dGjwsSUXNjLgBF8ojlYOoVkJpkw9ihWaj7H20H7R2jcvCrIb3hcgjwB8I42RIwfL+2i0VhK8cynsh1cyzw5Uk/3mpQyCeEja0UKqEDaxYuV4o45JmzCpxuQz6rqhDJLkGRaFY9D0YJIgHcY83EO7+Ln7Y0h9lGROAYhMCazi0Cx9BE1+2yYWhhd6x+s5P8k+S0IhQRdeQfUmRuPOLBg8+9j6LsTNSMycXto7LR2h7COzuPUhWCB55V1nOjIsnjK3fj9lHZyHBrlRAI29Os2NbsUWR5R5T2REt7EBER+PQLDyU3sSwDQeAgy24sWt4pC9k1zYmkBAG3j7oCMowBFZeDVyRrLQymjO2nADMpDrAsMP3mfLAMg/tuHYBHXvxQk4ckJQi4uzwfz736EQXq4hvBzrBwjKHHLscxkL6Dm2QuCy7BIssaCTu3ywa7lcPDtQMRDkvwBcJo7wihW1cXyof30fnivNXwOUZd1RPuJCsW1pZCkmXwFoaSLTiORf2aPRrWppI7d87V5Fh/feMgCrO74qLMBFh5C4JBEYunlCIclqj/9Stvf4zGIx6lu1WUop0hLGQZkEzk6shzIknAnw2UPe69pT/tckhNsiHZaQUYZZ5YVFuKiCTF85F4xCMeNGLZ+XKMPzrJEzr3TIqs3cQxubhjlAyWBXiOVSTgoh3HYVGk/tLqDvysbm5c0/9iU5UhSdKv326XDS3eoCYPml6RT+1AUhJt+Ntbh1CY3RUuh7Lv6yxA6iXJM9x2WCwslqzaC483gIV3liLZZaPXblY4vCDNCYuFwZLX9tAC4JwJV8LKG4OFLAvUjMnBhDE5CEcksCyjI2+/9o7SXdPmC8LjDSDZJcSTi28Qoigh2ankbMkuKywcA45jNLku6US69YbLEQyKcNh4tLaH8FbD5ygfloUpY/tB4Dm4XVYEwyIeel7pNFkw2Th3DUckOB085k0sgT8QVohFSzrl4eZMuNLQ+/d/o2OWHCf2uC4Hj+OnfBB4DskuAb+7dQBcdh6TFr+t+9w2QdkJkX3dtPI8DCnoDt5i7n1JzjN1XB4YBrqxuVDVVXKuDp64h9pXC3Uea6SYs2rTIUwuyzXcD2e47WhpD9LXdE1NwJm2IDiOwchBPXXdzZnJDuV7YIyJ8adb/UhPdkCSZcyLEnjdLhskScbcCcXRxo4QfS6SnFb8v/cPo//lXfDOzqMozO4KySnDKii4MZnnCZ7sTlTwAjWWnO62w8KxdG9JCBijr7rMuFDJsdEiDQPBwuBUa9Dw3qzYcIDKR3+TPNdIyjWeM3+zOJ85guNYyGDouCD+5kvqhuD5tXs1+K/aB5UE2QsKHIsZT2/D7OpiDQmCrANzJhSjtT2oIVIQopEoSQCUtdqocO0LhpCerIxRM+nRIye8qF+zB1PH5cHboZBBLkx3GhcVnQJmVxfRDu6p4/LgC+qbpogHsSgqxNvx12ebkMSN7XK+605tUyyusbGxjvyclZW1AcDIxsbGt1V/uxbAb7+1K/kew1yuS6JFMgKALawdiMsvSdMBx8dOGSfDLMvQ1/gCYSS7rNQs1qz4lWDn0XymAw6rRVP8SHcrmtDhiERl4AJBpZtw/uQSzJ5wJVq8QUMDSrXHCgER1edtPOJBa3sY9Wu0n2vxigbMrSmBtyMEhlES+Wv6X6wBXmZVFWLCmBwdmLxoeQMW3qlsOLwdQYwb1geHj7VRdiF5rZEs3v23FSIYimikoeKMpK8WFo417qKzmHTXWRhEImY+gr/Ar4f2htenFB94C4NfD+2tkw4EOguNZkVsow4+q8Di+OmQ7vWJTit4izb95C0MWI5Bsydg2L17Pow3dYgAWrxBTTfYtHJFlleK+03+aCMcEmHlWKQl2eB2WVEzJkezIIqiBN7A77EoOxMcy2LxXaVIclppwkuitb3T64/IHhH2XpJTQGqSTfOexiMeJZH/Va6mUEZ8UYxMxT3eAB79i3auXbisUwK5erTSpWQk0zxjfAGWvLaHXm+zx48uqQk6kCaWlNHZKZmLFl8ADKNI5BqtfR5vEHPrt2s+Py0+JVjBctAVAAHjBJGwr90uGzUPJ0Hmip+yNIeZp8TpGDnE2PUtJMp4d9dRzK5WigpkfSUJcIbbjrk1xhJGhJWW4bZj1FU9sXLTQVw74GLqUUlUCMh6TgoO5Pv937cPAQD17I1lva/a1Ii6ykINo0+9uSVFauK5Nq08D398STHMnj+5BOGQBIeVw7yaEviDYeodTF5rpoSQ7rZjdnUxXnvnY2xuOIqM6O/qDUJWNzfuLs9HarINHMuA51iwkJGZYse9t+THN4ImkegUNCQcUf7u7s/5dscKPEtVKmJ9GOZPKjH0RiFkOzbqRckwwDOvfISqEdmYveR96jWllke+5+b+8PpDmFyWiwvSnYCsFOe272uiYMecJVt1UvbqeXXkoJ6of13poCGvUTOxjZ6TiChpOm2TnAJSEm3UW4IAnUvqhsAiy9RrKp6PxCMe8SBhys6PyW2372tC9SgZFsWYj3qZK/OJsiYm8JwqV2Hh9Stzk9PO01y2bHAvPLl6t6HK0NRxeWg649PN7+XDe+tyw8dW7tLkvJsbjmJzw1FkdXNjwo19VV0sh3REJUUWr4OSOWRZhsNmwdwJxWBYBhYTIioALHltD4YUdMevhvRGUoIVvkAYyzd8pPssdVWF2LD1U43c9OK7SjXHzOrmxshBPXWYSByr+GbBiMr3OWfp+3QdVX+fWd3cuKH0Upxu0cvGr3qzEdcV9wDLMmg600E74wBg+Yb9ujV5ZmUBVmzchyEF3eG08wiGIzrJ0DlLP8DCOwfSgk2sn1PsWl+UnYk7RvWFJAMRUcJLbxyExxtQFF58xqCzWppXIT/xVNnD6PUpiTY8Ou0q2AQLQuGIqY2QKMmKdOU5OnjiHmpfLdR5rBGe6/EG4ErgsfjOUoQiiuQlUWSZdVshkp1W3F2eh7aOEE63BeCwWiDLMOxgXnRXKQKhiDJ2Uhy4uzwfDINopymHpa/tRdWIK+CIeluaYQAvvXGQkh9+d+sASJKksz+ZVp6Hv3+o9yojuSvBku8uz4fdasGf1+6j83BWNzcEQY891lUVwmJhgIgIRICgrFcDUXthMwBdp75JnmtqAxSPrxXnmiPMiODrtnxCC9yxtmNF2ZkaggfZC/oDEbhdNnQEw7pzGvkZZ3Vza4hGz80cAo83oClcJzmttCHJTCJX3QVePTqH4l+SJIPjGB32Ma08D394cWfUOk3p4FYaq7KxYsMBTCvPQ2qSHZIk43Rr572qGN4HKzbuO6tdTqpLQEiUIUoyBJ5FizeEh7/DTu3znedLAYyO+dtWAGu+lav4nsMckNAXOIgprxHjw2hgnG710+N52oLKMYIRw4EXO5juGz8AFo4FyzK45IIkeNqCGob7tHLFjLLZ40ckIqPVG9KxgJ5YtVsjMTBjfAE4jkGCjdclVBdnGHfNtPmCuG1EXzCMIq9npF+7oNZE/lGUqKa6LyolahVYzef2eAOwChzmTyrB6dZA9B5bcd9/b9GdJ85IOv/gLQzcLqumkOx2WQFZMbpW/91m5QAZ4HkW44ZlaZLqmZUFYAEEQ6KuUMYChtJs4Yi+FXzFhgP47W/6Y1VUypb8fdWmg6gZk2s4dhfWlmJe/Xbds7nozlJDNsqiO0u/MlMiIsqG515QOxCsyXviuvk/jjhXgsdB1km8jBuWRQ3eY4kSgFJ4U4MdBOA10tAn7ykf3lunE/7Eqt2495Z8pLsdlNXWdKYD7+46il+WXGI4XxIJ5BUbDiDZJWDcsCxNgcbtsuKN9w7rulhOtfjx0hsHaeJNQBqjBBuMDKdNwKkWP5x2wXDtI0Uc9XHUxafJZbnolunSzcVmCeKF6S7YeQYVw/towPefS0dW7DgNGcgh6tY3Buh/eRfMrTdXDbBwrE4idHpFPiRZxjP3DcaJMz46To82tWNaeZ5GhUCtRx/7/YYjMh5Z8aGu898fCmNzw1FcO+Bi3F2eD3eiVSM3OmN8AV7e3IghBd3pdZL5lOdZnG4Jaq5XkfxWwEr1c2ME+pHcqK6yEMOKusFiYREKRzT3pvGIB7Oe2UY7tXp0dcEiy/GN4FlCkmW0+8IadYvpFflIiHbdf9txvjI9kggKgsXOZx5v0PSZsPOdRfbpFfnYvq8Jo67qiRmVA9DWHqLqFDzP4sQpH15Ytw9VI67A3PrtKMrOROUNV+C64h741ZDeSHHZ6HpRPTrHUMJ/bk0xHl+5m8rQkdc0exSVjcllubgw3YkvT3aCQHVRGX0Amk7bR6ddpZvff+pEiXjEIx5fP8zY+WTvT4ISkw0WQLKnCUb3NFZWUYZxWC1UlnxJ3VBaBCHzW2xnyNLX96DFq2fAm6lyXJzhxIN3FOGlNzrlmcsG98IjKz7UqDCFIyIemliCM20BDfANKMS+9hjgsa6qEDMqB2Dxcq3cqCVqndLiVdSLvuzw0n1tLBmjfs0enR+hmiRIrvXH5OnzUwlCnvvDlEHweINYvkELlpYP740Wb8DQ3696dA4uTHfh0b/sRNWIK3T5Iek0EkUZFo7Fktc/ihJ+BIy5pic41mo4Vk+1BDC3fjsenlwC3sLgpmt7oemMjypu0bU+w4X2jhD1CFQXUBavaMC8mhIdbkL2fSTKh/emebIRVji9Ih/v7DyK/pdn0gL07Ooiw/2chWXPr4Mn7qH2lUKdxxo1M8wYX4D1Wz7FiNJLYeMYdOuSiHtvyceXJ9vxzP9+hKoRV2DZ+v2oGnEFnli1G1PG9oNkICvrdtnQ2h7S4G8zKwuQmCDg+Ckflr6mdEN7O8K0GHk2DGBBVF0mwWYBwMDbocx7RNXiiVUKmY74qca+n3RHLd+wXyNjCxjP3YFQBKFwBGdaQRWEgiZYQZJT+NngAv+Jca45wowIvrC2FDAocC9YtgNza0o0uNDUcXlRe5AAyof3Nu3Ui5XgjCUanWr102eSFK5nVA5A5Qhlf5fstFKcgUjkduviQos3pOkCJ13WRLa0KDsT8yeVAGDw5UmvBgtctHwH5k0sQfnw3kh325HsEiDLoBZo6sKd28Hjzl/3QzgiYmFtKU61+jUdtYePtWH+pBK6jsyuLtKtd992rnG+RcA9AOZkZWXNa2xsDGVlZQkAHoz+/T8uLADmTLgSTVFN8UAogswUBywcg4cmluCYyqTXlSAg0aEAp2rpzUAogtRkW1SbPgo4WRicaQsq8gMpdni8QTisPN7715d0QX9n51HMmVAMC6dIer7694/pYHpkxYeoHp2DB597H8/OHEILjIAWWJtVVQiBZ8EwxhJaF6Y7KSD28uZGjBzUE+u3fEqvgbCbTxiw9wggnJggYPWbh1A2uJfhOSIRyfC9LMvg/97/DNv3NdGH6fgpH9Zv/VRTCPrb5kMYUtCdAiFL6oYYFxUlGRzHxosu5xGyDFhtHC7KcEGWZTAMA9YCBMMilry6F2WDe1FpzyWv7sW9t/SHwLNw2C1Uuo1hGIiyZFooW1hbCofdoukgiEiKZEqyS9BcT7JLAMsokh6xEnZ3jDLuSDKVDTPxb5AZwOMzZrsBMCzcSSbnkCQYFgHjuvnfT3zdQqv6fTKAVJcQlVBTjkMAXcCYKFHxX33wj51HMWVsP2SkODCkoLuuO3btu5+gfHhv+p6uBgCH4rlmwcyntmqSif2HPbih9FJN117Z4F60y7DdH8K9t/THlye92HmgCVUj+qIt6jn4wZ5jGJR3EbZ9dFwz9hx2HvfcnA+roMhtJDuNN7GZKXZ4fVqfmNhiy6yqQtqxZyRx0uxRPK2M2KEWA+KMsglVPCni0hxKnI1NZyXjVwTCERFul81UNYBlGaQkWjF/UgkkGTh+qh3L1isbs7k1xRoAUOn2Vwon5Hhm3++F6S5IkmTY+T+zshBZ3dxYseEAbr3+cixbrzCobx+VjWSXFT5/GFUjsnGqRRnbjUc8aPb4cbo1gLQkOwU2yLmeWKWYarf5gtRDUpIAi4VVPJdlGSdO+zSJ9sKoBK7bZYMk66XQA6EIUpJsePXvH1OPUKOIkzmUkGXo1C0eW7kLC+8s/U6AzPOV6YlE1TiMxmksGAuQzajSRUvmODLWHVYLAjFEpukV+fjHri9QPrw3kpxWzKoqRILdQhUoAODZGYPpz2bPC2TQsRn7msYjHsyt344ls4ZGQaD+iIgS/KEwPvr3Kc2xyDNJPtfPiSgRj3jE4+uFWT7RJTVBM5dMHZcHMPq10GxPk+wS4OA7vdJ8/hB4C4fjpzuxArXK0NyaYlSNyAZvYcGwDOZPKoEoyrDbLBBF4+7+E2d8cNh4/HpobwoEEqI1AblIPFc3BIlOAamcDc+r/LPvGNWXAmXksy9ctgPzakqobDgBoiWRx+K7SuF22XCqxY+uqQkaAJyc79mZQ2i3gfqaYwsyZrKj8e6pbx6Kf3CnrC0pLqclKZ65ZjgA8YNKdgmmHVoebxChsAgLx1I8ojC7K+bVb1f2fgZj1WkX8D+/uwa8hYPHG8QXze14q+Fz3Hr95RS4nVu/HfX3DzX1tF6wbAc83gCWrd+PyWW56JKSAIHn0OILUCnbDLcdXaKFdgAaS58eXV1oOtOBZev3o2xwL0oSdTl4RERJV/h+4PYiBMIRKkGulnj0doShTvDiHmpfPRIdii0JyzLgeZbON96OMJUc/mXJJWBkGYj+nezLvB1hVN5wBc29U5Ps+KJZrypXPry3TvVi0fIG3HfrADz43Pt0bn9np0LOnFlZCJaFBrMg85srioXdPuoKeGKU40ihuvGIBxbOWIJW7ZNGct4L0jolEs3m7oW1AykxJcVlQ5LLmICclmSHxUAGEYjv134Mca454mzKigxjPKZYRvF0F2UJHKOs1UTFyyooucP8SSV4fu1eWkuYXpEPQWA1+U0sDrdiwwFMuLGvtuFFsCAUlug+sCg7E/MmKmqHnrYgQmGJ2v2Q6yMKM+RvLd4QvjzZjgvSndTLUv15PG0KOWVWVaFhbkILd6KE9JQEnDzpRYRhMOOprfpjqciutrN0en9bucb5HqcSwN8A3JOVlXUSQBqARgBjv6Xr+N4jrBoUZFAv3/AvOuDqKgvREQxj6Wt7UT2mL2ZUDqBSnOQ9xGS7xRvSSQYRlo/SLlqALbu/wO9uHQCeYynbgkzCR5vaKXBGAAGzQsVJjx/1a/ZgVlUhZNlYk1ySFDkCd6IVVSP6UnNNb0cIc2tKwLLAg8++ZyrtsW7LJ7iuuAc2NxzF1fkXGZ6D51nMqylBaxSsJrJjS1/fg5GDeqLFG0LjEQ+eXK2w/CuG9zHUgibHM+rCzHDb8dlxL/288aJLZ5DFsdnTAUSLpJIMtLaFdF19bpfV0CvQwjGQZBme1qCuIyA1yW48+cgyvD69jKfbZcW4YX00HR8zKxU5AMMigYnptoVjUJSdiSEF3Wky81bD52BN2CiQjNlui2pL0dZhLL8HmPsXGmW+cd387z6+bqHV6H33VxWC51nMWfqBaUfVhekuLJk1FBzDgGFkvPaPT3H5JWmK50mKXdcJOHVcHjJSHFh8VykSE6xo79AD0momJzkPkcZs84U0MnKxcp8Jdg5ulw2XXZyM2UveowWOwuyuCARFzJ80EK2+IFwOAS+s60yMppXnwZ1ohcVi/Dw57YKmCEo2v7Ori8FbFCIKGAnl0Y49s+JTIBTRsUM5joUvHDGUjwyEI0jguZ+sNIfR/HvWcWoyf1lYFp6YeYps7mLX5ntu7q+RzCYSFtMr8nD8tE8jD0uC5AivvP0xZlYWorXd2HNSinr3GXX+L1q+g4IZ67Z8gsobFNCPt7Bo8QZ10kwvblS6Wp12gUqsq6PZ40e7P4S6p7fRNcrlUEAcfygCC8NqipnkPTbBggXLduDh2oGYU3MlPG3aDe1vf9MflTdcYQpgxMkcnWFOhJHBfUfnPJ+5gDwnsfNQVjc3nHZeR9J74PYiWAANy5iAtlbBQmVnyed7bOUuzKspwe9VrM+6Sq2MnqgydzebDwWVn1QgFDHOXY+1oX7NHg3j30h+zmFV5PjAAJAZSFLcEzse8YiHeZjlE562oM7aoWZMju79ZnuaOROKwbEMEhMEPDKlFKIIrHqzEWOH9aZ+xeq5a9MHn+G1f3yqwTySXQIqhvfByk0HDfEF0hk9ZWw/2oWXlmQ3/DzHTrbD7bLhn43NGFLQHWOuvox2vxitX75AGAzDUE8iQjqJiBLOtAXw+KpdumshhUUiJxo75zce8WDdlk8wu7oY7f6Q6bXGu6e+nYjNGWW5syPDrPMtMcGK+jV7MG5YFrbs/gLTK/I1mMa08jwkJgh48Nn3NFKbhMDz0hv6sVpXVYjNOz5Dab+LMDeqTqTGyMoG96LFcDMgnOB53o4wLRg+O2Mw2nxBLHl1Lx1Tre0hXf7eeMSD+jV7NF1X6ck23f5xekV+dJwDHAtYBQ73PP4uqkfnoCg701COj+S8cQ+18w+z/cOug4hUd1sAACAASURBVE24/JI0uBw8ygb3wlsNn9O5wAJoSF6vvP0x7r2lE5NgWcbQAsSIZNzs8cOVIODZmUNwpjWAtz88gmv6X4wnVu1G7mVpGFF6Ke3gJuOCZQGXw4rZ1UVIS3LoCNGkUP1Ww+dgGOM1hfikEfnmDLcdsiwrntuibLoWkTnaJljwcFS1y7iYZF4AjO/Xfvg41xxh9v1/dtyLSy5INPxfs6cDAs/Cylswf9n7mjl3xcY9FN+aVVWIiv/qg+Yzfrz+j39j7LDeeHjyQAAAw0BHNGo84sHfNh9C9egcnGkLoEuqE22+IB79y04NBnb4WBvmTSyBpy1oSiwJRrXTzWR21bkDGetKl2Ox4fFiC3dm942ocgEw3X9+m7mGmfqdJhobGz9pbGzMB/ALAOMB5DU2NuY3Njb++1u5iu85IgDVWAU6E2C1nNWqNw8iw+1A9Zi+SLDx6PBHdJ1RqzYdxPjrszGtPA+hsMLgJ/8jEm0KiNaAkYN6IsVlM2ybLRvcC0An2Dp1nCIrmuG2a647drBlptqpbjr5/9RxeXhh/V7IMlD/+l48vnIXhhR0x5K6IbiuuAceX7kLbb4Qmj1+DdtoYe1AzJtYQpMbV4LC2njpjYOYWVmgOceDdxThpCeA3y95DzOe2or6NXswdmgW3tl5FNv3NWk+U7PHj2SnDakuAQtrB2Lp/2fvyuOjqM/+d46dvZNsCAmgJBziIoHYELKBJKACoiIgSivBA6JAgKigtRUCtqDFBKx9+9paD0AFbCva16p41CpYFVABAQtEXRS55Eg4Nskm2XNm3j9mf5OZnZmQYFDAfT6ffiqb3Tmf3/N7zu+3YiQWz5TOQxbQ/FIPOAYyXq/yXl55/2v5ftWDwD9dIZtjxZObMHXxe6h4chN8zRFEIoKmG23Jqq0ysbDy2c4pyQVNUxBF4LUPv5F1YNoNA/Dah9+AiQVESiHGR29CUDp3fOfSFvC8qNGfeVPyZe6p+GsysRI86YrXd6HiyU2yY8+ZaN3v86LB5KAg6ga5UUiQkQvidG1BqQcM9I1qa1M8CekYMUpKnG7N6/3ukZVbUOcPq5K4Skl3WXH4uB9lletQ8eRGRGOOROcUCzgTA4fVpAurEYkImPvERixc9jFCER6/ui1PpUPKTk4itT6pOzsQiuKFt7/E5NHZmmMvXb0VTYEoPtx+CN06O2QOK7IG/nfNdjQGIghHePz2mY/lQh5ZezUnm0HTwH2TBmrspwit7m6urkFjIIz9R/1YtPwTBEMSF92i6UPQ86IkzJvi0ayzjFSbpmMoCmDR8k9lGOCqcok/gxcELFr+6QVrr43sL8MYu1Okm075XOeXekAz+lAanuyuMlzFkw8Mx6wJOejssmDR8k/hclowv9SD8VdcgmMnG2Exs+ia5oDNYsJDZYUoyM6Qz0F8BJ8/iEAoApuF1bzfuZPz8fwbu1HfFDaE8CKBLCGwP9UQRHMwqnvtd47rh5JRffHQik+w/6hfd/0RR5fsUfuONGD+k5vAR0VYLEyrvs+p+iAsJlazDz32122wmFjDAPFMbcyFKKSpRinprhZO67MtDENDZGhEKQoiQ8trh6wTkhhJd1nhznRh8vWX4X/XbMeMJevx1Cs7MWtCDh69pxhZXZLA84IcUAEtXfS0AVJGfVNIpQNVq7agZNSl8nde/eBreY0QyCeN78QA95YMxNPzRsBmYXVtL/FdSSyg9LefnjcCC6cNAUUBoYgIjqHgb4qg4smNmF61vk02JSEJSchPU/T8iQWxST5l7DRpVF/dTm+jmMbfHMaMJesx7y8bUecPw8LRmDquPxwWTqZ1IHHimne/wmU90+TfEjtHEH42V9fghbe/xMMzCuXfkMRZrU+CcV7x+i6YTQze3LhXtvfkfmZPzMWad/egcuUWDOzbBa+8/zUqntyEypVbcLI+qLt/2SwmOYdB8ikHj/kRjvAyFKM704VpNwyAiZVoSgqyM6RkNEtr9h5y3IlX98WfXtqBuU9sxLLXdur6cokpwI4R0hDuznSpiiOARMMTvx/PnZyPV97fgzp/GPWNIVxb2BNd0myYNSFH1rvVb32JutiEBWkSUhZ8lXvz0ruLUVVeLOu33pTIiPwsZHVxYuG0AjwweRBO1unrYzAcjV3f1/Jnx+sCqGsMwecPoqEphLlPbETlyi1yIVJ5bxWlHlyc4ZR9ejPHauLHP764HcGwAEAExQsIRQT5PkvH9NeF41P6vDwvgOIFCUI/VhhMiFaM4ofrCnuqbG7JqL7gGMmP5nkBVhONRdMHY+ndRbjvloEq3/tkfQDjhvWWkYeW3l2MyvIinDKwb7WnmvHbZz5GWooFRZd3k9+tJ7urLv9qczCK8kffx1Ov7ESE12/I7JRskXNuejaY+LEkBpRyhzR+/8I2HDzmR1Mwopu73VJ9FAunFSDZYca0GwZABORi0rKKEagqL2q1oJeI184dac1G6PkiRG9EUaKPUv7tvkkDYbWwuvmDqrg6TOXKLXBaOXRPd2D6+AFIcUjNHvuONGD5a7sQivKa/HLJqL7Y+XUt6hvDaGgKIcWpj5LlawjG/A9ad62ZTVI7rBHMLvExyL2Sv5FievzxmLjY2igntH7rAfk7evFnR/sa7TqW1+v9GsDXHXj+H0Va69oBWoifFzy1CaT7yGZRQ/7okUMrq8PkeGQUPxpbNK0l2OaXehCKRPHc2i8AaLuGldNztb4AGpsjuKizwxBb9p6bf4ZAiEeygwPD0EhPtckd+qS6rIT2qCwvwp1j+8NkokFTwKLpQ9AUjECEiIdnFKK+UZr6M5sY/O7ZzapFsXT1VrlTRPks011WmBgakTAPCgADKQFUNn4Apo7rL3cVEOi4x+YMQzAUxf6jfvleyDkScBuSGG2Oi2fq8zSGIzyOnWhEVXkxeEEAQ9P4fE8NOqfYwLJa4tbZE3PB0JQu9x9N6493G3VTRAURb2/aJ3NM8YKUZLv5arcuh+ADtw/SLWQuuatYl9eQNeimoGn9tcYLIihRREo7ut8SuPlnX9pLUK6EiVBC+5DfuZLMcGe6DHlYyWRGrS+AZ9fuxqKywfKkd0WpR/damkMR2Z53SrLgZEMQS+4qRiSWhDaaMD1RJyU8vAd9uoTHpFg50tMDADDl+n5yxzL5+5JVW/C7GYW6v7VwLGpPBcBxamgSMrWld01JdjOeW1stH3vaDQNQ3xiKQQIWYcldxYjyImgaYBkKFK/t1iPvjNgfIlUxvtgL1V6fyWSwUTcdCdiVQvZPnz+IJLsZZo5GZoYTAi/KBeL4juU1736l2z1X5w/LdtZmZiGIwEvvfSV34CfZzXjl/T3YXF2Dm67qYzhR6kqyyEk8nz+I+sYwku36sFipSVbZd9Jbf0o/Rnm/5DkuuatYM11KfkMKiC4Dxz4qCLLOxUPJGE2/Xah6ejrRey8/xGj76Tp8XTaTNL1CQeKVADQdzNJ6k/xeMDTMJhoLpw9GrQLinzFAG1B2WpLjKeGN/M1h2K2MDNtPYO5EUSqeBsJRRCICVr31BeaVDoLTZgZnoiVIdQD7jzRofFfiD5Pu/ofKClWxw+KZhQm0gZ+oOJOssJh/ihYoIWcqRv4EgDbFNafrQq/1BfDiu1/JCD6EZ7XOH5ahBUfkZ6FzikX+vdLOkeN6D/rkSZL4c3V2WVFZXgyKEjHS0wMf7zyM380sxKl6ietKaUMbmkK47bq+CIR4OG0m0LQWomz2xFysfHM3RuRnIdnBoWKKhAjy55c+l3ni9Lr6503Jx5sbv8XOb07g0XuG4tohPWC3sFg4bQiaQxE0NocRCEXka9lcXYOyGwckpqfOknAMsHD6YNT5Q2gMhFV6o+T3EwQR+4/6sexViZVI+V6X3l2sQZMgcOLKgl96qlXWI7I3zy+VUMD0oGGBFvjRKC/iqVd24r5JA/H+Zwc1Puu8KR7wgoAUp8T7ztAUWFbyJxgFdG7lrCKIEEFTEjLTvSUDkZZiweHjjXj6lZ0y73bJ1W5DCiAJ9o4DeBGmmA/vPejTPD/y/Z+qz/t9xChHoYTvI4UMpd8mxHJEUV7Eb5/5GHNKcmVdWf3Wlyi7qT+uHdIDDhsHl9OMKC9i1VtfaPxzCf1NyncwMb5Bkj8zKnRYOFb+76MntLCjUi6Ak+M1JUeqw8rhTy/tkIc1SAy4+q0vcd8tA2VKE4fVBJqhUFleDJ4XcOREowxTqlwPxMeneEGFBmIE+dnenFBCfhyJ90WUufumQBgsS8m8wgdrGiGIIh5d/ZkhShfxIci/eUGAxcJA4IETdQHZ7yCcrpOucaPqrmLwsXxVMBxF74tdsm9gND1OmoqfXbsbcyfny80exJcwxYqDnVMsqjw1yTVmdXVi1oQclZ+S7rLiZH1Ad+3GIxTp+XAcQ2FSDJVLsi1BuJzmGL2RcFZ8jZ/kWmptfBnQVn7XvLtH7vQln52OhDXdJUFsKR0TI2XslGyRuoJpEQALnz+IWl8Ab2zYi4fKCgFIHDnxylZzKgAzx8LXEETFk5tU91jrC6Czy6Yhp5w14XI89cp/deES6mMQBT5/UOYd/P0LEtZ4QXYGpo8fgCQbBxHGxUzlsyTnZCBqlF8PFoqP4eXWRpp0g4ZE0UUSo82RTO/FPzebhUWvi11yIo0kjm0WBqGIoKvHj94zFA4bqyq6OWwsaOhD0BrBddIUhZ3fnMC6rYdUn08a1VcXorS1jd/CsWhASwLPwrHgWEofYsDgWRAdag9MYQI3/+xLWwqtxFmEDg9k/Hj+sZNNMlwLmai6qLMDogj8z9+3y3YUkPC+zSYW/qYIpt0wQBfqM91lRTjCaxIIhE9vc3WNpnOVXNcbG/bK3U02s0n32BaORWMgjPc2H8DPR1yquwZA6a+9YDiKSFSUoWMIZ8D8Uo8EDRNXzCeds8okdbKDQ32jFDAKPMCIfGxtAIKBkre2j17I9vpMgxM9m2NUqCAB18bPv8OY4t5y84ZegbhqpVTE3VxdIxcPiB8yv9SjsrPuTBfmlOTC3yxBED23trolyHOaUdcY1HBGVpR6VBC0RKfvGNNf99qj0ZbCpjLhkpnhgAhg5ZvVqvWn9L3Ic0xxmnFvyUC4ksyy7+PzB+Vzl43P0T03Z6LB8yIEQURUEFWcAotnFiaaOWIiitDwnr6xYS+mj88560Wn0xXRyTqRv2/AK3GiPoC5T2xEusuKBXd4IIhQQfxXlHow/w4PKp/forJ9L6/zqo6V7rLCxNKoKi9GJMqDYWg5KfLIrEIAwNqPtDDOv7otD02BCB5WQIWRTs54/SaE9uQaVr65W3X/ykSS8h71bEqCJ+XCEouZxdj7X2/Xb974ww1n6WoScr6IUQzTlrhGL6aJb84hE31SY0REF1pw7uR8mQdYuY8r91nSza5MCN9/ax6C4ajKds6bkg+aolRcteRY9Y1hdM9wYOGylsaJytiUF9m/iP9/01V94LRxYFkax33N8B70ydc/eXS23HwBtDSZzpqQgzHFvcBAarRSPhdl0yC5HojQJLMT8v2FYWiEeTGG9PCpCrqTiM8fxLeHG3BRZ4ecJ5pf6lHlMfT4g9dvPaAp+BHofSk+dCLKC+BMNEJhQRXLaAsnZgRjDZ1/fHG7XBz53YxCgAIOHPXj7U3fYqQnCxV/2aTSpbQUK+oDUVStUusY4fauKPXgXx/vw6sffiufc+nqrXh4RiEEQZ9n02kzyfmIZLtZvk9fQyjh83aQtAW+D9D6bVEANaeaZd80nrcsGhWQ2cUJf1ME85/chGk3DIDPH5TjJsJ5HopEMfcJiULhN1MLwAtSzO9yWjT5aXJtxB4DUh473ubPm5KPpmBLY7KSI3Xp3cWyXZ89MRd/jOVN0l3SYAc5t5IKqyA7A1PH9UfJKDfmP7nJ0MeXn2krDYEi9PMdCd0994T4IgxDY/3WA3KjEGdisW7LfgkxgKKw4vVdcvHPyLYqdVbKLdM4crxJU1B+cu5VCAR5LHt1l8ovWTitQF5rQMv0uF5TMSA19Uy6pq8mFr5h2CWYU5ILKnbd8Tm9k3XBGFdsC68ryQfW+cMS/2snO8wmBoyoD3kb78NFeBhAr/JnzddgFi1a1MGHPGckBcC9gUAYYpy9YGkK+dldsd1bi6ZgVE4YvLFhLw4fb8KY4p54/aOWDfhkfRDBcBRTx/WXfxP/HQBoCkYxurAHdn1zAnNKciEIIv788ueyMh470YwZNw3A7r0n5PPOm5KP1z7ciyf+8V+8tWm/1PVzez6uK+yBLp3sWPH6bnx14BQG9euC97YckH83d3I+tn91DH17pKIpEMWu2DGJpLus6NvDhbc/3i9f23ZvLa4YeDGe/ucuDB/UHQPd6bh2cA/0752GNe96sfe7OpTdmIOB7nQ0BsLolGRBz24pGF3YAxelO8GZaPz55f9iSE5XbKk+pjlf/95p8B44hbmT8+G0m3DN4CwkWVmIIiDQFHgAFEODpSmI8S8lJna7GaFARPN+5pd6YDcxhr/rKLHbzQ+d1RO0XQz1l2JofLr7qOb5X12QiX49O6n0a/bEXFgtJpmHEpB0YYe3FlfmdYcgiFjz3h7V8ZuCUVxf1BPvfrofAy5Jh83CwmbhsH7LAbh7pGJQvy7YEbd2nFYWl8Wde05JLlKdZuT2TceQ/l1x7eAeKL68G0Z4usNqYXWvNcnB4dNd2nsbVZAFm4mGw2aGxcLC5bDAxtGIhHjYzCyG52diTHFPXO3JhN3EgBLRYTokiqLuOX7IxJvdbkZzc7gt3zvn9Vcp5L70bLLyfRFncdHyT9GzWwoeVwR8TcEodu89gduuuwzeA6dw36SB+Ou/vsKQAV2xbstBMDSFUQVZWP32F0hNtuLjnUdk/SJQc488vwVf7ffh8j5p6JbugCdOx/XsObGp99+ahy3Vx3Cwxo+mQBi/ujUPV+Z1x2U9OuGdT/Zh4tVueW8ZNTgTue50jd6zLIVT9SFclO5EczCCL/ed0q4BTxYK+nfB9q/U12WzmvDXf32FgzV+lFztxrDci3DdkJ7gOBo0pCRjziWdMdKTiesKe+Bv73yJDZ8fUR17zNBeCIWjUhe01dSqjSai985mT8zFO5/sQ/mEy89orZ0P+mtofz2ZEhl8O0TvGc4pycUz/9yFoycacX1xbyxa/gnWvLcHn+4+itFFPfHSOq29Hl3YA+tjjRYXpztx7ZAeKMrpBoamcGXexfh8z3E0BSVexysGXoxQRMBf/u9zHKzxy2uNZijwURFJdk7e9/v3TsOX+05i3LBLMCz3ImT3SsPOr2tx80g3WJZGQXYXbPfW4uJ0J+7+xeUYO7QXTCYaNaeacPh4EwDJf/IeOIXeF7tAUSL6ZLo0+r/mXa8M8TUiPxN2iwlWMwuGoZBst+DyS9PgzkrFO5/si8HnfIuSUW7VcRZNH4zmQBQLl3+KNe/twebqY5g8uh9oChg7tDc4jsHQn110RnvChWZ/GROD7hlJ+Mv/fY61G76F98Ap3Dm2P5LsHMSzvK/xAHZ4j2PGjTkYXdgDBdldse9IAwYP6KrLT2C03rJ7pWHD54clO/xVLQb07qTyd3d4azF2aC9cNag7hgzoiv690/Dh9kMYXdgTu75p0ZsFd0gd1r955mO8tO5rFF/eTfbtr8q7GH966XP8fPileOqV/6ps/3+/Po5+PTtpfOxfTsrDli+OqY7fpZMdowt7YKQnEzQNfLjtsOr+WYaSdVl5j/E2RbkPEpuQn90VNjPbIb7x+aK/Smnr2vwhpT3XZLeb8eK73tN/USG3XNO3Xb/5Ib5/Ju+go99dQn/bJsqYZsiArrhmcA/8Y70X//3mhPwdZY6j9lQzZk64XAWN2BSM4vM9tbLfPackF5v+exj9eqXiqrzu8j5LfJDrhvTAmKG9cEXuxXA5OUR5ID+7C/Iv64J9RxqwbstBXDukBwb2zcDne7Q+ZZdOdpWtzXV3xrJXd2Hthm+x4fPDsv9w3ZCeaA5L8PnPrf0CJ+uDMJto3HjlJQiGo7p5m1uvuQwOjkE0KqhivVGeLJhYGu98st/QZ2AYus35jdPJOaS/QDt0+ExFqfvKvS3nkjSs3fAtak81o+xGdc5s7pRBgAiYWBrXDM5Cra8Zl/fpjLUbWt6r3u9mTbgcH20/hPFXXIKbR16Ky3qk4u/veHHgWAPGX9kbogg0NIVx7GQzvj3sw+3XXabrM86dnI//fHYQNisn+x+jC3vgP9sOYWRBJiBKDTq57nSsee8r7DlYB6AlTr0i92Isfm6zbvz6zqcHsMNbi2sG98SGzw/L99MUjKIopxtees+LWRMuV8Wm80s9SLJKxSQAcDjMQJTH8PxMpCabUfAD5tLORf0F0CH21ShHsTYW2xOJ99t4AJGoIOvnyfogDhz1w52Vim5pdljMLGiKlnN0RHcPHmtAr4tSYDWzSEu24c2N3+LbIw1oCkZx5cCL4G8KY+jPLsJVed3x/Ju7MXl0P03+jcRVgFTEHDu0F7K6Jsux3QfbDmHAJZ2x9QttPnfs0F4Y6cnEiPwsvLxO2hvIPVstDGpPNePqgiyVX3z4eBNqfc3IvTRDN684prinyscXaErmuCff2e6txfD8TLDouByeUlrbb89F/W1rDu1cEM5Eo1d3F/700g6s3fAtPvvyGG67rh+2fXkMyQ4zri/qCTPH4kRdM3Z4j2tstLIOIzeym2gEwzyye6Wh9lQzDtb44fMHkX9ZFzQFI8jv1xX/WO/FnoN1cGe6MCI/Ey+vbwGsPFkfxOHaRvx2WgHGFPeEO8uFv7/jVQ1UXV2QhT/8bZscC8+8KQcmlkZTMKJqSiK2+pe35IFlKfzf+j34+fBLMaa4J64r7AmGpdClkx2DLstAlBdgt5pgpilVrvh070sUJbQ6GgAlimc9h/aTnARUjmGCogBR4uQoG5+Dm64KqOAyiez85gRuu64vqsqLQKjA9KrYaSlWVN1VjGMnm9A5Rf13JZTByXoJUuul97wYO7Q3DtU0wnvQF4PlCuC5tdWYPTEXd47LRn1jGN9+58PimYXw+SVIzpfXeSXsaRON9FSrLhTBM//cqbpvci3pLitsZhZNgSgsnPS37hkOXJnXXQVRVDHFI4++kimXO8dlo6EprCEJn3+HB0l2ToJvokRAlJRL4MV2k7smyIpbF6PJNBh090+7YYBul7kgiIYTfCxLw5PdVaUP900aCF4U8dkXxyToK1EERVH4YNshjBqcBVeSWTU56Eoyg2YoOG0crJwJNAUIohksSxle66wJl2umVO+bNBAiWmAVGFpas2RCyagjtiN1qD2Tgwlpv5xuzSunRwh0oFJqfQFkZjgwa0IOBFGEzx9EWrIVyypGSPpCQYYzUk7rlYy6FI+v2aGBWSzIzsDDZYUQIcbgPCm44uw5OS8FYPGsItCUhPH/8ro98GR3xcXpDlw7pAfe3rQPI/Kz8PMRl8JuMeHFf3+l0fvSMf1jHA79sPJNLRTInJJcPPrCZ0hxcjLsnCiIOFEfwPJXd8sdegxNIT3VCgoUKELczNKwmllUrdoid+4RuIF0lxW/nVaAU/VBucB5OhtNJlFCgogkG4dH7ylGJCLZElAiysYPuKDtdUdOBsfrPUvToBnggcl5gEhpYBCNIF1I99zI/O74+fBLUd8Ygr85gvVbD+DGK/tg8cwi+PxBdEq2YPlru2TYF2WXqc8fxEMrNqNyVpFmEv+b7+oweXQ2OJZGWu7FePDpj+V1UllehMa4Pb6i1AMA8hTe3Mn5oCmJ0+TxNRJigivJDIeVw8o3d6s6TgPhKERRBBsr/osMYLea0KOrCdcO6YHVb0kd/4dqGjFrQg4yUu34rrYRZo7FouVa4vvK8iIsf00iGy/IzsDimYWgQElQt7hw9bQ1oWlKf9KfpnC2ngaxGyaGUnUPE/vGmWgIYe0KasvUSq2vBfpI+RkviLF33dJ/bDLRmDUhBw4bJxWbLQzm/rlFb5RTBCxDt7rn6J0zEI7KkwUsTSEYieKBP2+Qr33R9MG4Y2y2Bmp90fTBckLEyKacCRRxQhKSkITEC88LAEPjjy9ul/1fpV/ocpplO9gatGCPrk48cPsgdEqxYExxL1SulPzMWRNy0C3NAZalwdDSfhsM8QhHeQTqoxr4rRfe/hLhiICNn3+Hh8oK0dAk5Tre2LAXk0b1xVOvqPMZepMt80s9sFoZNIcoUIDcpV90eTcsWbVVd7Is3WUFy0gxJXkuLbEeD6eFVVFpcIxEXwKcHto6IW0X5d6mx9WX7OCQnmpFQ2NYE6skO9U5O+9BH97YsBeV5UXw+UNwWjnUNwXhye4qQ7oVXd4N95bkwmJhUO8PayakCIrEjVf00uTexg7tLfsf6S5p0v83Uwvgawjisb9uU+l1nT8s59AmDO8DlqF0KSyUULrJDk71bIiPT/jgW/SxhU5HKUo0hUQurWOkLfB9en4bQ1MIhqMa/Vzx+i48es9QRHkRvKBGT/lg2yHcPNKtsZGHahoBACaWxVOvbEfOJWm4eeSlcm6DxHRRXkCKw6yaUpLQMkRNbOe0mXTt6Fsbv8W1Q3riRH0AY4p7YfwVUhNFhBcw988bMb/UAxOrRulwZ7ow/opLcPi4v01TfK2h6lCimNDd80zCvCjXBQDpXa5RwIor8wNr3v1KRunqmuZAczCCTilmlI3PwdRxAhiGRlMggoonN6nWwAfbDuHKvO4yWgv53GnjcGVed9ScatLons8fBAUKnIkGZ1JP782dnA9BFFBZXoTmYBQ2CyvnSOaU5OrqpyiK4FgGZeNzIEKKMU0mCpGogMyMpFhuXZtfYBgaPn8QUYpSQbj/mMguhkVAt9vdrS0H8Hq9R07/rXNPyCbZubMTx4/7EeEBlqFhNjFY/Xa1LqYrxUukmBxDIySKurBZ4UgUFs4kJ7r0lPFQjV+FV77vSIMKRrS+UXIa/vTSDtw++jKseH0Xpt0wQE68KX+3eGYhAErm/cnMcOBg6y+HTQAAIABJREFUTSMCoYis6ETSXVacqAvigcmDIAKqEdeHygqxcNnHqsVbFeOKeuX9r3H76MtUBaEHJg/CvSUD0SnZAhNDS5CfEV6ViOABiAxtmLRgdWCN4t9PouiiFb0iNgtApClMGN5H5YT+6rY8Y6jOGDZ9fAF5TkkuREFUdUDU+iTYiyV3FaNfr04qAzynJBc8L2LVm19gRH4WLBwQiUr/nnlTDhqbI6p1Qohcxw3rrTkvBeDbw3WqIuOW6qPolmaHL85RJ8EWoG9EEzp0fklr70vpLBrBCBysaUTlyi1YenexBGfMAGFQMb2gUZCdgc3VNXJQ2SnZIid3p90wQAUns7m6RuZV/fPLn2P+HR6wBtCNh4834qEVm2Xd9jeH5esgdp7A4Y7M746SUX3VDRSlHrz76T4ZtkgJBXJxugM1p5rkwgcg2f17SwbCZmE1EAfPrt2Na4f0QGZGUksREICZo+WEP00Dj8wqAkTIBVICywS0nlhuPenR8sYu5LVmZH87psGABwWJ0zEU4TUOqF7iizjU7kwXRhf1xG+XfazSiVc/+BpjinvhN898gqV3F8uJhHgeR1LQWPXWFxoI2XHDestk2MogcnN1Da4d0kMFv1HrI7wYxbjpKomreNmru+A96MPCaQUaeNKSUZdiyvXZ+K62EW9s2BvTX6cM28yAjumhiEi0JYD0HvThoRWbUVUuFS0Xz9TnzDxZH8TYob1R5w/L67qqvEgiOD+jN3b+SzQqqNY8INmyJXcVn5XzKe0GsalKfXl8zQ4sKdc/tzb5QmPZazsNITeJFGRnwN+k9hmIn0uS3r9/QctNoeSxJHuN0Z4Tf850lxUcy+ChFZuxvGIkRIiqTudaX0AFDUU++5+/b8OSu4pPm/BI8KQkJCEJ6ShRNliQhFyXTnb4GkKwmFkZ3mpEfhaSbNrm6HSXFc2hKFiGxv4jDbJdq/UFZJ941oQcZHVNwqm6kFyIU/oQpFln1oQc0DQwqF8XFbffHWP6w2pmNPkMnz+ICC/IeY+jJ5sQFQS88NaXuGpQd6Q4WppSUxwSZ5YRR7ivMQgrx2oQXhiGxkmDuJPnhURTRgeKcm9TvicldOfR480aOPzKlVtwb8lADa/T2KG9sfy1XRg3rDea6Sj+8LeWxmJSdL7vloG6xyR6urm6Bq9++C2OnGjClOuzkWSX9PF5ReNaxRQP7FYTTtQFNMchFEEkh6bHja0HpZtkbynAk7jy7U37AEg+99RxAlhRbFNeI5EH6ThpO3yfoKIvyUi1afJsFaUe1DeG8MjzWzS5Yk92V9XUtVKXAGBJrKH3usKeOHy8US6Yk7iK2DVloycvSDQJ8fZvUL8ueHPjt6rvNgUjePXDb+HJ7orH1+zA7Im5eO3DbzB2aG+seG23vO4WzyyU8yruTBfuv3UgHnxa8q/jz7Og1AOGoRAWWgogp4P8TOju+SV68YkSVhxoyQ8snDYEjYEwXE4zPtz+HXL6pOHQsUZ5jcTDepI1sHDaEA2kt/JzPd2bPTEXy17biSnX94MryYxF04fIlCgkN5HusuLhGYVoDITlouV3tfpN18dONsn+DYEHnTSqr5wHYwCAV+fBWmLgltz5oumDEYkIeORHbCJqLW78DtIabc2XESHlGC8IIcmGsvEDAErqttGr6PK8AJZh8NJ7XtVEx5qYs3zc1yQ7z3rFRGVHG+kOysxwYOG0AqSlWPHXf0kdRqQLatH0IaANCIF9/hCS7WY5sTa/1CNjNcefu2KKB0//cyduu66vJgnT0KTPSZLs4HT5Dx9d/RmqyovBQpSSvwwNkaHbTO4qCIAvqE0mp6QksJ7bIvFFbB7SKLbdalJ199utJgDajX/2xFwAEkwBKSATPV791pe4/9Y8uJxaQtSoIMpGGmhJ4C2eWYTN1TVygpnI1HGiXAAk31+yaiuqyot1zzu/NB/9eqWpioxkqkQv2FpSXoyGZuMgLSEXhijx+PUCeRJQpbusSEu2gmOgCd6V00mkaHCiToIQMpr0yEi1YdaEHDz1fzuR4uQ0BRglXwjR7UXTh2DquP66xfeiy7thzbvqScAPtx9C8c8uxqadR1X8KfGFROV1mUw0UpwcFk4bAoYGeAF49YOvZTJ7QRDljZnnBdhNDDIznGr7LAgAb8y5pZdYTiQ9JNGzvx0l5BkbNRGlODlV0GkyMbh2SA90TXPIHMCAOnBkGQmERY8rhRQzuqY5ML9Umv7nBUHeR1iWRrKdw53jspHsMMPltKh+b+FYXf2pawwhEhVUzUZOO6cqMPr8QXAmBo+v2QGfP4hf3ZYHh7WF30Sv6ByfPAmGo1L3La1fpK9vDMuNVOQ4P/WiSZQX9fd3/uw8F6XdINN1Sqn1BRAVBMNzK5MBDERN9/WCUo9M5E4+mzquv6pxTunnKps+4gt8ZIqgqrwYFA1DX/6Xt+TBbmVV55w9MRfhSFRusuIFQfOcHTZO397GiO1bk7Zw5yYkIQlJSFuE5wUk2U0q+/S/L0qFl2UVI5CabJYTYi6nRZPI/uUteXDaTFj26i7cMbY/7ps0UN5LvAd9qPVJ09KC0BIHGvnaXdMc+M9nh5DbNx3XDukBC8eiORiB3crC1xjRNCbdN2kgVry2W8p9lBchEhVlVAySvCbnmV/q0Z0sc1g5/OklyfeYNSEHmRlONR8tTsNfm2jK6DBR7m3K3BdJ1r7w9pcoHdNP93lTFEBTUOnxB9sOYUR+FjJSbRp+MlJ0NrE0KIPcGpnMAyDHVbv3nkDh5d1w7ZAe8mQUy1JoDkWQlmLRPY4yh6b0BYLhqIyIQOJI4kO88v4eVVP/S+95MSI/C+u2Hkrs9+eYGHG9K+OWguwMTBs/AL+bWQieF3GyPoDG5paJ1vicRrJD30d02kygaUr2YZeu3qqb6/3ttMHwNQRVvv2cklzUngqoELiivACLmcHOb07IujV7Yosu+psjqiILKcqT6/H5Q7hjTH8AwC9GXip/XusLqDgNO7tsCEeieCCGuCHn6ZzmNiFgJOT8EKUNV9Y29CafG5pCqHhyU6wYNgSciVbZaaO8AkPr22uGoXR1z5Vkkfks9x1pwP23DoTLacHcJzZqjuFvDqOxOYxunR2oLC9COMprhr3ic34kx3K6PJieL6HXEPpD59Na81OsP9A1nFOiHKMHoFvRBYCoIBgUPfqj90XJoBlI/EoWFg+XFYKmgcPHG9EUbJnQc2e6NN1Bv7otD1Ou7ycnOHz+ICgKOFijX5Gubwyjc6oE/7lk1RZVElkete3kgMlEQ4zB5OklYYySg2nJViTHuuiUUusLQBBFMByNKCiEBAFRXsQ///M1dn5zolVy14LsDNA0hVCEVxmHypVb8NicYe19ZQmJSTgi4iFF1zkgPfuqu4p1oTfLbswBQ1OqyQzyG5OJ1oXsMrH6CTya1u/ooWnoJht5QdQ9b1RnnLxq5RZUlhcZJA7FRFHiJyDKjmWSpH1kVhEEQcSRE4144e0v4fMHYw6kiDCv3XDJdNLUcRKMCkUBHEdjTkmuCq6DOC/JDg5RXsSad/fIcC7JTk4ujiidCyK1vgDqG0PolGwFy9CaxEWXTnbdfeOKvO5yYiItxYLFMwshAqAp/aRvssOMusYwKp9XF0f8zWEEw1EpmdxGL7o9ieX2JD0YnUnvRGH+9EKesV6xW4lIQILOkCDKE3FGSQhCXr9+6wEsuMODR55XF7ItZgZ//Pt2+PxBzJ6YC4fVhDlPfQx3pgulY/rJxRSlE0z0Ph7qBpD052R9EK+8/7Um4QYAv5tRiIbmMJxWDixL4d6SXJg5JqZzgi4MMLkfEpCSLkKLmYEYEQCIhrCRyqROIokC4/3dREMMd/wabcskd1vfidEkLgBVcVzQsVXEz1UmovXW2aRRfcFCRFSAPAljt7BYOG0IguEorGYWvCDg/a0HNX7VtUN6SE1WlAhO5zk/XFaoe/8iRFQ8+bFqrcc3M3UkFHFCEpKQC0O+j68litBAxKW7rNh/1A8TS6mm+1a/9aUMdUzTFFiGQl1jCGOH9pYbkJT7rs8fhCgCgoDT2v/G5jD+9m8vvvmuDlPH9Ye/OYIUpwWCwOPNDfswcZQ7hnwEnKgLYuWbX8DnD2Lu5Hz4/CHVPcQXGo0my5RJbQvHavzY0/m7Rr4zS9MQISZ833ZI/N7m8wfB0JQqWWukO/7mCPzNEVkHSG7tjQ17kdlFvzjdLc0BhqaQmmQxPKby38FwFIU5F2H1W9WYPDobjYEwIlERT7z8XxnlQu84SXYOKU6zhnKC7N1L7i4GRUGm/iENbju/OSEnlwFg/BWXJPb780Ti4xaCQKJ8n3+YM0zVeEYKFz26OsEYNDS6kizgeVHlw8YXPdJdVjQ0RVSQuXNKcuFvDoHjaA004wOTB+H+W/OQZOdw7GSTbLcfmDwIgWAUVeVF8DdHEOF5DfpGfWMYLqcF08cPwKEav2panOR0011WVJYXaxAxKlduwawJOUh1WvDoPcUIR4R228pEjuHcEhbAg3cW4G/vfImxQ3u3afK51ic1hip9BMDY1ptYRv9zBVKXUvem3TBAVXwUBMjTs3q2urE5jFP1QQTDUVyU7kBqkgmV5VKukaFpPPrCZ5qcH1mLrTX/6PkSRoXOH7KJyPA8Xq839ANdw3knDENDhL7zt/+oHyte34Wl9xSDMzGoisEKJDs4PLRis8ytR6C14ifsHvvrNiyeWSgnmv3NEZyoC2D91gMauIPZE3Ox7ctjSEuxyFOJyQ4OqckW3H9rnjzFCACRCA+GofHbaQU4Hvu98trXbz2gm1wwmyjwgn6BRxRF1DdH5MJTukuCLQAgF2I4hlIdtyA7AyWj+spcR/HGIRIVEsWbM5SoAlecSK0vABNLY+LVfbFklRLz3gOOpRHhBX0OPoOJvyV3FesHPQytCyvKmRhD/p94XsmKUo8KG115D4KBDtIGXSGJTswLS4w4A8FQyMxw4v5bB6onkA2n21pgVESGwaOrP5N58uZN8eCl977SOC/3TRqI9VsP4sq87nj6lZ0YO7Q3/vjidky7YYAu5HIwHMX+ow1Y8fou/HZaAe4tGYgUpxk1p5pwok5reyUHhpELJY+u3gbvQR+qyouw8s0vNOtq9sRcMBQlFwDJvf3ppR14eEYhTCwFgRdBM7S8BlrjLWlPYrmtBcMEV8qZC3nG8V3racnW2OS9EPd9WnaqdQvGdjOeW1uNdJcE6+lKMqPqrmIAIiBSiER5HK9T61FVuWTnJwzvo4GFfnyN1EVN4DCSHNoJWeWeToLeqvIiOQA4cMyvagABgKV3F8NiYpCi6MA2SsI1BsKY+8RGjV5JNqIYJ+oDqoSK8vkkkihodX/vCIkPzjmm9Unu9r4To0nclmlBClGaxtK7i1HfGJaTf8TP9fmDmqkDktxmFMgfPEXpNm089cBwvP7hXlyZ1111H/OmeBAIRbD2o72YPn4AeB6a5/z8m7t1IX3/9fG+0zYzJfiyE5KQhCjl+/parXGuxk9eEQjuZRUjQPMCwgIFE8PgTy9pfdFZE3KQ7LDAzNFoaAq1av/vmzQQoQgvJ5wZlsbJ+iCivIDOLitGerKwQMENNL/Ug1/fnodvDzfg5XVe/GLkpao4VhTV8aI83X1XMcJhHsdONcm+AdDitzM0DZOS8+80/q7es1tQ6kEwEtVMtyR839ZFb2+j4poglU3u8U1pAFS5tTc27G21OE3TFB748wbkXJKmm4tY8+5XACDrp8lEg6FbpgIrntykuv417+6Rm/Hl40zx4FSDxEdVMupSTb6PFEIcNg4mllahZih5j9NdVnR2WSUaHST2+3Nd9OIWl9OCrC5OVJUXQRSBJLuWx3LF67ti1Aza/Njsibn449+3A5D0XNl8qS64FaFqldoeP75mhwTD/7ftWHJ3sQz7fOxkkzw9TagZ7r8tD76GACIRQcO9SaA/yfWQpjcLx8boJPTphRhGP09n4Vg8Quih2ghvSySRYzj3hOcFZHVJQtn4HDnHD6gn5kgDzgtvfynrHAAwzOltfcUUDxgGmrVx/615CIYjmhqJcm8AWpo7Xnn/a1RM8cjrhNQuGgNhuempIDsDE692Y8mqlv1j7uR8pDj1+VpP18iq50sYNVD/kE3KbcqTu91uGsA0AFcASIMCItTr9Y46O5d2bgoxPHrwQMqu87Uf7sXIgqzYJJ5dnuRTJvYyMxy6hrG+KQwTy8gOLSmcfbT9kIqg+I0Ne1E6pr/M5UcSFaT6TXDIlRxBFVM86NktSeO4jh3aGx/Gjh8ISZ3OVhONUETAs2t36xYgCf+UcqEvWbUVC6cNwbqthyAIwMnmMF6Mwd8lOzh0SrboQjMQ42BiaUSjP+X03JmLEfdfJCqAZSkZVlYQpYJhmBcAAXjtw29U3ezk30bFNT2HmaYpWMyMCorUYpb4FfSSjUvvLtbAIq559yuUjc8xKDJS+oUKJgGP9VMRI3x4vc/aUqwSYgXnWl8AC2JTT/dOysXCZWq88T++uB0PlxXKdpQQcKenWnXhQc0cg+WvSrj5D6/YLE0siqKmCURpS1e/XS0XH5VFC+9BH1a/9SUenlEIX0NQnjaZOq6/7vqkKKDiLy3JkgWlHiQ7zfLU9Zbqo/Bkd0UowiMKDixDtyux3NaCYQI29Mwlfup1xeu75AlXvXfCMFLgtfajvbrFlTDPo3RMPwXU80BwJhq+hojKCVYW7sQYhLTR5HeXTnY89cBwmEw0BFGClr3n5p+BZWgkO8xY9Va1pmuUOMrzpuTjpfe8qmOSrtI/vL5LxQ1ntI7JZGO8XklQ7RK3szKhMr/UA6fdlEiixKS1CYfvi++vF5wvKPXIsD8kIbt4ZiEoULoE6h19fpKwKBnVF2nJZg20s88fhMtpgZmOFf9ixzKCmDWZaBRd3g1mjsHDZVLjxcEaP5755074/EHMKcnF71/YhjvHZWue8+bqGky6pq8ulcCrH34rf8+omSnBk5KQhCSEyPf1teL9v/1H/bIfcLqpbYam4A9HdPeSizo7YTEz+NXjH8HllBqT//C3bWr7T1H4rtaPlW9+IfsLBdkZSHf1lfdvPW4gwi1LGon2HWnAnJJczJqQg4xUO1hW25Q6blhvAMD/rtmB20dfJjfwkbwILwpY9tpOaY9wcoiEeU0Tc7y/q+c7Mwwlw96dyfv4KUv83sYwNBaUemTOJGmfNmNJebEEH07TCEai8PmDqPVJUIcPlRWCoiQuqviiGylOu5wWWb882V01uYiPth/C5NHZmDquPw4fb8T6rQcxfFAmaBuNhdMKEOUFzbrw+YOIRKO45+afIS1F4o16OuYPLJo+GDStj6hF4HL//cl+LJw2BCwjodQ8u7aFd3B+qQcmqH2ThJy7Eh+3uDNdmHz9ZfiNoiA9d8ogTQP+/FIPOIZCUzAq6+TF6Q7UxDUtvLFhL2ZOyNEpZHjA8/q+PUGAi/IikhwcVr4p5RyIHZSoJixoDoaRbLfI+Q7y+8oYItdNV/WR88/jhvXG6re+xIThfWCKweKaOXUe0MxJEYXePkLgRs+kaT+RYzg3hdAg6Olgj65JWDyzEM+u3Q0AqgGRguwMVROFzx+EzWLC/bfmIdlhxtETjbI9nTtlkJxv8DdH8M//fI0R+VnonCKhzrmSzNJUXyCs2ueVTSBJDpPsL9T5Q0hxmjHviZZ9e0R+lobKaunqrVg8s1BFRTF3cj42fv7daRtZ9XJnGak21f72YzQpt3XdPQZgDIBnAfwGwO8ATAew5ixd1zkrSsNDksGZXZw4eMyPD7YdwoThfWRHwmkzwWJKgggR67ce0MBRLJ6pDwvkawjJMFoET5llgBtiXE9JNg7JDjPGDeuNxkBYd7E5bSbdScOqVZLz/OH273Q75jftPCoX5Ihju7m6BiVXu1VOEvn++Csu0ZybocmUFiU/K1KgXHp3se71JsemCZLtZpwMRpCQ9gvL6HfhQAQWP7tZo2dV5cUABd2xbaOCIk1TSHNyqCovBi8IYGgaHAMEQlH8a9N+3HhlnxhHmRmvfvA1bh7p1n3fkaio22E/awI0GMzzpuRLsKJ6EGC8FgLu+xjRBLzAhSFtKVbF67j3oA8Q9TvWlBOnyummZx+8GvPv8IBjGXAmGjWnmvHOx/tV+0BTMAKbmdU0gXRKtiDJziEQjmrI5pVdoD5/EEdPNKqIiAF9p/rI8UaVvX8k1mn60IrNis6mrapnIk8EMjRAU+AFEaApuUColLYWDBNcKWcu8c+YpWnQDBCKSGTz8c87FBHkQIxAFjaHInA5LXhr4158sc8n62PJqEvBmWgIPDTdospmHFGUAs3Jo7N19exQTSMqV27BsooR2H/Ur8L7d2e6MHNCjspRnl/qgYmlsHDaEISjPKaOk3gklF2lpIEqqtCR1qYUiMTrlaGORmOczmfjpZ1nEt9hD0jvlaK+f/isF5w/EuPv1bUbOnD7HX1+AiG7+u1qlI0fAIoX0EnHjyETIERoBro8WOEILyem011WLLhDaq67/9Y8HD7ul+FyjWD2a08FNJOwN13VR/XvRDNTQhKSkNNJR/hapPjCMLQKVlOvG1/pR7OADEGn17gZDEXlRrvn1lbLCbpkO4dn1+7GuGG9wZkYVaLujjH9VQloI8isTslWeXJwS/VRpCVbIQI47muGxczqcs7/+vY8+PxBFXxeMByF3WqSC5T7jjSgqrwYLEPjpF/dxOxymmE10ap9Ir5wFRbazrGdkNaF5wWk6MYbfOx58zBzDOaU5KJTshWCIOJEXTNomjLkVbuoswPNoaice3DaTLq5iMEDuiHZbkaPbklwOS0qH1SvgDNvSj6cNg40RammYABg0fJPDVGUguEoLursUPGyPTB5EG4YdgmmjhugQiZIyPkhyriFcKn6m8Mq+qOlqz7D3CmDsHDakFjODLBbGYR5ET5/SNZJAm2rtJFjh/ZGcyCC5lAED88oBE0BIiS//nCt37Dglu6yIhoVYLdwKBufA9DAQ2VD0ByMIsVhxrLXdmL8FZcgEtXfU8gAitNmwp1j++N/YnQor7z/Nabf2B8WE4vn/70bI/KzYOGASFTEP9btwawJOYZx3Jn6uYkcw7krxk34AMfQKBufAxGiaiCI2N+F04bEkANsOHaqCZ2SrfI0N5Glqz7DrAk5+M0zn6h0qeym/rg43QGaAihQYGhaotYRoaINmj0xF8FQFJGoCFEUYLWwCIV4FXWVEQ1aIBRVDWO9vM6LSaP6olOscchISF7isTnDEAxFW9DMTMyPiuzS1rVyM4ChXq93n9vtnu/1epe63e43Afy5Iy7C7XbvBxCM/Q8A5nq93n+73e7BAJ6BxE+4H8BtXq+3tiPOeaaiNDwkGTy/1IP1Ww9oiikkwQoAk0b1VTmTSXYzPtz+nSG0gXK8e9aEHKx5d48GVnH2xFwIgrYjKd1lRZQXDJ0glqGx85sTuHmkoEuOqcS3JYv5eF1QlzcgGI6qfp/uskIQpQ0QlDEnS/xxCNQZTSd6OM5UKF6Ey2lWdeG4nGYIov5mKYgiGIrS5Qss//nluhN/ZhONcCCiCHp4RHiA41hcNag7HlrxiUqX40e8gZZiot7nPA9s2PGdyjFat2U/xhT3BmUAAdZR8FgJeIELR9pSrNIrMJhM+pMftMFEyMFjDUh2mPHe5v0YNbgn1n60V7MPzJ2cj399vE8z3TV7Yi6WvbpLhuL49W15mDpuAABR1QW64A4PHDaTDG33xgYJak4PKuHpf+5UPQfSaQrodzaRzjm2HbrflkmU9vAMJkQr5BmbGRq+5nCr74WmocuvWllejKsLsjCoXxeVniwo9cBm0U+sJTs4zCnJxUvveTF2aG+sfrtak+xQBm8URWn8Ap8/iCS7SbUPWS0sTtUHNV2vk67pi9pTARVsp5LPUruOaSx7badmyjBerxLTUq0LY9AwxDAUxO/5sIyC82gMivlsvxM9LsBan0REv7m6BlPH9Yc5luA9nb0LxwrsSv+IFwQNv8kjz0t2FJAmvokYQZ++GIMaI5LussLlNMs288foCE1IQhJy/klH+lp6vGzKyat4P5rnBVg5Rh8SMxxFzalm+dpITmPhtAL8/oXP5Ebqu2++XOUrgGobN1DNqSa5MW7elHw898Zuuano4bJCXZ+IZWj5Wkl+ZfbEXLkACLTQBkCniVlqnm190iTh+3asnM6XY2I5IyXs5y9vyTPk+jt8vBGRqCj/zUi/kuxmPP/mbpSNz9E0FS1d9RmW3lOMyvJiCIK0Fp5dK+mfUaN7Q3NYk1OZU5KLFKcZUUFQ+RgEonFZxQhQCf/1vBMStzx6TzHq/GEsWv6JJn4CAAq0KmdGEEuUeVIlXH2XVDsEEVj9djVG5GepeM+SHRwEQcSad/dofE5CdTJvSj5WvVWtab4kura5ugYj8rNgYvVtmK8hJNtUAqsPSLnw5a/uxqyfD9BwDhIee5fNhCXlxYjwgqogc6Z+bsLOnrvSWhN+JMxLqD06lD1KqOW//Ho4Hl+zA/dNGqhrT7uk2rGsYgQAyfZ2z3CAAq1aa/Om5AMU8M7H+3BZzzQZEemNDXvl9UOQBn59+yBVjcWI45VlGDz4tLooKTUOnX4ClecFdE6143jQf1o0sx9K2loEtHu93n2x/w643W6r1+utdrvdgzrwWn7u9Xp3k3/EIEj/CqDU6/VudLvdDwJYAuDODjxnu0XP8KzfegBTx/VXKYYywUrFNoTp4wfguE+avHtubbWc/CVQb+kuG0IxaAMAqqLghOF9NLCKf3ppByrLi/HLW/LwP3/fpnIsunSyaXDxyTGTHWb8bkYhGJqWMZ6Vf1fi25LFrAd/ev+tebBbWVXioqLUA4eNhRihEdU5vxH3oBHUWULaLjwvwGlhYc5IUne3G/DpMVK7BMZfcYmWE1CEIVynnqETDDiGHr1nqG6y0WQAs2I2URg2UF1MrCj1SPdxlq1jAl7gwpLTBY88LyC6t+RTAAAgAElEQVTFyan4VwHt5AfRVz1uPuLIPjKrCCte36WCZwZaIASm3TAANEPh4bJCiKKo4STx+YMQRKn4V+cPY8r1/VB24wCIIiCKUldTl1SbTMLNmWhNwT/JYdLlKCT3RZo7lEKaPZQJD/L599H99vAMJsRY2mKTjPhYWYYCDRaPr4krWKzcInP+6TXjNDSHsfObEzhU04iSUZciPdUqQdoKoiZ4i/C8xi+YOzkfy17dpfIrjCC9KsuL4GPV/g7LUBAUSqJcxwxETBrVVzNlmNCr9gkN6MJ3K+qvZyw/dnBu1LCh9GvbutczNKVJJhsl+ZRNc8pGwTc27FVMHEr8iHo6bDXRCa6/hCQkIe2SjvS1jJvneEM/OhLmDSExXU6Lxj/o0smuso/RqIBIVJQnR477mlU2VG8a8Ze35IEXBHkS8KX3vBiRn4XN1TWo9RnzrjIQ29hURBtCmp1u0iTh+/6wIujw7v7P37fh9/cM1byHeVM8eCbWKEkobvT0a+7kfGz/6himjusPPlagU6Jd1PoCqD0VQCQqwMTS+MPftsnnb236f/3WAzFfQJRg0BkKDIAwD90m+0RB4/wVnhcggtZFpZh2wwCYWEqGPiR/q4zFZkrkONKMkewwoykUwT/W7ZFhOOXCoYNDMMij1tekO+mcZDdh8uhsrH67Wo7LlNey4vVdMrf8K+9/jbtuvlyDyPXLW/KwbouUv3XaTBBFyBD/5BoFAdiw41Crk9MWhkZmhhP33zrwe/m5CTt77kpbmvCN4kQyWLRuy35UlHpQp+BvV36PoiQ/Y9mruzAiPwu9LkrSUI0tWbUVVeXFmkZoUldJd1nRVeGPhCM87ps0EP7mCDb994huzi8ciZ6RX3CuSluv2et2u/O8Xu82ANsBzHe73fUAjp69S0MegKDX6yWjak9Dmgb8UYuAHEOpRkHXbz2ASaP6gtKpaisVg+cFUAwtF1uI+PxBHDzmx4rXd6GyvAj22GgoKAAihVP+ICYM74POKRbd40d5Ac+/Ua2Bvbj/1oHY+sUxDZdfRalH1QlSUeoBAA3Zq2xMY4u5bPwAgAKqyoshiCK+q/XjubXV6J7hkKa2GAomJlZ0CkqLWNl1R85PxmYTyY6OF8aguz0t2YwFd3jwyPOKTs07PDDRQNiAE3DquP66EBlTx4kw60Bmhnj9gCkSFXSnE2GwSYSDUV24UaMx646c3kvAC/z0RJqeteDFGCcTIBF2K/XVZmYhAuiSakPlrCIcrwuoIJEBQBQleNufj7hUV4eSHRxO1gXQ2WWDlWPgclpUzR7zSz2wmBkZ15xlaRw72ayZ4EqJ6XWUF2A3McjMcMrrh6O1hXUlMXJr/C5novutQee2h2cwIcbSlvfCQERKnI1NcZrBQETYIJEFSh9GuSkUgSAKeHhGIQRBRJ0/BFEEan3NWPuR1D1357hsJNvNcNhYNAejmklyhqE0+0Y8pJc704UJw/sgGoPovGfiz9AUCMNp40C1kvRI6FXHiAgRFhOLBoTlzywmyc59X/nRg3NK1OVdVfq1oTbaO717UU7sEYlvmov3eVmIoEQR4EVEeH1oc9Ihm5heTUhCEtJW6eg9Mb55DgwNkaFbh39XIBdEIU1Qk8IJSUi7ksxIdVoASt2UGo8y5M50qZJvPn8QZo6ROYDSXTY0NIVQtaql8fm+SQNh4VrYbDdX12DGjTmGcSS5PxMDlMQ1ZJCm0zDOrJkl4aO0XQRBPK1unU70irUup0XmPntkVhFEUSr40gxk/sB/fbwPD5UVoqEphCgv4N6SgUhNMoOiKJg5Gtm90uTG/vipqXSXFU4bh8fX7NDw/upN/yt/O3WcYqI2zEOAfq4sUdA4/8Uofkt2cEi26yO1CYKoQY5zOc2wmFlEojxm3JgDmqZiRTTJroV5EavfrsYvRl4qD4WQKcGKKR4sf20Xxl9xiSYuI9cyP2bzyMBHUywPSM6f4jBDgIiRnizVwMmCOzz4/T1DEY4IoGkKDAOMKe4NgRS5oc3ddRRKS8LOnttyuvesFystKPUg2clhWcUIMDQFc6zZPT5/XTHFgzDPw2KWahO8IBoiwPCCAJuZxawJOXDYpHXn8wdROqYfRBHgTAwKsjPA0LSK4mH2xFz857NDGtq0CcP7XFATqG3Nbf8SkBtk7wewHIADwKwOvJa/ud1uCsBGAPMBZAI4QP7o9XpPuN1u2u12p3q93lNtPWinTo7TfqdzZ2ebjiUIIg4ca8Di5zarjGBmRhL8Af3uH4uZhctpkX//4J0Fqt+T5MScklxYOAbJDovueeZOzted2tPrVCaQB/17pyHZaUZleREisWTbyjfVnSBVK7dgyV3FKLtResE0TeGuX/wMyXazITSnIIgIhKSJRe9BH3Z+cwIP3lmArp3smt+kpIh4bM4wuWOqteO29338FKQ9+ivpgboTonLlFvx+9jCwLK1KErMsDYfdgqZgGBOG98Fjf23Z2H91Wx4YRr+bnjPRqG8Kq3TzwTsL4ErST4xxJgbpLhscNq5dOvB97/mxOcPQOdXermP6DDpOlGv4x5TzcV10pP09W5KUJOCWa/rKTkZBdgZKx/RHYyAMlqchQMQDf96AWl9AM9EEtMAMEbgMPR1yOc3okmqDCMnGZnWxauxifVMIK17fJXcJxk9OPdKKXguCiPomidy46q5iCIIocbKEebnYuH7rAQ0czYN3FiAtxYb6Jv3rNtJ9vT3qwTsLkNUl6YKCdP6x9betNslhF2DlWIlPj6bgckqFZNHg9yaWQc9uNvx+toRPf+REI556RSLdnjclH4FgBHWNISQ5OJysD8oJOSUs1u9nD0PnFJtq7aS7rLpcx8FwVP6McFwokyRzSnJxcWcHUpyWc1Z/fmw7dSZipL/Hfc1Y/fYXKu6O1W9/gRk35nTIfZ6J33emEn+9Pn9QLky7ksywmU2I8FLypFOyBEXenr0+/l6cVk7jxxM7StPUD3rvF7q0xf4C5+baPBev6WzKmd7vhfyczmf9Bdrn5+l9lxQ/SEL6sTnDkGw3q+xnvF/q8weRmmTBkruKcbxOSr4ReMR0lwRzXhUHa//HF7fj4bJC+VrSXVawLG0YtxF/ORwVkO6SzhXvO+nla5R2/qcibdXh9kpHxRDxk6PuTBcmX3+ZzMtH/Mv/fHYIVxdkyonndVsPwd8cRumY/giGozCbGHAcA4amIQiC4QTXitd34Ze35MmJZIeV05/+v6sYJ+paksdEf/cflZr+4++1I/2Gc9We/FjyYz0PIz/TaeNwvC6g+zezmUF6qg13/eJnbdYFQRBx67WX4T+fHcQIT6YGXvn20ZfhuE//fJ1TrLJfnOS0YsaNOZj3l42aeO93MwrlAiDQAoNfWV6E9NTzyyaeT+vjx85BnIm09XraYvMEQURzKBKXv6bw/taDuPGqPuiULO3x8fsAEKuRMDTWxJAColEB9U1hpCXbAEqEvymC43XNGh5iYu9nTciBIIpIsnPytHdrebS2roFz6X1Ronj6yqXb7XZ5vV6fzucpXq+37vtehNvt7u71eg+53W4zgP8F4ATwKoA7vV7v9YrvNQO4uI1FwB4A9p082QhBML5Hwi/WFhEZGhWKcVOgBSOeBdo0kcQwNHhQiAgCeF5EKBxFXWMIF6U7wFJ0DHef1hALp7sknHuiqMTB/mDbIVyZ112368jnD+LekoGY/9QmpLuseKhsCGYtfV9zX8sqRoBtgx4opbUpkO8j7XkfZ0M6d3aeKztZD7RTf6MUhbKq9ZrvLKsYiQef1tPbYnAM0BCMovZUs2xg01NtSHVwOOYLajgBUxwc5j6h1c0l5cXwB8KaacMUa8fz6bXtns9Mp89VTsC2rovzWX9/LDGy63rFOHemC3eMzVZ3w5V6kOrkcNIfxovvfqXLDZuWbEY4GDW6BAAt+gcK4FgG5Y+2zVbr6S1pLplyfT9YTKzM58IxFMK8qLHb7dX91vZC6nuslYT+qqUt76W1vfh0vyfv0eW0YMLwPjJ8DGdi8PiaHZhf6gEviLj/8Y8017asYgTMNAWRoRDlRQgCwNIUOBOF4/Uh1d7xwORBiEQE/PHF7XIiJV53KsuLQJ+jXZwXmv2NUjTKqtZpPl82fyRY4dx8B3qi917aumbaau/01heADvF/z4X9Tynni/4q5Vx7hkD7rqlzZyfG3v96u47/xh9uaNdvfojvn8k76Oh3l9DfjhUjP+/Re4rBx/mRUcDQj17x+i6VfTVxDMK8NMUV5UVs+u9hXNI9Bd3SHDAxNJjYTHpdcwSPxPm1ackWzNTJYzw9dzhmLn3/tL7r97X9ZzMWPIf0F2iHDp+JdEQMwTA0ohSFcITHsZNNWPPuHpSMulS3UbMyBvVt4Rg0h3h5eoTk4DI62eA0szjpDyMU4TH3iY2a8y2rGAGWphGMRLFo+adwOS24c1w/mE2sRp86xWLC+LhMWRD8vvGSnvyY9uRc1F8AP9rz0LM1C0o9MJlorHrrC91cwZnmm4hN1csdV5UXIxLlQdOUzF1pdD6eojDdIKeml2t7eu5wmFi6w/X4bElr6+Nc1N8fOwfRXmnv9ZxujzXaJyrLiyBKTDZgAdAMhRP+sCZnnZXhxMEaPypXboHLacGU6/uhU7IFvCBi1VvVGH/FJWBZGr/+0wbNtT01dzhWvlmNGeNzIKLF3zHKo52N59Neaa8Ot3US8ACAJJ3PvwWQ2p4T6onX6z0U+/+Q2+1+EsBaAI8DyCLfcbvdaQCE9kwBdrS0Bs1FifFY88awGYCEixylKbCMCa4kM+r9YdnZNeIcYRhKM5oKADQlQZQKInCyPoDVb7XA1KUmmeHOdMF70IdjJ5sMx1gZUO1ydvVGfX9ohzkhamFjHI8j8rNkaLb1Ww+AiXU3KiHbXnn/a/CiiDAPPLT8U12n4UitXwWn8tX+k7BbXIZrwBQ3bWhi6bN+zx3JP5SAF/hpipFd79HVKf+3UliWUuu5iYYQI74uGz8AFPX/7N17mBT1nS/+d136MpdmpoUBdRNwY7QhCJsBGSIzJllIOHt0FQxnw2A2MCYjyiRCErPRgWzARBnMbpLVTTAirqibgPkds+Jt97DBkyeCCRAlEQm0/gwRI8plbIaeS9+q6vzRXUV1d1VPd0/39GXer+fJI5np6anp+ny/9b1+vgI2drWirz+C3r4Qtu86imULp2YclIghnqJuXJ0ToUgMJ9+3r6tT49rqfCt91eqjz/0BKxfPNF6rKhoERbVM0TCu1om7b50HURQgS0L8QHqb2Gfq3NExXJ003IBWpp+XJBFRAP/w+Ssxrs6Js8EQRFHEpPo6OB0ibvvsR7E9cQ6sVSzKoojAYHr66UaP0zhPdvKkehw/2Y+H/iN+3HPnohmYcpHHJg1O/Kw6Kj5RFHDzoulomX4RNE2DIAjYf/hdSEI59YHzk81zPNtnfabyZVePEhFVA6t2ntfjxlmLYyc8dQ5jp/+S+ZcZu7DdLtFYcBqNKJbHVpgXLvd0tRrtE09dvE2qacCZxNjGV2+cZd0ekSUjjVimflsuZ78XKnUdpRtpHyL12Tx3+iTc/rlZ0ADLM/xUVYOsaQhF4ufvLf7Eh43jefRFy4orHhtr2puxvnMuGutdcDpkDIaj6B+MwOkQoUYU1DkkfPe2NqMceD1urFoyM2kSO/WszD+9G0w6QoL9pepn184EkHzEkil9Zj7jTXqdGo4qlmXqTN8Q7vjhHqOuvuUzM6GZjqrSOZwSNCU+saeowH/88g384sDbibEHMZHVKHnBqMslFWWRAFW/bBbk2D0nevtCSTHtrXVYHiXlcEgY73Fi05faEI2p6O0L4UzfEGRJNDJ+9Q9aZ3I8cza+G/aL16uQE8c26Ec6ZGoXVNJcSLbPn7SRAZ/PVwdgxH9V4n1kv9/fl0gH2g7gdwBeBlDj8/naEucC3grg/xvp7zOTJBGBYAgxQcjqRg034ZBLg9H8WkXRjAlAwP5g4fiMtwaXQ8LWnYfg9bix/Npp+JcdryQ1ps0/827vAJbMvwwbt+3Hjl2vW+Ydd0qC5Vly4z1O27PYLD/LMt1FNVbIDgFLP+1LOsz3zhVz4HKKWH7ttLSDUZ2yiIhNowGChgaPOymlxuqlzXA4rNOEiqKADT+2mkxM71gV9G9GYc8fYqdv7MlYryP5/JK//59T8d3Hfmu7elVAfOXS2pSVS8dOnLMsCw6nlFb3rmlvxt7fn0g7V2KdTVzbNZKaGt247upLk8qw3e50u7o7r8+sAvOil7NMdVI2A1p2C3ZS7/ma9mY89vRrCARDWL20Gc/u+SOWfnoqZIeAdR0tSSvy13a0QJTSf7c+abj4Ex9GcDCK3nPJ5/1s3LYfG1e12kwqCjwAZZTUuER85EMTsO6BvUmrJt0uEeHByrwJ5o6Xhni86+fwWf1F2TzrcxkwJiKqJlbtvBXXfsSmTmzD3OmT0na36Fkpblw4FQ0eJ8JRFYFgCF6PG6cCQ0mL1jZu2w9FjZ87b9U+AYC+/lDSmYH692Qpvsht2LEXLmArCyPtQ5ifzb7JXlx39aUZz/AzxulUDQvmTDEmAIHk1IZejxuiIOC/fv0nXHf1pUbqWb0Ppp/LrkE0fv+pwBDu2rrP6Auaz0aXJREQRTTUO7Fk/mXG5KR+DpWepaWcB4opf3btTHP7UQIAJf/ujybFU9xfNKHeskz19cfP/tbraj3rivn3OZxS2k6qO1fMgafWgdnTLoTsEHDHiisRCitJdW/3ihZ4x7mgjnw6gMaYbPpXds+J1Jju6WqFkHSuuoKoEk8najXG9m9PHzaOPxnfWJPWpvjqslnY9uwfhn0mpU742c2nlOtcSMaF1z6f7w2fz/c64pNxr5v/B+AdAM8X4BomAfilz+d7FcBrAC4H0OX3+1UAnwfwgM/newPAJwDcWYDfB+D8INjX7/sVVvbsRvfmvQgMRiFJ9h+JPuEw0VsDAEkTDiOR2ijVDxa2+j3mlSX/8PnZRtAC53eA6AdXrl7ajB27XocnMZgbCIbQ6HGip6sVW7oXoKerFd5aByKKZlkQh6Jqxs/DzK4wZ06AR4UUjarGBCAQvwebHj2AcFRNi5P7dhw0Ki09znQTvTWAJhgdOf1n7n/iIDQV6E4pA90dLRAE+45VMZnLgzmmy7GypfKUqV43f8832YsJjTXDxnmmQQYzSRIxFE0/e+K+HQfRMv0iPP78EXQumoGerlb0dLUZnc9UdmXY6ZDTyrBVnZxP3V2sZyHlJttYS2V1z+/bEW876HX9gjlTsOnR/fjjn/vgcIjY1NWGLd0L8M9rPh5vN0TVpN+tD8R0b96D7s17sXXnIYiCgG8svzIpTho9Tqy7KTl21nW0GCnAqPhCEdXo7APnz4cORSrzuam357s37826PZ+NfMsXEVGlS23nzZ0+CRc0uG128mv44vVXWPYbF8yZgnu27cexE+ewsmc3HnjyVXz+mmnwTfYar/PUOowBN7v2yVdvnIWYosE7zoVVS2aip6sVq5bMhNfjgpDl4jO79rK+6I9Ghwzgm1+Ym3cfwvxsXjL/Msu408fCzO8riQIa6p02MQy0L7wcP9j+ChbMmZL2nveY+kXZtA3Ot0v24I4f7sHWnYfw+Wum4YZPfAjtC6eie/OegrZXaOyRJBFngxE88OSr+MFPX8Ga9uSx49VLm/HkC28Yr9fjPFVEQVqfYNOjB3BN64fwzItvQlM1jKt1pY0l9jy6HwoX/lIesqlDrcaaso1pAOgbCGcc69j06AH0BcOQpXgGry3dC/CV9vgEYCAYyvhMsup3Wo3plfNcyHDP2y8jvmDh5wBuM31dA3DS7/f/fqQX4Pf7/wig2eZ7LwGYMdLfYSWfFb4jTRdoNWMcUTSISN5tYhwsbGxrTf49+soSRRAsC9DkSfXoXDTDSK8RHIwaDSHBIh2c3fsEgmFMaHBnteKZq+tKT1HTUxeeCgxBUWzujaLBJVrvpFM1zTKFaEzRjFRv+td3ZEgZNxo7g7h7j0ZiuHpd/54G4O2TwWHjPNsVrjEAgWDYsmx6ah3wHw/gyRfeQPvCy6GoKiAKkCUx7Sw4VdVw963zknL9r17ajFAkllWdnE/dzdS5pSdJIjQIuPfLbejrjxgrjLOpd+3uub5gSP/3qcAQ3E4ZGx76DXq62uBKpJY+fToISUreFW41EPOD7a/gK+2zcPet8yBAMFLewCExdkpIUVTbNkEltNf0uu9UYBBInLNdjB17hd7xnNoHYBolIipX6e08EcdPnrPJBgOoNn1Qc1tC/5p5999Ebw1CkRi++YW5kBFPjW85JnEuhPt2HMR3b2vD5EmenNoPmdrLI8keQ/lRFBVTLhyX/3ia6dmsx5fZqUD8SIeertak95UBeD0u22wUF0+oz/ieer/Irm0gi6JxfpRm0S65/4mD6OlqSzq7bbj2SiWll6PiSo0Fc9v3VCCeMnnVkpm48II6yLKIrTsPGSlofZO9aF94OYB4xqKkcWXVpk+gali2cKqxyILjvJSLTHWXXR3qdIjGmcMagAkNLtx96zwEgmFcMM6dFNP6z4g2mYSiMeu4No91TLqgFrKYOItYFDDpghrc/rlZeaUWtxvTK9cykvGa/H7//wEAn8/3gVKexVcM+U5a5TvhYJV+q7ujBTt2HcXZYCRtK+qyhVMhQ8uYzsiuAL3bO2A0rNfd1IL6RCPeLpgzbbf1elzZ5WdneriSkySbe2DzdVkSoMQUy8F8TRIsU4g6HAL2HT6JfYdPJv3ulTdoBU3LSTSaMtXr5kUXO3a9npamMzXOs01Rq6iabernUCQG32RvWhk0p+m0SuF5yw0zoWkABA3QsquT8627OfleOlbtCT3tVrztkLnetbvnwcFo0r/1/54KxM+VcDkkNDbGYyI1zu1WV09orIFLTLQ9TClvGDulkzqBC5xvK5TtksUEq9j/zi3zitLxKmS6cavr/uYX5qLBLXNAj4jKkrmdF1PVjG3gWIZ2hbl9ASTv/uvuaMH4Bhca6tzo7e23fT6FIjHbxcyZ2KW8X3nDDEDL/ywuGhlRFPI+W9f8bNbjy6oPI6SkPVQUFTVOyfK5LkEDErGX6T2RWCyV+h7rOloQisaw4aH40Sj3frnNpl1iP+GS2l7hUTuky6bt6z8ewF1b9+GBO+bjif/247qrL8WxE+eM46OsxhMURTXO/EuNd4ckokZ/jSTZTp6zE0ephqu7rOrQDTd/DH3BSNrxI+M9TkgNbkCAEdPmsQ8I1mNVDtk6rs1jHbIoAoqS89iE1TyS3Zheuc6FZLv3vM/n83X7fL4/+Hy+vsR/u30+XzlObGZltFNCWM0Y92zbjwVzpsB/PGCs3tjS/amsUxpabZNdd1MLLrl4HLZ0fwqbutrQWOOAEFPjDSGb97Pbbrv7wFtZfx5MD1d6kgh87cbZSffgazfOhkMWLb8uJkq/osTjQ9Y0I05UBZYpRDUVluUGGpiWk6qaJMbz7pvTdK5aMhONHmdSnGebolYSBew+8FZa6ud1N7Xgsg82WqZ71tMK2O1k1zQNgqJAiKmQoWVVJ7PurjxW9//+Jw5i5eKZebcd1rTHU2yYn/962g19UdDGbfvRNxAGkB7nExpqbNpUHGArNy6HaJnW2+Uo/3RUVrF/4kx/UdrzhUw3bnXdd//bvnKfcyUiAjB8GzjTWILevtBN9NbAOy6ebWbHrqOIRtX4an7Yt0n/8uJxedW/du1laMg4NkLly/xsvvQD43Lqw0Qjiu1zXUr0m6z6Zub3tGobNHicxgQgcH5A2CzeLhGzbq/wqB3S5dL2DZwL4xcH3jbq6q/dOMt2PAEAnJL1UT9OybRzCxrWpY458ygHsjFc3WVVh7odsjEBaP6ZSGLhDzTgmRffNNofnYtm4JkX34RdCDbUuTKOdawdQfxazSPtPvBWRY2nZXtdPQA+ifiZfG8BmAJgLYALAPxDUa6syAq5wjcbw6Xf0ldvbOleACEx+zxcCgBzAYIgAFp8FZESURKz2Nn9LYqiYrzHaWy37euPZL2jwOpamLKgNARFw7g6B1YtmQm3U0YoEsO4OgeiMQWPPHM4KYXnI88cxu2fm2VbAcTsVqopGXb82ewMYioLqgbmZ4a+01pflZwqm11yTknAsoVTsT2RXreh3gmvx4Uah4iGejcGz/TbrhbV/231PatOaqayx7q78mTMZJDFPE7qPZdFEaIEfP1zsxMDcRr+5qpLjJTiq5c24/Hnj+BUYAjRmGqkLDLHuT54wt3g5U+JKBhX78A9q1rjCwcEAaIU/3q5s4r9HbteL1rsFWrHM1PmE1ElG64NbG5XqGp8pxcEDbcsnolQNIZAMATg/OTgD376ipHW64vXX2H8Hts2aZ7PJ7u6V1UBKa93pHKgP5sB5NyHsXuu67G3cvEMQAB6utriW0M0zTjCRxEEY8LOvJMxoiYfrfPkC29Y7pp1StmPP7LdQLps277rbmoxdkD5jwewdeehYbNlRCMKJnicpmOoRDil+NeN36+oaORYAWUpm7ortR6O2RxPpv+MDGDZwqlZ9/VEUbAc68gm3WcmkiRCk4S0srds4VSM9zgrpoxk+wxpBzDb7/efTvz/3/t8vt8AeAUVOgmoP+j/ec3HEQrHin6jhku/pf9/fctotikA9ALU1OTB6dPBrNJiWE3IRCMKXJKICQ1ueD0urFw8I+fPg+nhSktRVNQ5pLSzEmIaEAiG4isfE4bbnmwXr6KYW2NbkkQMRBWcfH/QmJicdEEt6hxS2VaKRFbsBiaAeH79XM8n6Q1GsH3XUSyYMyVpAlBvdGdM0wnYf89UprOtk1l3Vxa72HjndBBejzur1fLJ91yBqiQGxJR4fE6eNA5fuH46+vojePz5I8Z5gw5ZRCyWHiFW5SN10KScG8NjTTic/lyWHeU/JGoV+4FgCI0F7HgVY+ESU+YTUSXLZsGY3q7Q2xK6OuMcYOCd00GjTQFY74IaabFI3aYAACAASURBVJs0uQ63TgmmIT7WwjZJ5SvkImTz5CIQH197//0B9AYjGcfkUp/x/uMBPPPim6aJFcGYcMl2HIXtBtJl0/aVRRGhaAyPPveHpMXFLqdsG0cSBMQADEXjMeoSBSiKgqhFpcuxAspWPnXXcD+Ty6J1SRIRCIYQTrxOj2tVGVn8mudnvB43Vi2ZiYsn1MMhxc8VjEaUiikj2eb+kZF+UkgMFb6ISlFUeD3upDSIxWKV3qI7kXJA///mLaPFSAGgB2735r1Y2bMb3Zv3IjAYhSTFw8AqLSRVFqt7mE+6v0w/k0ucaJKAQDCMB558Fd2b9+KBJ19FIBiGJhUn7S5RMaXGPoCMdaodvX7fd/gkNm7bjzt+uAff/PFLiJgaRpnKIFN4jm12abd27Hq9IKmC4s8NDS6HZBzCrcdYQ50r48/p5UMG0BuM5Fw2qPhiADY89BvctXUfujfvxV1b92HDQ7+piBRTdnWfcU7UCNuvw7WTC3nd3/zCXNbZRFQx8h0n0H/OJQJejztpV2Ch266pdfiWp15NS3W3emkzHn76tYp45lF+Cvksz2ZMzuoZH8+opaWVl2zLEft6pMum7atBw4aHfpM2tiCJ1j/rlISitHeJCj32rMum7tTr/q/f96uCx7X5WaBncfzHB1+CBq3i5k2yfY78B4CnfD7ftwAcRzwd6HoAPy/WhVUbu1XyKxfPwBevvyJtNrsYKQDsGjE9Xa3glEz1yifdX6FSBMYUzfJswY1drVmvQCAqV/nWqdmmSchUBpnCc+zSY2NjVytOB4YQHIwmrawvRKogu/gTxexaC2xvlK9KTjFlmwa/QHVfseLWqjxNaKxFb29/Qa6biKjcjUb6+dQ6fN/hkwCA9Z1X4dxAOKm99MXrryj7Zx7lp5DP8kL02fLB4xpIl9VObJs4DUetfzaiaOynUVGUdOwZxRt/qOT+c6psr/d2AN8G8BMAFwJ4F8AOAN8q0nVVpdRt1FEFtltGi5ECoBCBy/Pdypvd/clnC38htv2rGc5i4CQgVbp869Rs63e9DLoS5TqsxtMXZTqDk8YGRVEhSCJ+sP2VoqUKGi7GMrUHqqmhXG0kUcDc6ZOwYM4U45zg3QfeqpgUU7mmwc/pvYsYt6nlKdsJdSKicjKSsYBit12t6vB9h0/iM399Gbo37zW+xrSK1a2Qz3Jzn8032Ysl8y9DQ70TkhhPAZe0ww+FjW329caGbOrUYftkNmMLDllELBRN+1llmDPYiEaiVGPPhar7rcqkhuyO46kEGT8Ln8+3zO/3b/f7/SEA30j8j0aB+QDubA6/zMZIJxazPaeQSqMc749scxaDLAr5BzJRmci3Ts2lfi/Hck3loRjthGwNF5c8y6R8OSUB7Qunosd077oTqYGszgEZSxi3RET2yr1NaleHez0u4+uj2Vai0ijks1xva2/fdRTXXX0p7n/iYFnGPlWmQtWpdn3ChjoXekPR9N/L9i5VoULEtV2ZHO9xlmzcpdCG24zz4KhcBaUxb4nd0r0APV2tI25kjDS3eDHOKaTCKcf7I0HDupSYW9fRAglsXFDly7dOzaV+L8dyTeWhGO2EbA0XlzzLpHxFFM2YAATi965n2/6kM0nHKsYtEZG9cm+T2tXhNQ6xJG0lKo1CPsv1tvbKxTONCUCg/GKfKlOh6lS7PqFd1gm2d6kaFSKu7cpkRNFKNu5SaMN9HsxVU0KFTgEw0ly7TO9V3srx/iiKikbms6cqNZI6Ndv6vRzLNZWPUqUKGi4ueZZJ+WKdYo9xS0Rkr9yfH3Z1eDSiMK3iGFLoZ7miqEyfSEVRyDo1lz4h27tUjfS4/uc1H0coHMsrrjOVSUHTqqItMVzdIvl8vr9GhslAv9//QmEviYppJAOG3DZe3sr1/jCfPVWzYsd3uZZrGtuyiUvW/eWJdUpmjFsiImuV8PxgHU5A4eOgEmKfKk8p44p1JVUjRVHRdEEdToeCecX1WKjrh0sH6gLwcIb/bS3q1VFZ4bbx8sb7Q1R9WK6pHDEuKxfvHRER5YPPDxqrGPtUDIwrovIyFsrkcH/LgN/v/9CoXAmVPW4bL2+8P0TVh+WayhHjsnKZ7x0EAdA03jsiIhoWn/00VjH2qRgYV0TlZSyUyWqa0KRRwG3j5Y33h6j6sFxTOWJcVi793jU1eXD6dJD3joiIssJnP41VjH0qBsYVUXmp9jI5XDpQ27MAiYiIiIiIiIiIiIiIiKg8ZZwE9Pv9ntG6ECIiIiIiIiIiIiIiIiIqjOF2AhIRERERERERERERERFRheEkIBEREREREREREREREVGVkUt9AURERERERERUOSJRBU1N2Z8eEgrHEDw3VMQrIiIiIiIiK5wEJCIiIiIiIqKsOR0Srrt9Z9avf+Z7ixAs4vUQEREREZE1pgMlIiIiIiIiIiIiIiIiqjKcBCQiIiIiIiIiIiIiIiKqMpwEJCIiIiIiIiIiIiIiIqoynAQkIiIiIiIiIiIiIiIiqjKcBCQiIiIiIiIiIiIiIiKqMpwEJCIiIiIiIiIiIiIiIqoycqkvgIiIiIiIiKhSecbVAACamjwlvhIiIiIiIqJknAQkIiIiIiIiypPbJeO623dm/fpnvreoiFdDRERERER0HtOBEhEREREREREREREREVWZst8J6PP5LgfwKIDxAHoBLPf7/W+U9qrKgySJiAGAAJwODEIRBIiiABmAoqglvjoqBT0mFFWDNMJYKOR7EZk5nBIiCvDumQFIkgSnBEQjSqkvi6iqmNsI0ASoqso2AgFgHUxERIWTS5/R6rVEo8EuTjnmQZVAkkRokoCYokFVNciiCAkaY5VohDI9A6rx+VAJ7a4fA/iR3+//d5/P9/cAHgQwv8TXVHKSJCIwGMX2XUdx3dWX4v4nDuJUYAgTvTVY29ECb62j4oOTcqPHxMZt+0ccC4V8LyIzh1PCmWAEPabY6u5owQSPk4PQRAXCNgLZYR1MRESFkkuf0e61jY1aia6exgq72BvvcaI3GLGMX6JyIUkiBqIKAu+Hcd+O8326dR0taGSfjihvmdowAKpyTLys04H6fL6JAGYB2J740nYAs3w+X1Pprqo8xABs3LYfC+ZMMQb3AOBUYAgbt+2Pr/6nMUWPiULEQiHfi8gsosAYfAbisdWzbT849kxUOGwjkB3WwUREVCi59BntXts3EB6166WxyS72IgrHPKj8xQCcfH/QmAAE4rF6D2OVaEQytWGqdUy83HcCfhDAO36/XwEAv9+v+Hy+E4mvn87mDcaPrx/2NU1NnpFcY0mcCgziVGAInlqHEZTnvzcECEJF/l1AZd6PYsklfvWYMMs3Fgr5XsVQDtcw2irxb7aK33fPDFjGlqJpuKgC/8ZiqcT7XW0quf1QzDZCuf7NxVSJf7Nd/FZTHVyJ98Ws0q+/mLKpfwF+hpVIv2fVfO/GUvzm0me0e200pmJiFXwW1STbGM7XaMe+XewpqmYbv0BlldFKutbRUE2fx6nAINxOOa8+XTV9DiNRSZ9DJY5BVOr1ZGrDGP+2+F6uf285fT7lPgk4Yr29/VBV+xQTTU0enD4dHMUrKhBJxERvDYKDUUz01iQF50RvDaBpFfl3lfp+lFPhBHKM30RMFCQWCvleBVbqGCmFbP/mSohfSZIsY0sShDF3X+2MxRgHKiN+zcr6PhWpjVDWf3ORVFP9C1RPHVzpsVhu118p8WvGz7AynT4dLPi9K7fPvhLjN2+59BltXuuQxer4LPJUbvELZBfD+SpJ7NvEniQKtvELoGLispT1STnGL1A59y4rkohQJJZzn65qnjMjlOlzKMf4rbQxiIq+ngxtGP3fIx1HKfbnk2sMl3U6UABvA/gLn88nAUDivxcnvj6myQDWdrRg94G3sHppczwYASNPbdXP7lIaPSYKEQuFfC8iM6cEdKfEVndHC5xSiS+MqIqwjUB2WAcTEVGh5NJntHttQ51r1K6Xxia72HNKHPOg8icDmHRBLda0J/fp1jFWiUYkUxumWsfEy/r6/X7/KZ/P9zsAywD8e+K/B/1+f1apQKuZoqjw1jqwcvEMQAA2fakNiqJBFOM3tZIPqqT86DHR09UKRdUgiULesVDI9yIyi0YUTPA40dPVBkXTIAkCnFL860RUGKlthJ6uNqgq2wjEOpiIiAonlz6j3WtFURj166axxS72ohGFYx5U9hRFRZ1DQm1THTZ2tUJVAVkUIEFjrBKNwHBtmGp8PpT1JGDCrQAe9fl83wIQALC8xNdTNhRFhd5kNraYKgCHccYuPSZkAFC0EcVCId+LyCwaUSAAuChRb0UZXEQFZ24jAIAEsI1AAFgHExFR4eTSZ2T/kkrFLvYYk1QJFEUFlHgqPxFgn46oQDI9A6rx+VD2k4B+v/8ogLmlvg4iIiIiIiIiIiIiIiKiSlHuZwISERERERERERERERERUY7KficgERERERER0WjxjKuB28WuciFFogqamjwAYPx3OKFwDMFzQ8W8LCIiIiKiqlfNPRsJyO6g6Wo5jJp/R0FcAuDPAGKlvAiMwfjNBf9mW5eA8VsVxujncgkYv2WPf7OtS1Bh8ZvL68pRJV87UHbXfwkYv0ncLhnX3b4z69c/871FRbuWauF0SDl9pkD8cx0Y/j5fAsZvRRvjn8UlKI/4BXKM4XxVyv2ulOsESnqtl6DM4heorHtXTPwc4jJ8DpegzOK3EscgeD2ZFfl6LkEOMSxomlbMiymlNgAvlvoiqCL9JYA/lfgaGL+UL8YvVTLGL1Uyxi9VMsYvVTLGL1WycohfgDFM+WH8UiVj/FKlyzqGq3kS0AVgDoB3ASglvhaqLOWwEoTxS/li/FIlY/xSJWP8UiVj/FIlY/xSJSuH+AUYw5Qfxi9VMsYvVTruBCQiIiIiIiIiIiIiIiIaq8RSXwARERERERERERERERERFRYnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKoMJwGJiIiIiIiIiIiIiIiIqgwnAYmIiIiIiIiIiIiIiIiqDCcBiYiIiIiIiIiIiIiIiKpMNU8CygAuSfyXqNIwfqmSMX6pkjF+qZIxfqmSMX6pkjF+qdIxhqmSMX6pkjF+qeiqObg+AOBYb28/VFWzfZHXW4tAYHD0rqpI+HcURlOTRyjZL082puI3F/yb7TF+q8NY/VwYv+WPf7O9SotfoLLvZyVfO1B+18/4LQxeU3YKfU2M38o21j+LMopfIIcYzlel3O9KuU6gtNdajvEbiykVc++KqZJiuJgyfQ7lGL+VNgbB68ms2NeTawxX807ArMiyVOpLKAj+HWPTWPy8+DdXj2r9u0aKn0tlGIv3iX9zdankv62Srx2o/OsvB+X4GfKaslOO1zTa+Bmcx89ibKmU+10p1wlU1rWOBn4ecfwc4qrtcyi3v4fXk1m5Xc+YnwQkIiIiIiIiIiIiIiIiqjacBCQiIiIiIiIiIiIiIiKqMpwEJCIiIiIiIiIiIiIiIqoynAQkIiIiIiIiIiIiIiIiqjKcBCQiIiIiIiIiIiIiIiKqMnKpL4BKR5JExAAoqgZJFCADUBS11JdFRcB7TVS5WH6JssfyMjz9MzoVGAQkkZ8R0RjAupFKzTOuBm6X9fBTU5Mn7WuhcAzBc0PFviyiomLdS6kYE0TZY3kpLE4CFkElBKkkiQgMRrFx236cCgxhorcGazta4K11lN210shIkoizg1HcY7rX6zpa0Mh7TVRyVs+L1O+zrqaRqIQ2SaGwvAyPn1FhjKVyRZVLkkQEgiFosojAAMs9lZbbJeO623dm/fpnvrcIwSJeD1GxZdPmYntibBkuJhgPROflU14oM6YDLTA9SLs378XKnt3o3rwXgcEoJKm8PuoYYBQkADgVGMLGbfsRK+1lUREoEIwJQCB+r+/Zth8KhBJfGdHYZve8UFXNeA3rahqJSmmTFArLy/D4GY3cWCtXVJn0OP36fb/Cm38+x3JPRDTKhmtzsT0x9mSKCcYDUbJ8yot5LI3SsTYpsFIMruirPGOCAE0Ss3pIKKpmXKPuVGAICgtM1YmpquW9jiXutSSJ0CQxp/ghGmuKUU7snhd9A2HjNayraSSK3SYpt+cHy8vw+BmNHCdSaTjlUDea49RT62C5JyIyGY16erg2F9sTY0+mmNAkAYFgCF9dNiu+28njZjzQmJapvGQzljaccmivjzbuliywTEFajA/b4ZTQG4xg47a9w6YYgABAE6CqKiRRxERvTdK1TvTWQBIFQGGHsJqIomB5r0URkCDi7FAU9zxiShV6UwsaaxwAwFQERBh5+rzUNAVOSUBE0WyfF9GYauzTlWzKb2pdzdQhZCWXNkmuMWRXLho9LkjQEI0oBf97hpNteRnLZJv2nyyKgDL696wSjXZbnypLKVPumutxmOI0OBi1qRtFSNDYXiCiqmauG2VRhOwQ8P65MDY+UtzjUoZrl7I9MfbYxYQsijgbjOCBJ181YnL10mY8/vwRqCogSuKI+vmjOVbAcQmyk01sJL/Gft7Crv4MRxRogjBs7I3VIzKqf5pzlOmVupnxoC/075JEDEXVrFIMbHnqEN49M4juzXtwc89ubHnqVXR3tBjXqgc8GxvVR5YErGlvTrrXa9qbIUsCFEEwJgCBRKrQR/ZDFYSCpSIYi6srqLqMZJWmVZqCM8EItjx1CH96N2j5vHDI58uIDGBdSl29LqWuZuoQsmPfJkmui/OJIbtycexEH84EI3A4paL8TZnIANaybZORZNMmkKTqShFezLbHaLb1qfKMxs4Oq/hOrcfPnA0ZcfrkC29g9dLkcr96aTO2PPUq2wtEVNVS68Y7N+9BKKwYE4BAYY9LMdfPkiSk9ePM7VK2J8Yeu76KKKW3He5/4iDaF14ODdqI+vkOpzRqYwUclyA72cRG6msyzVvY1Z9/PhVMen+HU7LsE47VndgcFykwvVJPnU2WARR6fXUMQCAYtl095JJExCAgHFWw/JrpeOz5w8Zr9x0+CQDo6WqDoqpcoVHFBEWD1+PCqiUz4XbKCEVi8HpcEBQNMRXWO5EUzbJC7OlqzalpPFZXV1B1GckqTavGRc+2/ehcNMMYlLv/iYNJ5aOhzoWzUQUxABFVQ32dA19pnwVBAEKRGBwOcdjfkU95pepj1Sa5c0ULzvQNwlPrRJ1DgqKoecWQXblwO2X0bNuPnq62UY8/RVHhrXWgp6uVq09thKMqHnvuCDoXzYCn1oHgYBSPPXcEt39udtV0Cord9hjNtj5VnmLv7LCL73G1zqR6XIOGNe3NuG/HQfiPB/DMi2/i2yvnAYKG4+/14/Hnj8B/PIBjJ86NWntBX919KjAISCLrZyIqOqs2rqJY19OxEdTTkiRCgYCQouLEmX7s2PU6AsEQNtz8MWzqakPMYsyN7Ymxx66vEo5aH+Fz8YR6PPz0a3n38zNtHLF6j5Hu4uO4BNnJJjZSX5Np3kKWxLT6c017Mx577kjS+9996zx888cv4VRgCHOnT8IXr78CgiBAG6M7sav5byuJ0RyAUlQNff0R2+3kgcFIUoFYvbQZZ4MR+I8HAMQL1Bev1yBrWjwdQcGvkMqdKMI2VWghKkQ2AqgajCTFoN1goKfWAf/xAB5/Pj4Yf8lFHuN5ASBtgG/10mY8/lx8wG6ityapDDGVDNnR2ySbvtSGcFTBe70DePDnryIQDGFNezNqm+oAJb8YsisXwcFo4mfVksSfosTT6coA2zYWRBEIBEPYuG2/8bX4c1+omhGnYrc9ONlMmRQ7LbFdfN9967yk3ykKArY9+4ekCf8fbH8FHX/7kaTyP1rtBS4MJKJSsGrjChmOS8mnLWRVv+mpHDc89Bv0dLVajrmxPTE2WfVVJMkmXb8sGhMhulye28NtHLHKLjSS5zTHJchONrFh9Zr4vIWaVoem1p+iKOCfHn/ZmO/Q31+Pf99kL667+lJjQnB959wxeYwI9+QWgaKoEJR4kAqKWvCHuJ5iAAAa6h346rJZWW8nXzL/MuN9jIZOkTEd5Oix+qxjADY89BvctXUfujfvxV1b92HDQ79BDIAsibhjxZVY3zkXPV2tWN85F3esuBJyohFilk9qiuEOwyYabXb1UaZ6aiQpBu3SFAQHowAA//EAtu48BEkUjOdF30A4Y/2dWoaYSoZ0VnGsKCpUTcP6Lb/GXVv3wX88AK/HjUhUQSSqQpNEOB251/lW5WL10mY8+cIbRspRKj+yJOJrN85Oum9fu3E25CpKBzoabY982/p6GT0VGGSbuEpZ1Y0bbv4YBAgF6QtZxbfX44Ykirj3y21Y29EC32QvgoNRY8K/e/NebNy2H4FgCKFIcqKj0WovjNW0S0RUXMONNVn1k/r6Q2mp0e9YcSVE4Xw9rebQZrCq3/S+23Dtj2KPHVJlsBtvkBKL9n2TvVjb0YJ/Wn01Nn9jPiQpu/aEeeOImdWzvxDPaY5LkJ1sYsPpEI2xab09myl+kupPxBe66nyTvVjfOReeWifWdrRg+bXTjAxcALBj1+tpz4GxcIxItf99JVWMA1GtVmfcseJK3PbZj8LpkOD1uFDjiG/5thoAaah3Akg+F05Vkt+/kNfMVZ+jR5JEnB2M4h7TZ72uowX1dQ77lLEOQBLEpAOI13a0wCEJOaemsIodDdY7DTOtruBBwjRSdjFkVx+N9zjRG4zY1lMjWaWZmuZFT0EQHIxibUcLdh94C8sWTk0qW9GYdf3tqXUAAOZOnwRJFI20Ms48yitVn0zP25hp0Ng32YvPXxNvBHs9brQvvBwXT6jHPatasXXnIew7fNL4WackIILzB9GbB0TMuwyjsXjqo8efP4JAMITujha4HALCGNkh9lR4ggB4x7mw4earIAqAqsXPCRSqqG9e7J1YeV8X28RjQmqbwekQ0ReMYMNDewpy31Pj2zfZi+XXTkP35vPvv3ppM14+8h66O1rQk9IvcDhEzJ0+CQvmTEFDvRNejwtOSUA00WDI1A4fSRuduwOIKBfZ1DfZPFetUm7KsginLBjHpYhifEzkzh+Z6umbWtBY74SgaMPWc5kyv1i1PzjeQanMbQdVRTxDh6BBVYC7V83D6cAQ7ttxMOk5/8yLb2LZwqlGvKfGVSymQhJFjG9w466V87Dt2deS+nmpYwWFeE4zxS3ZGS42JEnE2WAkaWx6TXszvB5XVvFjfn+vx43l105LKjN3rmiB1+M2Ytx/PID/+9u3TalGRTglIBqp7kgVNK1qd+RcAuBYb29/xlU8TU0enD4dLPgvz7Wjn21DQJNEdG/emzaw8e1b5uHdM/2YdEEt6hwSYoDl6+5Z1Yqz/WH0D0aM15o7dnYD5BFFy6uRYne9PV2tECzeo1j3I1tNTZ5yGQa7BLnGryThzsQAgG6itwabvtSGB//jVSyYM8VIB7T7wFtYuXgGAOs46elqjQ/+KsiqQsx3ciXb9zG/vtQxUgrZ/s0VHb8FknEiBHbx3mYMniV/3bqe0n9Ptp03/bUQgOCAxbV5XIBp5afsduDr9/0q7Xo6F83A7gNvoX3h1KRBvZHW0+WC8TsyGZ+3EIznw9qOFmzdeQhej9uYDDRPUAOAJIpwOQSc6Qsnxes3vzAXDW45LbYcTinpeWH1s8Wc7CjmYEq11b+SU8afT/cndYrWtDfjA031UCKVsyenqcmD998fyGnBRzHiL5fYy7VNPJoqJX7Nyq0OBqyvKZv7nmubwhzf6zvnGgMmye/fBqcEaKKIUDhmvK/kEDEYVhAIhtHXHzEWI3kTC43syk6m72VTrooZ/4zfytDU5MF1t+/M+vXPfG/RmPiMyih+gRxiOF/ZxH62z/Fs6xVzHSuLIkQp/m9o8QVuoihY9gVXLZkJr8c9bD/L7jq+c8s8CAJQ4xCNcZRitFFKWZ+UY/wCqNi6wyo+zGea6fSxga07D2FTVxtECTibMu7W3dGCHbuOYt/hk0Y/T0ss/nM7RERCye3+Qj2ny22SO1P5KMf4rbQxiFyuJ1Ns2MXfpi+1QdOyG+eSJBGQJURjCtZavNeqJTNx19Z9AM4vojP3iYvRX8z1fuVafnKNYeagKZJctlLrFX335r1Y2bMb3Zv3IjAYtdzardqszgicCyWneQSwLmU7+bqbWuCQBTTUOTF5kgd1DgkAjPQJMQhp17x911H0BiNZXZsVpoMcPTHVeveQBqB94VRs3XkI3Zv3YuvOQ2hfOBVOSbC9P6qKxH3fk7jve9AbjNjed7t4jygaxnuc6Olqw5buBejpasN4j9O2EmOqIBqpTDFkXx9Zlx3V5llrV2c73TI0SUqkkZHgcMbrWD1NATTra/vjib6kerWhzmWZDuTSD4zDysUzjQlA83tEFI2pZMYgcwok+/gGHNL5NoGnNr47fMn8y4wJQHOOfL3OP9MXxvZdR5Ni3EVQkQAAIABJREFU7e5/22dZH0cjCgRFScSfgrDNAfSpP1uIdOG5tKEIiCqa0dkB4vfmvh0HEa2wsw9UVbO97+bV1PG2R2vRJgBziT22icem4e57znGUEt8fmOixacNoiEYUeD1uo20AAGf6wvjmj1/CHT/cg607D+G6qy/F9l1HEUOmNpQAxaKfmEsbfSSp1YlobMl2TCDb56o5ZRwUBWpEgRBTISgKJE217Qu6nbLteJjolIx62qp+W9PejO//9BV888cvoTcYgSbH27wjrUupulnFvt2ZfnqfLqqoOHbiXNrP9WzbjwVzpiT1827ZtBtrN+/Fmb5wWjtjJOnMzX06fTya4xLVy3y/A8FQ9vMDGdIf29Xn0ZiaVv/q42yW7y8AvX0hy/e6eEK9Ed/tCy9P6xOXui4ejXGNvNrdPp9PBqD5/f7q3ieZg9TZWgiwbZC4Ul6rNwS8HrdxeHsgGEKjx5m051WSRMRULS0FTPvCy9FQHx80fvKFNxIrnAQ4HKKR4iAUicEhi5AA40BNpKwyuffLbWnXvGDOFMtGSnxnQRafS5mmZKpGos1nDQ1pkwY92/ZjU1cbZNH6AGJRtG6c9nS1QrZYmRDONJk4mP1OQEXVkspBcDB6PqYL/5FRFcrUGbSvj6zLgQbNGFA2s+uYmlfp6avvJnicxspPu2tzO+WkelUUBXhrHfjubW1QVEBRNEgiICdSgOqTNkvmX2aUk6wqZKoqVrtBrOL4ndNBeD1uTGhwoaerDRCQNBkIIGlCEDgf0+s7r8LiT3zYqIv9xwNJ9bHe9omvohYBQQM0+wVLqT9biJXQduUx23bKWGN3b1RNq6iVgeazU/X6MBxVEIMTcqLeFpDo6JgOkS+kXGOPbeKxabj7bhdHm77UhpggpO1yjSHe7pZEwTgCwq4NIzpl9PYNQZNEyLA/t6pz0Qxj0NxyAEZRIUtCUhol/XvZttHNk5cQBEDTSr47gIjKU7ZpCc31q94WaKh3QhJFSEhP42l7ZIRNPR0cjOJv2z5kWUfruwT1dut4jxN33zoPiqLh3GAYkaiKjr/9CIKDUWzfdRQL5kzB1p2H8J1b5tku2nNZ9Dup+mTa6WMV+/qZflbxOdFbgxNn+uF2ypZx5R3nwuqlzbhr668t2qttUFLaGfoCfkVV4XRI6OsPD5vOnOnux5aR3m+rndmRqGo7JnfiTH/aXImnzgHJKSESVeF0iFAVGEflqKZzMFPfS5ZF3H3rPKgaoGnll6Z+NMY1surv+3y+e3w+35zEvxcCOAsg4PP5/keBrqOiWc3WBgeimDt9UtLrJnprIIvpr40qqpGWS9+t9cCTr+Jsys6rGICHn34Nq5c2G4fDLr92Gh548lV0ffcF7D7wFr66bBaExK6+R5/7A+7aug/dm/cauwSHoqrxnnqAeT1urO1ogafWifWdc+Gb7DV+Z0O9c0Srlrnqc/Q4JDHtYNM17c1Q7So3TYMowfJnIGhGXOiHsno9bkAABqIKjp8MorcvhOMngxiIKnBIovEeuoneGohSbivdnA4Ry6+dlrRrcfm10+B0VNLQJJVSpgOHZQAbbv6Ycdjw+s652HDzx+CU0uup1Uub8fDTr1nGql3H1LxKz+tx42wwhKGoZqyas7u24GDUsl7tC0bQ/aM9WNnzC3Rv3os/n+7HUDiGGz7xoaTnxdadhxAc4M6nsSa1kWh1uPXqpc3Yset1bNy2H4NhBVueehWBYAhr2pshijDKwgcm1lvGdP9QxIixz18zLXEeZbwJam773JzYPfjumUFseeoQREkY9lDvQu385u6q3Dhk63rIIY2sa1GIXZ250M9O1c+43LrzEO744R50b94zajtBc409uzYxBIzKZ0alMVxfyC6OwlEF3/vJK9jy1CGEVQ2KKCKsatjy1CGjD9kbjOA/XzqGO5bPSav7//OlYxgMxTAUjuHk+0MYiCq2iwDig+aCbTvl+HtBrN28F8uvnZbUT0yt14ejrwCf6K3l7gAispWpP2em169zp0+ybQvo7RNFENLqUP01VvX06qXN2H/4XUxorLGsN/VdgrHELqmIEh+rC0ViiMY0/OvPfme0oa+7+lI0NcYXUZw402/5t71zOshMFhUk33bvcDt9UmPfN9mLhnqHZXzuPvAW1na0YMeu140JQbOJ3hrU1zjRPxSxjOEzfUNpu6vM2cCOnejDPY8M31djNq+xZST3OzX+79y8B++cHsDPfvE6zvQNWraX9/7+RNpcybn+KE6+P4jv/eQVvHN6AHcaGez2oq8/jCPHziTNm6zvnIvv3DIPJ3vjP/OtB18CkN1zZjSNxrhGtnMxNwHYmPj3XQBWAjgH4LsA/k/BrqZCZdoVcuzEuaTZcVE6P/Gmz2QrqoYvXP8RfO8nr2Sc8VVUDfsOn8TZYASdi2ZgykUe/GNi14m+xftbW87vQlm9tBlngxH4jweMlVGqqiEmipCleEcy9UwgfRLoseeOIBAMYVyda0Srls2rPsslJ3S10qDB7ZKSdn+6XZLtDkFREBCJqnjsuSNJO+8ee+4I7uy4Mi0/8pr2ZjhkCe/1DqYd1lo/yYmv//1s/PO/v2x8/et/PxuA/Y5Yq8pHVWCZpmxTV1vRPjeqLpkOHAaAaFRNit91HS1QHRo8dY6kcvD480fgPx7AF6+/Im33tgzrMtXXHzEWZ9S4HNj0aPq5fanXtnppMx5//oixA1dDfPVSDMA9Kc+V+3YcxKolM3Ft24eScpxnWs1HlSWXHPCpjUT/8QAee+4INna14nRgKCmOASA4GMXya6bjrq2/xswPT8A1rX+JB558JeMuwr7+CIDzu0XuWdUaL0uSaJlC/P4nDmJNezNOB0LDHupdiMPn45fC3VW5EAQBa9qb057vgiAg30+rFCuAHXJ88ZHdLtZcV0ymnmuZzcHwucZe6k4oRVHx8NOvYd/hk1w1XcWG6wvZxdF7vQNYfu00aBqSsgyY+3cbt+1H56IZEAUktWF++fLb+OTsD2LDQ782fu7OFXPg9sjY/I35CEdiOH02hCdfeAOBYMion4H0NpTeTjG3Q+7aui+pfaWXlHI7B4iIKlOm/pz5yazXrysXz0w6009vC3z3tqtxNhhOq9PMdWhPV7xt2+hxGvX0mbMhPPrcH9C+8HK81ztgWUfHFBXXXX2p8Xv1966rcaLn0QNp7eP1nVcBAPb+/oRtPRsIhpjJogKMpN2baaePLInQJMGID6/HjeXXTsP3fvIKvB43Vi2ZiYsn1MMhxycMVy6eAUmKp2N88oU3sHppc9K47tqOFuz6zTF8quWSYft5+liC+drsdhem9tUK1aejyjCS+20V/0//6k0sWzjViHk9zmU5voh+/pWT8S87kudKeh6Nz7csmX9Z2vjxPY/Ev/fw069hTXszal0O9DyaXt9ue/Y13LF8Du597EDG58xoGo1xjWzLZJ3f7x/w+XwXALgMwHa/36/5fL6fFOQqKpxdIQAEfPe2tsTW1kTaxKhqOfGm77TSJ/T0NG8QBIjGNlcJG1e1QhCAmKImrea0GgTR07s8+cIbab9vXUcLGjxOtC+8PO3n7ttxEN++ZR6OvxfEnt/9ecQFYzRSMlF8C/WWn7+GJfMvg9sJRGMatvz8NXxjxZVpDYLVS5shiIAEIZ521qTR44SmAk//6s2kAYWnf/UmVi6eaTlJ19PVhlq3nDQBWeuWIcsC5k6fhAVzphjvs/vAW7aVmN25hjFVZQOCspJpsE2TxLSJtXsSqXGhxXc+9/VHjLSHE701cDkkxFQVsZhmdAoneN1Y19FivJdeLx7+4xl8/pppiEQVy/ziPV2t8NQ5cNtnP4oJjfEBvl++/DbaF16OiybU490zA3h2zx/xub+ZhhqnZLvqNKZYP3PO9A3hjh/u4WByhUrtUOoHuAuCANFiMNWqkRgIhiAKAn6w/ZWkr8+dPgkN9U7EYio6F81AjUvCJtMAhb6L0DwxpDeQdacCQxBFAaIIDEVVqKpqtDH0icZTgSFMaKwxFijpX9MXcyjK+RZAoRq52Q4UUVwkquAPf+zFPataoWkaBEHAL19+G02NtXk/Z0uRklU/OzUcVSzrQ1UFrE+LSOdwSjgTjBip0yd609M5W8kn9ow2sduB7h+lD1hy8K86ZeoLWcXRHcvn4D9fOob/teByfOvB5PpU79/pr//gxHqc6RvC1p2HjNet7WhJ699tevQAbv/cbHhqnaitcWCyy4EvL/0rSEI8rage6/E2VBuiiorj7wWTFpOcCgzhL5o82NK9AJIowCkJiCgaFEGALIoYiMaw4aHfjNpiACKqTrksJFcUFYogWLYFwhHFNgWy8XUBCAykT+h0d8xBJLEjO3Us5c4VLaivceCbP96b9t7fuTU93afX44YsC7j/659ErUvGwFAU6zuvQlRR4HLIePKF1416lhMn5W8k7V67sWNVBQKheBzO/PAEbLj5KtS4JfzpxDl8ddksBAej2LHrdQSCoaRUtFA0ow3x+PNHsGrJTFw4vg6Bc2F4x7kwe9qFeOz5w5bjgXo/T1/ErEHDhpuvQnAwgpiiwjvOnVVfjQsyx5ZMR+xYpWE2s4p//Qgyr8edGMuWceJMP+prnfinx3+Lb9ukUFY1GDusU78nCAL+5qpLML6hxrIdvb7zKtz/xEE0epzx9PuKBlEEZEmACCAGsSQL2kZjXCPb58ubPp9vCYDLAfwiMQF4AYBIga6jotkVAv0cnkaPE4KiGWc5WE286XnFd+x63XJn3v/97dv46ys/mDRA9+2V84zfaz7bR3cqMITJF3rwlWXN2PbsYcuB74snWKcAC5wLGZOHP/uFH52LZqCh3gmvx4UahwhV0QApPjguigJkSTD+RioNSYyvAtq4bb/xtYne+JmALx95D+s7r4IkAooK/GL/n3DR+EvhlAS0L5yaNvAFAbju6kvTGgqZzrp8/PkjWDBnijEBGW+E/JXl+zslASrSVwtriWvOpQHBVceUym6wzfawYUXFPz6YvMr+mRffxIprP4KBcBSBc+GkuvdrN87GBybW4e5b5yEQDKOvP4Ltu45i2cKp2L7rKBZ/4sO2DRURAv71Z78zVvYt/uSHcTowiMC5EGKKir/71OX4yX8dwcrFMy3LQigSgyzZ70TUf1dqRyRTORlpGWIZLAxzh9J8gLvVYKqUslJUf82Gmz+GUDSWNKE3d/oktC+cauweneitwV0rr0qKH30X4XdumQdV0yBAwCPPvmYMSOjnDwsC0BuMWK5e1ifOAevnROpiDrtGrlMSEMmh4c2MA7mpccm48iMXYt0De5OeyzUuCdFQfp9ZKVYA62enxuBMqg/1WNUA4xy04WIholifndzT1ZY2mGOu7zQgcXZK7rGnpzM1K/ZnRqPP9vyp1DhqcOPbK+ehbyDepvjZL/y44ZOXwSFbD2x7ah0A4s/+QDCMiybUoXtFi7HS2e44h4Z6lzEYou8ObGysQTQUTTpvUBYFOGQhaWJR/32SCAiKBglC2vMgvus7PiBzvi3CLAVElLtcFpLLkvVZUnbHopjrUGjpmS227zqKW26YCQBoX3g5fvny27j9c7PRUO/Cu2f68eDPX8UXrp9u+d6aqhnX4pvsxd//z6mY0FiDs8EQVBW4++F9SW3o7S/Gzwv8xYG3OXFSIfJt90qSCM0mo5AoCti+6yi8Hjc+OfuDePS5w1i2cGpSZhW9z+V2yuf7+qbzKAfDMTgkCYOhGCY0ugENxnienk1ufIMbDfVOPPTUISNj3M03XIFwREnqK37txtn437vTF4laTUhwQebYYnW/Vy9txpanXsWyhVMzLv6ymjuJzzNYb5Sa+eEJeDeRQjm1zMS/XmfdD9SAv2iqN47dMTsViB95svzaaXDIIt7vCxvntk68ID5+nu2i7EIbjXGNbPuZXwbwQ8Qn/VYkvnYtgBcKdiUVzCkJSYPBuw+8heuuvtTY0p+0UgPA5EmepB1W+ir6C8fX2e7MW995Vdphro8ktq/+7Bd+jKtz4d4vt6XtYjn+XhBbdx7C6qXN8NQ60TL9IuP3QjifTim1QDXUu3DLZ2YYOwX2HT5pfG9TVxuCQ1EjP7T+kBhX50CdQ2LnrkRsH74i8Km5U3Dq/QFjl96n5k6BJAERRcOOXUeT4nHHrqNYecNMy52lPV9qs4wXWRLQ/mkfzvaHAcTPHGr/tA8arAfWNnW14ZxFCoUJDS6sv/ljOPX+oHGtEy+ohVMSELVoQfAQYsqF3YKNE2f6ja95PW5EYwq+eP0MSKKAk+8Ppu3q+/5PX0ZPVxsefvo1Y5frgjlTjMaDnpM/9fdomoYat4SeL7UiFtMgJEaX/+vXfzLSwa1pb8b1H78UghA/vzA4GEFDvRuaqkGWRYgi0D8YNVIc6D9ntWtLVQFREm1XuOrPpJEe7MwyWBhKyu7+Z15M3o29fddRrFw8A7LpMzdSZjTVQwBw5mwI/7LjlaSU4w31LiMlHBCPDavURoFgCG8l2gy3ffajuO7qS3HsxDljwvq+HfGV0+ZBYfNquseeP4y/+9Tl0FS7xRzpqwPH1cY7rfpiIglAMBTDSdMzYNIFtbZtC/OgtSQKcInxFIvscNqLxlTr5/KX8k+7XaoVwIqiArJorG42x6peH+mZL8xZOZJ21EoiotDQvWIOnA4Zg4mFH0++8AaUlIlrSRIxEFUs41PQNGOQMpuFEXbtbw7+VTZVjZ8DrKganA4RZ4ORtKwB4z3OtMmze1a1Gkc66I6dOIcNN19lObjR6HFh8zfmw+mI/66HnjqEs8GIkUJJ06zrYQHAt2+ZB1XVMBCKIhRWMBRW4HRKONsfSerbrbspfi748ZNB7P39CbT+1cW4eEI9BAhGjKcOnOvPCX1B4qmAdZYCIqJCLiIURSFtAdxNf3sFZNm6faL31VYvbcbZ/nDS9/WFeHf+6HyazzXtzYgpqrGIwjfZi/oap+X4m8MhYWNXKzQt3mfTF2es75xrTOgAybsSPbUOTpxUkHzavXqfefuuo/jG8isRHIgYbUlPnRNbnnoV110dHwMwP0tT42XVkpnxXXoet1GGhqIqHLIIpyImpQFf29FiHPWkq3HJOPX+EP7uU5fj2IlzWDL/MgQHImmx+f2fvoz1nVfhP375BlYtmYnJF44DNEBVVcQQn3gHYPTDxtU607LgcSygOp2fqGrDmb4h9PVHjAXBx06cy7gj1mrMelydy3ajlL5jb21HizHW1lDvxLg6F/b87s/44CQPvnPrPCiKhpiqwilJeOTZ82Nkd986zzIzXV9/BFt3HsKmL7VhMBRD5+IZiEZVCALwXu9AfP7G405blD1cv7JQn28xMylmNQno9/tfAjAr5WuPA3i8wNdTcSRJTOvI6Slc9BX051dqtMEpAcFgxBhEM+86CUcV/EWT9c48SUxfWb/v8Eksv2YaPvspnzFBaH4/fSJSf2DctXIe1pvODFx3Uwtq3LJlCrCD/pOYPe1Cm9X8WtoBsd//6cv4SvssuC6oYRqjErFbNQAIOBsMp5/j53ZAEDTLHX+qzeomVdVw54qWpLPO7lzRAocsYjAcSz8DCnY7QjTLFArfve1qBAeiSe/ztRtno85tXVWVIgUZVS6rRsedK1rw4M9fBRDv9KWuQErdMQUkVvpp1mWnvtaBZ1/8o+XqqIeffg2di2bgVGAwqc796rJZxtkU9+04iI1drRBEAAIQU7SkVfvmM1vXdrRg5Q0zAE3AlqdeNZ45QGLSERq6N79kOXGjlxNgZGWIZbBwzB3Kpka37W7smGl12qnAEO7aus8YWPjqslnG1/VB2J6u1rQY3rHr9YznPjU11qD3XAhfaZ+FiRfUYm3ivBPvOJdleQhFYlj6aR8EAI88+5plyhnz6kDAevL5ggYXAhbPq9qmurQREU5A5yfT6uVs02emKuYK4OEGCQVNMCbMJ1/oSUv5ck8i04b5DDPzjlp9QOa6qy81zvDR487pkKBGYsY1xAQgFFEyxme2camnM+Wq6eohSSLeeu8c7v63fbYDvlZn7pwKDOH9cyHLcjkQimac5E7t8+lxfveq1rT2+tqOFvSHIrj30d+mvZfVtd7zyH7c9tmP4r9+/ae0rB5rO1rgqbPOQuMxTfLZZSkgorGt0G24SFTBY88dQeeiGWhqdEPVgG9teQlejzttrKu7owUup4g17fExjxqXjPWdc7FjVzwlp9UxO3r/TJ8A/Pw10yzH39oXTsXZ/hDuffS3uO2zH8W//ux3xvvYna/WUO/E+AY3vnvb1RAUlW3YCpBPu1fvM3s9biiKltSW1McC7n/ioJH60C7T24Xj69A/FMXKz1yBd073p2UrSt2Nv2rJTNx8wxWIRlXjuAj9d65pb8b4cW4EgmHL39U/FMEnZ38Qv3z5bXg97rTsM9GomrbQSS/DbMtWNz0N8x0/3JP0db1PaTfRlD5mLeLZPW/if1z1l7bzIIFgCJ46J5Z+2mdsUpo7fRKWftqXtHvV3CbWx9b+86VjaW3YO1fMwfN7j+FUYAjRmIqdv/r/LcddNE1LexZk6ldWCjHbF/p8vqt9Pt+PfD7f/078/2afz5f/suEqYTUAeu9jB9Ay/SL4JnuxvnMuGupd6Fw0A8GhCIaiquWKji9efwU0DXjndL+RTksXH8xF2tfnTp8Ep0M2zuszv9/ya6anneFwbiCcHMCP7Mc7p/rx2HNHsL7zKtz75TZ0LpqBx58/go9ePsnYdpt6LaLFhOSpwBAaPS4oKlcvl5IoCYAx9C5AlAREFc3yHL+ookHTBMsdf2JiMNpMT1PwxH/Hdw72dLWic9EMPPHfRxGOqpa/I6Zolu8jSdbpjWKKiu//9OW0CeaYzYqqTIOZRKnMjY4t3Qtw22c/iob6+NmoPV2tSRMXQPKOKbOJ3hpIgnXZaax34X/NvzzRobwKP/jKx416dd/hk4jF0svKD7a/giXzLzP+/+nAEP504hxO9qbvQrxvx0EsmX/Z+UkeDZChYdnCqcZ16g2Sh59+LWMnQlG1EZchlsHsxNN3iogJAjRJhCSlN7/0DuVEbw2cDtkyvqAJlp+5PrCgahrWd85FT1cr1na0wDfZG99RnRLDgWAIDR5n2rPfyCJwMt6prHHL0EyplGpdDsvy4HbK2PToAZztD2Pf4ZN4/Pn0dsW+wyexcdt+KBAQw/nUS77JXnQumoFwVEE0av28snoG2E1Ax/K6Q2OHKNg834X8p+1T69aertaCdIj0QcLuzXuxsmc3ujfvRWAwmlR+RAm4/uOXYuvOQwjYTKS4nbLxb3OM6DG0YM4UywE/VdWSruHNP59LOkvTKj6zjUs9nWmhPzMqnRhgTAAC9gO+Ssr51/qOEqtyGTgXxuPPxwe2v3rjrLT68f4nDhrxa25H9J4dwvN7/4j1nVfhwTsXYGNXK8LRmDEBuHppM2RJROeiGfBN9tpe6/iGGiyYMyVt93C8/WFdl4QiMePfq5c248kX3kj5+9k+IBrrMj0rs2kzp5JE0TgW5fTZkDE+pqe7X7VkJjZ/Yz46F83Ajl1H0Xs2BJdTQkxR0ZfIZLTyM1fAN9lrm05ZTWS6sJok1Hf0RRL1rF5/mt9H331opu+Cie/mDo/gE6XRlE+7V++/LZl/Gb73k+TxLn0swOtxQ5YE3PvlNoyrc1nGy5mzQ6h3O3CuP2KZrUhvC+hfcztlBAciSefF679zYCiG4yf7LfuK+iKe+584iM/89WVp5fXk+4PGBKD+NfbDxhbJZsxYEq37lA6nBE2SEFY1APHzqGVo+MSsD0JA+nzHRG8NVA1YvbQZZ84OJfXBFsyZktYnu/+Jg/jbtg8hGlOwpr0Zazta8P/YO/f4KOpz/79nZq9JNsmSQAAl3JQFAwiBJBICVlCOFxCRczR4gWgBAYuIViXYFrUKWNufR9tT662CthVtqYqX9qB4qngDFLwhrBYREIFA2CSby95m5vfHZCY7mdmQICpKntfLl2R3dnZ25vk+3+f6+RQOzLP4sMtXavUaHRHMLg68/6ktFhuuv5cqrvy+SLsmAQOBwDVAJbACuKL55QSwDBjzjVzZtyTJsC1HM86ZKgHaNdvarbloRhFCCtJiEFjW3BnSuoP+p1eMIC4rthw/qTpH65tilqkQvRMzkO9n6rhT8aU58Wd6AKhriFL5+zeN4yVRmxRofS2LK4pTYq5LonDMYYw6uabaL06XxKFwzMK/l+6xLwAoigrY668kwqIZRYZh1fVXkgQ2bD1gwMPq8uPJqgl+Toe5VVWVGy4bYRT29A4lSRRsx7IVxb7ArCj2HQudJMSdoovTJRGTQVYUJFHE7RSIxhWL7dDH610uiTSvg4OhJqMT7+6flFn0b9XaT008O/oUtdDcDJFsTxVVpSYctUxXJUPECKL9HuDP1KZCsjJcZHhdOBwiiRScUXqXfVWoSVNzQeOl+tV8jdRYT1zXhDWbn5CV1OuEjvNwJkvnGjyytLfbuXVnXCq7bXfPI7EEJQV5iIJg6iytnFFMdqaLGy8fYQSc+veH6qI89XKQSWP6s27TLqaOO9Xg/gWVJTNHEYkljKaQqlATsXjCdsovFk+YnOLg7hCxeILa+hi+NCdTx51qrANZVY1p89bTt3ZrMNUe8F3w0P0QRJIE+/3dIaB8jbbdbwK6pK1JY11iccXo/vdnegxd1W2zblMD+X6Cu0MmHdF1KFWjREJWUMWWgnWq45L1syN6+U3DvXTKtyutn30qaHBJbImjzi7qxXmlfXn8pa0W26pP/gd3h1i6YmNK+6jrZX53H7+8ZhQup0RmuotzS/sYkyq/WTAWRcHgXGk9wZLKT3A5Rfr0zLSlsVBU1TIJocMkPVQ5HkkUbVEK7JJDnfFep3TKD0/aWtep9spUFAbZPheCrJp4sfWYxyGKeFwilRXFLFux0bJXB3eHuP2RDSybN9pAydCRWZJ95kUzilhUMRJFxtaXkCShmarBnmNKlhVTLkPBNGuJAAAgAElEQVRs5a+vfvUzy1TiLdOLeOP9L5lY1g+3UyIOOFyS8Vs75fiVI/lwrfXfJWn6kApVRc8f65NNJQV5hk5b9GVM/5TNO/5Mt/F3N78GfZvKf/WlOXn2tX9z5fmDLLq5cFohK174hKpQEw6bBv7UjU6dcdiJIh2ZiE2Vq+6W7SFTEFAF+3N5PQ7S407cTslkk/PzMpg5eYjhk4Kmf7nZXpY81OLj6pO1yVIV0iawdUSwmRcNtj2mtQ2HljWVfNz3Tefbe603AWcHg8F/BwKBBc2vbQNOa+8XBQKBZ4G+gALUA/ODweD7gUBgALASyAGqgenBYPCz5s+kfO9YSGvYlqMZ53SI9gWxzHQ3y1ZuMhVGauujZKS5bI/XO+2rQk1Gx6cvzUlXvxeXU+LLA/WkNU+WNEbjZKa5+PmDGsyb3fn8Prfxuv67nly73Ui4Pb9+B+OLeiOKAtdPK6QxGjOdR1a0SYHka4nEEmT5XDyw+gPbQNXhEHCoxw7GqBPqq2MSk7Hl97tmylBbHXE0Tw3avScrsH7LlyyZOQpJ1PThlY1fMHFMf/skgUO0FL0XlA/H6RBJ8zq4bdYoRAEUFRKK5jC1HsuurCjGmQK33yEJ2LVYdJIQd4okiSCJVLcqvlVWFLNq7XYDD7y17VBkqKs349/X1scs+hcKR8jMcLG0uTDjkERcorbeSgryTNABS2aW2Hbp63CciyuKqa2P2Op4htfF39Z9yvii3gDkZHnYcyBse2xCVoyCoSjAC298zjklvS2QHAvKh/N/7+4xYJ/XvL7DwFH3+9y4nSIJxZrE68ga6lyDR5aOQKbqAaWUotlGFFvuuY6Ln5PlITvDzewpQ9i9P2yCgVm2UoOs8KW7mH/JMBySSLgxjqqqvPTmTq6aOBgFhWkTBraCyS3iqZeDbNh6wBSEHqyJsG7TLtM+o/sT+vUtrijGn+km3etkVfM59ETz8+t3IMsqgmjfTW23Brv5vThEwQoH2lmAPiqRFZVtO6u5a+5oVFVFEAQ2bt3HGUN6HncQvu2ZNJZEwej+D+T7TVAwrYvVOle3riO6D5+6WGOevE11XLJ+durliSutn71dwndxRTEuqcWGTx03wKBqqAnHmDl5CFkZLnKzvTRF44TCEaAltkyVjNCmeTV/QLe5v5hZwp1zSknIGgdxXUPUlnPl/qe2sKB8uOVab7hsBLUNUQQEE43FzdNHIgoCggBpHifLry1DRW1GJgA5JuMApGaUgp1f1Vn8A9N964z3OqVTfnDS1rqG1HslqmDrM19fXkhutgeHQ6QhJhM6HDXz/15VzOub97Bk5iicDvtmYz1xq9lLwRKzLV+5iTvnlNIYjdv6EiUFeVw9aTB+n8cEH6qfU1YwJqqqQk1U1zaZ7GooHMHjllh+rda0+cW+Ov7x1k7GF+WbYBoXlA/H73Pj8zhQZLWzQeJ7KHb6f2tFMXfOLTUVmXXp5veSkeYyYOkBo+l+6bzRVNdGqK2P8fQrQS49J4DTIZp0DbRBj6svPA2/z80Dt4wjVBfF5RJ5+JmPKZ8wwPY7u/q1af+3P9zHmYW9jHxHTTjKH9dsNZqY4wnVtgG10989saR1YRvAn+bk1wvGEokm2rRRMRnTRJ7f56EmHCHd42DvwXpWrf2UbJ+LO+eUAgKqqtIUS3DLb9cbebbWubfk+E7X1f3VDSa7rqMbttbT3Cwv+w83cFpfP36f25bf1e0SLY2zN1w2gsee32o6V2udb32flOMMAUNQ1SNfUCAQOAh0DwaDciAQOBwMBrsEAgE3sCsYDHZvzxcFAoGsYDBY2/zvycCSYDBYGAgEXgX+GAwG/xQIBK4Arg4Gg+Oaj0v5XjukD7Czuro+5U1XJZHK5k4LXbr5vVpirp2bq+iS2HuwwVL8yMnycu9fNlv4pSpnFCOrCr96/F3T8YDJEdGvZf4lwxBFwZK8BZi9bJ0th9WiGUWs3/Ilg/rmGsdv+mQ/vXtkEU/I/PPtLyyLp3JGMU6nwB2PaAXRKWf2Y2xhL0uR5vXNe3jmtc9NXVFZ6W4UVcHncRCPHX3aV5JEBKdkGBBJErj5t298refTUena1Xe85MD6cAT9Beja1cfBg2EAVIfIvkONFqPYq1sG+6obLdN4PXLSkCSBQzURi4OemeHiQHWjBTc8LyeN2nprB0dmuovK/7E+q1/NH2PBKl9QPpyTumZwc7NBb9/x6SjNupX8m+HE6B5u/ZvbOO57q79HI7qDHQpHTMU80PRJJ9TW/062HQlBoLo2YpqAtrOnN08facHQ1ztSm6KKkbwDjXst+Xy6/GHReFBh/ftfMnJQHo3RhCUp+NrmPYwY1N3g/bn6wtPwpbkIhc1BbmVFMWkeBzXhKLX1MdZt2sUlZwdIyDK/+fNmyz24bdYobnv4bYaekst5pX0NeBx9mlyfQC+fMICeuRk4JRGJjnWfHqs1+EPV34QgMHvZOsvrD1WOx5HCBztSUtTpkix8xK25oYK7QwTy/Sy8rFBrQvK6eOZfnzH69J50z0kHBFa88DETy/qZOEvAun5KCvKYfn4Biqogy6rle9/btp+JY/oRbtW93doxv312KSte+JiLzzoFh6TBMCVzCditwVsrism2SQZ/W4njH5r9Fd0OQnVRyz7uz3SjRI8vQJO2/PRuXdI5eDBs0YOSgjxmXTTE6KRO/tzcqUM1ovdmHdF9+DWvW4uGui4lwLgGW/28SmvI0AniXZJgWZt2evl1979jLd8X/U2W4+0eSpJIbSRhai69bdYZeJwOEopi2h+1fVPgUG2ThU8FNL/hf9/eyX+M6kuoLkK4Mc7GrfssyeJku683ZOgF8asmFRi+/5Qz+3FOSW8EQWDu3a+aUAzCjXG6ddEajJoiCRySllw8Oc/H/kMNpv0hkO9n9sWDiURlcwK+DTtt5x+Y4pdjEI936u/3Q7p29THpxufaffzzv5l8Qtyj40h/oQM63JYcaf8+fLjB1odL9ziYZeMzP3DLOJY89DYzJw/B6RBSxn2rX/2MxVcXEY3KhJJipUvPCfDSmzv58N+H+OkVGm/arKWvWL7n7p+U4XSINEUT5HVJM3wJu/0/madd9wUaIwkjdvP7PMy+eDB19TE8LgeRmHbOdKeEjMCi379h4W3Xf8vcqUPJ7+6jviGeknMtWb5Le3I86i/wndqO1PpfxkPPfshFZ55i2ssrK4pRFJUb73vdcq67f1Jm8hO6+b3cOadUo1FIKCxvHjyx25tvvHwEf/+/z/ivswdY8hmLZhQhKyp/W/epxQfWG4n1mLGuIYYkCaYc9pE4AY8naWt9HI/6+03n0I5G2oq7uzTHZG1Jcj7Ezp4mN2vOnToUhyQa/mcg388V5w0kr0uaUTBMbsDQ7ag+2ZeMQBHI9zPn4qEmVK/KimI++fwQO7+qY2JZP9t8yrT/GMiT/7udmnDM8JcjsQT53X1U/s+bKXXe7j797OoSsjyOb2xddFSH2zsJ+CawEPh10mtzgNfa+0V6AbBZsgAlEAh0AwqBc5pffxL4XSAQ6IpGbGb7XjAYPNje700lkiQS7SCUlF0gE02CIdIDqcdf3MbN00fadlsuW6mRrCdP+t3zxHsAthBbggDvfrKfiomDaYzE8bgcNEYTZHg1Xp7g7pAxrZeV4SI3y8tDz35ITTjGoL65KIrK3oP19OmZxeMvbmNB+XBbzNtlK7UOK/08meluPvn8oGkSLN0r8cxrnwMY0DQAD1WejVeUvnYBUFssrRZU80RDe57PCS+qwPPrd1gmNH584WAee36r6fXHnt/KjZePwI2I5BBMk3qyqqCq2OKGL7u2zHba8McXDrFdS4kUfIRL59lDGsUS9uvpxssL2ySX7YTTOjFFn7BaOK3QVp902Ez972TbIYmCpYMtuDvE8+t3sGxeGbKisvdgmKZIwpQA0ztSl84rQ0iC0w3k+1N26aNCfSTGv7+sYfjAbjgkgTuuKUVVVCRJIJ6QKRyYZxQArzx/EL/582b8Pg83XzmCO64pRVFUYgkZVPj5H94y7RNPvxLkqkn2MAY6fEdxQQ8Tf2wyx09VqMkgN9YgRUEWhHYX9DrXYNtyNJNBVtJs87OIJRXiwDx1qk91gMbh94sHW/SlckYxq17ebprOy8nyHHH9bNh6gIvOPIXK379JSUEeS+eNRhQFVAUUVeW80r4cqG7iv1dttr0m/VpFQdM9l0MCMHiwWq/BpfNGoyoYk492Onike9Qp9qLIqoUbYdmKjSy/9vij+W5r0lgXOz1QUvj3J3X14XUKhr+qQ4lOHXcq6Z4WtA2/z42z+dwOSTSuQdfPO+eUIqDZyEg8YTSs6deX43N16uUJKLKs0Lt7ps2zly37oywryIKQcvp536F6CgfmsXt/2EgQB/L9pKc5WTpvtAaB5xCRZZUZFxRQXatNEup2+4rzBpo4tgf1zeXlDbuYWNbftpN6cUUx/3zrC17ZtMe4jnuuG4NDEk3XNnXcqRYUhapQE3cdYbq9Lf+gE9q5UzrlhydHmuRP5cMlsJ+S0qc7kikRWp87K8MFQKjWSs3w1MtBZl00hNGn98Sf6UES7H3z2voY+d19LF+5yYgvdZQBHUZZ/777Vm3hjmtK2Xeonow0JxLg8zhwu6TmaRaQVVVrmFdUja7CJRJr0riNF1cUE43Ltr/F43KQSKi2nGt2trZTji9Jrf8KG7YeoCYcY/4lw8jJ0mC3H372IwNVxU4nW58nIaskEgoZaS5mTh5Cfncf+w7VW/bm3/z5PZbMHKXxyqPB4ObnZbCvugEVFbdL4scXDuZnf3jL9Ll3P9lvKo6UFORx1aTB3D57FE6HiEMSEGQVnFKnv3uCSHsoGtqSZCh8HQkoGTUxEksw/YJB3PrAW3hcDrIy3CkbMJKbjKtCGhz+kpmjSPNKBoKGLqFwhMZonKXzylAUBUEQ+PCzKnr3yKJn1wzbfMqSmaPweqSWadzmugfAw5Vnt6nzdvfpzj9uOK7sdnt96/nAi4FAYBaQEQgEPmh+/fyOfFkgEHgEmIBW4DsX6AXsDQaDMkDzpOFXza8Lbbz3tYqAydMj7U3Mpap8Z/tcBgxR63N0z0lPkZQVWbribbr5vdw1dzShsMbrpxfz8rv72L0/zBMvbWPOxUMoG3ayMW2iK71DEgyuteDuEI889xGVFcVIkkD5OQEUFSPhq3V6FAPwZVV9SrLjbJ+blS9+YnTsz5w8hGvveRWAWZMLOGNwT/77hjNxShKN0TihuijrNu3S4JLkr5f2TWVU5k4dyu2PbDji8znRRC9IV4UaQRI14yNiOx7tckopdVQBmiIJfv2nlinBn14xAo8zNca3HSfg7BSQo6kScYpihRTo5vciCULKaz3Rn3mnWEV3sFNBtCXjdbfWIweQ1yXNAn912YSBOFBxiBpMQarg7GCo0Sgi6lN2dpw+iyuKqa5r4v/e3cP08wu4/ZG38fs8po6ivj0zcbscLJxWSGa6m8df2moU53bsrTMSgIsrik3doslFFjXVmmp2uFpzAdhxA2iwDEeeYDnRxdb+tnF/jhYyta3kaarg0pfmxO/z4HU7qa2PWJowlq3cyMzJQ9iw9YChP8vmlbVr/YQb4wTy/Ywv6t0MLRdj6WMtv+n22aPaLCZ283vZ1ZzQXjSjiKZoglUvBy33ZtKY/jz87EfMvmgIgqwc9T3qFHtpKzEnHYPzH8vp/PYWepP1QEIgkQKqf+/BsGkSMBlKNPm4ZfNGt/AWtXENKiK3PfyOfYJOVnA334uoouJySSgyxkTY8QYN0ynHRsTmadAYArKidTK4XPaNkg5RZN2mXSyaUczyldYJ6oWXFfK3dS387NMvGES4Icadj27A77Pnns/KcPE/N43D45FMTUr53X2c1DUNwDIpq+vtzMlDjCJgN7+XUF3U+Ld+bFsJ+KMt2nVC6HZKp/zwpM113Sx2PpzLJdn6zA0RzQcNN8ZT0of4fW7KJwxI2SQXiyvc/sgGfn/LWbi8Tsv36BMg547qY8SXetNEfVMsZU5DL9g5PRKJuIKiagnv7V9Uc1I3H0tWtPBTVVYUk+tzEY/JzWgD9lRBkViis0HiW5Zj4b/q50BRbSFj9bg8uDvEzx98G9Am/TZsPYAvzcUt04ta5XA1eoZk0WKyGBleF6qi8shzH7FwWmFKjr66hqhxDY8895ExMVs+YQDdu6QjioLmGzfnJ7pme0j3Oo3CYCDfz6Qx/U1Npck8nYKsGGsYSUSVRNM9BH7wqF0ngrSHoqEtcUmYeFv1xnczomExJQV5RGIJ/KLHQCJq3YCR3GTcze81GuYWlA831oyOopiZ7mbz9v1086cZ0+FrN+yhV14G/3X2ANvfVN8Uw+PyUlKQx4atB0woiKIoNOcKBVRJIC6rKIKAQ9TQtDo6aPZdSLuuIxgM7gkEAsOAMqA3sAd4IxgMdgg3KBgMzgQIBAJXAvcAP+/Y5XZccnIyLK9pAf+b+H0eS8L21quKkSQR0SmRle5GbHZUkj+jV6tD4Qg52R5+dnWJCfrlZ1eXIMsqh2qaUibW9DHrf723x8CZ1Yt5d8wuZd2mXUwddyoZaS5ufeBNi9LfNXc0zkyRO2aXUtsQJRaXUVSFm3+73gIrUBVqYnnzBOKf/rGdhdMKba/rwOEGrjx/EE+8tA2A3t19LJs3Gq/HgdOhkbtrRSYzb5DDIZCdnWHcq1SiKCq1DVHiCQWnQzTd36pQo+1i6ZmbYVyrfm9zs9OO+F0/FLHTX0VRLVyWP7u6hAyv05bn4+6flFlIhSsrirVOtLhiFAD1z/z6T++lTApLgsCUM/txdnEfE1egJGpE2QcON5rgLlxO+0Sc0yHYTr5KkmC7nlo/865dfd/ULT9u5fv4m+30t7V8nd+lN3Js3LqP22eXUtfQAvtSPmEgq9ZuB2gpujQ7pqIo4PO6oDGGx+1g2bVlKIqKyymRndFil7KzVQ7X2dtxURR484Ov+MXMEhyixC8eegu/z4OqqkaXXHVtEw+s/pBQOMJ1lw4nFk8w9JRcpo4bYFzrtp2HyPZ5TOvzukuHUxOOEdwdYvWrnxlrJRWpd1aGC6dTtF3nfp+bn11dQnVty+/QpxZbY5/bBc5LmyeEVIdksds/dOmI/e3dPbPN+5KdrfLrBWNt97/2imkPFew7pXUo2eUr2zchqxW6E5Zi+KIZxTz1csv6ue5SzV/RnXU7P2N/dYP9NWVq3Cm+dBcPP/Nxs0+yievLC5k0pj+iJLCgfDh5XdJQVUjIChPL+iFJIjld0jt0j74p+SHZ36rDjbZcOaIgfO3febTr42hFv159bSiKSk19lL/873aLj7FoRjFN0ThPrt3O7ClDcXqc+Lwubp89iv3VDYbv0j0nvd1+ZirfVVYhzevkcF2UO/9oX7D5Ju/LD0Ha4z/A8bc2EwmFQ2ErbH6f7pk4HKLpWEVRufzcQTRF48ydOhSPy0G4Mc4TL20j2+fCIQn857hTOVjTxI2XF5KT5TWKdzMnD7Hls5p/yTASskKP3AweqhzPa5u/ZFDfHP737Z2MGX4ytz/yBpUzikyIG7oPkJPlATAlHmvCMdP+EIkljGNa23qP24Hf52n3vUpev+3x/b9P8n3V3+NROu/RdyPt1eFU0ta6BvvnqvsQT67dzvxLhpGbrU0AJsdS/3pvD+eW9rHlMHW7JU7qmpEyVgo3xigpyANVa2TzehzcOacUURSobYjy9Mufcuk5A3nw7x8CGq/rjZcX8rM/vMXMyUNSFjXDjXGefe3fRuyp82nbTVgtW7GRZdeWgUMCScQjWRsFF5QPx+2SqAlHO2RrO9eKWTpyP46F/2p3jmRYzZ65GQgCLP9JGQ/+XUNuK58wgJwsrdjxoxG9ePqVoIHMplM6XXTmKSZu3Z9eMQJRFHj8pa1MLOvH7bNHoaoQboyyZGaJ4UusfvUzQuGIad9Ojud0CPGsDBcLLysklpC589ENWsE8oRh615q/Xc8P/PIabe3k+tNxOMSU99DpEFnyUEshfPFVxeRmefClfbs5he/T+vimc2hHI6kGqDTEiEYjrgo3xVAUFUVRUVVwOlvyHulpbu6cU4qqYouauHzlxmYua4VILM6V5w9CFOwbz07ulsHdPykjM93N2nd2smhGES+9uZO8LmlMmzDQNMVaPmGgUVPRfVynU+Srg/Z8gZnpbh569kPKJwykZ266QdmTrNdej4OqVrRwP7u6hKwMe2SwjvrI36S0lxPwqWAweKnN638JBoOXHc0XBwKBJjTM2yCQ0zzpJwHVwKlok4Cf2r3XTjjQPqTA022NR6tPZHT1e3n42Y8MmKzkCYiEIPCbP1s5/hZXFJOb5SYaV0FQQRVQVBVRFHhh/Q6Lwiy+qhhfmhNZVnE5RaIxBRVwSiIIKqoCH3xWxdBTu5FIKDidIvc88Z4J1xbgD4vGoSgQboyRkBW6ZHqoa4hRWx+jq9/LwnutSK1/WDSew7URcrM15Xt0zccmSDAdg3dB+XA8bsmEX97V7yUal7l75bsWhb6+vJC8Ll5b3ga9G0aShDYnTFLhZi+fp5HNf1udI8cRJnQfUuhvqnt119zRttj2Dy0+m3+8+bmlcDdxTH8URbX9zKM/P4eDh5tseQQPh61cQl39Hr462GCZKOyek86eA2FbTsAHVn9gSUJec9FQXE6BaFxFVhQNNsMpEIu09BscCwzsjnZ6fde8gz80TipdjgUnYENctvDmLb6qmK5ZHqIxhbis8NUhDTtcDyDf27bfwnmqQ7jF5BZ745IEZAT2t+LUXFA+nMx0F3UNMdxOCVlR+eOarVRMPI17n9xscOx1z0nnUE0Tf/rHdkLhCMt/UkZdvdUOPtkcMOrSzW/lY5s79XQSsmLLc3XX3NE4HAJrXtthXedl/XAAarMNfnLtdtuJ4efX7+DqSYO5ZrmVh0PnIvimJwO/D/p7LLiLjkZ0XdcbLbpkeqiPxIw9WdfLrv40EgmZ+1a9z9Rxp7Jt5yGLTgzqm2vo1pKZJTyw+kNT96fX7USSBJwOrZNTllXqGqJE4y08E3b8l4F8P9dcPNQ00ZLMl7JwWiErXvjE8Gceqjybp18JctbIXnjckoXHYvFVxeRkuL4W1PixkB+a/RXdDg7XRk3PadGMYnKy3MhfkxPw21wf+nNJRupILk7r/BF6EjF5D0j3OFi2chO3VhTjTXOw90C94e/m9/SBLBg+iEsipQ6m+r06hPPKF7eyYesByxS3ftzyeWXwNZE0jpV8X/Q3WY5LTjWHxKIkjuxAvp/yCQM4qasPyQbe2OnSuKFqwlFLwqK1j+JLd7LjyzpWv/oZFRNPS8lBvO9Qiz5365LGKxt2cV5pP/YeDJOd4UYQBNvplx9fOBhJEhBFgURCRW2OZ+ubYnicThoicXxpLuKyTE0rv+vWq4rJ9rbfNzjW/N6d+vv9kE5OQHs5jvQXjhEnILSPEzRZkvfUVPvmzMlDWLdpF7MuGoKsqCiyiigJ/PWVT/mvswdQXRMxQdODFkfNvmiosa/LyNQ3yCY/qLKimC6ZGvzcPU+05Lv+sGg8c5avs4Wku+GyEciKQrrXSYZXy+9JkkAorDXoOyTRlvM1Oa6qnFGMgkI0qpCT5UEQ4GBNE2te38Hsi4dQVx+35l2y3KbcCHRyAiZJH46CE/BY+K925ygpyDMVJPTYyJ/pBhVue/gd/D4PC6cV8ouH3rJ8/9J5o6lvipPmbpndcTpF1ry2g9HDTkKWFbIyPEiSQENT3ITQsqB8OH6fG7dLAlUAQeVQTYRYXOaFNz635AMqZxTTGI2Tk+lBFEX2HgzjcTnwZ3q49y+bLfnoZfNGc++Tm205tJN/gx2yW2uO7m9aOjkBv77YISNWVhQbjQ/6369v3mOtgRh5Nqj8/Rv4fR6unzacuXe/avmehyrHa/GXS2T3/jCxuGyCudX96u5d0tlTVc+6Tbu45OwAb7z/JYP65gLaxKue2zi5WwYHDjdYpnLvmF3K3179lB+N6GW61lumF/GPt3byyqY9zTZA4/FsnbeeOXkIh+sipob6bn6NWqd13eP7ygl4XorXz23PhwOBQAbgDwaDe5r/ngQcBqqA94FpwJ+a/79FL/IFAoGU730dSYYn0LntdGOkJ2L1Dgcdu1USBdtqtcYNNZqqw024XKKJLHXRjCLWb/mSmZOHkJPlMcZHYzGFSDzOwZqEKYBaOK2Qbl3S6Hey31Sp/ukVI4gnFERBMJTO6dAWRUaai5x0L4+90FLQu3NOqW31GRXDKdK7k66aNBhZVnnmX58Zi+KkrhnsPdiCKa1vIt1z0mw5+gQB2/FW3VA8uXY7V1842HbCZOm80QiSiEsSbKEfJFSNkwU6ob6aJdUotpBiMkTXm2QJN8YNR9XuMwLgS3cancmRWAJfuhNBFHh98x4TV6ReULSdKLy2jE8+r+auuaNRVRVBEPjXe3vo6k/jwrH9LcVBl0vkUE3Eogc5vmOXCG6L1NbOMHf0+E759kSWFTxOB/etagXH9lgLPvnPH3zL5DTEEzLnlvblq4P1hj2rCjXx2uY9nFfa10QiXz5hYLN9U0xd+npR4845pSgqNERi3HTlCKprI8y/ZBhej8O0F+jORDyu2NpBHZ5RF71jFZoD1ylDqAlHeXKtNsmdTOq9oHw4sYRMXIYRg7obcAl6Yg9BAFVFaYadmX3RUCp//4bpGnTs8+pa+w4vnYtAv95fzS9DRTwhYT2+bWgePYGSECASk0378sJphSwoH27Y+Mdf3MYNlxfidErceHkhsqpyUrd0qg63TDmdXdKb94NVgPZse+RqHdN+n+ajeD1O9h2q580PvuKskb3MRbkknl47CN5QOGJMtPTIzeBwbcSAGAeNZzYZumPvwTDnlfY1Tc22XsfLry1DkbTuUh1m40TRtW9KFFk1El/Q0nl5tJyAyUk+EVJyOUvtgM49GkmGk0+elg7uDtEUlY3uY110e1cVauIva7dTfiiij6cAACAASURBVM5AQ/dKCvKYfsFpVCWhGnTrkkZWmpNoXLHYPDuo3wXlw7n3L5uNgqPO02ZnN1QtwDghbekPQWy54mUzV/CsKYMJN8Sorm0yUDLSnZIGRyuJVDc358yeMpRfzikFFVQVUzIw2Vd45LmPuO7S4YitoPb0pIjeVL/ihU+Mxs6LfnQKoXCUB1Z/aDvFff9TGq/Vo2s+5sKx/QEstt/hEPBnuonHtSR6Xpd07p5fRjSmcLg2QlaGC/lr+Omd0M6d0ik/POnouk72sVPtm316ZHLuqD6mJvlufi83Xl6IUxLJyfZw55xSo9ldb6rQYx89V9baD9In9Dxujc8v3Bgn3ePE0ZwrCe4O8cRL25h/yTDyuqQDKoIo8NIbnzNiUHdL8SXb56Y+yVdOhpPLTHcRyPcT3B1i2UqN/saX7qK6NkJutpe8LmnMnToUWYZVa7ebJrdXrd3O7IuGtskt9V03L38f5Wjju+R7jc05xhf1tsT+963awtypQ42/q0JNhFvBzer6oigqaR4nDU3as9cn9y48sz/1TXGcoova+iiZ6S5DB5O/5/ryQhY/8Kap4eeqSYMZX9Sb59fvMOvWy9s5d1Qf7n1yC1dNKrDkgh9/cZtpzYUb4+bcgKyycFqhCWGgKtREdoZba2RKQh/wuByd/JbfM7HSI4i88IY2TXrRmacQbozz+uY9nD+6nwXJcOkKbcIvFI4aOl/XYM+J/cU+DdpzcUUxX3xVS+HAPH45pxRBEKhvjOF1O9hf3cB/r9pixFpPvxJk1kVDAZWErNpCjbbmEaxtiFJc0KOFki0vg90H6nnomY8MPa8KNSGrqm0DfUMkbjRz3Dx9JE2RBA5JJCGrFm743Ow0qqvrv4vHZitt5qwCgcDi5n86k/6tSz/gy3Z+Tzrw10AgkI5GgXMYmBQMBtVAIDAHWBkIBH4BhIDpSZ9r672jltZBu14Qq22IsbiimNWvfgZoo8964sLtFFPCCyQSCtF4ArfbZUoqL1+5iSUzRxGLJ1DBmODQA6o1r+8wLY57n9zMsnllRreP/vqv//Qe15cXUvl7zYAvmXUGdQ1xk2FOho97dM3HlqTELdOLeOyFjw0nZNKY/gY8gf75PQfqyfa5SMiKBWLmvlVb+OU1pZRPGGDp5IjEEra8DXpiZkH58JTccAebf7c+UfnrBWOJRBOdDksbkgpj3+W0x9D3uEUu+lF/qkItieCLftQfr1sioajcMmOkaeozM8OFKAjcnsRzo3/HPQvGNMMJtRQaFs0oapP7b8SgPMv4tccl2RYHu+ekp4QjPFbSFqmtnRPS0eM75duRFqdbsdU9HZ88OUGWyhkAKBt2ssUmrlq7nennF+CQZG594C3rRQgCbqdAY0Q02Xet865lL7j78U3cMbsUQRRsr1WH4NKlpCCPLpkeHlw0HkGAA9VNRgPHhWP72xYkl80r4/6nzMXQ+5/awm2zRhFFxeN0EFUURNE+SV/XEGXli59Y4HWS7xF08gZ+m9xFqSacoMVnmH/JMG598C3jOr46WI/TIfH8+h3MmTqUrw42WIK40tN70u+kbEQRHJIG8Txm+MksX9nCQXHL9CKefiVosXu3zRrFfau2mGBqk/UgzevkQHUDkViCxQ+Yp1T0Ik1r9IE755Sm5LGIxmUThMytFcVkfw1d60yMHFtOQLsmGbtEQXJAd6xtRfLvaV2cTpVEbIxqjVETy/qxLCkReOHY/tQ0F0uSf4/HJRndza1tXktADHsPhnn8Rc1ezpw8BKdD5LpLh9vCSpcU5BFuOHFt6fddUjWIZaa38DvNvngwAoJFnzLyMlDRuCIbIwn+6+wBVP5PS4L6jjb4VatCTby3bT/nlPQ29ms7uFndxt63SuN91ZODKQvSqsb5fdWkwQbvj/6eHssBFthoh0Ng5YufcOPlIxAEAVEUm5FxrFOPnfLDE1+mBnHVKZ1yLCTZx07F9y6KmHJRoMUmbpfDmMLW7VP5OQFbah09EZ0sek4PMMWDt8wYyY2Xj+Dv//cZE8v6kZutNbHp6AK3zy5lSaumDb3I0yvPx4Ly4ax5fYdtEllPSGdnuGmMJizNF750Jxu2HjA1igL8+EIlZRK3s3n56ORo4rvW93rJzBLLObIyXLa65nE58DTzX/rSnKS5naaCsZ6z8Ps8Ri71qkmDeex5rbj96K1nE48r/HKlBr1590/KbL9HEFr+rdM57DtUT7cuXludTPc4mDruVAMBSf+srtO3P7LByOe99OZOwJwb0NGQrp82nEM1TWzefgAVjBhW94MUVTVij84d5PsjyY0dqqCaJv5KCvK49JwAh+sitroYCkdJyIoBWavrtp3vWhXSGvTHFvZiyUNvt+nn6nqtolIb1griC8qHs/LFrZa8WHIzcm19zESRoqrgdJizu938Gh2WHeXW7bNHAc3UKjGZ3z79vsXmCqoKsnrcQdsfac0Naf6/lPRvABU4AFggQu0kGAweAM5I8d52oKSj730dSQ7aJUmkJhw1bfYLpxXidLZM9ekdRDUpcHB3H6g3iCinXzDISBbriVVRFPjNn82GdOmKjSyZOcoo3Omvp0poZ/vcRkeIQxS5fYU12asr9YatB/jx5CGmRLEoYDgQdrjO9z+lGfbuORnE46mT6t1z0o17kOygCAhIkmh0tupJNi3B7dU2G5t7l9xBcuecUvK6pJOIxDu7QNsQu87zxRXFmkF2CKbpPYdDg/SJJ8zOSzyhQR4qikosplimS2RFMfFf6l07ibhqJIqhhYMkFYegKAj2x19bZlsclGX75GRCVknzOIjGVfYdqkeSJAtMaHulo51enaTc3720Tty7JIHqZmezLY4G/d9VoaaUdm/+JcNoisoGCXfyezMnD2kummnY3jqsgC/NSSSWwOUQicVlSzfpfau2mOA8q0Jat1Fultf2WrOTsMNLCvK48vxBfFkVNtbxyXk+Iyjw+zzM+5UVOiEu29vtaFwmGpO57S/vGGvthstG8NjzWy3dfMHdIR5/cRt3XFOKKGik9g89+6EJ/iMVb+CJUhRPZX8d0OaedTTFp1QTTrpUhZrIzfYSyPcTCkeMLjSnQ2T6+QXIsmrb0LN0XhlejwNZVnnxjc85r7SvhbPk7sc32U6ohhtjTL9gEI+/uI3n1+8wcP1lRSUaS7C3Kkxmhguv22Gr6/5MbV/REx+BfD+iKNAl02N7/P7qBtN13bViI8vmlSELQoeLeJ2JEU1EwT7RIQodX8F2TTKtEwXJAd2xsBX6WqoKNUIzkoT+e1oXpyOxhO1vDdVFAcjNMr+Xk+W1FED0JrjWNk9HsgA0qChB4PZHNtg2nNw8fSQ3XDbCBCttxxV0ItnS77ukahC7d+FYAx7J53VZnvGa13fYQ4MlNQ7tS8GvGm6ME8j3M+GMvixp5iGeOXkI+d19Fr3VJ17vf2pLm4Vy/dwOSaSkIC9lU5/d2li+ciN3zR3N9eXDEQR46uVP+fDfh4xpg2kTBp5w9vVEE4/b0WF4z07plFTiAG6bdQYHDjeSneGmckax0aijN4I5kvZ8PTfWp4fPYmuXr9zI9eWFeN3W+Ki23n4C5atD9fTIyWDoKbkUF/TAl+YkGlXo2TXdYrd136auwb6g6HE5UBSVx1/cxnWXDjcaqPX39Thz3aZdZKS5iCUUZk4eYkxQLV2xkXvmjzES5glZQRQFHJKI1AYyxrFqXj7RmuaOJr5rfa9Xrf3UUtTISrfnB3M6RNK9TmIJhdr6GJ/vrTEmpTK8Lh5/aasxzXTbw2aUn5pwDEXFlGNLpdOZ6W6WzRtt5PJ8aU5WvPAJN105wjI5qPsNqWLOvC7pxrmeejnI+KLevLJpj5EbGHpKLueV9jVyKvo9fHLtdotfPf+SYZrvIYrHDSx+p3RQVHNxbHxRb5av3JQyNwfgdTuM9XH3T8p4/EXzFJ6eHwA4u7iPYTfteLCT6x85WR7CDTF+9cS7lrWSXGvRm5Fvnj6SWEzBn+nhpitHUN8UZ09VPdt2HtKmWZtixOMKeTleZNlsm/VzCYJg7EGtr+14j+fazGEHg8FpAIFAYH4wGPztt3NJ347oVWzRKVk2ynuf3MzcqUNNCr2s2bDdMr3IZNiSkxv3rdLgVHTp5veSk+1FTRFQJWSZWVMGG6Oj2kSdmGLKS+T6aYWsfWcnJ3ezn0jUK9nd/Jqyds9Jp64hitct4XE5WDKzhFVrP23TsNc3xYhE7ZMmjmaeiDvnjKa2IYrf5+bhZz8ySG175mbgdEgkBJXK371pGABFUVm19lPbqYEHVn9ofH8oHO3sJmyHJBexdag/BxCLKzzx0jbGF/XG49IKfU+8tI25U0+nMRK3dCL7fW4AA1oQWvT/V/PHcNWkAgsnYKoiNQKWBNcNl40AIUUBTbYvJi5NUUyURIGDtTZchDaY+EeSjnZ6fZuTP51ilVSJe92hTDWRJEkCEi0OfbLdS+aC7Z6bRixmr9dZzVOxCUVh2bWjNV6G5GD0qmI8bvsJJt0e6xBdvjQXCFiuVYPsVLUmjC7pSJLIwZpGg6etfMIAZFnl19eNASE16bcsq7Z66nFJluny//eX9yzwIPq0XygcYd+hevLzfDhQmTZhoImMvGeu/f5zohTFU9nfI3GKHk3xqT2J2/3VDdx05QhqG6LEYoqpC+3OOaW2z0pRVLIz3Dyw+gOmn1+Aoqi2zq0OSZv8fX6fm4SscuMVI1AVDVJ6f3WjMY1SPmEAaW4noiBwy4yRPP3ypwZ0jd/nJpaQje8J5PuZfsEgFv/+TdtuwEUzinnw7x9arj8uK+zeH2bdpl2mJPOREhadU93NIqgp7FDHT5WqSeakrj4eqhzPF/vCpoDu69qK1tyYkViCvJw0bpt1Brc9/A7B3SGeX7+DZfPKCDfF8Dgd3DF7FPuSOAH1ScVufi9Op9nnTolqoKoWKCMdyeK2WWfgcTqQ0GywXcPJrx5/l19dN4al80ajKBr6QVtT7A5OvATc8SjJz8DlFFFkSCiK5v8J2D6/SEwh1+di9kVDOVTbZDomkO9n+vkFlmRw68ahVWs/ZdGMYhNflb5PX3HeQCPprNuwe64bk+JaEtx05QigpSHKzme64bIRqMBVkwYjoE2ptuYpTrU2VFU1OFkuPWcg543uQyKhMv38Ah5/aStzpw49YeHDO6VTOkWT1vtZW1xX8bhixEA/ufR0bps1ClEARQW3W0RV4JfXlFJTH0FRtDzGwmmFtvYpN9sLqEYOTPdF1m3aZSn46DZ23n8OtRQy7phdavEf9YKJ3aR/N78XVdWaKWdPGYLDYY8E07u7j/IJA01N0ckxWUOkhc4lIStkel089PePCYUj3FpRTJbPRSyumO7psWhePhGb5qxQh0fer1rfa72Zdtm8MmRFYe/Bev72qjUHesNlI0jzOIzCtT5BlTyUcvP0kaR7nCZI++TCR+vvTpUPqW+Ksm7Tbs4pyefmK0ciKyo3XTkCVbX3YWIJmcwUhcsvq+oNPwXgojNPMXIDWqP0AMtUbCrakzSPk0UzilAFFY4BXUCnz/zti9IqjtFzbal0Mcvn4pbftlDS1NbHCIU1GqjFFcWs27TLyM+FG+NIUovdTFW/0It6WRkuA5VLfy+5SAiaDnf1azyb4cY4/73KXDDctvMQYwt7GXD8JQV5XDVxMOGmGE6HwKwpg3n4mY8N7r99h+pZeFlhSv/4eM6Ntfe6VgcCgZ52bwSDwa+O4fV86xJP2AfhHlfLrfGlOfH7PPxoRC+efiVodF3u3m9NbqjNG7CuTHX1UcKN9p0ZaR4n1bURU9LuFzNLLMWUBeXDOXC4kTWv7+DScwIcOJy6Q1Qvjqx4YSs1Ya1jP/n8C8qHk5AV288fONyAQxJ54Y3PuemKkdzzp5aFccNlI/jNn1s4Tp5fv4OrJg7Gl+biv84eQLghxuG6iMGfck5xvmEAasJRQuGIgberT9HEZcU0iVJbHyM329vuPNSJbOz1IrZOCisDOETjWYA2zvxfZw9AVuynQZbNKzNG8ZNFL9K1hgH4f3/ROP5SdXbYcQimmjiQJMF20tAhCZZE8ILy4TgkwVLIWNY8EdLRvGVHO72OdvKnU46NpErc6w5lMkdDN38aXx3S+ExD4YjBJ6nxAwrGNF8yxMb0CwYRi8u2epqV7uav6z7lrJG9LKTEVaEm7npsI3fMtudgjcQSRpFD1+cHF4234O8/v34HsyYPwe/z0BCN489wE4vLVM4oQlExBaG3zBgJNtBi2T43b32w17ZJRZJE2zWek+3hnuvGkOF1seIFzaHRnR1BBAEBUC0BkUBnUdzW/rYhR1t8Sm5AWP3qZymTwldfWIBDEnn2tX+bviMV1n5dQxR/podJY/pbOCR1n6Z196ruB9Q1xkx8l0vnjWbN6ztYUD4cr9tpur4lM89g2n8MNPGk3HDZCG66cgShcJR0j9Pobq0KNfH4i9uMYriiQn2T5jskSze/l937wwYv1pNrtzN36lBESSJ0BJjazqluXQRbOzR7ytAOnyl1k4z2PckQti3vfQ1bIYmEDjdabODJXTNMkJwN0TiKohqBnKEPPjcKKhUTTyPcGKe+KW7yOWrC0ZT2rTWUUbcuXm6fPQqnQyQSl1FVhUUzikxxhd5w0j03jZq6qEk/KyuKmXJmP5557fNW3yUiShxRnzvlm5XkJKgdDNHiimLbYpnTIRKPxJEFwdSZr0+I1rfi/QHNDvkz3cbfoXCEDK+TX84ppa4hZuzTAF2zNQSa5PNmeF22eutLc7LnQJg0j8PQc71QfsfsUlRUQnVRMtIc/KwVMgdgcM1fd+nwlLGsDvW7aEYx8UQCf6aX2UtfMZKYjdEEB6qTivbNnIjJ9/lEjeU6pVNOBLErKP3s6hKyPA7LWk8AdzUft6B8OHX1McPu6oUSvYl4ycwSwxdI1Si392DYQCXQG4BC4QiXnhPA73ObULN0iHqv28Gjaz42+dO1Kab96ptipHkdLJpRZILU/+kVI8jJ8nCopona+hjRmH2cKQga559d4jorw2lwuSb7Hjrq2F0rNppQF352dQn+dCeqTEo/pr2+14naNNdRDks7HzgUjhBLyNQ1xAzY2j0H6g2fu6s/DackcNNv1xuf0yeo9L/9Pg+JhEpdg72/4Etzoqrm5l99b18ycxR1DVEisQQNkTj3rdrCL2aW0BhJmHgxU+UvvC4Hj73wsaWIo6+f5GNzsjwsu7YMRVEpnzAg5VRsa9qTbn4NBemhZz80/Iyv4+OeiEXr40Fac1Prdji4O8S2ndXGZGttvcZ9XX7OQBMlzepXP2PhtELufXIzG7fuM9l3Pcegnz+VjY/EEobPaqd7ekOzrsP3PPEe5RMGWHJ6elOHnhfR6dOS48hFM4qYffFg7l75LgunFbLihU+omHhaStSZ4zk3Jt12221HPOh3v/tdLbAQuBG4ofnfNwA3zJ8//45v8gK/hmQD1zc1xVDbuPdOl4M3P/iKhqRpom5+L8MDXdl/qJFrpgyle046fXr4+J+/fsCnu2tY//5ehp7SlUee+4jdB8Kmz/3HqD6MHtqTgn65vPnBXoYN6Mojz21l9pQhfLzjEA2RhNHh3tAU4//9pWUKqyGS4N1tB5g4ph+BfD/nntGH4YGupHmdPLbmEy4o68f9T21hx5e1tufrkZtG2ekn8+d/bqMmHOO6S4ebIO4aIgk+3nGIqeNOobigO5u3VxmfX1xRTPfcNLxuB6ef2hWPy0HJ4O5cfNYpDOzt50//2E5wd8g4xy3TR6IoMLh/Dm6nxF/XfcrKl7axbedhhg3oytBTu/LH57eyt6qe0wfkMr4on5c37OKf7+zio38fYkJJb55+Jcjegw1GgPnPt3dyxpAeKIkjG2vd2N/28DusevlT3vl4H0UFPUhzO1DbeuBHkPR09+1H/eFjK+3S3/R0N42NWtFPckiEwlF+99cPWLP+c7btPMzIQXmkeZysevlT0+caIgkmlvVDEgXe+XifRf/PGtGLLcGDXDNlKOeX9qGkoAc7v6pjbOFJjBzUnS3BFt2prCgmI83Jrb9/k5fe+oJ1m/bw2ua9vPvJASaU9KbotO5sDpp1LTPDSZ8emTywWrvW4K7DXHPxEPw+N3FZZUj/HEP/felunE6RJ9fa/waRjj1vVVXJSHNy1sh8Jpb15Zzi3vg8ksEDYHd8mtvBuCL9+HzSndJROymKKCADgiTiEIV26Wvycz7Ccd9b/U0lMqTQ3768snE3ANW1EcYOP4lfPfEuL731BdW1ERoiCTYHqzhrZC8EWcHlFBlbeDIlBd2pa4iy48tapo47lQdWf2BrUxdXFCMIKoP65HL345sYM+wk1qz/3HIdF57ZnyGn5Jo+W9lsT0uH9OCuJKiNUUN6EOjdxaT3My44jUyfC6dDQlVVIjGZe5/czMA+Ofz26S0m+z1qcA/uf+p9i00/b1Qf+vTMRBThzOG9GF/Ui/NG9eHdbQfo0yOTDVv3267xBb/5F//eU8MFZf2omHgaA/L93PHoO7wfPEiv7hl4vS4EUevOExQVQdWSdEUFPSxrOt0pfS3bCz9M/YW2dVhMeq21fXA7RQqb7e3uA2HGjTyZYQO6cu4ZfRjcP5dVa4OEwhEK+uXy26e38J/jBrD+/b2AVnwY3D+Hs4vyTXv9jZeP4NHntnLG4O4sW2n1D644bxDBXYeprCgmzePg7OJ8Jo/tx5hhJ+P1OKiubWLHl7XGGps0ph8ndfMRT8j895Nmf6botDzubeXjfPjvgwzI93PrA28xdvhJPPd6y5qqro3w2ua9lJ3ekwef+YiRp+UxclCeZW098dI2dh8I8/GOQ8y44DQUBfZVN5im2vX1P64oX8Pjb76ndvvdOcUtx3yd5/x90V/JIdH/ZD/3P7XFsENzLj6ddK8DtYP7mqMNeyDCMbcVMpjgi3S9PWtkPqKsoAjw0/vXM3lsf3756AarPozMRxThT//YRr+TsklzO0j3OjmtbxcuGtuf7EwPZww2X3NlRTHrNu7iP87oq/lDg7sjIOBySuyvbkAUBJqicTxuJ7G4TE6ml3c+3sfJ3Xxcef4gHlj9AQPyu3DfU2Z7viVYxbypwzhwuMHkCz+5djuD+3fll4++Y7n+8UW9UY/Ch2iPfF/0N1nauzaPRhRR4LZmbuxrpgzlgdUfWJ7HTy8fYeyvRiyVk05TUwxBEvnbq58y/fzTDNv6wOoPKOiXS3DXYYsdOveMPny2pwZJFFg0Q+uIDvTuQmMkgawqjBrak7LTT0KWVQRB5YzBPfjo39p5n/nXZ8b3JF/L4y99wuD+uTz2/CcUD+7O0FNyOfcMzV9I9zqIxmTSvS7ueOQdi27ecmURZ43sxbln9EYFsn1uik8z+/8ad3IQr9vBqb2yyOuSjijABWV9GTvsZFxOgVBdzBSXnD6gK74MF2keJ9FowojltgQP0qu7D6/XhSRJSB3U7U79/W4kPd3Nk2uD7T7+sv8Y2KHj/3PcqWT6PKSnu9v1n+SQiEU7ThXxXctxpL/QAR2GI8e2ybYUNBvz3vYDJv9MF91f1mCPexONyRT0y6XqcCP/Of5UI28WyPdzTklvhg3oSklBDyOBnGwDF5QP58//DBr+6sc7DvGLmSWUnX4yz6/fQd+TsnA7Hdzzp3f55zu7DNvbEIlxar6fvVX1Rh7El+bm3W3WWKqgXy6/fep9Jo3tT9npJ3HRmf05p7g3kijw8z+8zXOvaz7W2MKTKCnowYf/Pmiyn69v+ZIpPxrAmGE9KSnoQdXhRnYfCDOxrC85WV5bf+eC0f144Y2dNEQSnHtGH9Zt2mPc07GFJ/PIcx/Z7gcd8b3aG7focjzqL/CN21c7H/i6SzW+u5pwjOCuw5zczWeiEknIMg5J4qlXWu7v+aV9WLP+cwL5fq6ZMpTzSvuw9LGNtv5CSUEexQU9cDtFCvqZ8w8zLjiNh575iP97bw9jhp3Eyhe1eKnotDxL/uBgTSNzLh5q2tMXVxTzxD8+YcPWA+ytqueK8wYxsawvF47pj0MS+ec7XxjH3nDZCLweB42ROE2xhFHcsfNvLhjd14i/9HuEAI+u2WpcT+uYrSNiZ2Nan6+t/fZ41N9jlYP4JqW1/sfiCeZOPZ2acIQLx57CLx58i+de/5z17+9l78EGtgSruGrSaby2WctVVNdGmFCSr9nxwT2MuC2Q7+eK8wbhcUuUnX4Sm4NV7PyqjmsutubqRFFDwwvVRdi206p7E0r6MGlMXwb16cKf/xkkuDvEuWf0sc/pjenLU698BmDr928JVnHeqD6MK8rH7ZSac28Cf/5nkAXThjFqcA/OPaMPZaf3ZGJZXzySaNjcb/p5dVSH29v87G31dw/g58D/deTLjkfJSndbpnxuvaoYr8dhdH36fR6unzbcVN21G3OtnFEMqNTWx1j96mdMHXcq+6obLFNwoghpbge4JRMEl94xrMgqvfJ8AHy+t84YO9XHYKtCTabzdcn0EG6KIStQ1xClJhyz7TbVzx+NykRjCe6cU4qiwr5D9bzwxuecNbKXhWxTwJ58OdwQt0Ao6Ji7GufQaADj71/NL2PZvNEoCqio/OOtnYwv6s3FZ51KZrqbte/sZNqEgWSlu6lOgj5IJSdqh5IurTlxHEAiBf/T8mvLKCnIY3xRb6Prf92mXTgdAoqqGh0Y+rNcOK0Ql1Nk9sWDqatvmSqcffFgVBVe37yHJTNHIYkgK/DKxi84r7SfbfdFPKEVX5InBF1OMSVX1fJry/jD6g+ZOu5UA9L0D6s/5ObpI5lyZj/OLu5j+l5JEjRl6OC9q+5gh31HO8NSfW9nl1LHJdWkic7Tp3eH9srzaRjezVOlwd0h/D6PViJ2WJ+5Tn7d2qb6M91kpbt4dI1GvK0TbafqQFKb+R7mXzKM3GwNnvEPSZOIyR1Pj7+4jVlTBpvWQ5rHSVMkwe0Pv8PMyUOMaRM72AOPy2Fr0xOyBjniS3fx11c+rTwnWwAAIABJREFUZdKY/rzx/pdMLOtPQlG4Y3Ypj73wsdFtd8NlI6iubekcfOS5j1g2r8yYeGjNZ5Wsp7KsNE9XalAnkijikiAeO3HmYu3sb/IatuOwPFKHWCr78PrmPcy/ZBg5WRosuMthhqRNhiTXu930iZP7Vm3hpitHmjqd/7hmK6FwBFG0QhP5fR76nZTJnXNKEQSBaDxBuCGG0+GwnUAM7g6RkBXuf2qLAcWUDLXrz/SY9B/MSAv6lExrrk1FVQnuDvHwMx8z/YJBBoQiQLjJjO2f509j8QNvpoSCSp7y65zq1kRWVLbtPMRdc0ejqho05cat+zhjSM8O+09Hgk9qD7RSRyaBUsJ1KioiLftF8nHJOpmQFaIJhekXnMbtzQmDkoI85k49nVBdlJ89oEHTahzZ6RoctCwbxPf6RNh/r2rxmRaUD6erP43qGg1+0e2WWFxRTCgcMexoKhibuKwwbcJArjhvEKIgEosnGF/Um8ZIPOXxP3/wLVvb3CnHVpInh1M9PwHBot9iMx+xA5g2YSBPrt1u8J1Uhexhkq67dDiPvfAxCy8rZN+helQURgzqbkAlz754MFWHG00x2i0zRjL/kmF0zfZSE44hCHDHNaUoikp1bRMZaU42bD3A+KLehMIR/vnWF0z50alIIiiqm/Q0B7c9/A6VM4osqBy6bff7XDQ0JaitjxKNyYgi/LKZB1ZHwwG48vxBPL9+B5PG9Lf4Do5m5A/d19KRSKAlljuS39EpJ664nFKHOQfDRz6sU46RtCe2bS8KgySJqAiUFOQxaUx/A9pNz034Mz2GnzlrymD2HarH43LgdAicW9qHLduruG3WKI0OQhRYtTZo4jSvCjVxMNRE5e81KoT/HD8ASVJM8duDf9fit8qKYmZNGWwgX5QU5FFZUWyiJUn2v6PRBPN+9Sr3XDeG+sYY/3z7C5Nd/esrn3Lh2P7ccU0poboI/kwPf1v3KT8a0cuCyPH8+h1keF0p0cqUJNSxcGPc9F4oHGXD1gPUhGPN04QucrO8OFLwB6Z8rp1UKO0S3QdePq+MuKzw1SGN06x8wgDWbdrFzdNHEo3Jpr174bRCauojlgkqXe+TY6rW/kJJQR7lEwZy+yNvs2TmKJ5fv8PQX6dDRFFhzsVDqKmPkpHmZM7FQzhYEyE7w23RpQ1bD1B+ToCl80ZTXRuhtj4GAtSEYyb4+z+u2crVFxbwxzUavHeP3AxcDhEESCQUnJLEXX/cyPxLhrFu0y6Lf3PL9CKaogkL+sj4ot6m67GzCbocKU7oRHr57iQzzcWdc0qRJBFJBAls4fBBeybdc9IN3e/m1zgrb/ndmyybN9qw78mIXTMuOI0755QiigKCKHDX3NHU1EeJRBOkeR2EwhF65KTzt1c/syBiLZpRzDP/0moyyfWMVDk9WcHImefnZdhSpYTCURojcWMCe3FFMddecjpNkYRpavvWimJIQr043qRd6yIYDEZbvfRFIBCYD3wC/OmYX9W3KKIomJIUDlFElAS+OthgGOyqUBOHasx438kj143RuAHVkgyd4nZJPPJsyzj10hUbDSiD5NHS6y4dzr/e28OPRvSyGM11m3YZBcLMdDd3/6TMKDIuXbGRbn6vkTi+Y7a2QMonDDCgBFrD0LQe63ZIArc/soHFFcW2ZJtLZo6yLBKd/LX1sTrmruagaMcaEzWyiiAriJJI5e+1365DIHXze1k2rwwHqhE8H0lOZGOfyuH2pdsnKSSHaBmvXjSjCEkSERQVl6tVkc4lIgCRqGyBoBCBT3aGGNQ319jIP9kZYmKZvbMoturM0V9fdm2Z7bUmZNXAhk4+3u0UGVtodpQrK4pxu0RUEWIy7S5IfFcF5BO9cH20kpy41znHeuZm4HZKLL+2jESzE/jiG59TODCPXt0yuOnKETRGEzQ2JVj8+zdNxTXQ7r0Oh9fapi6ZWcI9zaTC0FKosG38qCjG4RC5+sICMrwuE24/aM9bh2oBbd/46yufMuOCAgQBDtUoNEbiLFthTRTbOSjJcAOpbPqPLxxCYzTOmYW9TLAft0wvovycADX1Ubr6PTz0zEdACzxCohnXfebkIRY+q2Q9PZoi+g9JjpTwsHv/1opig7MsVfFJlQRC4YipkL10xUYWlA9HVTFgM0sK8kwBWzJ8p14YT+Yki8VlXE7J1OixaEYRAma4oGR+vuRrzPC6uD1pSiTZN7j/qS00RhJGkTw5gE3Wycdf3GaC/taTFqtf/SxlgBzI9xtNRHOnDqVn1wxkWcWf6eF/bhpHYzROfWPMgLRO5dAnJyyOhu/jhyh693AyB83iimLcTpFYouPl0LaaZI7UQJNqPeX4XMRk1fKcHJI9b7YkCaiqiEsSuG3WGQYUuV1xYUH5cHrkprNk5ihi8QQHazROVb24XhVqMoK7u+aORlBE4/N2xPT3rdrCL68pNRKLC8qHc3KeD6+npWkjZcApqyxdsZH/z96Zx0dRn/H/PbN3NptkyQGBEO4zBEggCYRwyFXlFGkleEBU5FKg2lYO2wIeHPbXWo+KClpAK+DvRy14FgGt3AkCCgEDAgKiJECuTTabveb3x2YmO9lZxAPPPK+XL3UzOzM783yf73N+Po/O7MfnxQ4loRkVqQ3v+MWlqkYf4nsSnSgoyQB7lDmMjwuCzx9Wv2NsRu6+MRW/H3R1zSAyhLmcoI20GHlyQwCm86brOmDQ63DWeHnq1UPYbWZmTwjEasvXqHl2lq/Zz0PTAnFfQ6jSOblp+P0ovotsZ4N96AV5mTw2K4eySrcK6lZOQn9xqYrWzaMorwqFo4uNsijfWZCXqcSAWr7DjPHduX1EF2WvkmM1qI/lvsrvaJRGaZQfp1xNbHs1BSXZF1i35RPuGN2NPz+ntncB/t2+ZKU05a4xqQp3uhLjTO5N7y7NFF9ZtlXniqtC/E+l8eL1I+SNSsHr84fEb+u3fMLkkSkqn3z9lk9YOjOHSxU1If63r87eNoky06QObr9ho4fVYlDg7KeMTVWoJoKv8eSGgzw0NZvH1x1g8siums/tckV4eMaKuubtorNlSi7l+flDvvZ0VWPT3NWLHEOYdSLJTW387tZ0zCYdE4d3xunyhviMj687wKybe6qe77HTl5g6LpWzFxzcNzGdKKtJgRsP9hfioi1KbO/2ePnN0I7Uun2K/sq6JnNgy/mPeZMzNOHLy6tqKa+qVfIUj87I1vQnRFGg6GyZ4hsvmdmPla8dVjVLv/z2J0pDkHy/8qDH8D5tVH7GgrxM1m35RPUcwxWZteIEmYtb5mi+mmbbRvlu5Urxm4REdKQ2r2RZZS0Lp/SlqsZNRZVbqbHItlnOYcjxW3DT5YK8TAqOXuBf/y1i4ZQs/rgisE88OiOb63rX07ZFRxqJtpowGEWu692SC5fVVGobt58IoZ+aPSGNQ8eLyR3eWbPZQ7b1FVVubBEGoH6/W3R3X/76LzWN1qPfwof9PmDytSa6r1ZaAbbv6kZ+SPH5/Ag+PyZRoNLpprjUidCA8P3ltz9h9oQ0EuyBocgEu4XcYZ1x1nqItpqorK5lSEYrOiXblSRZhMmgTAHOurknK+YOZvLIFBXms3zsuEEdQgKg5WsLuGtMN7JSmnL7iC4sXrWHuU/vZNWmw9w+ogtZKU2ZOymD/MIvKSmrQRTBHmUiMU7dbdowKSif/4n1B4mODGA0h+tydbm9Ib+7ed35Gx4rL4gEuwW9XuD5+UNYOrPfVXaD+b9Rh1KwKMb+Zy7hHG6Z8yxYEuwWPB5/iM4tW1OA2+MHSWL5mv0sXrWP+c/sYvGqfSxfsx9PmEk9r09i0sgurNp0mPnP7ApATozsgsEghujJ7AlpyneDRU4AaL4/ncDD0/vyzAODeXbuYJ55YDAPT+9LrduvyQlYW+vnssPN/Gd2MnXpNuY/s5PLDjcGY/jOiysVkK+l/FDX/amLnLh/bFYOM8Z3Z8XGj/nbKwf4/GIV8/4ReO9/fHY3/dNa8sbOU8x4bDsLntmFUadTeC0b2jcZ5/vBFbsUmzp1XCrjBrZV7Kcssh2VbfmM8d15du5gHpvVP8Dd94+dzH16Z1ien8S4SNW6GN2/HU+sP8jC5/dQU+tDFOonsmQHKPi6wd9t2iSCBXdkXtGmS5JESWlNiI1YvraAi+UuFq/aR5XTw5CMViy/N4eHpmVz9NRlfD6J5ffmkNzMht1mDvkdPn+9U6Jlf3564EvfTL7q92v9/dHV+ZgNepbO7Ke5L+p0IuUONys2fqzY1dtHdMFuMxMXbVG9532Fxaz8z2FMBh2rNh1WnNLZE9Jw1nqYMb47rRNtyvFGg4739p9j8dRslt+bw5SxqWx4t4gat4/f3dpL0a/c4R1DbP6S1fkY9NqcklU1Ac7h6LpixcbtJ8gb1U1TJ3OHdwTqC84bt59QzmWzGDUD5PGDOyjHR0Ua+du/DrBo5R7KK2tZ+1Yhf3hyBys2foxfqk90N1wvcsIiWGR/Ty8FGpN+aQVACMCmaOmw2/P9Pwut9bJuyyd1+/quun19F2VODwZjACJwQV6m6j3ff0svHlu7n/nP7MJR68Xj9St8JnJTXEOd9PkkFq/ag8vtY1vBGXx+7Y57p8ujigfC+cryPi6f3+Px4fNJV7Tn8yZn8Nr7J7DbzFRVe5T1v2Ljx1wud7Ho7j4h+ry+ASx6ow9x7cSoE8gd3plVmw7z+CsHAjyQX2FfZDEYdZQ5PTzw1E6mPLqVFzYfBiTm1+muPIHv8fqVAmCCPcCR89Jbx9DrRCUBsnjVHkorXZp6V1FViyRp++syh3uZw0WNRiJyyep8nC6fUvyWP39yw0HuGtON2BgLfh9s/uCk6u+bPziJySQyf3Lgt8hrItzaMBv1PLnhYKDJJC+T5ffmoNMJ+OuSG8HnaPjdRt1ulEb5ccvVxLZyQSnYfv7xziyV/ZR9gX2FxYE4RuOckuRnwrBOmjavssodYssa+p9zJ2UQH2NmythUXnrrGPsKixEEQvJacoy4aOUelU9e7nCj04FBL6r873mTM9ia/1kdlKgHj8cf4nc8ueEgkRYDx05fYk5uGvmFXxJhMmj6/TqdwP23pJPQxKLsGfJvePCOTJrHW1k2Mwe7zaTwZst/21ZwRvXcvmluLLhpTituaZTwIgC1bj9+SSI2xqypy0aDDlEnMGVsKn+/fyDDslpRGeQHLl61hwnDOpGV0lTxFwx6Ea+v3le9WO7C7fZrDnGMH9xBtS8vW1PAnaO7qXRJRrEI9iv9fm1/wmapR5qZMjYVr9dP3qhuDM1oqTRLyw1OQzJaKQ1Oq984Qq8uzdiy9zSPTM9m5YKhLJ2ZQ5NoE3eN6cbye3MUfuVwPlXDOMFuM1PmqGWekvvbRYXDrekz/9wHQ35IuVL8tuCZXZp+8/zJmURYAs2Oc5/eyZLV+UqNRZ4ijY40UlJWo5nnWrI6n/5pSYwb2Jbm8fV2W9bbfYXFLFmdz9ynd/Ln53dTfMlJhMnAro++UMVgZQ4X0ZEmZt3ck7/M7s/CKX1pFhtBZkpiSL5ZXk9yrmVbwZmQCWyxQd1I/vyb+LBycbVhDKzTfZuyXahc1dro1KnTu6Ai3ooA0oDHvtO7+YFETmx6CCjzlLGpGPTqjgJ58m/pPTl4vYFx72frIAOCiYaDq8Umo6gQBf/puT1kpTTlzjHdNJXEoA+F5iopq0ECpoxNVTq25c/lTvy1bxUyun87HE43oihwrthBdKSJhVOyMBv1+CWJxVP7akJ/lZTVIAiwdGY/oqza1fqYSBMWs46FU/ricnuxRRjQ67U7seUE9v239EIQqJuqhFpPYDrCqBOQfKgmb2QHKlznR7gq+C+5Qymcw+33Syya2ofiy05lqq9pbIQyKRFyvCTh9mobrXCQW74wyYZl9+QonT/Bo/5Tb+yu3ZkjCMyd3JvKKrdyr1GRRkwGkcpqt6oDY35eJnabLqxx1UpmLrsnJ+zzC+7uDoZHvdbdQo3QGlcWeb0jAJKA3+9HDFr3EqLyrrWmMZatCdjufYXFgeRYEDl1wykMLcdi+doCHpmeTWW1W9P2L7q7LxVVAaLtGndguiq460d2grXe7+9u7UVUhBGDQaTMUatcU9Y/+XvB04bydRdPzcbp8mCLMPDq1uNkpTRVoDjDrYlwCTVbhIEEuwVTHRzji5sLibEZuXlop5Du2ff2nyMzJVGBaCxz1GAy6BUIVa3r/hKc7a+aQg/3d68/UHjSmhjRCnA8Xh+/zU1DFwSlJsu+wmJmjO/OozMCE22SJOGs9WA26Fm/5TC/v60Xy+/Nwe3xEWMz0a9HcxY+v1t1jtNfVPLozH4sursvDqcbW4RR874BTb2uqApMjzwyPVvppgtXCG8Rb+P5+UMRRep0yaVMHpZXaZPIt060sWRmv8DxlbXkjeqKw+lhw7tFDMlopazz/+45rRCPuz0+fndrOjGRZgw6Ed3XhD/6pYjXF0ZHfd//GtZaL0MyWmlPFtzTj7MXKomJNLHo7r7o9SLnSxz88/VCpdO/+HL9dEC5w839t2rDxMpJRrkjWxC092eTQY/PLyl+q9fnD9uZD8EQzRLljlr+PCWLh1btU+z5I9Oz0YkCfgmqajxkpiQyLMsQkrz82ysf8uiMfgo0rygEJsnkhF/wtRt9iGsjbp+k+KIlZTWsfTPQBNQi3oZOJGxnrt8vURNUaJcTyvP/EYD2XHR3X2pqA1Dgq984okoki3Xv2OH0cOeYrjhdXmUqQEvvmkSZrxgTfHjsggKnrHVMuMSFw+nhd098QII9MJk9ZkA7RCFAISAKAnOf2qnA5sbbLaoYUCs2tNvMWEwGVdf1H+/Mwm41KNC5jf5xozTKT0+uJrbVQmGIi4ng8uUq5TvBdkwImuQPhorX63QsXrWPRXf3DbFbDekSoA56romVZ+cNQa8TWPmfwyGTUGajHq/Pr8pNacWIT24IoFL4/BBtM9XFYVKAj8rrY2hma9a+VcikEV0RRG27Wl0TQGmJt5uZOLwzlypqVHBz8jX8fglJgr+89CExNiOLp2ZTVePGbjNhAJDAi4RBJwaglQUJJGgSZWHi8M5AwI+KjTYTHWlEEEDSoC74KvkuqFB+KaLTiVR7fBSXOlV5rUvlNZo5p2irCZ1eYOP2E0wa2QWjzRxSfFi2poBFd/clb2QK50qq2PBuEXeN6abKGTSkq5K/K8f7crFCzvs9Mj0bEJCQuFQeaCQK9iv1Ou3GT1GEoRktuT67NY5qN2WOQD5k7MB2bPrfSVXuYtWmwyzIy8RoFLljVDcMeoHROe0w6OCyw826LZ9oQofH2oyaSF4NfZzxgzuE5IAeXZ3Pspk5v3ikl+9LdDqR2q+I34L95mZNrAiiQOHJi7RpEcP5i1VBSBsmbBYjU29MRacT8NY1UIbLZVVU1TIypy1uj1+Zbg2nt4IAS9cEECmCqdRc7gDM/Z+e2wME9oKHp2WHDIHJ50luZmPK2FRe33GSMQPahUxgy5Pg34UP+30hx11tvP//Gvx/NXCoqKjoyHd4Lz+I+P2SMsoajL9897huzMlNY/MHJ5WOBrvNBKDwccjyxPp6KEwZEmXVpsOcOl/Jxu0nmHVzTxKaRPDFxSpKSp2aShIuAeH3Q5VLO7EmCHDjwPa43F6mjkvFJ0kkN43C6/NTUVXL6jeOUuZwsXhq37DX/eJiFYtX7SMrpalSsJQN8uwJaTz/n4+5eWgn3t59mq0F5xg3sC3DslqFjNAuyMskMsLAwil9ee39EwzJSObxdQeUAmnXNnZuyG5DmaOWiio32wrOMO2m7ni8XmwRRqV45/dLSDoRv1/C65cUXi75GsG8VL9UWC+9qF2ENRpEqms8KniMBXmZmK16zeP1ooCEttHSX2GsPlwC/PYRXbhY9zeDXuD2EYEJwYa6Mqcuse12+1X3et/EdNweP+vr+FNkZ2n9lk+YOi5MMVGnfT9en4QpTBHZqBOYOLxzSAHZqBPwXEMP95dcuNYSnU6kzOHCKwjoRZFqj5c1bx7VdAztEQaVsxHsGATzPcVGm3l0RjaiIBBpMSrOwcbtJ1TPXu4yCpaSsgDOt9fnD4H9zB3WmSfWH1SgmXOHdwwphmnBhc7JTaOqxkN0pJGKqlqiI81Emg3cf2s67+w+rQQDsu0FEAVBwT73+6G6xs3lChdGg0jTJhHE2608/5+PVYGALLIdaBKtDV3mcnuZk5vG468cUJpWJElS8NPl5/DE+gAcTTBs9X0T01m35ZPwhf1fSLIunP3ViyL4fN+o2B8c4ISDeQ2G1MxKaUpppVvh6ctKacodo7qh0wtMuylVBfM4Py+Tlk1tmvpeWuHixc2F5I3qSnFpteZ9C3WTVw35NGUeFEEQaBJtZuGUviHNU/I5PvuyUgkKY2PMLLsnB78kKVC9Wt/x+eHt3afI6ZnEU68eUl3batYrz6pXl2b88Vk1R1qEUcTvkwL2XxAU+w98LXiNr+J+/KmKGEZHRVG4ZptRuKYurfWiZZ/tNjOVVaH+TVSkkdtu6IxeJ+JweoiJNGG3mRUfQgoXmOlEBVY2NtqMIMC8yZkq7sv7Jqbjl/wKHG6C3cIDk3pz/y29lClzufHtn68Xaq7d+2/pxSPT+3G5ooZmcVZEAcoqa0PhhDW4Mz1eP4tW7gkEfj4/AmKjD/E9SsPEkwyF9fz8IQhXSIhWVNdS5qhvbghOKMsJjPnP7FJ8lxsHtsfh9CAA5Y5aZozvTrukaFy1PiAQM+UXfqn4CTIsemJcJBfLahSY0YY6Hm+3cEN2G1b+5zBDMlqFtbNanztd9YnDx9cdUGDNF07JUtZgSVmNEj/K0F5aXIcyP9KyBoXuR17cp0yXxNiMjbrdKI3yE5SrjW0bFpQa0r8E+wKXK2qYn5eJ0+VR5Q8enp6t/L2h3QqmS5AlwW7hXEkVrROjeHPnKW4e2onTX1Qq51s0tQ9Ol0cp3Bj0Avfe3AOjXrvxuHl8JLVurwraX7ZxeaO6sq+wmOv7tlau3fBeiktr2FZwJiQHETxAkBgXidvnw6jT8bvbeuHxBGgjrGYDel0gjih3eni0AeVAE5sRR42bKKtBOb+8VzSLtVJWWUuMzYjVoPtZ+LHXSr4uDJ98vF8nUFYaCp1tsxpDKHnm52VSeOoiSU2juHdCDyxGfdhGHb1OQJKgdfMo7hjdjaoaj0IvASjQ91rxvqxX8mfniqtYsjqfZ+cOUeL7hvnfcOvo/MUqbrquvTKtGPwbc4d3oqS0huWzcnC5fOh0Ao4aN6UVLqKsJt7YeYpROW1x++qHbbQmvB6blYOkE78yTghXHLpSs22jfHciT6oFN2/J/mxDHj3Zb17xwGAuV9TQuXUci1ftoXv7uJB1MXtCGgmxFpCEKzaHVVS58fslHl93gHmTM2geZ8VuCwfZH5i2bR4fSe7wjgpM7rzJGWx4twhAyaFUOt1YzQbN8xh0IkkJkdx0XQdVQ6Z836+9Hwovqkyifs1cwvdFeaZbtGjRVx40a9asDxv88/GsWbNKvsP7uBYSA/y2psZNOBhsnU6kutZLpdNNSts4DHqRIycvcbbYwZkvHWSlNqN7+3ie2HCQTR+cYl/hBQamJ7FhqxqOp9rlZUR2a7YVnKPa5WVUThuu69WSzTtO4nL76JBsx6gXsZgM/Pu9E0wa0ZUjJy9R7fLWdX9m4vX56N8ziQNFJcrnsyek8erWInp1bsb+YxeodtUDriXYLXRp04Q/PbeHY6dL6d+zBa5aH4tW7mHD1uMcO13K1HGpHD9bTuvmUby1+zR3jErh8Kf1152Tm8a/3inicoWL8xerKXe4eOD23vTr0ZyUtnGs31LER59e4tDxEmb+uie1bi+j+7dn0co9HD9Tzm03dGHMgLZc36c1L719lBUbD7P/2AVuH9GFJlEWenSIp9bjI7tHIsnNonn4hX1s+uAURWdKmTSiK/9+7wStEqMw6XWYDTpEUaC4vIaFz+9h/bvH2Vd4gUkjunK+pIqzxQ4OFJUwOCNZwTWXJAlBkhABQQpMQ3xbsVpNi7/1Sb4bCau/okGka9tYlQ7NyU3DajawcGU9pn21y8uBohKG92mleXx0pAmdIGj+rUmUmW7t4vj404vK5/ff0osoq5HdH38RoovDs1rhkyQiLUYiTHqsFiOCGOgo8vh8dG8fx/V9WpPWKR5rhIEIk4GHX9inutfDn15iSGYyzeMjWbHxIzbvqNcVW4SRzJRmHAxaH/PzMrFGGNj9Uej9DMtqRYXTw6KVe1n/7nH2HvmSjJREIkx6vJKg+ZwG904mrLH4DkSSJCJMegZnJDMqpw3DMpOv2hm3Wk04ne6rOe5Hr79Q70D86bndrH/3OHuOfEmPjvF0aGlXEv4Q9G4ykhEFgb1HvqTa5SUrJZGiM6UkJdi4fUQXRV/2FV6gT7dE1rx5jHf2fsaM8T0od7hwuX0MzkiiTfMYRmS3xhZh0rSpKW3jiDDr2V5wluk39WBM/zZc1yuZCIueDi1jGJSeRFZqM55Yf5CUtrEUnrqsnONyhYvqGjd/uK03I7NbMyCtJW/vPs3G7YGmiKoaD8vWFPDqthPkF17g9hFdSYyzEh9jYXvBOXKHd6JPanP+z7/2KzqblZJItM1ElNWI2ainbVI0C5/fw+SRXfFLEr27NFWt3QV5max58yjv7f+caTelhvxNFGFbwTmGZbViSGYy0VYTUZEm1r8buqf1T2vBpg9OKf9/+NNL/HpwR5pEm+iTkqjaqx7MyyTCoPvWNvinoL/h7G90pBHJJ6EXBTIaPJ8FeZlYr/B8BJ2o6Pa0cd1ZsfEj1Ro4cvISd4zuyv8OnCfBbuH3t/bioRf2Ko73b4Z2ZNnaAto2j1EFVtUuLweLShiY1pL8oxdISrAxbVx3RmS3JqdHcwRB4NVtJxCA7B7NQ97r3EkZvPTWUbK6JdK/ZxID01sovoE8vTIovSXVLg/r/vsJ3dvHkd65qWrfCHBTFCmeT7lOAAAgAElEQVR7+MC0JNweH4Igsv7d45SUOpk6Tq2rcydlsPmDk/Ts2JSnXj0Y8iyGZLTird2nNZ+VbMuD7X9xaTXdOybgleDzkipW/ucIb+w6rewJWu9FtlGLVu5l3ZYi1R4S7j3+FPQXQG/QaeqwPdKEdA2SQ8HPsuF+LELIehnZrw37CtX2+d7f9FB4LSHwrsscLrq1i+NvrxxQ/IX+PZNI7xLPUxsOsXnHKS6WO5kxvofKd3jg9t54PD4e+ec+jp0upV1SNI+8mE/hqcvcMborucM6kdouDr1eYOnqAtU1Dx2/yI2D2jEoPYmcni0Y078dHp+Prflnue2GLiH6+PGnFxnUqyXrt3xCu6QYLlyuDvkdB4pKlPUtS4LdQmr7ON7YeZpROW0Q+XY+xNXIT0V/g+VqfaNvIsF2WZYEu4VhmclX5FfyIfDlJSdFZ0qV+HDzjlPK32X/5Wyxg5JSJ5ndmpIYa8WgF7FaDNijTJRW1PJQUMw0blAH9h7+gmk39aBnh3geX3eADVuPc/jkJYZmJtO7SzOV3Q1AzX7Kui1FTBrRlV0fnWfCsE6qNT97Qhrv7DlN7vBOIbZAEAS27z8HBHT0+j6BGPf6PurfAnD+YjXXZ7emd5dmGAwi1/VKZuyAtgxMT+L51w5T5nAxun9bXt16QvW9QLzcFr0Afq//W+t2o/7+MGK1mli3peiqj7/lV52v+fE/xWf6I9Jf+Bo6/E33pYa6H+w717i8pHdKUE1DJCXY6NMtkfyjF4i2Gskd1kntN+S0Ibt7c9VeL9u4jK7NsFlNvPb+CX49uCOjctpwfd82WE2BZs6n/28ghjx2upS0TglYTAbyj4bGiEN6t8Tl8dGpVRNKSp2cLXYo/nlNrY8dh84zKqcta988FuLXLsjLBAE6tLSrUGRkv/a2G7pQdKaUrG7N8Pvh5bePkpRg47GXCnjt/ZMUHL1AZtdmmM0G/vR8aA5jQHoS8/6xk9bNY3giiE/rH//3I17deoLDJy/Ro2M8UdfIz/sx6i/wtWzBlXzVr4oTBqQlqah35Pd6fd/WKl51OS679VddsFoM1NT6WLxyL21bxCg+gywJdgttW8Tw1399SLd2cWx4t4h2STGYTXr6p7Wgd+emvPT2Uabf1D0kPxZvt7Buyyd89OklpanNoBf5VZ9WmIw6enSIZ1hmMgPSWuCs9XJ939aMyG6DIAhkpTRT5YtnT0jjlXeKaNM8KiRHc+TkJQamt2Tpmnz69WiOy+1DJ4pEmA3Ueny88t9j3JDdFrNJh88vsf7d4yE+EQTWd2q7uKuKE3J6NOfY6dBn9VW+2ZX22x+j/n6V/f2h/Ae/KLBo5V5Ofl7B1HGpVNe4+c3QjqzY+BEbtp6g6EygBnG+pIrLFYFCXvuWdiLMemxWA22ax9C3e/OQPHC7FlHERJp5aNVe0jsnYDLquK5Xy5DayDt7TtMiwcY7e89wsKiEaeO6U17lIjOlmcrm3n9LL6wWPX9fd4AN7wZqI/ffks7o/m3ZuP0E/XsmcfuILnRMtvPyO8dIjIvEbNLRv2cLdQ7njkx2fXSeF18vJKNrM/Yd+ZLpN/VgZL82dG5t55V3ijhzoZKB6Ulkd2/O+Os6MDA9iQizAYNBpLTK/bVyCd809vi6Ony1cKB64BagJxAZ/LeioqKpX+eCPxbRIrO8b2I6D0zqzWNr91N0tozKKrfS7QCBTmR/ECxQfuGXZKYkEh1pVCZQNn9wkkiLka35n3H7iC5UVLmVqvAzcwdx942pACy9JwDVdeFyNc/VwYrOndybh6ZmK1AwclfQpBFdQqb05k3O4K1dp4FAdbi41Km615KyGmUq8dNz5dx9Yypen8SjM/rh8fkw6nX85aUPlckCCECM3TWmG3Of3ql6ViVlNVRW1zJuUAcq6yD25K6NBXmZ/OWl/arr/p+XP1S6RrNSmjJ1XHfm/2NnyL3Nurknep3Io6vzWTKzHwICj7y4T/M3yB1NEurO/l9iN5Pb42ftm8dU03Jr3zzG78LAXoU9/rZeIMF7+8+xcEpfdCL4/PDa+ye4eVgntuafCfn81hu6aMJ4GvQi5VV+VRf9vMmZRFoEbBFGLEYDogB+yYReL+DxakMZIqEJwbH0nhxMRpFFd/etOw8gBArAmpOGohB2lDocjKL3e4AzbITWCIjWqPsT6w9qQrzI3S+moIkkmdDX7fFp8j3JNmPp6nyW3pODJEnUuv0KKXWnZDtzJ2UoE3CyY/H6jpNMHRcga1+8ao+qi9Lr8/PGzlOMG9SBP9zem9gYc0j3q8wfJE8vz54QIKX3aXBsLvlnPg9Ny2b9luOMH9yBpk0iOFfsUBHEyxAGMhH3grxMurePI8EewYMrdqkmXmS4Zhnqph66LLBle7w+Vmw8GjKpsmRmv7CdVqCetGwSZcagFzEYRGaM767YAIMhFKf8+yA1/iHkSvZX/o1fd0o9uJM6XIdji/hInp07hC8vV1FRXY8OEDxlEu67jho3cyf3xlXrU9nK+XmZ/PW3/XG7/Tz4zC4F3q1ZrJVL5QGouH2Fxfx6SEf8fj8mg55Vmw5jt5lZOCWLZrFWSitd6HQwaUQKa94sZMyAdkwZm0py00jOFlcpfox8L16fH4fTTWx0BAl2Cy2bRpJgj2BJ3fMqd9Ty/GsBrpVhWa20bbXPx4K8TJKbavMTe4NgomUovuBpQbk79krwGt8XHMcPIYIo0Cwugkdn9As0UwkCoi7w+bWQcM9y2cwcJCSsZr0K0spkEJmfl6mCBW/I1QoB2JmGfMeyzZQ/k+2h7Fu73F5ibCZW/ucwU8amkpQQSbmjljm5aYiCgMPpYfUbhVzftzXx9ghN/SoprUGSIMpqwO3x8fy/jyg6r3W85JfIG9WNhc/vVhBHGh6TGBup2GE5Hln9xtGQKeJGH+L7k2+K3mDQixw7fUnxMRrCZG7cfoL7b+nFviNfMKp/WxzVHhY8Uz+9/cj0bE3u+CljUxGF0LX08Av7+NOULAUWuaLKzYZ3ixjdvx3niquU78r89An2CL64FLDNZQ4X12e3VuIxeT+bk5vG0IyWSowbaTFy6686ERdj0aR0KCmtYdWmwwoSjry28kZ1xevzI6A9rXD+ogO7zaygvDTqdqM0yk9Pvu3aleMFq8VQlw+CSxVqHtTxgzsoXL9NosysebOwgR9+lF8P6cjiqdlUVgfs4IfHLnDXmG74fBJNoszYIowsWZ0PBKY/7r4xlFriifUHWT4rJ8QHmTc5g7d3n6ZLmzhWbTrMA5N6U+PyoteJxNstvLf/HI/OyKaJzcydY1Jwe3zMyU3DaNAFpvj0AfSbpDDIHNGRRu6bmE51jYenXj2kOS0VyJflaH5fnj6X4wCt7z+x/iBLZvbDoBN/FvHYdy1X8vv1GjFt8PFhaXTCfH6xvIboSJOiY1poQsGoK09uOKjkPUQEzCY9z//nY8odbowGnSom9/n9rN9SxJSxqdw1phsAtR6fUnQJLkp7fH6qnG68Xn8gj2A1kl/4JUtn5lDqcBFhMuD2eBk/uAORYWgj/H4Ju81MuaM2JB93+4gueH0SSAJGQ8APkH2iYLjf6EiTQkvS8NkLDeJqo0HkwbxM1TRsI3LA9yeyTpeU1fDSW8eYPSGNxavU7y4YGTE4v+ao9rBq02H+eFeWyn5v3H6CzJREBclIFATmPr2LoRktVTb99R0nGd2/nTLhKts+vz80n+3yeHj5bXW+Zt2WT7j7xlT69WjO+i3H+f1tvRR/WV57WSlNeWR6NhXVbgQC6DQD05MY3DsZQYTE2HYgSLjqoGvn5KbhcNYiSQG0qD8/F4ROdEcAJePr5BK+L+S4q815rwaygLeAy9/h9X8w0TL0MjfIjPHdaR4fic8XCtEVzJskj5LuKyxWRknvHNMNg05kRE5bJL+kEKp3SrbjqpVY9HxAuZ+bN4SFQZ08AMvX7OfhadnMf2aX8lmCPcDftPatwyollnlxzhVXMX5wBxJjrarxW/k3tU6MJN5uUQWY8/MyATS5RTxeSTNQc3t86CKFEN6rKxHByxMKbo9P85i4GItCdn+xrCYsb6EtwqDwBwX/jgfzMom2GXF7/D+rJPNXiU4MjCHLjizIcHPaMHVhjxcERL3A0MxWivGWOyeMepGxg9oh1FkoQYCxg9ohSZImjKfXL4VA/SxbEyjAOGu8odBXUdr8JmH5C/0Si57fG3L8sntyiIk0qoqDkuTHHabIGMDvDweFRqP38D1JOKc43LvRiUJIccVoEHF7tM9jizAo/32pvIZoq5F/v3dCaaYoOlvGzkOfq5Jmr+84yc1DO1FWGXBi5S7Khg75a++fYOyA9pRWuBAEmDG+O5ERAbjoYL4J2QmaMb47QhjbZjCI3DcxHZfHS5VTDbExd1Ig4DTX8feVlNXwvwPnuHloR4XPSg4cZCfaLwX2qmAIhmfnDUHyS5iMen53Wy9eCCqEjh/cASCkIDp3Ugavbi3ShLeTYb8a8moEOzRaTTbBcM4/ZQlvf795oj5Yt2WI5oZcKAaDyNynAs00D0/rq6yT4D04HCdTWWUtFpNO1cFZUlbD0tX5LLq7L8vXBOx/gItQorLaTWy0hRq3hyUz+mGzGPjycjUWs4E5uWlYTIaQhg+Pz8fo/u2wWgw8uGI3C/IylYLhozOyiY22IPkDfFKx0RZ0OvjTlCw8Hj9/fHZX0LkyaNk0kjKHKywPlsWkVxLNmvYiCCY6HLeL3CgQDl7j+4Lj+CFEAiqrPCHcu02iddfkeuGepcfnV+D1ZRsRYzPi9kghsOClFa4QXpXYaLPmeWWbKcu+wmJuHNhe8a3/fv/AENjpOblpCoT+7AlpNG0SwYXL2hC5Mh/m727tRUykSbEHC/IyNY8XhAA0UklZTdg16qz1MOvmnsTFWLhwuVq5l8bExg8n36ShQ6cTkST4Vd82VFS5mHVzT2xWNdRlmcOFNULPsKxW1Lr91Hp8qvgtGEpUFjlJ7PGE+rZ2m5kIk56zFxyYjXqsFj2jctpi0ItKUtEWYaDobBlPvXqIP9zeG71OrEtQi5o8WQ5nLSP6tVGKkVkpTckd3lkFNS0nduSEjHyP8udDMlqxcfsJbh/RRUneayU4yxyun0VzRaM0SqN8fQkXLzTk35WbHGWu332FxSq7BQGKnNVvHGHyyBQsZj0J9paqBrB5kzMA2FpwjiEZrULsqRwXeTx+alxeVXOQnHezR5kCzcaCqIKqX3h3H9xuP/Of2VkP2RxjRRQFFbXNvMkZClWFLAl2C7YII16/H0Mdt1W4/Jrfr52nkxs3ZR/DFmFQNYrKiXaPx49gEBvtrYaE81URoKw6VEdt1vp3pAVRm2C3hH1fAa5ck/J50dkyhbOsdaKNz750qJootXh1Z09IQxDgoaBJQ5myZPzgDogiFF92EWMzcam8RoGdlwsRC+7IwFXrC4H3HJHTFkdNgGddHvRIsFt4aGq25m+5XFGjydP3xPqDCo+y1+fH54clM/vx5s5TPDCpN7Xu+sbU5fdqF7flmCs4rva7fcT8QimhfgwSDM9adLZMGRAKlpIyNY9e7vDOgMC6LZ8wJzcNv09SGvMT7AGqBVEUAjlln5/Y6OCGs+NkpiTSMiGS6/u2Vq0L2fZZLXqlgT84rv3N0I48tnZ/0B4QqIG8s+czptfxrw7JaKXKE+wrLOb0F5X87tZeGPSiwoEtw/BXOd1EWPREmPQ0bWLF7fVhNOgpd7hCBrKW/DMAfxts78PlEuRmmFq/RIzNyLKZOXj9167GEdq+ry0jgT5FRUVzioqK5gf/853ezfco4To2IswGDHodJaVOhSMHtBNJy9YUMCSjldJp/ufndzNt6Tbm/WMnxZedvLD5CDcObK84FcFFknAbjSCgXFM2xmWOWvYVFrNkdT7zn9nFktX57CssJj4mkKRetekw05dvZ9Wmw9w+ogudku1AoMtJFMUQotmlq/MREJg3OVN1LTnBPXtCWsg9GA0iBp3ItoIzyt87JduJjjSx/N4cFuRlKteVN7fxgzsEyGMra5XzySInJuXN0eH0KAXGhsc5nB5yh3fUJIE9/UUlU5duY/4zuyhzetDprlalf7oidwgEv6MFeZkYDALzJmeoPp83OQO9TmBObug71ekEJL+kcNtA4Ln+7ZUPkSQJjyfARzN9+XYWrdyDx+NHFAQVlFVJWaB4Hlwwl6WkrAafT9LsqvL5JO6/pZfqnu6/pZdSBAoWOYkWzjlwOL2q+3Q4vRj1ouZ5dKIQ9nnodY0u8fclujDvuaLKFWJ/FExt6pxAXwDz3e/2oRMJazPk/460GJGAjz+9xFu7TrNwSl+enz+ELm3ieHXrcSqq3NgiDAzJaIUooEyphisejMppS7zdgs1iZPma/SxetY+yylouV7hCAtKSshqax0XidHlC7jMrpSmOKncAl7+0JmSdLF9bwK8Hd8RfN/rfKdlOTs8k/vjsborrOF7lIt2qTYeZ/8wuHlyxS7UHJNgtfHmpihmPbWfBM7u4WObkN0M7MjSjpfK9KY9u5dWtRSyems1fZvdnythUdh76nAnDOpE7vKMmbv+QjFYhv9Pnr4coCNdN6eWnL+Hs77ctDCm6rROZO7k3k0bWv9cVGz+mosqN3WYGApxusg2TA36o56UMvre5kzLIL/wSo0Gb40SsI8EO1qW5T+9k0co9iILIpg8+Zfry7azY+DEGnQ63x6fZ8GHQ6Xhyw0EiLQE8/Y3bT7BwSh+m3hToQv3zc7uZ8dh2/vTcbqqcHkQEIoyGkImXZWsKyB3eqS4pflzTHryw+YhSANfyV2rdXrJSmgLhG5VsEYb64q2GhLNR4Y7/KYnP69f0C33eaxNAh3uWX1yqCrERp7+oxOPzU+5QQ9wUnrqkTFrPf2YXqzYdVt5hw/O63N6Qz+Q9AVB0tWGyYvzgDoqdd3v8vPz2JyH6NXtCGhu3nwisHVHgb68cYH5eJgunZBEfY1Z8sE7JdhZOyeLhadno9SIWk05ZFw3POW9yBtGRRmpqfYEknVfizjEpLJ2Z87NonPgpS7DPIdTxkYcTOZn9hyc/YPqybfz1XwcQBIE3dpzCajEwZWwqS2f2Y9bNPYkw6Slz1LJo5R7mPr1TFb+Fi4XsNhOX6hKNwXLnmK4KX+bqN44C8NSrh5j79E4Wr9rDpJFd8EuSomsGvcCfntvDzMe285eXPmTMgHYhOu72+FW2eUhGqxCb8eSGg0y9sbuSkMlKaUqTKDMWk567xnTj2OlLih+1r7BYSXAuvzeHR2f0w2rWM35wB+w2s8p/aJRGaZRfjoSLFwQE1V4pc5UVnS3DW9ewHizy3j9mQDueWH8QnSCGnHfZmgLGDQo0P0ZHGlX2NNgHnrp0G39ffwBJgtVvHFXybvJUtNvjY2kDP7ik1MnSNflKA+mKjR8zffl2/vjsbkb3b0enZLtyDzKnu3zfsyek8e/3TuCodivNR8G+ffBvvFReo5nr2VZwBqiPA0QRVRyxatNhJo3sQpXL02hvw0g4XxVJG10Kqf74/x34POS9LLgjEwmJuZMyNP1ImZNXlqKzZazadBhBEFi16bAKrU2LV/fJDQeJja4vysk6vGLjx/x93UEuXHby9/UHmPlYIIYLzg8EmocMmqhM/gDcFnqdwEPTsnnmgcHMurkn/917mvkNcsf3TUzn5bc/CRtrxUSaqHYF6F+mLt3Ggmd2MahXS+KiLaq8bji/J1zM9XV8s0b5bqVhHkS2zcGSYLfg80m0TrQxdVx3jHoRp8vD6P7tcHt8Kr2z28zUun3M/8dO5j+zi6dePcTFcicvbi5k1abDDOrVkm0FZ6iu9WAxGVR8fAvyMtlWcAa/PxRxa+nqfBxByElyvuJcsYOJwzuj0wl8calKk4e+pKyGGJtJ4eFWbPqybTz20n7KKmu5VFHDzMe2U1bpYunqfMxGfdgGvobPRi+q6xVy/DD/mV1MXbqNB57aSaXTjUkUrpl+X23e6nP4eTWNiGGmpppEm/i82IPZpCcm0sTCu/uweOXeKyaSrtRp/vi6A0oXjtyRY48yYTSI/P3+gZSU1qggVcrqSOFbxAc64d0ef4DrbUoW67ccV1W+TUY9S8NAxqzadJi7xnTDG2YiSgBqaj38NjeduBizquPkXHGVqhNl7ZvHuP+WdPYe+YLR/dvx+o6TzJ3cG5vFqEzRHDt9id9OTMfp8mCLMPDq1uMMyUgGwC9JmpCNEhLx9ggWT81m4/YAJ1XDiRS50yZckSl4SubnAtX1VRLcnYwgQB0Jrscrcez0ZRXEV37hl/Tr0ZwYm0kFFRBjMwHgDfNcPV6JDe8WhUyfTr2xu+bxfkkK6dLfVnAGnSjQvX0c4wZ1UMGKev0Ser2guie9XkCvFzV1Ra8LM70nCJpFzGX35IRABTwoJ+p9EvYGz8NuMyH4JAxGHW4f+Px+dKKIUQced2MP/nctWqPu8mSvzy+xdGYOfr+EKHLF7hc9hLznOblprH3zmOJob83/jBH92vLw9GyQQKcT8PslDHqBc8VVbC0ITHQl2C1MGZuq/Hc4mx8XY+HBFbu4b2K6YtNl6EPtDjkXsTFmHpqWTUVVwF5uKzjDXWO6KR2q4a5VUV1Lkyiz0kjy6tbAmjSb9HXnc/HXfx0IuwfIz0L+m9yVN25QBxV0g9z1FPy9yAgDkRZtx0jLoVFNwv2Mp6jC2d/vykHz+f1EWowsX7M7pJtMhoYVBYHVbxxlythU4mPMyr5ZdLaM13ec5JHp2TicHi5XuHh1axE3D+tIdJipOjkI1fJjlq6u72ArKath9RtHuGN0N81366z1UFJWg9vrY+k9Ofh8fkRRxGzWc7aqUgVzu2R1Pr/NTadJlEnzXF6vX5m0lP0ReY3ZrPWQt8Gds/Lf174ZmCx5ZHo2p7+oDDt55XJ7rzhl9X3BcfwQEm7f9/quzfoM9yxXbPw45B7MRj3lVS4mjeyi8gMemZ6t2Ev52Bc2H1Gmu5UuzdhA573caR+8J8jicntVvz+Y0H5BXiYbt5/AWetR9GvhlL5U1bipqHIrfnKC3UKEKTBx7qr1Kt2fWSlNWXpPPxwaXeMP3pnJoy/m89JbAajmxLhIBAFeDJoSkKeoJg7vjB6pMbHxExKtZPaTGw7yyPRsPi9xqODI5+X1xu3xcd/EdPySVOeTiIrP0nC9zMlNo7rGwyeflaqgkbYVnCE2uh7tZcpYbXi7R6ZnM2VsKhveLeLuG+snqMscLgWCz1HtxmjQ46z1YDWrfZJwPorXH9DP5ff2U+Cih2S0wuvzc0N2G0wmnTJ9UHS2TLHry+/NYe7TO1WNpv5GX7tRGuUXJ+HihdhoMy++fkSZkK+sruW+iek8vu4Ar71/IoQmR84XrftvEbfd0BmDPlDAuFxRo+z/4wd3wKAXWDgliybRZlb957ByznC5vFk396Sm1qdQ/7y58xSZKYkhPkRiXCT3TUwnympi7VuFmnFZ8P6wfFYOFy45FfofeZLKbjMrfoDW9PSaN48SYwsgINW6vZiMevQ6QYF9LHe4EQWBuJiIECqeJ9Yf5Le56ap4rVHqJZyv6g9DI1NeVau8q0G9WrLj4OcBOEKdgEEn8sbOk7z2v1N0SrYzZWwq0ZFGbBFGnlh/kKKzZbz2/glN2NmPT5SokIq2FZyhWaw15B7sNjN6UVAmpqwWvbL/a/kCwXqYO7xjWNQBAYgw6Ym0GKiq8VBSWsO2gjPcOLA9RoOgohp5YfMRis6WKYWghrGWyagPgfl89J/5LG0Aa6sFh/pzibl+btIQJcNgEEPs8ewJaax9K0Ct4PFKbCs4w903pvLwC/tCaBHCTZHKuvrkhoM8Nqs/nrpGVZk+wu8Hn+RnzIB26OsmqIOlpKyGyAhjyGdmo76uZpDD5o9Okju8kybMva4OxSsctPJD07IBlOJfuHyD3Vaff5F9XpfHS4RZj+ALxHk/BAXJ1cb7LwCvderU6W+AatShqKho93d+V9+DCCIhxuaBSb2pqHKrxvvvv6UXc3LTsEeZNV+sw+m5YoFQTpjq9QLTb+qudA7JC2RbwRluH9GF13ec5MaB7fn0XBlZ3RIRBDAZ9Pz1X6HJ7TKHi/smpiPUdfA3vG5y00gW3d0Xh9OD2ajXvG+9XsRq0StJDIO+XsXkTpQlM/uxcfsJpeLeKjGa9z88x11jUnG6PCEQC6vfOKJKuvglCbfHR4TZwIubG2K3H+POMSmcveBQsNU9Hr+S5I6ODMDrWQwiHrcPnU67aBvc3f1zSTJfjchj8fHxNi5edOADIiIMpLSLU8H1LLgjE71OxBZhwGyIUopbBoMACOHhF3VCCFzW7AlpUDepGqJPokDu8M4h8GJmk8iIfm1U49nzJmdgNur444r9IedZdk8O0ZHqAl10pAmdTtB0hhEkTbgLeVPS4i3z+fxYDTqSm9pUMAKiTuCSwx3yG+JsxsZC4HcssgPxf+YMwFXrrR91d/vqISUBfFd2/nw+P9E2o/KevXUJ0zvHpBBpMfLa+ycY1Kulak0E21H5v2NsRvJGdcPj82HQiTw0LTsshEdZZS1TxqYSG2Nh2k2pLFtTwJSxqcqUdLCOzpucgYSEs8ardIjmDu/IHaO7IYqCkhwL5zjI8C63j+hCXIw5ZE0uyMtUziFLSVkAguGhadk8/soBVSeh/D2TUVQVZYrOllFSVkPrxCiWzOyH0aDD5/Xjk7Sfgd1mUor+sq026gQ8dS9LF86u/EwCTy37+12JThRwa0C+lZTV0CzWqux7wZCknZLtgaJadIC3WIYekv/mqvXx6ruHNW3oa++fYO7k3kQYw/sx8nnkaVmtpqSyylqyUpri9vhZ9PxOlQ19Z89nqiLHS28dwx5lgjA8UcFNyrI/Iheol8zsp9lwMiSjlQqiVUBg6cx+6HRCSDA9cXhnYmxGxfnWkmtd7P0hRRemqUanE7gW47pasIo6naAJSe9wejSha7XQO/YVFqATXqMAACAASURBVDPtpu4svacfFVUeFUTtgrzMABe2V0IQJeVaWSlNiY40Kr9fC/J47uTeWE1Gls7sh8PpYWv+ZwxMb6mCrpk3OQOTUeT3t/VS9hf5nq7v2zoUEmZ1Po/O6KfySTxeHy+/fSwEQnrpzBz0BBaBpBMb4Y5+5KLTiUg6Aa9P4uHp2QjAxfJA4rnobBk+n8Suj75Q7O+kkV2ocAQ45+02M5NGdlHFnfPzMjGZ6jl+5Jgpxmbk5qGdWPi8GkJXoj4WDBePXq6o3y/uGtNN4QBsFheBq9aH3y9htRgUzquoCKPKRoTzUQTgd7emc/5iFf9+71OlUVRGC7Bj4r5b07hYWqNwDm4rOKP4NnIyZdnMnGv7khqlURrlRynh4oXLFS6mjeuOX5KUJge5mBIbbQ40V07NBiSlwXhYVjJDM1spzcFyzHfPzT2odnoUJKMEu4Xf39aLib/qzLr/fnJFTt94e4SK42n2hDRlsjrYh2h4TLnDraLnCfi8MhdqFXabmf/871Nl/7fXNcWVlAV4tiaN7EJ8jIVHpvdDJwYgxVf8v4+Vc941NpVat6CiKZILoc+/dpgbB7YPW1xtLKxoSzgIcC/auS+rxYDT5eGuMam8sPkwo/u3U+W7Zk9I4+jpMlUDzMoHh5I7vCOREUasZgM2q0GhtPH5YffH50ltH6/KsS7Iy8RiUudzFZqkFcF0CvU5gXC+gEzHkxgXycUyp+bvOn+xisWr9qly1ROGdcbj9WI2GfB4nQH+M73AHaO6cceoFEBgwR2ZLPmnuqCp12kjefkb5BfkJla5ibTR5/1xi5wHMelEvD7YcfDzEO6+CcM68dy/DzPlxm4MyWilIBpFWU2qotuV6iiAwjcZXJyfOymDnYc+Z0B6S46eukx29xaaumyzGBWaHPkzh9NTd5zE0MxWKqoxuaifO6yzgs4Y7v5EAVbMHYyzNuAfh+P1fHv3aR6amo2jJoCOKOcgZ4zvrnBi1/4AzfO6RYsWfeVBTz/99GtAEwKwoBOC/rl51qxZf71G9/ZtJQb4bU2NG6lBzlGnE/H4JNa/W8SvB3dkRHZrurWLQyeK/OXl+sJEtcvLx59eJLVdPC9uLmTquFSOnLxEtcurGLfXd5ykRYKNojOlVLvqsycJdgvd2sXh9ngZ1KslBr2OxUGYzdUuL0dOXuLXgzuyYuNHzL09g5goI5ERJhav3Eub5jE8EVR1Tkqw0aVNE37VpzVDM1vx/odnMRr0mtdtl2RHkiRqPT52fXSeW6/vwsGiEuW+5+dlsvujL4ixmVm6uoD17x7n2OlSpt2UyvmSKtonRfP7W3vh90O/Hs3J7tEck0FHmcNF+5YxWEx6HnpB/VsOFpXw68EdKSl1ctsNXYgw6wOQeVYjJr2et/ec5p29Z9hWcI4dh86jEwWu79uGf75eyNliB31TE3nq1UMcP1vOjkPn2Zp/ln2FF7iud0sESUIvCmSkJHIg6HfMyU1j/ZYiLlfUjwUPy0xGaPjCv4ZYrabF3/jL362E1d9gsVpNOJ2BYNqHoOKZrHZ5OfBJCYN7t6Ta5eWLS1V4vH4uV9Zg0OuIMOkQRYH0zgkq/Zg3OQOr2cB7+89y5+hUxvRvw4C0luz66HM6tmpCt3axqnUwJzeN6EhTyLUPFpUwqFdLFq8M1ZXrerdk3ZYi1W+pdnkZldMWBIiJDEAKRUeaAjPIgsC6/36iWq/v7DlNZkoibVtEs2LjR2zecYqiMwE9tttMrNp0hFaJ0ZgMOmpqfbz2/qekd24WSOYaRHySgCRJiIKIXifg9qHqVqq/12+nU9+FBL/nrzjuJ6O/kiQRa7fiqq5FkCSkb/iMPX74/ZM72FZwjvc+/Jzt+8+xNf8s/Xs2J94ewYqNH4XY3dtu6MI7e89w5OQlFt7dh47Jdl5++yitE6NZvraADVuPc6ncyYzxPVRrY0FeJjFRJjZuP06TKIuy0ZeUBmA2X99xkl8P7sionDbckN0GCSivrOXxdQew28zcPa4bbo8Pr9dPaaWL7B6JnPnCwbHTpczJTePQ8RKSEmzc+5sejO7fFr9fwi9JPLnhIMOzWqu6hKpdXg4UlXDH6K7878B55Xkk2C0MSEvC4axl+/5zqv1h3MC2JDWNYvHKvcp6mTouFVGAaTelYrUY8PslJAkuVjjZ/MEpcod3Uq33BXmZRFsNtEuy88SGg2z64BT7Ci/Qu2szIkx6pDD2ekFeJlaD7orv+aekv3D161JLdDoRvyjgAwSdiF4MNGVUVHu4WF7DsdOhe3vPDglkdWtGi3grg3q1pFfnBC5cclLmcDEsKxlL3WT8s/8+rHxv2rjurNj4EcfPlnO+pIq7b+zGzUM70qV1E155pwhRhNT28VyqqL9mp2Q708Z1Z1ROG2wRJtweL2MHtmPFxo9C/AWdGGjQeGfPae4a0y3Ez5F9gx2Hzivr747RXamq8WAwiPTr0TzEPzGbdOw7ckH5TD7/5JFdEUWBrm1ieXLDQUWHp9/Unfc/PMepLyqBQKGnd5dmIAS47xav2ssnn5XRo0McA9KSiDDrEf1fPWUlSRKCJJEQG4mzqvYrbdRPRX91eh09Osbz0YmLyjP+/W29sEUYka5RwC0/SxHq/h1qI2ZPCPh0vbs25ZPPypg2rjsjsluTlZJIbIyZvUe+DFkTQ3on43L7efSf+0JsY9c2sVRW1xIZYWJ4n1aMHdCWnh0TOH6mjBH92nKwqITbbuii2iOSEmyktI1l2ZoCRb9uvb4rNquBjsl2ru/TmkG9koiONOH3S+hEkYtlTkX3AK7v05rNO06pfn+1y0tOj+b88dk9bCs4x/8OnOfDY8XK2gg+blROG/SCQJnTw6KVe1n/7nH2HvmSjJREIiMM+FDbjW+6dzaUn4r+Bsu3scHfheh0ItV1vOdL/pnPhnePs6/wAv26tyAjpSkVVbU0i7PSLimG9z88R+7wTjRrYlV8Tdk+N7SZg3sn84c6v2bHofPERVuYOq47LreXlLZxlJQ6OVvs4EBRCcMyW7H3cGBtZKUkasaFo/u3JbNrU5wuD706N+XNXafp1MqOThS5cLmalf85wrHPLjOiX1ue3HCQQ8cvMu2m+pjX7fEyc3yP0PX6bhE9OySg1wk0jY3knT2nGd0/sFfIvkHfbs3593snWPPWMU17Xe3yMjKnzVXzk4STRv39YcRqNYXEc1eSW37V+Zof/1N8pj8i/YWvocPfVGTd14oX7puYjoSExWRAFKFN8xhGZLemXVIMG7efIKVdLI5qD2aTjguXq3lhcyFnLlQyun9bHnlxX0jMN7h3sgreuNrl5aMTF7m+b2sSmkRgNRuIMBvIL7wQYjs7t7bz1u7PVOfL7NqMPt0SlXgyXJy549B5OiXbufc3PYiLsZCd2pwB6S1Y++Yx3s0/wx9u6016p3iu79OaJlFm9tX5OHHRFrp3iGfpmgJl/8/p2YL0LvHc0Kc1Ywe0Q68TWLxqL3abmWnjujMwPYmqGjcer5/2Le34/RKFpy6H/J5fZbW6Jn7ej1F/ga9tCxr6quFi2jm5aTz378P87+DnZKYk0qZ5lKp5raEeQCA26dDSzt/XHeQ//ztJwdELpLSJxWAQKSl14vNLdGrVhHVbPuH42XLlPAeKShia1YoBaUn079mCzK7N6JvajMfXHQzxHeScQDhfYER2a4b3CUzrx0SZyejSVBWDzclN41/vBHKrwbnqJzccJLt7Il6fpPgI7ZJiWLamgA1bT5B/9AKZKc0YM6AtY/q3ZUhGMi63D1EQ2X8sdF0Ny2pF9/ZxHP70kpL3yOnZAkEQsBhE/F7/d+bbynKl/fbHqL/XMgfxXYgMYbnmzUIG9Urm1a1FNI+3ER1pJLt784COHyvmxgHtEUVwe3306BjP8rUFbPqgPgclIFBV42byyBQl5nN7vHRsZadPt0Ru6Ns6pO5w6HgJU8Z25+W3jzK6fztee/8Ed43pFuKjbthaxI2D2vG/A+dVcaZOFOjfM0kF6yzr+/y8DERBoLLaw/Cs1ri9Xj4+cSlEh9u2iOFvr3zIgJ5JXJeRxH/3nuH42XLmTc5gQFoLUtrGsX5LETs//pL8oxcYltmK1W8UUnS2jGqXl+v7tOYvL+9ncEYyoiBoxrhfp67xdXX4qoqLRUVFiV/npD928RIYX244VfHQtGzNKmxSQmDk+f0Pz7FkRj8ulgcmN97adZohGa2IjzGHjI/PnpDGh8cukDu8M6e/qKBZk9Ax7uBODZ/fj+QRlCmk4KqzVqfygrxMCk9dUmAM5M/vm5jO6jeOkju8IxFmPb27NlONp+t1AjVuL60SozVHb5fOzMHhdKs6UObnZSLUYTLHRJqQJO1qdZsWUeSN6qq6nwV3ZGKPMoU8n7mTMti4vX6SQAtH124zIwE+QUACYm1GpTtHL4q4PN4QXOBfSneTTB5aUuYEnYgeqPWGh/gqd9SGEP9azYGEvxbs58xf96B/WlLIBJ9eJxBh0qu62SNMetxhYGd9vjCf+8PDh1bV+Ch3VAdBl5qxGPVMHtWV4stOIDC5OnlUVyRJYvMHJ1X3v/mDk0wb153fDO2Io9qtHP+boR2RBDAadZoTf1FW7U4Pn9//i5gu/SmKTicihZkmirKaVKTbsgR3F5WU1eB2B7DJG477y92ZMozoF5eqWLHxY8ocLuZPDnRbBsNcyXAurRNtnL9YhV4vUFnlJjHWqsBA17pDCbjvHNOVuU/vYuehz1l6Tz8qqzyqiXEZdtQTZi0lxkUqv18+fu1bheQO7xzSlTcyp63S8SR//8kNB1kysx/FpU5VN9T9t/Ri7MB27D38JTPGd6d5fCQlpU5sVgNev6RMpAdDPMqwBeG6KX8uHX1a9vfr/DbZcW4IORMVYeTR1fkKHFDwnj9/cibWCD0Xy2pCOkStEQaqnR7m/WMnU8amqtZDsC9RdLaMPz23h07Jdn5/Wy/um5iGTify4IpdKgiihr7R3EkZvLq1KMRfeHhaNoIAHq9Pmfy40nqT/79ZrJW/rzvIfbekYzSKAfjfOghrn9/H/z78nN/mphMbY0aom8LLG5nC/2fv2wObKNP1n5lJJkmbtA29AcpFuRQsVNrSIG0VF5RVQS52F4pKW7UUWuWmuwq4RxCEgp5dV/ec9YYr4Cplz+IFAX/LxbvuQgV2hQIFFaEqtEDTNklznZnfH5NvOpP5BsE70PcfMU0mk+Sbb973eZ/3eViOQYsniN+/pJWAXr66FpVFWdhW24BhmekoHj0A8//8gTI9SPT8dVO0F6nfGsMAcdaY+7jVBCZGc4Ss8x/iGiZ7xPKqQoQFEV+f8uLFzfK0U1oXm04OdFlVgaEaAJGiVUeTW5ZuJuQkNcPzrnGD8PyGfTL7v6tD81qaLM3y1bJ8bTgiITnJDFGQFJktwr4GgG21DQBgKItEpp/U50iXVmYhgC4No5ZFvdjX8c8hIgAam9t1k59/eHkXKouyUDZ2EP64dreiPCBJwKlWP3V/JtHk9muUCEgNGDthQKRpWzwdMnnr3zpMldT/77/ugtsTwIIyF8JCBDcVXKaTbmIYKI81ueVJRln2ywGOY8Aw0OTa5P1v+UU/2G08Eu08RuX1ovoIq2WliXoCuV4uJJWAzuiMzji3EARRY9EREUSYzSweXfOx3OC6JUszhb+gzIU4mwm/e+ojzT3YHwxTFQPIxAbt8ea2AB5euQMZPZ2YNnGQbu+cX+rC06/oZct5M4e/bKhTZBFpx06088q0VuwxS8YMxJpNByCKkkZKnEjqGcnzL6sqQKs3hEXP/VOpDWNz23mlLrzy9kGMu6aPvo4oc8HMQVFt6YyzC21NC3x10qNM+gPA8tU78fC04YbrAJDvc2obEPL31979FFNGD9BgA7GTpGQSqjqmZqQpARFMgJYLzJqcjec37MMNw3ujZsshzLglS8EAidztYy/u0ikIkSnVRLtVmXilyY3+/qVdeGRGPtoDESV/Va9rTe4OIDlJxhYBRqfk0ZnX/vxDLWHZ4gkp08sAA45lcP2wnmho9CIUllXdmlsD+GON3sJmxcxCFF8/QIN9zS9zAZLs8xcrH0pe2+YLYlReL5g4FhOu7QMrb6LmqHeNH4xn5o1S6ky3J4BZk7PhC+hrR6fDilZPSIPPzCvNw0PlV2FxlOSszsGb3H5Ur96J6rsL8XDFcEQEESaOwQP/84HufL3+EKbeNFA5BzKRKIgSLCzzo1uQXJTYtihK2FHXiBZPSLNYzCa65GRjsw/l4weB5YCGJo+m2NtW24A0pw0PlQ9TfHisvAlhQcCYwsvx3Gt7cee4QYZyB0Ri5auTXnRT6T2rpVdoyQCRFgpFBJ3k4RWXOdGrWwJEUUJEkDCm8HKiZoVWXxBmE4ceBrIHgihi7ZaDmu+lZstBlNyUiafWf4LZxdmKJFnsZwmHRaUBqJxn1Mcowc5j5qQh4M0cnA4L3vzoiFIAAnrQRBlzV4HS5KbASBIgCIg3cxcsyHymMAKQ7THyPUCHbx6t4busqhCSJKHFowWmWjwhRCKShjVHCvfquwtRs7Ueo/J6wcrLPoQ1W+tRMTGL3tQzkHHlDORDebNsHBvbKOnisCAUEjWPLyhzwcqDKlvKcQy14cKxDEKCpLwv+WzVUW1o+rmygNCZMf/cglwHa7ccpBY6azbXYVReL8N9l/zbZGKVRkXsnrijrhF3jR+M3z0tN0mKRvaDI86MFm8ACfFm3HdbDlp98nj/+rcOY+XrezGnOAepThvCYUmz/pZVFegacPJ1WIDHZl2NeKsZAKMzm3987W5UFmVBEOjSnBzL6O4BLdEm98xJQzBz0hAkJ9pgs3IQBIkqAyoIekNlAmIWDrkUf1y7G3eOywTLMjCbWDS3BnUFy4ubD0AUATYqXydBTjDk/Vq6YMgZRvvvuRQsRtrvj8zIVwBY4nXniDMj1WnDpg8+R86AdJ1M4rIoMECOFytHEQhFdHvzgSOnEI6IaPUGkWTXShDNmpyt8YtscvuxYk2tAuKSIADK42t3Y2lVAXp1dRjKS6tlu9OcNpxqkb2ojp/yIhyRFB9Kp8OCrTuO4uZr+uCrJi9CYQGCIGm+64cr6IX2Jal2rLinEHYbr5w/uaZpev4Xi4cwLSIRCYtX7tD9TtVVhR1yzN/DOlfHmRqKVo5Fz3QHHigZikAoglBI1O1HDY0e/L9/fqHJTd94/zNUTBgMu81suDeWjx+s7HXED8XtCWJHXSN21DXif387UvNap4FPZXKSFcFwBLyJw0PPa0Gc5at3YnFFvlIPpHeJ0/m8LChzYe2Wg5rjpjltGq9Ospc++9onuNPAe1Pt4XKxr+OfQwiiRCUxNrll3xGvvwPIS0604YU39qHkpkzlNzeS2TRxjOL1eibf+ZWv78Xp1oAC+KUk2sAwQPXdsq/yl01asJL4oBDJZvXxYomw9cfceHjlDlRXFeDxtbvxcEW+Asarz7XVGwLLMkiItyjHi/0uYokgamD0YiJwdkZndIY+JEjgzRweX7sb5eMHY+MHn6N8/GD07ubQNU2WrZLvt6QBQu7BlUVZhpiDkfS8PSoVVzSyn9J0JDlGcqIVAIM7x2XqvKLSuthw/9ShiIiixoM49thzb81Rmibk/Kuj51oyZqAGhCavX1g+HGYTXUJRECWsWFOr3DuKR/fX3RuWr5ZJFyzDYNWm/agsykJ6l3h82eRFzZaDqJgwuDNf+BZB5A/BMHh45Q7N35rcfpjN9LVnt3VIy3fIEHYEsTKg3d+JhGjx6P463GrZqg6fePX7mbgOTCDNaVOkv9VNkQkj+qJoZD8Fb+hYe8OoMv1OhwW/n30NOJWNiaFPsKpmA7TrmmNlqXSziUEoLODEaR8uTXfgQZXcb9HIfgiGBUTAw8SxFwWu+3OOM9VusX6uLMNoSIqzi7MxoygL7YEw3tvzJX45/DLqmgmGRB32VR1d32fKk1u9ISTaeXx10oOe6Qk41thGzVGlqEUUAJSNvUK5FopH99fhI3abWXc9Ll9di8UV+ZhTnIOUJCu+OO5RriXynFBYwKqNdZh0XQbirHQrtlZvCCtf34vKoiyYTRxe3HxAqVV/CvK8YRMwIyNjT319fXb034cBUCl69fX1/X+gc/vBgmXljVqt0XwmpjHp2N4/dShqthyiTv35/GEsfqkDVMno6cR9t+WgbGwmvj7pRYKdpzIy3nj/M9x7ay5eeKMOsyZnK4tGDeQZbrQREWs27cfYwsuRaLfAyVrRHgjjxoLLcPyUT/Nec6fk4LV3P8W4a/rAxptgtXDUBWo2s9SmioVnNaA19TugdNSb3H50S47H8dM+8GZOMYJfWlWArH6piia2hWdRfXcBGk/7wTBAot2C1Zu0xsoE7DBFN6Rg9CKxRC+ei6WANAKQH5t5NZUBDAZU3zxRksCbWB1LbXZxNgQDE2RBkDDumj6651vMLCZfn6Fh+swrzQNvZqnTqgwDaiNu+d2FhhOqsc3ptVsOomJiFhUcqT7DcYw+myhJOuBufpkLPAeIoN8Ef8hJic44c8QykAiTrUuCFStf36sQPWL3dOIDSNZoc5tfQ8iI3RPZ6PVDmyQKhiMQRXnStOKWQeBNHF5/9zOMHNpTx3YKG/i8iaKEhHgef9mwz9DDoXuKHR8fOKHRWyfeZr5AWG7Gb9mvFKiLp+fj2AkPuiRa8VWTD6GIgJBH0O3Z5N4Wm8iR903vEo9gOILi0f2V5KW6qpBasFQWZUGChPl/vrCnVL6reTPHsQgD1GYsx3YUkSQ/SXPaUFmUhYGXpRgaX6t/PzKVSjxOIqKIKaMH6Jo5qzfVYUddIxaWD9O8Z5uPbhRPm1jytIfhdFjh9YUVz0v1PWhYZjruGjcInvYwFpS5sL32qOIZdX/JUPgDEXRLtqF8/GBseO8z3DC8tyyjK0qICCJaPEFd0/PEaR/1Oo0IEkRR0nglk2vaeNom6j16kYXh/V3lPfB9mpR/U0ORgCssz8HtCVLXec2WQ6gsytIcY9G0q5RcgLbPe/whmE0M5kzJxqkWP/765kGkJlnBm03402+uhZWXnfcemZGv+GjGW40bik+t/8SQkcqyDFY+eJ0i3fPK258qoAfxeZkyegCOfN2m2YP//pb8ubp2iUdDk1cpLG8Y3tuwiDzT79YZP25wLGM4+RkIRRBul5T/N5tkr+01m+uU9Upj6y+Iqq9IkoQVMwsRDAqGe/KCO1xw2MwYOjANkgT81zPa6Ri1dyt5nfr6J6CbI84MzgDMJsDlqo37lMZkbB17w/De+Mc/v8Dk6zMM9mdR8/92G48V9xQiJdEGnkOn73ZndMZFElQlo7CE/Z+fxtLKAgDyxHFzW0fuoY4mtx+SJGF2cTa+bPIqOXS3FDtYFlQchLewuO+2XEVFguxdazbXYdrEQXDE8ZhfmgfebEJ7MIxAMIJgWMCS53fo9rrSMVegqdmvOdb8MlkNQO19/eS6PSgbewX1/O1xPB578eOop6EcxHebYwGGoe/FHNvRHFz/1mHMmZJNPX7Prg4IgoQkBw+zicMTNXs6vATHDerMF75DmFh6sy8QilCx0SfXdXz3C8pcutcm2vkz1lxpThu6JtPV5NRDGWlOWcGn1RfEh//5Gq7Mbki0WxARRPz1zYMaTzQjpSQaxj2/zIVNH3yOgZelICKImDslB39/65AxZsLqG9g76hpxyy/6ISLITfPfv9SBCc6P+hh2Krb8/OKbajf1gFPRyH547d1PdcpsNwzvjV5dE3D9sF5gQPfWNFIYtMfJ18D6tw7rcs95pS6EIxGkd4mT1RQlCfY4Hg9X5GPVxn1o8YRQPLo/uqXY0eSWVawI0YQcI8Vp0w2kGKlCtvqCsFlNaPOFqI3GE6d9GJXXCyvW1GJpZYEOT547JQeiJBPxU5NsqNlar6hzEBIcqYVNwI9Cnj/TfeA3qn/f8wOfx48bjERt9nl8Iby4+QCWVRXgpNuvYU0AgCOeR/0xN8wmRmd+ecfYTE1RNfWmgZpu+NwpOXDE85hTnIMuiVaIooRgKIIbhveGySRDKh998pWyaIhB6uKKfDAs/aLhOAYTRvTVLOh5pXkwm1hdA+TxtbuxsHw4vP4QAAYMoHyGiCCCAQNnggUMGLAMowEoZbm4QuVY4bAIlmOweHo+3G0B5XsqGtmPep7HGr1Y+fpezCt1IaOnEz3S7ZBECXFWM9xtQfx9+yH8+rr+iEQkBTgnv0mssTIYwO37/tjp52MYAfYSAKuF00wFWS0cTBxDbfRZzCx1AuiJmj1Yfjd9Ks7EMXj74wYNuPXqO4dRPHoAdXJw+d2F4HlWc048z4JlGGpjMiJIyOqbgonX9tMcX5QkanPaSPpDEIwNVjmWpU4tsgyDFAevNAo5lpUbgIJEvQkmO3icjhkZ/77X4neVHbyQI7bxQQgdTz8wUimc6o+58c6uBmWvA4BUpw1zb80BxzJY+fpepVH4xvuf6e4L80rzwHEMlW2pZuGRa4oBg2tze8Bm1U4GZPR0Agx9H//iuAcrX9+LWZOzERFEQ3JG5uUpWPistsEGRoI/IMBsYjBt4iA89+o+1B9zw90WwMrX98r+fXYz4iwmHZuWNO6SHDJ5hLwvAQUT7TxYRpZ0uDTdgf9+cRecDqthA6F7ih3Pb9j3vTQNfs5htP+eDRBPS6hJYmjiWLAcdInjAyV5ePOjIxiV19Ow6IqdEq0/5sbK1/di5qQhSOsSh8Ur9UzqmZOGYEddIz78z9dYXJGP1mg+I0n0dep06CeWCJOOMPia3B0ScpemOdDmC+mkC+OsHComZkWbPxKONXqxvfYofn1dfyTE8ZAAiJIsV9klUbsmHXFmRAQRi6ZdhUXPdchyzDdoahIylRFIL0ECdxEyTU0GbHkTx8jdP3y3dR4bRg3FR2cWQgKrkGgEEXiiZo9O0hYA3J4AREnS5B7tgTAefVH28Y4lgryzqwG5A7tqJpbvvTUXJhOLNZvrWhXakQAAIABJREFUcPPVfbBk3Q7N2iy7ORO8icX9JUPh8YWUnMURz8PrlxshRtdgRBARDAgQJRFt3hA87SF8ddKr5BifftmCXwztgSXT89Gsypvrj7mxrbYBj826GgAw45bB4M0mCKKoaU6Sdf7e7gbNd0uAwU4pxR8/OI6FxDFIT47Ty8iVuRBvNaHVF8KyygKkdbEBEqPkEer1mtbFhjnFOTCbWThsPF7Y2PGbL6q4ChYTnVWcnGBFRJDw7Gt7qTJjZDqGTApk9HSieHR/hWxCA90ImE0AlK7J8TjVIu/BO+oaUXx9hiKje+yEB2+8/xkmjOgLk4nFLb/oB7OJ1X0X80rzwLId5z2v1IUWbwBrNh3AneMyYTFzF1UN1xmdcbGGEaickmRF7sB0PPiU1pJAAj0fPdHsU2ovYr9j4hgEQyLWbDqgwRbWbDqAubfmIMHOY2llAZrbAmj1yngfAARDAj789xEUDtFboGT1TcG22galZloxsxChsKiTpCdSncWjB8DKm7Bqo1yLGeWedhsPp8MKUhzRbH9iG4vzy1xo9QU1pL1TLX467nbCE8Xd8rD5wyOaBlBnvvDtguNY2Y9ZknS52bxoAzkihPDIjHy4PUHYbTzWbK7TkHAOHDml/J2QedW1FYk0pw1JdgueXXAdWEZGbWnPOdXiVyY9G5t9sMeZEREEndw3IT+7PQHMK83TKSWp6/6EeB6/nToUdpsZkgRs3fEFcgd21WEjmz88QrnXu+ALhAxrSI5jFSl9QDsZG45IVJxleVUhJI7tJNv/BPFNZFAToDSNU5OsVJw23mqCIEoIR0Ss/sd+HcZB+ha0NRNvNStr02xmsayqABFBwvFTXjzzimzPs3DaVfC2hzWkjP+6a5hORei+23KRnGTFomnDwTIyzsCbWSxYqVXoOn7Ka0jAXPn6Xvx26lA8VH4VTrrbNTXic6/uU0gfzW0BsCyDRdOGw9MeQigsKBLX6vve7TcOBAfpJyPBGdby9fX121X//sePczo/UkjAG+9/ppMVumF4b2WzVstaAqobJ4CvTrZje+1RpYlwyy/6aSQIaNItj6/djZmThqBLgk0jDUCOXT5+MOJtJvBmFoumDYeJY9DY3A5vIIT/23ZIB04vKHOBZRnlPMlFEo7IwBpNJ9rrDymTePPLXKjZclDR8P1jzW44HVZds4gAfRFBREZPJ9yeACw8B05g8NVJr0Ya1Uh/mmjmLl+9E7OLs2FTAdIEBE2It+B3T+m9qtTj8GlOuYj+vtjp52sYScuGIyKefWUfikb2U6Q6n31lHx4oGWrY6IsYNMtYlqGy6TiOwS+G9tAkyrOLszXTF+rjREQJK1Z/rDvXR2deTW1M8mZZ17nJ3eEJOOHaPrDwnOHEH7VBbvAdmVgGvJkuRWoxMxDC6sRCgiic6Saon4j6Ptfi9y3HdqGF0W8cKwfjyuyGhc9+pABe8/5HTkBX3FOoNAsJiSHeakJ1VSFavEGcbg1g3dZ6VEwcbMjCs/Im5d9P1MhyWuu21uOucYOURrMzwQK7jceWfx0xnDQn63l2cTZ1ouWk268rOpetkn2qFjz1ofI8ck0R5j5pVDrijCf9Vm+qQ/mEwbj31ly8+s5hXRJ37625sFrkz1kyZiC+OklPkMwmVsNaJe9xoU2pGK67syisaXsJkXt98KmPkObskBYnReLfttVj3DV9IEoSlQ03uzgbr7ytf5x4PIkGe3xyog0ZPZ24NrcHHlI1lxdNu0rnJTm/zIU3PzqC+27LRaLdguMqXf3Ya4NIyD09bxRWrKnVkD3cngAc8Q60eoOaAvX+kqGIRCSdjEgwFMHEEZdTC9D7bstR5OdWbaxT1l7NlkPKvYuQqconDKYydJ/fsO+ilEbiOOP7uxBtAn6XdR4btIai7HGiJdEsiTIwYyVtyb3vqfWfoP6YW8l31X5+aiLIinsKkTswHeu2HtRca0Ti2Mi3rPruAkQiEuxWMzy+EFZt3A+3J4B7b81FMCRL2tLObV5pHl55+zA++fQU5hTn4MP/fK1TRphf5kL9F83o36sLnA4LEuIt6JFuV6a3HTYef99+CDdf3QfVqtc9UJKH4uszcLIlgJotB3HXuEH48JPjmu+lU0rxxw91fja7OBsmjsEjM/IBMODNLFo8Qd1+lpxoM1yvC576EAvKXHjsxY582emwwt0WxIb39mNeqUvjmTO7OBuPRX3+Zk3OpsqMNbk7JgXU9R2Z2A6FBV0tXBNV2PD4Qrr98o33P8PJFplgtGjacPRIt6NiYhaeffUTBQxdMj0fb3/coCHKrttajymjB+DxOdcgzmrGH17u8EiMCDKgfjHVcJ3RGRdrGNbTdxfqiMR/eHkX7rsth5rzrtl0QHnek+v24JEZ+Vjw5w+jct8BZW8FCIAbgNnEIRwRNV5NC8pc2PDeZygbO0ghWZLjLl9di4cr8tHQ6EX9Mbecs7SFEIoIVBJzOCJCEEWsf+sQxhZejjvGZqLVF6T6oa3auA/Fo/vDxMl51pk8AO8Ymwm55Bfx5Lp9mvxj4wefU4/fgbt1+GV35gvfPjiORUt7GEtV98SHyoehYkIWQhEBp1r8YADM/eN7WP3QaCQnWuHxhTDpugwAsuSnTASCJi+YV5qHd3d/qcvH77stF2AknIxiC7EqK+rfuf6YG9VVBUpTfNG04Vj0nNbSgeATkiQp9ZIjOjXVHggjzmrGqo3apuaqjXWYMKIvBl6WQpGcrcXi6flo9QawuCJf8Tdbt/UgxhZeTs2RA2EBZgNyYfcUO1q8dBWasCBqFA46cbAfL76JDCoIIpKjwxMAlNqFPO/JdXuiTTcGS6OYwvSiLCyeng+fP4x4mxkvvLEPxddnUPExq5WjYsVqhYumqCe3ek/mWBZ/fVOrJvj7l3ZhyfR8LHquYy3Rpv5qthzS5dsPlOTh2Vfl6b8khwVen9a2au6UHCQ5eIUk2uoN4fJLEmA2szBxjEalg5wPweecDutPtp7PCpvLyMhgARQByAZgV/+tvr5+1g9wXj9omACdPNbcKTngeRk8BuhyAiaOxcQRl8Nm4VA2NhMnTvuwvfYYbvlFP7T5QsoIqpGniIljERYElI8frEgStQfDcLcFkdbFBitvUhqEC8pcWPn6XsWHKt5qkpuDJhYcw4DloMjL0RhEJElSs3+IjBBJLsrHDwYA5XPSTF7JtMjxU14Uj+6PJLsV/mAELMcgvYuW/er2BBBnNWPmpCFIdcbh2Am9Zm5Kog3/9cxHmos1EIqAM2gixfpGiGchY3WhhwnAg2UuTTLyYLQpTEt+jRp9EUECazBlGgrT2XT33Z5Ll9m8u9Bwus7ovWnHeWzm1Wj1hnRefnYbXS6BYaBLgOeV5sHCs3jwDpdy00lz2vDgHS5wkBAM0z0Bl1cVoo3SdHPE06XkzkZS7bvE9ynHdiEGzzFU+daddccVwGpUXi/0jPqfxvqCtXo72Gqx0ouxev+0yZQ0p9brrMntB8swqLglC6Ig4c6bB+HrU16sfG2fAtS9s6tBYf/bbbxGJoS8nng4XJLqkKdLQhHE2ehrMMnR4cHzRM0eLK0swMMV+fD6Q1hQ5sL6tw7DypvAcXRA/8smL3bUNeJXo/qjS4IVpWMydcXDH17ehSUz8lE8ur9SjNAalTQ/uGGZ6eBYFhFRvGAYfEb779kU1kYJtbqZvHjlDt0aPPJ1G+YU5wAAGAZYVlWgTOT/4aXdqD/mxpiCy+R7b5INx0/78OJmecqCNfjteTOHOVOysfBZ7e+96Ll/4Xd3DUN1VSHCUbmPzR9+jv1H3LjO1Rs+fxhpznhlYsndFqQeXxQlQ3mXdVvrNe/p8YU0hCKyniuLsjCm8HKdl+by1bJH4e9f2o1HKgswKq8XJozoq4AxazbJig5idPJ74wef4ZrsS+mG4eMGgbvIpqyN2PL33Zar3LvUDM/v2nCiNRSLR/fX3d++jjIw1ZK2hJlstXBwewLI6OnEneMy8fuXdmFh+fAzMjZpPpZW3gQrr883nQ4r2rxhjTE9AVn+8PIu5foj51ZZlIVL0hxgAPhDEbgyu6Gh0YsUpw0Tr+0Hrz+k8SOsjnp+qicd5pXmwRFnxoicHnhh4z5qc3LFmlrMnDQEADBhRF9wLItHo9MIF8qeej6GOj9jGQZ/2bBf2euIV1/sfrasqsBwvQLQyBbLlhK5kCQJpWMywXEM7rstB444CxqbfZr67sl1ewyvBd7MYcn0fLAso6y9Jrc8sf2b23OpzG1An3s+uW6PUuMuKHPBwrNgWVlVpmJCFu4cJ8LEsmj1BVFwZXcNoA7I97DFFfkwmRgUjeyH9W8dxhM1ezBz0pCLrobrjM443+PbWmGQHFit7uBpD0MQjOwSgDd3HIk2HIJItFvw+Mu7dRLHxCs3lqQzLDMd5VGiVzgswm5jsOKeAoiiLBefmmTFqLxehjL4bb4gikb2w7JVOxXVCzXhUl0D8SYWpngLbizojdMtQTwUza0fn3ONYe7JMgyW31OISIT++cMREX9cuwdJDh53jRukEE5WzCyEIEhRXS8JSyvlfPcoBXe7JNWBZ+eP6swXvkNEAKXmA+R8sdUbwuIarZrEinsKIAFoavbjjzW7kdU3BZOuy1DyuFh7g3Vb63HH2EFgOSZacwk46fbD6bDiq5MepS4i92wyWUQmWQmJjGARTodVseGJtZto9QYhihJ21DUqBFC1upBafW35avl8Pe1hQ7lSAEiIt+Lvbx1CQ6MXRSP7YcKIvnAmWPGPfx7BIzPywTAMGAY43erHM6/sxe03DqDmKS1eeXKS9revT3k7cbCfKL6JDMpxrKKI9tupQ6l1FW+WpzjLxw/GzrrjkAQo3tit3iBG5fWC1x9WfGDVw1llYzOpWLF6QMjKm6hYA01NUN1obnLTp/5kixxRo9TR1OxH2dgrEAhFIEnQ+RcSz+xVG/cpk+mXpsWjsbkdT9TsMbSRsPKmn3Q9n23OvRrAMABbAPi/4bk/+xAEEY54s2axrdq4Hz3S7Xhs9tWIREQk2S0aCUMLz+Gp9f9B8egBqNlyEDvqGjEsMx3Fowdg0XP/hNNhRfHo/rjj5kEwG0gtSRIACYovjprtu6DMpTECVicyBJy+77ZcJDksOOFu10gm0RhEBEBTyyUQ6QPyHGLUTl5n5JvTNTkef1y7B/femgMwgChKMHMseCsLm9UUBdtkdjnHMrDysgQlTTOXOYO/Fk3/PCXRpkleIjCQRr3I5A3MZq3EJjE8pTEpjBoAHMfAZDDxZ+LoDUW1Hj0J0oyjeQJaDIySRQP957BBc3BZFb3JKImAPc6sGe82mRhIggizKeY7MsnfkREQHxElg6ab0bQh/bOdaS2eS+H0fcqxXYgREiTUxPhE1mw5iFF5vfDOrgYl8Sb7ZOz+Rp/ocOGZVz7RvM+OukbcNX6QbjpKzUYF5N/e7fGDN5s0jUmy98ZONq+4p1BTyJJEPsnBo1e3BLR5O5j4z8wbRV1rLNuRNsgFs6Rh880uzkZqdN81YhGmOW1wtwURiYjKcdTR5JYZjpek2pVihAD0jjgznAlWPP7ybvzm9lzNBNuBI6dwTU4PzP/zB5p9/kJg8Bntv98URgl1bDOZNAXVj6U4bZh+S5aGnSZ/37KJ+8mWgCKrCcjm1444Hgyj3+PvvTUXgVAYPn+EmrQLEQnzn+/43e4vGQpXZjfNBPisydnY9OER3JDfm3oPOd3qN5TRjW3OWHkTdd3Z43hDyWdHnBlZfVPQ7g8ruYZ6YqWh0aPkP/PLXPAHItSchMjxXihr82zC6P6ukQP9Hk3KaQ3F7il23e+q9iMhkrZzp+Rg/Vuy5PiS6fmKbO7SygIwDD3nISx4mo9lIBRBOCLprkO1rC2gV6NITrQqr3F7AkhPjkNzawB/eLlDguaB0qFo94d1E1QErCFAJTn+8tW1MoOWkYEZI0/YlCSb0qyP3Uc7Gf0/UTBQ7oEJ8RbcOe4KxefG0INU6rgPk5qxW4odza1yc5uwiJ0OK6ZNHISTLe06qa1QRNCRlOTcWdBdY2Sa2tMeQmK8lpxaf8yNUFikK2xUFVLPn+MYpcFJauDYvP+3tw9Fj3QH9fW+QBhsiMFJtw9zpuTA6w/BEcdHyUIXVw3XGZ1xvsZ3UakhvqOx5INHZuRTc+NAKIJttbIE9q9G9QcDKDmv+nmESEFIOoun58MfCMNkYtHU3K7LT9XSiATkNSJoOOJkn2CieiGKkq6ZQwgNf/rbvzG/zIVuKXHK372qHFV97Mbmdvzpb//G7OJs5bHY55w47cOd464Ab9YqaD1QOhQcw2p+g0dm5FPfh2MBRpB+FI+pCzVi8Ziikf10WNWyVTuxaNpwBEMCOI5RZOWJIkpKkvb3zejpxIQRfTVKLLOLsxFnM0GUJF1dVH/MjSdq9mD6LVm6mufFzQeQ0dOJkjEDFdKk+m9uTwB2G6/ggTTcWJ3vkrxz/VuHUTSyP3VtEsnZ+0uGIhwWNdZUsvWDCcGQCJYDeJMJSQ4eLKsnbxNp0YZGr15WvdSFp2MwmU4c7McLPqpwoZavnTJ6gEIGVZPhYgnBGT2dqLhlEBoaPbDyJphNDCZc2wcSJEy+PkODJ/zXXcN0Cm2zJmcrNgzqUPcvACAQilCxBpqaYKynes2WQ7r1SNTtttceRemYKzRKXGRN0tQWOZbBqLxeskXczYNw/FSHWuKZbCR+yvV8tu85FsBl9fX1LT/kyfyoIUFzs8zo6cSNBb3h8YVw0u1Hkt2ieNp42sN47tV9AIAWTwBlYzMxKq8X4m0y2Bvb1BqWma6bTppf6kK8zYzfPf2hbiKF3DxWzCzEwvJhsPImeNrDeGdXAyqLstC1Szy46ARgKCwgFJalCAiIbTax1Iuka5d4PDt/FFiWwXOv7aUCzuTfZ1qkp1pk0INh9GPs7+/5UifVNb/MBQkiVb7G7QkaAoMPV+TjyNdtmpuICRIYqSN5MXHs98ZOP18jAmD1pv0YlddLkf1cvWk/pk/MosrcVhZdSZf+ijYQEuy8pokmSKKxHKgBiC1JMPQEvPfWXA1Qdu+tuTAZHMcI8JUkidpk5M0s/CF90SEAimeU+vjVVQWGn4Fl6Q0QUZSoa47nzm1S4lwLp+9Tju1CCnUjdVReL4XpRmLCiL5wZXbD37bVK1PXD5Tkoc2nTVCIVKAMeLXLbFRRVKZNCEs1EIrAYuLw/D/2YVlVAU63BhAKC7DwnFKMkoQFYHRTpupEhCQuaU4bEuO1HmvzS11ITOCRmjQAR4+3aSajTrX6DRstJAhjblReL+yoa1QK0yXT8/GXN/bh19f1x5ziHDgTLDgRnRRzewKKNPSovF4wm4wlVo+daFP+pp6cJPI7kiTp/N/WbtHK8V0IDD6j/febZCWJfxQNqI1tJgdCEc1r05w2hMMi1m3VNr3f/OiIIpW0/q3DmDslRykoATmveaBsKBLtvKZpmZZsw9Gv25DeJR4Ly4dppDVozRDapB5Z1/+37RDKJwzGkuky6/NUix88z+L/th1CmcormQStOWPkmxJvNRuSLdK62FA8OgOnWwOaiasn1+3B4op8PL52t/J+1at24tGZV1MlQUnD6EJYm2cbpBBv8QSUNZHksIJlGajvROdqUm5EcqE1FMF07DVqP5IkhwXL7y7EyRZ/tOg8hmtze2CBikwwrzQP67bWY1ReL2yvPar4XceyoxOieyxpuHRPsYNhgDc/0ksz05qSpNiUz7MdlUVZ6J5ih9nEoj0QUfIa8tw2L/06IeeXEM9jaWU+WIZRWNqCKCrfhVEOfuK074LbR8/n4DgWbp+WfLCgrAMYMPL2dbfJdgrzSvPAMozuPvD2xw2KTCdtz12+eieWVtKnCeMsJkREQdnnPe1hvP1xAwqu7I5LUh0K+K4mXwRCehIIASRo7/FVkwe8mUOLRwZSRuX10uX9j/31Y8OJxzirCQuf/ScemZGvyRXml7nAcwzCF0sR1xmdcR7Hd1GpMQFU/9LnN+zT5Weyh698b3RldsNDURUpmtTg+3u+lJV7orlxqzeA9oCcR9NUJkhNtnx1LZZVFWBt7UHqccNR6c9lVQVoD0aiZCk95uZ0WJHqjMPcKTlo8QRwWfdEZQ80wlPU5zNz0hAqoenAkdMYObQnwhEBC8uH49V3DmNbbQM116B9hxcbPvZDRSweY0T08bR32C7NK81TJOvLxw/GidM+zTGKRvbTWE+RtVBZlIWkeKtSFxFFOIJHSBAVJQpIEp7fIPtPLiwfZqjmluSwYtvOL7D/iFtRtjtTc4XknQVXdsf6tw6dUXKWlqssW7UTK+4pREQQwYiAM8GCKb8cgGUvyJh5ZVGW4je8bms9br66D954/zNYeA4zJw1BSqLs+9keDFOb/hc7DvZjhHrKT72fJDt4xcNOiCr+qLE2It1857grEAgKGmW3B0qHgjdxurxxyfM7MLs4G5VFWeiWYgfLAM9v2IexhZcbkkPIv9O7xBmqzqnVBEndqA63J4AuCRYFAxdE4NV3ZGuHpZUFkCQJ//3XGP/XGK9tcnwTx6BHmh1jCy9HKCKgW4pdmcglCmWxClrktT/Vej7bJuAhyDKgF0wTMJaZXDy6PyIRUbNgh2Wmo2zsIIiShJIxA8EyjIbpQLrBsYwKUmQtLB+ONl8QyYlWtAcjAOSL5dI0PdjgdFjR6tHLIFotHERJRMAvUKdLXtx8ALMmZxsAtwzMZhbHT7bjjpsH4YbhvVGz5ZDCflq3tR4tnpCSnBh5+r3x/mdYUObC8xv26Zo8y6oKdFJd1VE2zDOv7InK2tnx1Ukv1mw6gJIxAw39tYh8UqKdR2K8BVYLCyHGLPP7ZKefr8EwoEr4sCyDcdf00SWa4YiItz9uwMLy4eDYjk1u8vUZ4DgG7f6IhuVw3225iLOaDeVAaYmqUfNOECW88Ead5jgvvFGH+0voxzGaWmRZxrDJ2Nwa1DUZ05NthudjM7NUGUmjCV6WhaJ5LYgiOJYFzwHhkHBOa/FcC6fvU47tfAwaoAxA00gdlpmuMMrdbUFsrz2qSLyQa8TpsKJ0zBXo2dWh+z5Lx1wBCVAm6lgWePBOF7ztYc11JBeXPP5zqAmXX+rE71/apUtk39nVgKKR/c4IJBOQd15pHkKCXNSFwhG0eINISrDAH4igevVOnXTAmk0HMG3iIE0jJ8lhwZpN+wFAc08oG3uF5r0lSZ4yafGEUDSyH2wWDmnOeNx7aw4EUQITnWjwBcLgKMXqgjtcYBiZMUWbnHx/TwMemZEPT3tY04yhTXyRa/B8ZvAZ7b8MYwx/qAkAZN2QZkIgFNE0k+dOyYFdaTx0TOJZLSwmXz9AQ6yZNTkbzkQLHp15NSKCCDEquUF+g/pjbgSDAl7cfAB33DwI7rYAeDOH1jZ9rkGY0bRmiNGkXqKdx4QRffHfL+5C/TG34m1FmjpGRIbYBnh6lzjcd1uu5j40a7LsEfuvfV/r9uuHyq+Cpz2smcxVT1x5/CGdXFQoLCDJwWN5VSEioogvjutlk873tXn2IRcb6jUwv8z1nY74TSSX2IYiy3OYXZyNDe99prue5pe54Eyw4Pcv7aKS5ogc7Pq3DmPqTQOxZnMdbr66j44d/cG/v8TiinyAAY6f8ipeZAvKXLBaOEVCKyJI8Bs0ogOhiGZtpTltSqF6tteJ2o97dnE2ttceQ8GV3TFnSjZYloXXH8LCaVdhzab9hl6IsccURYDl2Is2D/4pwyiXI8CAEegbEUT8a+8JjL26D+b/7wc6W4Ti0RlobG5H1+R4Qwa0JEmYOyVHU4s+UJIHs5mFGJQUYOK6vB64Mf8yjZfWvNI8dE+Jx8DLUuQ6y06X32IYUN+DZYAWbxAlYwbiwac+MgRCBUGi5vcmEyv7HMZMxFZ3NrU7ozPOmzgblZozEYIYCmC7o64RxddnyLlxql2e+GcBSZLl74mtSZO7Q4nEmWCB02EByzEYkdNDl3skOaxobg2csdlBmnR3jRuE9mAEC8uHIxCKwB5nRnsgokx3pzllS5FF065CY3O7Zt8kE1gPxfiW3V8yFI+u+Vi2edi4X4ODvP1xA341qj+qqwrgaQ/DbjPj6VdkCfOe6XYca/Si8bQXQ6/oqlFTmVeaB4Cea+yoa8SUXw5QYRWdecH3FbE2EDTi4rDMdNhtvPKbrttaj7KxmUhzykpEqzZq8zsjmU0rL1tFpXWJwwOlQxEICjollwQ7D097EABww/De+NWo/kiI1x6P1GHdU+043RLA+BF9cWO+CKuFQzhMJyoRjILkvHNvzUHRyH5o8wUNrZ6M8t5QWMT/++cRvPru51hYPkwjbUpUWtQYQfn4wWjxBhERRPBmFg+v3IGMnk6NvUuinYfTYekkDf0IcTaYJW9mNdLIwzLTsbgiHxwny8Au+POHmjzXxpvh9gQVj8vkRHn443SrH3EWEx586iOkOW2YOWkIikcPgCiJVL9MZ4IVz84fBYZhwHEMvmrSy3rK1x2Pp+eNQnNrAPY4MyaM6KsZNrq/ZCjcbUEdObih0QtRkuA18NrupvLaVhNMLVYOPax2tHhCeOh5rdQuGey6JM2BcFhEezCMhDgei6Zd9ZPhumeLd0wF8FxGRsZmAI3qP9TX1//tez+rHyGImeXyu2WmAsuyACSloZXR04mbr+6j6CWrNzCgoxu8ZHo+JEA3GkpuxpHozddqNsHrD6NkzEA0Nvt0i5Xmj0K81txtATyqMotvcmunS9ZsrtOxNBaUuWCzmnDKHcAfazqSmHmlLviDYWz+8AhuGN5bnjLkWHlCxGFBsyeAOcU5SE6ygo1qOd8wvLcCJKujye031DE3cSxm3DIYJ1tks1hSmLLRSQE6SzYoj5ZPHQpREsEI9JLwXNnpF1pIEkMde15aWUBt3M0vy8ONBb3R5PYpDYQbC3rDbGIhihJeefuw5jWvvH0Y0ydm0eVAGYY6bVgxMcuweUc7DkA/TmXRlVSJ+WOUAAAgAElEQVTwADAuOmLZ+H94eReq7zaS8GQMZSQri7IMJv4YNHtCOg+wpCi4ebZr8VzlPdUNbzAMIEkXTUJvBCgnxPHKY7F7NAGP39vdgEtcvVG9uhZZfVNQNLI/2nxBnDjdDouZwyMzZH8cMjny+Np/aV4fbzVj6V/0ic+S6fkQJWDLv45gaWUBmttkeQ1isr2gzKVj+gEdQPKCO1yw28x4ZEY+nt/QIdk5a7JscnzfbTmKXHJCvAUr7ilEqzekNHT+b9sh3DF2ENyeANKc8QhHIrhheG/FC41M9qmlJdOcNgii/F/SmCOPkwSs1RtESpINSXYLIoKI+Og5RgQ5MZNECaIowe0JaGRAA6EIuiRYMCKnh4bVrwbMaXJ85zuDz2j/ra4qNAQx1cm0ugCqripAvJmLEgmAr056FGnyJTPyIUlyg9rnD+PYCQ91yqj67gJ8ddqnSZIJKMwxLMwmFqPyeuGkW5YeUnv/kiLRxLH47dRcmDgWbe0hjSLB+rcOG07qxfpaEp9Nstauy+uhV0Uoc0GEIJvUixJYTr4WY+9D5P6Q6ozX7ddt3pCS16i/CyJV523XSn6kOW042SI3ArunyADT9tqjOnUElmUg4cIHTgQRVF/c6ruN1/A3xbmSXBhBgtNhQfn4wYpXGSDvfy2eAOKtDgXMMgLxiPRX0ch+6Joch4Xlw8GywInTPryzqwHX5vbQSC2RvWnZqp1YXNHhzzcsMx3TJgzW+QgvKHPBFwhr/NcISEPzkjC6TkJRtKLJ7ceG9z5TpKrVDaJL0+yomJAFMBKqqwohShK+bPLAF6AzoVkOOHbCo+R06V3iEG/mLuh1+3MJo1yue4pd/m0ooC/x3Lw6+1KEQgLVFmFeqQtrNsnr+dI0u0EOy+K1dz/VHPtv2+oxbUIWuCiRTSan9tf48pHmuXoKj6Za85vbcyEBSHXaovWx7B1+utWPv2zYr3hTPTbraiTGW3T3CrcngIggUfP7G4b3RvHo/jpJpjPlwZ3RGZ3x84qz8YkyIgQBgAT660+2BKLS3/3RPdUOKQJYeQ5HG/WqFevfOoySMQPx3Gt7UXJTJoJhQUdCXFyRb3hPJnXSsMx0jfUCOVeW0au6LH1hJ5bMyEevbgkapSvilx6b+9x3Wy6WTJcBcTUOQmsaPlAiN/dkCbpMOBMs6HNJotIAJMddvroWC8uHo8lNrzWbmv2I72aGhWUQARAUpYvO8/qHCEEQ0cXBy8QxSYKJZTT3TiKNHWuZYDKxmF2crZA91TW0I45OwgmEIvC0h3HgyCncfHUfzFutXQN/eHmX0pAj07FTbxqIr9s7ctKMnk6q7VKig8fplgBqtuonXxeUuWCPMysTp25PAGaORSgiICHegkAwAkGQdJKzRtfY16e8+OVVl+HVdz83bBQ64swKlqP2y1ZbRKntXWL3k841/cPF2WCWogBseO8zhZQRZzEjEI4gyWJBMKzNc50OK+ZEyXAVtwxCICho9sB5pXnI6OlE/TE3TByLUFhQCPdqtZe/bKhTCJmPzMjH0eMe/L9/fqGZQiTX3xM1e+D2BLCwfDjcbQEld05NsoI3yxKlsVPpZHq2xRNESqKNurZNJjaKk4mK6hxp0CcnWame2pVFWUiyW3C6xa8h2D1Y5gLM3I/xk+ribHPuXwMYBaAHtJ6AEoBvbAJmZGQkA3gRQB8AIQCHAUyvr68/mZGRcRWAZwDYAHwB4Pb6+vqm6OsM//Zdw2jMlTTzYqf7jDaw5rYAHl+7W2HSE6BgWGY6IEHRDU9z2rC0sgDLV9dS5Qy6p9KliCKCqGN3kL+R6ZLJ12fAbjNpdHvXbjmIKaMHoGarVo5t+Wp5OuOTT0/h2twe+GPNHtw5LhM2qwnNnoAiU/T6e/KFQrr4syZn60BpNcAce4F8ddIDs4nD9tqjKJ8wWCkUE+ItWLO5Tvf5Ccu0sigLKUk2MFHGWGfowwgUYxgGSQ4t6E7+P3Ykm4whSwZTLWBAlQuzWFhMGT1Ad90YefMABj6FLIMJI/pqNsK5U3IA4NyajAYj4IJAl/A0QU6Kd9Q16prad42jT5mGBeDlGBD65S0HMT0Kupytx9+3kfckTcbUVAdOnvRcNA1vI0D5kRn5ymM0TfvqVTuxrKoQgISsvim4Mf8yLHxWTpJLxgxUyBRkz3nt3U91r3942nDqmmJZBmJExKvvfg5XZjfM//OHSqJ95Os2KtOPrDtHnBmCKKGhkd7IqSzKwhfHPUi0m1EyZqCukHjj/c8wZfQA/P2tQ9hW24DqqgKs2rgfU28aqFxDwzLTcd9tsvTAgjIXttcexeTrM/DRJ1/pzun+kqEQRQkvvLEPo/J6AQCcDguCEQHtfnnK0BcIgzdzsPAm8OYOGWYiAzq7OBtfnfRS5UeIpJnTYVEKdzKBc75Pshrtv4JkDGKeKZlmJAkMAAtHpiQCqD/mxg3De+P/th9CyU2ZqF6lnw4lx4hE9D6qxAtz5et7MWtytuIVOHdKDngzZ1gk3j91KOKsJt29IsHOU/fTsCCgaGQ/JSfYXntU87yCK7tj3dZ6qm+nuiE9c9IQ3X1oXmkeJEhITrTq9uvqqgLqd5GcaMUjM2RilnrdEc+Kp9Z/ovFvHn9NX6zetB9uTwCzi7Px2Iu7lHV6IReYgmCwhoVvD8R/U8EYe68EgHgzh6Dqdeo1SX6nHumOM4J4xDuQkOKGZaZjyi8HoODK7mf0iPBElSdSk6wQJeC51/bi19f110xbcxyDj/efQNHIfkqBGxYEmDkO22uPYX6pS5HOTXPakGDndU0VkmuRGJXXSylUyXnJvscFGi+XJdPzNUxo9bXxUPkwnHQHdNdpXGr8+b25nidhlMuZTSyqqwohAVTyW0QQsXx1LRZPz9fZIjgdVrR6A4rMt4VnqbmzBImq+GHiGDy1/hPMmpyNcERAmy9IvR7VU3hkT5WlnGXCCcsyeOO9z+BpD+NXI/uj1dfhBTNt4iCEwyJ+97ScU91xcyZVvebVdw5r9nOiquP1h+B0WLDpg88153UhkIM6ozMulvgmlRqj+u3RmYVo8YTw7u4GKmB74MhpVEwcrDw+LDMdU0YPoKpWFI/ur6gIxNZLhIRoMjFIjb6G5gmY5rShbOwgHVli2RnqQEkCBEHCgSOn8HBFPtp8QTji6Bid02GB2xPAK29/qpmspjUNV6ypxZziHFgtJix6Tv48Tz8wknpcjmPknDzGp57UitMnZMHdTsE3L+Cc9seIkCDhwac+xNwpOUr9v2RGPgRBgtnEashsJN9cVlWgqKCRxjGpoe8vGYr7pw7V4BKzi7ORaOfx2jufYVttA27Iv4y6BtR+6UQtQ40t0/AR0hgnOWuLR86BkxOtcMSZNeTkeaV5+PV1/fDsa58o/r9lYwchFI7oiMxJdouh1cJ9t8m4npHUvac9bHiuj8yQLaJcmd10OXOnJP4PH2eDWUqMpKvd7y8ZCrcnCH8ggjvHXYH2QAS/nToUdhuPNl8ADBiqnDFReFn5+l542sPoEVVNbHL70eYLYv6fP9Scn9NhhSQB9jiZmFa7/wSWVRXgpNuvEONJT6bNF8Sqjfsx45Ys1Gw9iJuv7oPq1bWGuEq3FDtOt/rhC4Z1qhhqrGB2cbbSN2pyyw36JdPzqce8JNWOUy0BHYF56U+4ls+23v8tgLz6+vr/fMv3kQA8Wl9f/w4AZGRkPAZgeUZGxjQAfwVQVl9f/0FGRsbvACwHcGdGRgZr9LdveQ6a+CY5l1iZkzNtYKSIJ69Nc9p0mudOh1UxgGxy+zVskPTkOIiiRG2yMQC+Okkfc011xmHx9HwFyI1lZxz5uo0qx9a7mwMzJw3BO7saUDy6P5IcFnBR3QXCxKo/5sZd4wYpXfzYJGvXgRMYfdVlCIQieLgiH6s27tPcKLz+ELztIUz55QCcbgloJFYnX5+BdVvrsXh6Ptxt8uTKs692eBY+O38UTFJnMWgURh5J8lj2FWhqbgcAmE0MSsZcgYigB4ll0Elm/VOnWu4uhMXMat7XYmYBCbBaOUUrmWEYCJIIUaQ376ZNoPsUVkzM0rGZX3v3U1QWXYmJ1/bTyXtyLENvMjJyw31UXi/lONtrj4JjGUOpTs5A9pNjGepkn2Ri6fKrHAM3jUhgkGhf7PKe5xJGgDKrSkqcCRbqc0662/H42t1YXJGvTIHMnDTEsFESuz8yhr6XEtrag1hYPgxJDgv+fP9IBEMReP1hzC7ORpcEK3VazmHnIQoSPO1hdEuxKwmD2ncw1WlDzZZ6jMi5VGc4/+S6PXi4YjhWbazDuGv6oKHRC097WPNeqUlWSNB6tj5QIvtkZPVLxYubD2ikSyEB6986rFvXC8pc2LrjKD759JRGIvLBO1xIcvAoHz8Yl6bZ0djsw5pNsvRo7G/gdFgRZzHr5FSTHDwYQTrvi1Cj/Zc7kxzoWSTT2slfAJJM0PD6Q2hyG3v2Gl0r5PogjY9H13yMB0qHwmGTyUO0wuvRFz9GZVGW7l4xc9IQbPzgc0U+kYnq9aunWd94XwZk4qwclszIBwMZVG6J7pHqmDCir+ZcU5NsCIQjqK4qRFgQIQgStu38Aln9UqlNICPmqSPOrPWlvMMFnz8MfyCCP/3t39TpmwVlLgTDEfxlw34lB7nQC0yOY+n3TY6Rk+Nvc8wzrHEODHUyINnBg1NNBZA1GcsejQXx5pe5EApHUF1VgEAoggQ7j2df2Yc0pw0Tr+2HOKsJNsuZPf7CYXkfslnNeOiZj5RrJPb8Y/3L5LV+EOOu6QMRoiaHefaVfZhxy2CNpBfZJ0kYSUCFw6Lmuvs6OmlIph2JVL7dxsNsYvCHl3fortPqqkKgUyL0Bw+jXI6L1i3tEb2EEfGwbnL7cbrVr7FFoBEyllUVGOTUg5GSZMN9t+VAFOW9MNFugSCKiuz37OJsfGkgjxQ7hdfiCcEfjODd3Q24ztUbHAuMKbwcADRN6VmTsyEIogKGlI8frFPheKJGViT55NNTaGj0orIoC5emO+DxhXSKDV+f8in3j848uDM64/yJb7JlMcpJI4KEtVtkAJZ4tvdIs+NEsy9qp9AxvZzR04mSmzIV7IkcgxBmwmERo/J6GRJ9ttceRTAkQpKAS1LtWFZVCFGU0B4Mw+cPo2zsFfC0h9EeoMu9mc30PP/YCQ9Wvr4XC8pcCu61oMxlQIb3ItFuRYsnBFGSFIJRkoNeu6Y4Zd9YMtFoRLI3cywCQQFffN2sIf+/8f5nuPWXA8By396zsTO0oSavsZDrW1KLAUAkIsHrD4Fl6YT0cESUa+inPkJGT6eiItTqDeG5V/ehZMxAzCnOQZLDApZlcLpVxodH5fXCJ5+eAsvQ8+p4qwmtvpBS98Riy5dfkqDJHXbWHYcrsxtYFrqJ2QVlLl2TjZCVWjwhqurSvNI8FF+fgRZvECIkJEdtLogqAFEmYlkGC8pc2Fl33LAZf+c4unc8A0bZY2g4gwRAYJjOXPcHirPCLCnKSMQjMqtvCsYWXq6RVJ47JQepXWw4FV2v6mhyyxK5C8pcWLvlIComdAx/xOIfZJr6v2IkmCVA47MJyBhxQrwFd47LRKKdR1XRlfjtn94/I67CMkCcxQSr2YQwL2DRtOFgAJyIYl8EK1D7yxJMDww0U+vkmCaORWrMe5HP/VMpYZzte56C7Av4raK+vr4ZwDuqh/4FoBJALoBAfX39B9HHn4Y88XfnN/ztO8c3ybnELgwjv7wXNx9QXpveJR4r7ilEYrwFkgTN8YtG9tNIxZHNlzCdYhkUb7wvSwY9v2EfWjwhqqGkKEnKKO2EEX0NLygSGT2dKB7dH4IoF6o3FvTGitUfa953e+1RTL1pIN54/zNwHIvi0f11heiuAycwIqeH5oawoMyFkpsGIhASdY+/+s5h5dx21DWie0o8KiZmQRBEBEIR5WYEfDdG6LlMZZ3PwXP0jVmSJLR4gjrWXGoSR10bYhSwoN58GaDNF9Yc695bc2GP49Huj2iY7vNK85CaZKayk80mhjo5aDHr/a3mlbpg4hjEx5kUk1ZRQrTJSJcXmj4xC5Ovz9Cdj9nMIBIQqFKd59yMO4P837kk2p1+lmcfRoCyiWOU3y7OYqY+hxAzWqNM+IyeTqQk0W+8NLnK9kCYKmFYu/8ELr8kieIZC8RbzTCZGUVOTs30azrdrmMRvf2xLFUX21iOt9Inzls8Qeyoa8SRr9tQWZSFmi2HlPvRslU7qXLVpMnZPdWO8gmDEAhG0Bz1hEuIt1ALaPlYw7GttkGT3Cx9QfZ5Xfn6XsydkqPIO9MSqOLR/RWmofq41VUFYC6AtW60//IcDD0KznXPkSQgFBYVQCPNacP6tw5Tp0xbPEHqdWC38Yq0BikSE+MteO41eTrQbKIbw1t5k+4xu82MUXm9ZE8XEVizuU5pnpP9cGH5cGzb+QWuzr5UNwmlTprJNao+19NtstzhshdqdddDezCiy70S7LyOnUfzLV72wk7MKc6BiWMU4Jq25svHD9Z5CF7I8nQWnj7Rb+Fl6Z9vE2da42ea7H5+wz7cXzIUHl9I8dlT/05Nbj/WbDqg+PCZTSyCoQiqV2l/+99OzUUoLCLOyuH+P32AxdPzqddFIBTBb27PRZLDgmA4AkhyLWDkbxbrX0auySdq9mBOcY5u2utkS0AzmZjmtCHRbkFGTyfcngASVH6Y6tedatW+d82WQ8qkIZl2JNf/nOJsg0JS1DbBO9n/P0ioczlRjHoKMxIiEsCAwcJn/6n4oKRGAbvnN+xDyU2yP9CaTQdw7205uua3ep15/WEq+czjC6F6da2iMBAMi4iIMnGCNI2/bPJie+1RqpfOmx8d0XyW4tH98e7uBlydfamG7Dm/zIWsvinYVtugrPvFKoaz0fXS5gspvlpxVjNONvt1zGfiG18+fjBYBp15cGd0xnkWZ7LCMKrfRFHS1B0tnhBuv3EAuibH45Zf9EMwHFFqtqk3DVQIcOoguRnPc4aEmuREKyZfn6F4BS95fodmX9vw3mcKAeHhCnqe4PYEDaeb1HnjjrpGam5Onuv2BFBZlAWWYZS6ybBp2ORBzZZDKB7dH3OmZMMfjOCh8mFYvFJ7/m99fAwv/aMeAJDZJwV2G698t4l2C0Jh4WcFNJ+vQZO1JfX7/SVDEQwJWLO5DmVjB+HEaTrpps0XwuKKfGWiXhQlPPA/HygNg+REGwRBQjAU0Qxh3PKLfphf6gLLgjo1+/yGfRhbeDnuvTUX/mBHHU6kcqffMljjkT2vNA/rttZriJtq2w7aemn1BuWmBvTDAmRqi+S4j868GryZM5yYmleahzibCTMnDQFv5uB0WMCZWNw/NRcwkAdmWYARRJg4jtoAUpOUOnPd7z+MMEsAkAjZEBKcDmtUgl4mtTsT5P93ZXbT1X2Pr92NhyuGGxJ5kxOtsPAcpk0YDEEUsayqAJs++Bw7645rcDkjCeYVMws1Us00mV616iNt755f5oLXH4YkAada/Hj9vU9ROiYTLd6gsoeTILUjjcinJtLPK83DyZZ2JBvIi/5UShhnez94DMCqjIyMagAaOc76+vqvz+UNoxN+lQA2AOgJ4KjqWKcyMjLYjIyMLmf6W7Sp+J3CKEk53SqzcC7rnqBJANyeAKwWDjMnDUFyog0tniAkSAqbaHvtUXzZ5FU2xIcrhmuObyQVFzsxqAbUwoKgAG3q6ZIuCVa0ByMaSSejbjYBHIgcXmyTRj3G+uS6Pbjvtly0B8K4a9wgMAAu656AsrGD0KaShDGST1g0bThWrNmhe1w9bZPR04ncgV0x/38/oF4oC+74dozQM2nQX4g3BbOZ1chWmc0swhRZOOIrabTpMKAzzSQJhl57ZBMmjy9fXYvquwuR5LBozinJYQHDMNRzFUQJ67ZqJTbXbT2IyqIr0e6P4PcvdUwC3ndbLhw2ngpachxDPZ/lVYWG3x3xA+0wz2ZlAD9EX3WiZCxzdq6J9sXuZ0mCNOyb3O0Axa/ACFBmBEkzLWVUeAEd/mSxBAwS6v1R/R72ODM2ffA5FpYPB8fK/lnbdn6B61y9lUSC6kdY6kKcjdPIEZAJpNhrctG04YrkC3mc7PtGjU3yvO6pdsy9NQdmE4M5xbKPYKKdzizt2dUBQZBgtXAIBCMwcSwS4i3gOOOJFC7aaCXJHGkk+QJhzJqcrUneaAlU9xT6BM6FVIDS9rQzxdkQAMg9bO2Wg7jj5kEKs1T9HZOJzm4pdkhREocj3qxJesl1sGrjPhSN7KdIaxAQhkyLzJqcbdgkUcewzHSIEjQF5azJ2WjxhDQ+aV5/CKOvukyXG8SqJMwvc6Fmy0Hl/R4oydNM8JHXPblOvk4am31IdcZh5qQhMHEsJAngTRz+tvWQMh3ldFjAcQzVtzglSZ7iTXPaDIHrC9G78kwRDIl0Ast38AQ80xoXDGS73Z4gWjwhRaqVNLxjf6f6Y248vHIHVtxTiFBY0O2pJM8kDH2nw4rTrX79BGGpC/E2Mzz+IE66/Zr3NMqhaf5l5PxSkqwa2VnSdFHLjc2anI3Vm+ow/ZYs+INhbPnXEd29jQA06nB7AmgPhqNetBJOnPYpoCLH0euXUy0B3feyvKqwo2C/gIhH35RD/NAhCCJMHAt3QFt3ECkgsnfPmZKj7G3F12coDe9gWFDWAXVfavbLdgoxxLdReb00a37Zqp14Zv4ouD0BhRixs+44Jl+fgff3fKnz0plfqp3C65ocjzRnvG7iRpZWL0BDoxf1x9xocsv+wGTdGV0vp1tlIHPqTQPxt231KB1DZ/nLNXgcTp/2XrR5cGd0xoUYhoQgllXqDhpo+kBJnqJQoCbA6faYlgAuSY+H00En1CTE83jwqQ+ppK/qVTuxtLIAd4zNhCACH/z7S9x7a65GfWjulBysfG0feqTb8XCFLJV87IRHIy+nzhvJtH5lURbSu8Tjyyav5rldk+M1NSitbiLNpdjv5De352L5PYUIhUWYOAY79h3HwMuScV1eDxRc2R02iwlNze3465sH4fYEsLSywFA97ELOaX+IoJHXSD2jru0dcTzGj+iD2cXZ2PCelnQDBvjdUx04wSMz8jFxxOUoHHKprrGnHsLo2iUOEVECJIDjGE0eQNbWneMGocUTgD2O13lU0nAxgsWS+orkzEbXUas3BEfUx1P9N9LA7Jlux4IyV3RqVbauItdAY8zEFPEjZlkGf9lQpzTHeTOHnukOQwIhOBa+sJYIatQA6px0/f4jFrMEBWu/99ZcmEyMoqQyLDNdtndi6EMmXn8YjnieqpZR/0Uzuqc5FD9WshbMZhZrNu3X1Py0Y4cjEhxxZlRXFaKtPQS7zayT6VWrPsbu3Y3NPjgdMpGC7KMTRvRFY7MPAB0vD4QiVCLfEzV7sGS6bE8SigiKHVzs515wh4xlM2B/9NrsbDG5p6L//XXM4xKAc3Uz/BMAL4D/ATDxHF97zpGcbKc+LooSfnfnMDzylx2am/DqTbIkVEZPJ347NVfZeFmWAW/isOJvHyOrbwpuKrhMN320+UOZYdnk9iMQjGg2NZopbCAUMRxzNnEMzCaTZqSUNBiXVhYA0v9n79sDo6jO9p+57OxusptkQ0gABUQuAULQBJJIEkRBaZWLKN8nwUsSNASIIqJfgWAtFzGAtlr79VcrUgvYCrRe8IL2Q7TesAKirYgQvKAgQgJhk+xm7zPz+2P2nMzsnImg4gXy/qPsbnZnZ895z3t53udRwenQE1ZIpKde3Y9Zk4eiZ5aboibINeonPchjqS47nv7nx5TakzWlaEWfQND2iY/36uamB4XVRlk6oxhHjvuRkepAqstxyr+zpr9h3ui/nnMxuqYnn/L7/ViMtX6PeQNYEhciJZbpcWLZLLZOEjiYgtw7rhsGnufgFDnm4SvLFg0uWaUIZxKQaAGAinWbP8KYgt5wSEA0pv17+qShlo+zdPmqr1ZpA5B85m/+ugsrbtF0VvSFdxXa1CfrelQOyOzqZt5TRVHxxdFWw97/5U1F6N0tRUN0M+43M6C2KMQ57CI87lNfw19nXS2+z4/ZWOv3ZO9/WpqKX8+5GNGYApvIIzXZbnje6wvR6VBCUalPvF7Z+QUWVBQgGlPw2HNmHdIFFYV46tX9hrVjs/HgeA7DBnUzC3zH/RvRaPLHNaUIcm/5WlI0a9f9s9IuEyx8ZSgSQ21loSEA0jc2Mz0aRfS7e7WpxIUPaxzp98xgNw+PeQN44a3PMOXybIM+rVYoZwf+osAZGj7zyocjElGQ7LAhFI7Fda+0hKP+oBfPv/kp6mpKIMsqvjruR6PFfjld++J02qn43xW3lH6rPer1hbB+y25MGNmX0hNmesx0gFnpSTjaFDD48+U1Jcwk8ZpL+9PGxLzy4VBU0Pf83cb3TXti7tR8SFI7DVJHQCV97JDp0XQhWgMRukcIKtAXiOLcLDf+uGAMVFWjqR5fej5unpgLWVGx5oUPLZkMBIFDkkNEiz+Mux/5F33uwdsvpol2l1QHJJuASFS2ALSo8IciqK0oRLM/xHxNeorD8J1/eVMRMtKSDP7mTPG/AHDkeBv7HJdVdD8N39PrY9/3Fn8Ek0f3p+hhEsdaoUQ1jR221lnXNO37hKMy5pTlYdt/DmPE0O4JzXoOr793CJcO74mVa7cZPvP5Nz9lTtqujzes9ddBGiCfH9GowWrj/jQmK5g2fggC4SjuuD4fXxxpLxySKe7hg7vBHS+W9MhwoaklhBe3HcCEkX1x4KtWg98nVKJrXvgIk0f3p6DDv23dbzon7ppWiD88+YHpvkRlxUCZ01Gs82OzjvK3U4nhvitTFBUtbWEak/AcUJeQVxEa10avNuGn1+YLhGOwibyBTeCeGcUAZy4uvLLzC2b+pWef8aRoZ/gX8XU4r3w4lt9SghZ/FG++fwhXlpyPEzp6ORKnLKkegWkThuDgUR+ON2t0zKw9pSgqpl89BP94+3OUXJuOYZMAACAASURBVNADgsDR6V0WO86d1w/DY8/toWfW5NH9IVnQ6okij+MtQdgcNlNsd6aY1fpNtJ/i2fJjt857+t3Yya7hRGPlbwAgxwFZrFrQynU7qc6eVV1rQUUhguEoVAV46W0zoGZ+eQHa4jUqK9DXidYQ5v/+Le31FcPhkEQmqG/rzkPwBSKonjTUJLdD4hHi28iU/9rNewx1DQ2cE0SSQ6TXSvKmpdXFEEUOHDic8IVw9SX9se7FPYZ78uu/7MKymcU4eNRnkArSy12Q+qUnxY7Vz+5msoexYtqzxb6pL2j0Bpjr59xMt4HtbevOQzjU4Ef1NUNMZ3bisMVLbx/AFcV9LHOq3218n1K81q3ZAY/boTWlGeuvqTkERVXxu43vwhOn4+zWJRkc2M0X0tAj/z7/nBTU1ZRAVWHS+SMxccW4HDS1BOk6t5p4khWtHheNqeA5MCemvL4wIlEZN145CI+/uBcOScSD699DXU0JendLYdZ7vL4QFv9hG4b2y6DAbM6CehUcd1K/9U/pbDgZ//t9fh9Wrf2BJ3ZRGRECkP/VqrctQRze1jBe2fkFZk0eiuU1pYgpGtvblnc+x7jS8029CtK009eM62aVsIGQ3iAWPryNrsuYbliKWKM3GAe/tftuj9uBl7d/jovze6LhRBuUeC/OYRexZPV2eNwOzLwm1xTz3nHdMMiKgu5dHJb196bmIP2bRm87sw1pOrYFo6j7844fJDc72Sag87v4sOzs7F8D6A9gQn19vZKdnX0QQG/d8xkAlPr6+hMdPXcqn9nU5IeisJEvqQ4R9992MSJRGRwH3P/4LlpArj/oxZHjbQbHm93LY9lQIyiLrTsPaUjmZAmiwFHu8tZAmKI0STPvtil5ON4cNCxkFs+tflKutrIQq5/dje17GrDy1hK6IPVBBS9wONzoo6jhS4b1RDTG3gh6JIfXF4KiqKgYl4MxBb3htAsmFExH0ypEZyYxACIc6nOn5tNCIyk6EYRpiz8Mj9sBd5Idx475AJwavWfMAmkeCsdwLOQ7maUC4Md3OLDWb4zjMLRfBq6+pD+dVHrmtY8tp1s5FfCkSAaKTUHQpmHbIgrWbzFO5CVyMevfy2bjmROlksij2WfWGuF4Tf9JTxEwd6o2vcTSJLJqPsYUlRa89Ndz3+yRzOsReI6uo0RTBZ4Wj8j7L3tsuyVdoSryzAa7wFvQskZly8/+pta1q/uk3vOnsH5P9f6LAo9oVEbDiTaDH7BJAg24SQDs9Wm0gpkeJyaM7IsXtx3A1LEDmQCMaCyGrTsPYevOQ/RvfnlzEaAANpE3FM4I/WtRTlaHQvSKql3vkuoRONrUhpisMPdRLKaaHi/KyUKay45QJIa6mhIEwzHYbQL+9NyHNPEjNCDTJw1FLKbEE1EHstKTmXz7ADCmoLcJFahN/ZSYaE8XVhaitS1Ck5ah/TLAcxyl8yLXsGvvYd0krZbELnxkGw0CWcX0k9kXP4X1a+V/Y7LyrfZ9jOMMVEn65oQeWaooqmlK+2CDj5kkZqQ64QtGMKagN4KhGP6+db/hPZ12ka61I8cDWPPCRwBgQDSTz9Bbo7cdBU1+X7skIJWT6B5J/P1lVcHKte9ieU0pXnjrM1SOz8GiVdo+GlPQm7lPmppDUKFi3ea9hsePNYdoA3JV7Rgcbw4iGpOZe+B4ixaAL5tZgkgshgUVhdj48j7DPXVKvGmCranJTz/zTPK/AGCTROa5abPx3/nZBWixHOusXL9lHyaN6mdoSMZkBSlxXQj962srC/HxQS8G9E5n+s7EadUFFQV4ZcdBDOqTQQFIr+w4iJF556KppX1iTt+w6J6RhLqaEnh9YXhbwxBEDlMuzzY150jDkFCDLdchS8lr7JJgoApt9GqSAQ9t0Bg3stKT4XAIcIZFfPDJcQDA4ukjIAgcFEWlZw8BECbSjl5Rch4WTx8BUeAg8DxEgaPnn/51GjDj5M7an8r6PdUY4rswFtvI4ukX0QllktNs2LKf0oK7k2yUkaDRG0Sy0wZva8iQA939yNv4xY3DTWfmhJF9YRO1CYDe3d346phxuiTT40Syw4baigL4g1HtPdsiSE9xYOPLmu4WQUAnxikCr60ZbQrAgV/cOIwdp8gqfG0R/NfoAbTgXJSThZsnDoGqAnZJwLwbh0OFRolut/GYOzUfT766H4ca/LCJHDjOHCfXVhZi1TMf0ILjXZWFSPsOWFt+KutXbyd7tvyY7cd23wH8JO/pj/E+nswa7sg4ALGYjKaQxmbijDfDwhaUlYFQFC6nZADAzb72QmSkOXG0qQ2PPP2BxhpVWYiPDnhxcd65WFQ1Av5gBC3+CF56+wAmjx5gAOsk+jUy3d/oDaLVH8HKp7Qmyg1XDERWejIEnsP8iuF4/o1PMSq/JwSBY8aWvmDE8Nnv7WvAtZcZ44WFlYXgBQ5NzUF0SXUadNOefHU/Lh3e0yQzlMiykXj/G73tchfk34T1Sc8eNqcsD11SNX0rkefR3Bw4rZMmP8b1C3wLXyCwASw8BxNwp/6gF63+iEmWI3HYYlCfDEsg27mZLnjcDigqDHW5tnDExPYyd2o+FFWFK8mGe2eVoLUtgjSXHfc9/i4mj+7PvG5fIEoBmpnpTvgZ7GlTfzYQjSeCVON97eY9qBg3mFLTWw1yLKgogDtJwupnd1g2fyJRmTb+Zk0eSqVbolEFJ1qDcepPY70HnDYYc8mwnrTusqiqiPn+UNWTqjFYvebHuH6/zv929H1Oh0SWVa2dyIjo14eVhEmqW0Kqqx+OHA+YJD04i+lBvUwJkfhh+WQV7TJXhHWL2SxsDlL/qCoqeJ7DVaP6wR+MGKQmais0Zpn6g14EwjGIAoelM4qhKCpEgYMvGMEDazueWucT7hlhtlleU0L/+13lMKe6hk+qCVhfXx/+Rlejs+zs7DpoOn/jdO+3C4AzOzu7NK79NxPA30/iuW9tgsBDBodoOIavjvvhSpJMCfQLb31mKJJ6fZqekmJBA+hOstGF3NQSREZqEh7dtBuV43Mw//fbkN3Lg6qrctEry4WDDX6K6NRvEtaYs1bAKobA81i16QN6yCsKsG7zXkMD58H176H66lx0z3Dhjuvy0egNgOO0ojZrgR5s8GP1s7sxpywPae52FFOmR6M0ZX3PqCybkrrbpuRh1TMfoGzsQAAw8U43eoPU8esLJaRRmZHqhAiVdsBPld7TqgF2JtIfOOwirizpY2hELKgogChwzGaVGNd+SpwEdHQRIcsKcyJv1mR20Ksq1pSj0ybkmD5D4Dhsev0Twxrd9PonqJl8AVMT0Ao1zFscDDHZ+nqssBSnTOOpgqlHWD0pt1Pj7xvYqdx/Kz/QxS2hyRehgXKqS0KqW2IKU99wBejUBAFgzJ2aD0EwTjzdXVWEWExF7R/eMuwfUjgLx2IdTkWtfnY3oKqGibtfVV1Eg2f9PnrmNWNwVJSThbKxAw2fPb+8AK4kTYtt0qh+hgmv/xoTwpOv7Mei6Reh2ReG1xcynQVkisRauyeKYDiGe2eVQFG0Kb6Hn/qA0tq9tusQJo8eYKJ3JEhFESo4VQVk1RAY6ifXzuvuPuP2hZX/ddhFxEJRy8D76wJyPVUSoN3H13YdMtG5JZ7L2b08kGyC6UyeU5aH1rYw5v72DQDA8poSbN/TAHeSZHpPjTWgXZuXBKarn91tCfpJTbbj4fmj4W0NI6YomPe/b1LU6q8YdOHt163i5olD6HMALJMFd7INwXDM0Nw3TcZyGiX00H4ZmHRJXxMl9TP//ASN3iDaghFEYyre2W2+pyS2IOv5TKenszrHV9xiTaP9bYxFFSoIHCaM7Aueh6EhWZSThWnjh4DjgEVVIxCJalopb8R1y/TaeOT3Y1HU6zVLiC2sLKSPJxZwVj+7G8tmFiMaU/H2fw5jUJ8MSKKAV3ccxL2zStDsDyPJboNd4jGmoLeJGowkqcRH3jurxHAPMj1OfNnoh9cXQjSmYMnqf2FOWR56d3PjvtkjEQhF0XCija7dnxefB18ggqz0JNxVWYh7dXv7V1VFiMZUSilNGlKJr1tYWYiHnzJPB/7UqZm/CRX7t7VEajCP2wGvL2w47zWAzFHYRI0u2pPiwKbXP6GNY6gw6GwTX+Zy2PB///ocdbNKcKw5SM96QudcW1EAmyiY/KDDIaDFHzZN+U8bP8Tkg/VxyudHfHGmBK2w+M93D5kmSxdUFODpf36MMQW9DPrKE0b2NehOzp2aD5uNN6zF2spCAKDvV5SThWUziwFw4Hlg81ufGTRl743T1nZap3XamW3hqAY8vnliLhZVFdF8jQDRHZIIh52nOmj1B72IyQoFjBGrW6PpPUs2EQIPzP/9W4bPmV9egL9tre9QMgIAHJLGUFI5frBJz+yyot74d30jBvfpArskGGLLlGQJf3lpLyaN6ofaP2yjE1J/21pPc9I0lx3/+NcBDBvUDRu27Mcd1+UbJqQWVhaa4jAWywap05G8rOSCHkhJlvD/fjGaxkdPvfoxFMUILlVV4FePdGoEf1MTAVNMdRehqYQZ3NLdQgpDP4GX6pIMwCBimR4nGk60oXzcIDjtgglIOa98OO6dVQJVVTWtspYgnntDa9Q9/OQHqD/oxaqFl8Hr09ZCoo7ggooCvPn+l3SKj8QCiXna8ppShF2yIcY98FUr5pTl0fo16zu6nDbIioql1cX4v3cOMCZ4tSZhJKpgaL8M9Mxyw+sLY1FVEfyhKFIFCXaLek/FuMEGXeENW/abKRUr2VJSiXn3twE0/JTsdElkWdXaiYyIvt5EahhkurvFr9XsJl7cF4qiMmUd6mrYE35x9RPqZzuqeRFr9AYhimYAKtkLwwZ1M/nH9Vv2Ga5p+dp2gCfPcXjsuY8M2ocvbfscVVfloltGkhnsVlEIQdQAIFZNefJf8nnfd272vXxWdnZ2DoBaAPsBvJ2dnQ0AB+rr66/Ozs6+EcAj2dnZDgCfA7gBAOKTgsznvq2xNsfcqfm4++Yig4AwmSRZNrMYMVlrUDW1aHy2rB+0S6pDS3Q4LUCprSzE9j0NGF96PkU11a3ZgXtmjDA4X8JHe05XFwCLMWdwkBUFYwp6U5SQLxBFmtuoZZPmltDUEsLKdTu1qUCew0Mb3secsjyT09Q36B7a8D5uL8s3JGZWOlpJdhExRca9s0pwojWEFn/EcFgsnj4C08bn0EZnR4WSWZOHIs3tiGuytTsmFhd3R5zPlhz0OHV9wR+7RWOKpS4fq1k1fdJQpr5fXU0pBJ7ddAMAh90Y9DrsQofFF9ZnrLil1BTM3DYlDzFFpQ3A9u+wAytuKWU2H0ULRJZVQ16WrR1pRw1jAZypUA+AqUdIividGn+nZqfSsNf7AYJgC0dlROT2x4nPWnlrCVOYWoXmF+tqStDUovmrxImnppYgkiQbale/ZVk4UxUOYQtqgVSXhAUVBfjTcx8a/n7p6ndwb00Jbi/LR5c0B5qaQ5AkHh98chxZ6Uk0qBd4njYAyd+uXLcTi6pGMCe8UpMl3HxVLlRVpUhD1rQICS5Y99shCfAHIuA40MlzYmTiW09lpv++SPDCib8pKaoTVNOZtC868r/i1zStOwrIRRuHLqkOrLy1FC3+CJ569WNcOryn1sjTTY54W8N0itqTYofLKWHNCx+i2ReheoH+gDaF7U6W6PspcRqmwpzuNDkk188qPIQiMcydmo9QNMpsMDa1tqNcV2/Q1mijNwhfMMJcMxy0iS1f/P7oGzF6Xv5u6cmw2Xhs2FKPrTsPUQaGczLdgKrSydj2iRQVVVflItkp4vEX95qop6uuysX4kefDJgjYvO0Arrm0v0mP82zTk+joHD9Vfv+T/kzdWSmAQ0wGnHYRqS4Jj27abWgy6GmuSIP6hisG0n3X7IvQQluXVAda/Ow11yXVQfVNfYEoMtPb6fOJNlt7w9iB3/z1PaS5JZSPG4zGEwGoKvDz4j5QoVFBHj7mh9MuMH0y8bXks1W13e+S7/H8m5+itrIQb39wGFVX5UIUeIQjCmw2Dl5f2NAgmlOWh1v++0LEogpsgoLlNaUABxw82gpva9iUQC9+9B3cN7vU1GhlTQf+1MFxPwToL3HPTB7dn1nAraspoUwxpGDx/JufovzKHJPuHsmBHA4B40vPx6FGn2GS4KlXP8b8iuGwSyI4nsOiqhEIhKPwtobx/Juf4qYJQ2i8Q96zbs0OLJnOBnCmuiQDsAnQpk9b/GGkudvZQsh0+wefHMflRb3gckpYXlOClGS76TsQcKehcBKfjCWPbd/TgANftdJY6rYpefjogNeQG8Z+4o3pTuu0Tvt6kxUVzb4ImlqCpvPOLglY9+IeTJ+US5tpnhQ7UpLbwXF6qvmuHice3bSb1tjIawiNZ/mVOYhEY1hSPQICz4PjgBOtRgBdqsuOinGDTX6UaL9dOCALh4/58crOLzCmoDe6d7HjYEMUz76uNWAIdbl+AobkpJkeJ2ZfeyH184l1jK/TqM70tFMsN3q16awpl2cb2FuIllz5uEGwiRzNc11OyUQterbFud+FWem/y7ICd7LNMKVqJR1DGiSZHidSkjXJpcQm2fzyAqx6Zje8vhBW3FJqmra7b927qKspwV06fcH55QV46e0DlClI5DncW1OCEy0hgFPpWohEZahQDVSLVmsvKiu0oZ7dy0Pj5/QUB9Ztfo85ZViUk4WYrKLFH0YoEsPlRb2hqqoG4otp039rXminGl1QUYBHN+2m/66t0DTRYirHrPsum1ls+Lz6g16s27wXdTUagNkKaMyq9f/ypiKkOsQzvhF+qjX0kzVWrf2uaYWIKYqhsUU+tzCnuwGgCYD2CVjrLyarzBpw13Snwc+SmheRGXMn2VA2dgC6xmsrBFRyuNGHPj1SaGzLcRycDtGSdpToZuqvqXuGS6s3qyqTQWfdZg2wl6jhveHlfbjm0v4GKujEfDAR1Px952bfS8xdX1+/B4lVw/bn3gaQe6rPfRtjbY5Nr3+CmyYMwT0ziyHwHBpOBKioaWFOd0PSf/9tI5mCloLA43hzAL/5qxZMHIs/95eX9lGH73E7kOQUDX9P+GhbAxG44zQIiYfI4WM+0wQdEX9P1CbctbcBVVflgucBDhw8bgfWbd6L6VcPocVovVYJuQdcwi+0Yct+0xTLgooC2CUBv/nze5g7Nc+Evmr0atSevkD0pAolPbq68I+3D2B86flaAdUXQozTOCutilSsRctCmp9J0yd6ky0aEbKiomzsQAOat7ayEIrKvpeKqsIusWm6ojEFq57+EJNH96cF1VVPf4h55cOZ61PkOabGUExWTcEMQclbfQeP224IujxuO0SBM1EXLqgogE1kF4NEgdM2OsOsGsaSwOGEL2JCfaUl2c6atfV92Kk07EnhLZGHfuWtpXS9dU1zQLKJkFUFIs+ZGtcr1rwLry+ERdMvAscZKePcyRKaWoJw2EXISscNPqKhxlpvLqeESDSGZl/EUHR+6tWPEQhGkZ7iQCAUgyfFgc++9OL+OSNxoiVM6bpW3lpquUdZwcOfnvuQ0hmSojZLaH7d5r1Ic0umvUMmhF946zNUjMthfrbAwxKxePiYDx63gzaxziYQhqX/lRWAZycxy2tKOwzIBYHHiZaw4f7NKx8OgedNwJ30NLulVtSGLftRNnYAzsl0w9cWoUEuATrNrxiOWIx9HpDCA2mugePAQSuapKXZNYFrVQXHcXjgifdQOX4wM5n0tobZPlnkUT1pKFZt+oC5Zr2+EGyigN9ueB83TczBJcN64lCDn1JnrFp4GVrbIrh5Yi6qJw1FOBozTKQsqChEc7zRqrdrLu2PaEzB829qCEQrPc6f+nTUqRjH0CDL9GhTlafbBIFHcyBqOGcJBRaLaogkfBmpRpAB+Z0fnj8aTrvI/D5pbgmtbRrxiE3kIIkaZX2zL4JoVDEUIedOzQcATLy4L5oZDTlCyT+vfLhJY5k8D2iFk7KxAyAIoFPWosBDVmRcc2k/uJw2jLzwHBxpasNjz+2h1GbPvfGpqQi5bGaxYY0vrCzEhi376d7TW6M3iEhUgaiqsMfRz9GoYtJ6ORP88g9x3ugbj9m9POjVzc2UNtAD0/RUszYbz/zNume4oCgqvL4QXEkSllQXU1DHTRMHQ+CMU3ak6FsxbjB9j8T35CyapC6nhN9tfJ/mfdv3NGDa+ByNjv+lfRh7UW/8+i/t63p+xXAIHE8bf1Zxip6qqaPHyFnBAp3wPH7ai7LTOq3TvtYEnkPZ2AHMptvtZfmYeHFfbNhSj4kX96WTTl8FNJ1Vj9th0iObOzUfrmQbllYXo6VN0xyTbDxSku043hzEvs9PYNigLEP+M6csD/98V9MGfnn75/jZiD6Wfk3ggR17jqBy/BC0toUhK5pe6/Y9DTjU4Ef5uEEd0ptmxPVW+/RIgSyDDhU8/c+PLbWPXU4J9982UqNYlnhMHt0fT736MVPagfjS59741MSuNL9cm74ikhdnW5z7bS0GYDFD/315TQlEgUdM5ZCRqjUAN2zZDwCmPLy2shDJTpsO3LkfUy4fiI0vt7MYpSTb8dSr+1F/0IvsXh5L+aaYrGh5t9uByaP7QxA0uvorivsg2WlDWziKe1a3D7LcM6PYUKO9/7aR9H2tqHJJnMPaa2Tf6OvXRTlZmHJ5tiFG0YD7HOb/fhsWxiWs9GuWsHFs39OARq82bUXuBbsWYR4C8PpCGqiwA/YWVq2fUi6e6mL4idnpYsvQ19oVBRp7H6dS3wbOWKu1+k15ixgVMDMcrtu8F9VX51J2KVI/mFc+HOGIbKiRzJ2aT0ERqS4JTocIry+C5viUOQF8JjlE5nX1zHRheU2JYTpdiNcVu6Y5TUxgBCzikEQmq17l+BzIioJwVNauv0cKiOTfzROHxOmr+1NpEkngEP0e4+Cz8ixI3BwEfZyow0dML4DucTuQ5raj2Remen/HW4LY+HI9plw+ECkuO128iqrizuuH4Td/3UVR7kRPUN8wCUViSHFJWPD7t5gTe/oCg/7Qt4kccyJhSXUx7byTTbHmhY/wj7c/xxXFfdDUHGI26AhahZjXF0IgHDVsxkee3o3bp+ZpG8NiOotsHquitP61Xx3zozCnexyxHKGCo1acz/oueeKYNwCNUxo4o6eyrKb3BJ6DoiqGJogSb4xYNe4EjmMinYi+TOJkkchzpgLYHdcNA89zlhpDzAOAY18Tz3MIR4y/XDgiIywp2PhyvWEtbny5HrMmX8DcLzzPwapFZ9UwjsqghUlynZSmSJY7J/6+I9Pff3AcoKqWTVUSkCYWh2OygvJxg2iSuDzuB4tyslA5fggAFUebYlj19IftFIePvoOVs0sxa/JQuJIkZKQ50NAUpBMVf5g3mrkm01McaPaH45p/CpNe5ncb30f5uEHMPeBKsqH2/7U3Y2orCxEMygaNS6tmW5JdhC8YMax7At6YNKof/Ts9BWeqS0JGmhMcB9xxXT5UFXRyOxpT0NSiIUqvubQfJozsi4YT7IlvmyjglZ1fdIxYrCmFKvAIKyrS3BJWxEWez+RGubX/5S0bydaPa2uflaz42sz6EmTSpG6NeaJk9rUXguM4S6qXB9e/hxW3lCISZetUpiRLePD2i6EChsbDnLI83LduF22AJDts8PpCBioL/fvp46X2Bl0BNmypxwefHDdonuhpYzmOw8aX67ViuFOCPxihay/NLSEQjOK+x981XBfRrtRin3baDv33avFHsPrZ3ai6Klejiq4p/drY4kw3UeAtJu45KKf5cJPBmc5ZsmY7QsbbLKjCOY7DU6/uN9EZLp5+EY55Q6ZmXvXVuTh41GcqQj64/j3MvvZCZKUnmRCiel2X+9a9i5WzS6kWHM9zSHaK8PpCVNObnEuJxSBJFJg6bVYIVFlWDWu8Lj5h1VEBRwDHnEauvjoXUHFG+OVTiSG+KyONx/VbNL09PY2QXtog8XyoP+jFKzu/wM0Th1ics7zB1xN/KdkEHPO2g0qB9r2yqGoEmn1hhKPsInJTS5BJx7XuxT00HiKvJUXtCSP7Yss7X2DZzGJNVUUFwAF364oeVnFKYu5o9Zie9kg/7TKnLA+SjUcU361+Tad1WqedXjtV3SkRQA8L2sSMNG3aYvLo/ojKCqquysVdD2v1stum5CEak00goU2vf4KpYwfinjXGmPW367W4kSXh8NCG9ontqqtyceS4vwO/pumR6WtqhO54+54G+l5SVLD071ePOh9eX8QE0M5IczBBns+89jEuGdYT9+vi3dumaLkk676547IRiexKK9dp9UACpjvb4txva5aNFBXwBaNMIOZruw5hUdUI2EQOPM/h0U27KWUssUuH90TFuBxwAI6e0MBg5FwuGzsAX1msxyPH21A5fjBsNh5/37ofE0b2Rd2fjQwt+nhR/z7ZvTxUa5M0UVi5/YvbPkNtZSGafSGm9l9dTQkEgcPymlIcb4mzbTDi5eU1pVh5aylSXXZ6Tfp7qKdIJf+2ii8E4ZuBvn4I2vgfi51Otgw5rt3oDZn3wPNvfor/vmwAbi/Lp3Uo1nW0+EMmytrbpuShqSXIrD0nO2yUHYvUvIKhmIkR5cH179Fc//ayfDjtIgKhqCkPTElmD1wdPdFGB67mlOUhyS5i48v1uHR4T/oZemv0alrvVk3No01tSHLYoCgqVj+7mzJvhCIxdO+ajFHDehr28PdN2XzS+yA7O5uHpul3Tn19/aY4Raf6XegFft8m8Byl03In2ZCSbDeNzZPu7pLV2zWecruAJdUjIIk8WtoipsO52RfBirXa2LJ+0mTx9Itwe1k+OE4rXAMwFHTXvPAR6g968ccFY9DoDdKJPdKUSU9x4IEn3jMkbo3eIHp3d1tSIbYmCAaTTQEAK9ftZDYaaysLkZJsQ1FOFkUME051fWEi0+OEtzWM26bkYePLZr71BRWFCIajqBw/GJLEo66mBLGYMfo7EQAAIABJREFUAhVAVFYMmhZEr8TrC2H5LcZJCRbn8106p3+6+I5/CuaQeFPBq7ayEKLIY+Xad01O6P45I7Fo+kVoPBGgjb7M9CQIIo9IVMESBtLpvtnmaVdCrfjn5/cY1vCfn9+D/7lhGN796CgWVY2AwGt0Qlt3fI4JI/uy0R6cymymAKANHf3rl80sYaIsbp6oMFEj/3P9sFOmNYtZFOo7aYq+eyPUcF27unHiRJuWRHKcKYkkhTeCsiRUMOkpDhxtasP40vMNgSqhnVo6o9jQDADiVBdRFRu27NeSzKhi4Jl/+p8fM6dNN75cjzEFvXD1qPORZNd477Ugn8fhY+0T1SyOcxKI6K89EpWRnIBCYgXkt03JAzgVjSeClIrGnWSjtAOJYAtCwTm/Yji+OuaHZBOQ6rIjqsgGdOCcsjzceOVgeFtD+N+//Zsm2ImADVlVUDZ2IDZsYSMWAc2n322hOXGmNsqt/K9D4hGKqEx/1xFwA7LKTFYcEhupFrNAiXZJdVI0JquZognOqwiGo6Z1PqcsD4FwFLGYit/8dZdpDesbIHPK8gxUFuS/+om+NJcdK24pRUxWwHEcXtt1iKKR9VMgZM0unVGMX/1xG+aU5WHSJX2x7sV2beI5ZXnISHMaitGJ10Ue6xGn7UhMzA33hDt7plYtjdO0SfTgn1SXZMHX8fXWUSEw8TmrxLwjrZSMVG26nxWTNLUEUXJBD+qnSBxgt4l44Il3TGumrqYE53R1M6+hqycJsqwyJ7xI0UI7RxSDDtvdVUVYUq2dCaSwmFhASaRIJA0dsoZJU0T/vVsDYdw+NR/+YATe1jCeevVj9Mhw4bHnP2RqaFoBCujU8Rnkl/UxxLFjvtP+vUjjsXrSUBN1N6F788SlDe6aVoh7dYn9zROH4E/PmX+z+eUFAFST1mCLP4xu6cnonuFiFtBa28LgOC1PMk0eVBTij09rOpBEw+dIUxte3HYAE0b2xYGvWg3+cd2LezBhZF88/+an+PmI88BzHDgeON4cgsdt/9o45Y7rhiEl2Wbwu7WVhbDbeKYvBrS17U7SKEZDkRi6epzwtoRNepZnQz7XaZ32U7VvUoeRZQU2gd0w0zNezZ2aD0ngaUPj8Rf3Yk5ZnuncHlPQ23TekdgQALw+o6QBycMURaV0o6s3fYi5U/NNUhJpbjsC4SjzLF9UNYJqtW/YUo9rLu1nAkjfef0wqCqY1HPL1+zA4ukjIKuKJgsB4HCjj06OsxgRrPSyfIGo5cRNa1uYasuedXHutzSrRko0qpjW3O82arJLqgrD5Pz2PQ0YU9Db8D7rNu9F9TWaLrpkE5DmlrCwspDS22/YYq6t6uulsyYPxZiC3swmXdVVuZQi0ZUkUSaIMQW9seaFDw31guff/BRLqosRCEWR5LDhqVf3Y+vOQ7gotzu6pScz11M0qgDg4fWFMf/3b+EP80YzX3e8JYj5v3+L7iXCrEfuoZ4VjvzbShuek9WvZeNi5SAq2A2os6ERfrrZMlh5Bsln7lv3rjbxrMQgiaLJt/7PDcOQlZ4EQGNLickKjja14bVdh3BlSR+mht+ez45h8fQRBvY6UWAPmZBcn+OAWEw10fY/tOF9rLy1lBk7B8JRZPfyoP6gFw9teB9LqkfQ9z58jN2c/7LRj6de/ZhqbCfGvHOnarXzZTOL8dLbB/DM658h0+PE0upi2gAk1/Z9UzafVG07Ozt7EIBNAOwAMgC4AFwOYCqA607b1Z0mkwTORJs4d6pGQ5BkFyHZRATCUXhcdjx612UIhmNwSiJa2yKwuSTLcfy6NTsMAUejV9PpIM9l9/Jg5jVDDU1CUkQTBY52tx99RqNhTHJoSBKWpsdXx/zo1sXFXJAxWTFR0rmTbOB5jQaL5ziseeEjA5f1H+OHy8LKQkyflItYTIUCBVN/NtCQNNZWFiIQitJkziZyhgmTlGQbVqzdAY/bgfJxg7ByrZGOjOi7dUl1wGbjcdsUrfioqqoh2SWcz0tnFMPbGtKKVG4JcnxK7HTxHf8ULBRR8MZ7h0wNtytLzmc6REUBfG1GJMQd1w1DksOGmAW1XSQqM5trd14/jKlDKYk8RuadS4Mf4rgFgWMeRADH1C+snjSUeT2WqBaLiUWeBwSwC5MsOrK7KgvhskCGdNIUnT5TFLXDJJIU3mKQUJSTZZquWFLN5hVXFXYz5vAxH8rHDUKSXUQoYqRvIU0KAlzQ6+Jcf8VAjMrvSYt/xBe6kyXqnyWbwLwWjoOJzjRx0pkE5ESHr13PMxd7Dxw30T7/qqoIbcEYKscPhqoCy2aVAKpGKRaJGAvUCyoKDejAhza8j6XVxfR6SYJN9qInxYEHn3hPOw+mFaL8yhzYRA6Hj/kNiMVMjxNNLaGzzgdb+d/xpX07oBruOCBn+TcrqiBZYSc25HwHzFQvZEKJFCKKcrKwtLoYvnhzgVAdLpvJpmnWN0B4jsOmNz7BtAlDEInJKL8yB8lOEctmFsPr08S/t+74Aj+7qA98wQj8gQjyBmbi3b2NtKGSOAXS1NK+NhO1iUkg3tF1kfdq9AawePoI+AIRg1YxSTIzPU4cPNqKDVv2Y9bkoeiR4YJN4CFAPauKzYqs4j/7j6EwpzuleN2x5whG5PY45ffqqBAIwPScVSGrS6oDXVIdTHSoLxiBJPJIS6AKt0sC/r51PyrH55hAQo/UjrGIh1TLZA4qcPcq9oSXXl9VFHhtYkoFmlpCCEdk3LNuO35x43BDEpr42VYUiZkeJzLSHFhUVUS/W5c0J9oCUcMEwpyyPDgkAdWTcgEOWF5TCkVRwfPtE34y10l3e7qso/t7Tlc37DwQjcjwpDiwpLoYrW2aP/QFoti+p4FqWZKYVxA4hCOKwU+zKLisCmhpbgkcByydUQxFUdHsC8OdrE1qN3o18NC0CUMoIOpQgx+LqkbAH4yYtNyrrspFjwwXHHYBTS0h/Oavuwy6rQA7Tvnz83swr3wYllSPAIf28/CK4vPjU94pANq1XEmxxWEXYJeSAFUFx5gOJrGEeIqTRp3WaZ32/dg3rcMIMMscEKpBUrtSVUCS2puF9Qe9+LLRfG5bNb9IbKgHFrH865LqYnh9IVoT65KqNQkkiUc0poC38PetbWE63ZXpcaJ83GAoqmqIT0SRA89rciqs9xAFHqGwjJe21WPiqL5Iddnh9YUs4wdVNecRpIY4bTx70rzFH8F53d2aL0Wn7yR2MhOsrHzutil5iERjzN+nS6qTMgQA2toryslClzS7IT96ZecXkEQBSQ4RkkdAxbjBaDgRgKKoONTgwxUl5+GlbZ8b2OKSHDYaAzgkEQ6JPZXUNc1M47mwshBOu2iIQTwpdiTZbZBsHA41hLDqmd30/RUFOMTYa5kebVIq1WVHutserwEELdcduSb9UA2pnWzYso++ljQ4SXyxvKYUMoNRyIqNyyoH6RJvriZqAp4NjfDTLZFlBeYkvqulLYLVmz7EgsrhsEtC/DdVwfMcJBuPr4614YEndsHjduCmiYNxXo8UeNwO1K3R+gezJg9Fty7JON4cxJvvf4nSC8+lIOeinCwsm1lsmr4jUgypLjsWVRVBUdkA60ZvMC4R8qmxD/K01gfR62a3tkVwZUkfbHy5Hs2+CGPwSRsUqD/oRTQWo/6fsHZ5fSEcidMFl40dgLEXnYf8gVn4y0v70NIWZl7b95mrnezn/BHA/fX19auzs7PJSNo/ATx8ei7r9FpEVg1UbI1ebVru9rJ8tIVidBKJdKy7pDpwvDmEFn8EyU7rcXxSiLtnxgh0SdX+v6kliGSnFozccMVAqq9H/u53GzXdj81vfWaa6FhQUYDXdh0y6fKRBcrSerr7Zq04rG80zinTdKxCkXbhTq8vhGBYxpoX9mBMQW9Ujh8MXyCK9Vs0IcsWfwTuJBu6ZyRjeZziDSoQjsmUFrV83CBKVUMaS4oKzJ2a36GAfLLDhkhMhqKoJsS/Ptn1+kI4eNRHGzyrasfQBXs2j3nLioJnXv8Mz7z+meHx8RZTd7KsUnQaoN2nB57YhbqaUgi8RlVxWeF5hoK2ENdnTGyu2W08yi4faFiPtRUaNQaLrrP66qGw2wUN6RYvNsYUBXYbb9K1WlhZCLvEG6Z0fYEoXtn5hWUz0W5jaxpKAocT/ogBjX3XtEKkOW1MOrJ71+ywnH78PijSzlZraQt/bRJJqAdYtC5Hm9hUlpIkmKa19AXdxdNHoNlv1i774JPj+K/RA/CrVf8yrCdFMSe7y9fswO1l+XTNuyz0XEORmAnZyZp0Lhs70OAPaysLYbPxGFd6PppaQhThB2jJhf5vF0+/CHZJRJrbAVlWMbRfBrbuPIRGr0aTOPvaC3H3I/+i1+4LRpCSbDck2HVrdiDTownZE6Fll9OGRzftRrMvgvJxgwyT3AsqCuBOlnDPjBH4y0v7aIPnTPfBVv73iuI+4FQ2YjEakeFJssXpUlXYbBxUBYjICniBh8Twb1npSVg4rdBEFbF1x+fsKSChPSBORFSWjR1gQMORiVn9JB0A8Dy7wegLRGmA3SXVgfIrc/DkKxpqlDR2CNrUk2LH2Iv64M86Ifg5ZXkoHzcIdz38NjI97VMgPA+kuR1obYtQMe80t51y8n9xpAXFQ8+BwHNYVFWEDVv2G4rhhHKOrMcXtx3AoQY/ZliArfQxBklIl9eUnHWFEVHkccGATHzZ6KMFqwsGZEIUecRip3bYdVQIBOO5ppYg85xtOBFAWzBmEld//s1PUX5lDtZu3oOJF/cFAKSnOigFfPXVQ6EoqiluCIbZTfTjzSHmBNXCykL8+YUPTfH5rMlDkeqy48VtByiYjec58CqHFn8EXT1OqJyKe2eVUOobK7pOK9rEeeXD4QsYgVr3zCjGsvXbDdfz0Ib3saKmFJweAQ0AcntR43TSAHVa+/0lujykSCfZOMgRGYKgFY9J8xbQComZHqdByzLT46SNZPJ7sSZAEgto88qHIxiKIT3VgemTctHij+DgUR9e2fkFplw+EFG5XRIg1WXHkeN+w94QBA6PPbcHAOj1+wJRZKZr1E3hiELjZhYyf+LFfQ26gpkeJ1SVo7pIXl8ItZWF+NtWjf555a2l4DgeN00YgopxOWhqCdJiy6/nXIxYKIqYRaFdUQFv8OxkfOm0Tvux2zetw5AC9f23XYxwJAZFBZ58ZT8uGdbTxCy1cnYpgiEZbaEoBN4YJxflZKFLqkOnt/YxBRooqoo0lwOSTaA6q6zpqTUvfEjf8+5H/mWIF38+4jxkepKZ52mqy44Hb78YdkkEz3MIhmN4/MW9JuaspdXFkCSeAnwStaaee+NTjCnojWV/2o6h/TKwqEqbdmF9JsdxEEUO98woBsdp0gSCwGH6pFyIAsfUftfA1blnFAvAt7WTnWBNbKR8fqR9UpNVp+I5Y2PuiyMtqL46F63+aIJ+eQHe2X0Eg8/vgm5dkuBlaFBfXtQL83+/jb7+b1vraQ6W5rJDUUGZ24hlepywS6KBTYvE4wR8lxiDLKoaYZKHCkViTCkQfQ1l+S0lWDazGL5AFEtnFOPPz7fne/qpf3INWenJNK+TRA5jCnpj0qh+UFQV7iQJleMHIxSJISs9CSJUcB1o/SVaRzlIYj6ekZaEpib/N1k2PzkjbBmnQ8bIKs8guY+3NYzBfTwIhmJo8YcRjsg03w+FNUYij9uB+oNezP/9NiyqKqJ7oNEbpPFu1VW5GNQnA3/baqwv/+m5DzH1Z9kUNEp6EsSfprokpLrsiMky8zp5nsO08TmwiYKB2QNoZyta/exug5xI3ZodBsB8eooDEVnG+NLzMWlUP8RkFU67Dc+8pum33jQxB6nJdrz57y9NjXkNTMC+tu8zVzvZWt1QAH+K/78KAPX19f7s7Ozk03JVp9msApc0t512mgllgKpqSdFjz+2h/OJWyf38iuHgOd4whTGnLA9SnBOcCAQnfq4vEMVHB7wovuAc2izheR6b3/oU40rPB8dxtBEn8Bxa2jQG1u17GlB99VDcO0tzcEeO+xEIxUwNn4c2vI87rx+GSFTGkuoR8LaGMa98OESBN03W3DYlD+mpDvzmr7vopkqkDV1xayk4Dpj/v0ZKnAee2EWTVSsB+XO6uvCn54yHBdEHSkx2E+ljDHqAJ1noOFW++p+CCTy7UQbANHY9d2q+JW2soqpIsgu4OL+nYYKvtrIQTgvKO0VVTY3s5Wt3YOXsUkwa1c/02QCw5vk9pmut+a8LoAIG1JwK7XdKnHpaUFEAcIAociaUnaqCXXRXQAsZ5Drv/fMOSlHHuh/RmAJPwqSBx20H11k4O21mJYCdmETKcVrBxNdu+89XTKTeqmc+wH9fNgDLZhajqSVk0NIDtGZHV08SE2Dx5Kv7sXJ2KWRZpZqvsqIadFxJIpee6qCUokU5WaYkbGFlIdzJNjT7IoZrJ5POdbNKoKgqFAV4899f4ppL+8UnsRUEwjF4W8OGJtBtU/LAcTA0dDxuB7y+MB7a8I5xzwC0Edgl1Uk/mwRo//evz03XO3dqPmw24xlG/PC6zXspOgvgsOaFD9Hsi6Bs7ADcPjUPx5uDeOGtz874YrOV/xV4HpDlDgPv1kAE67fsw39fNgC+tgj1M1npSejilkx+LNlho/4oJdmOrTs+x7BB3egUtZ6i1ReI0ICYICqXVheD4wEO7CJr4iSdKPCmPUEQ2omxwLzy4fj5iPOQkixBEDiT/08825fOKKZrrC2kFZ1b/BFK80k+yxfQENZXjzrfdDaRJh4pNMdkhSaWGm1vb3zwyW6oULRJTYHTJv0EYPqkXNz/+C4TtfqZ3rRmmaKoaPGbCw8up+3r/zjBOioEkv/X22PPfYQ5ZRcazlmHXcCqpz8EAGayRCgLk+wi1m3eS9ciiVHf/eioKW5YNP0i01ShHnFMtFsEHrDZBAi8tobHFPQ20IBmpSdj7eY9uHniEBTmdMeOPUdwcV5Pwx5ZUFGIjS/vgztJosjQxALK3Kn5cOvYBsjfpSTb4A9GTbQwzX42SjSmKB2u19NNA3S2mwhg8fSL4meuUa4g3S2hyRcx7YmnXv2Y6sPrf3tB5HDcG6Q0QlYTIN3Sk/HIgjGw2Xh6zrJyt40vayDOPj1SEJNVKIqKHhku3DQxB3f/sd2Pzq8YjkhEMfjrhZWFUKBClRUDHS4pevTKcoHnOURiMtW/LBs7AN26JIMD8MHHx+J6yzaIAgdfIBKnmY5h3eaPDDkf+V7RmHZWWtKuxcy0a2cD20CnddpPwb4N4ESWFYg2AYePaQX5kgt6mBp0K9buwJLqYlqXI75r9rUXwuW0QVFB2S30Ta9rLx+ASEQxxI7zywuYU4NaHS3XUnc9Eo2ZaeMqC/Hydi0WX57QdCMxL/kOosih1R/BP/71OS1O33l9vjYFHo2hbOxAcPHm0aEGPw4f88OTYjc1Vu64bhg4TpsqPOb109jJnSzh71v3Y8rl2ThyzI+6OPhKVYFAKIqbJw6BJHCIdh7+1L7JBCvPc7RZtmPPEVO8uTCuE0kAQuXjBiHZYcPBoz6TtvuKtTtRV1OCxhNBS7rCuppSrKodA4Hnse/zJlw6vCeS7DZD3LmwshBTfzaQSoaUjR0IUWDnev6gWQbitil52LrDXAfISk/CjVcOQqs/imUzi6GoQFNLkAIos3t50OqPmq5l2oQhsAk8fMEIJo/ub2jKf9nop0BjPTte+bhBBoaluyoLAdupifl0lINwqmrIx3m+M3L4LowFXE6UCZk5eSi+OtaGh5/6gO4Jfb6vn7izkj9xJ9ngdIimeHfu1HwkOWzwtoawdEYxRJ7Do8/uNr1uYWUhFldfhMWr9PWxQmx+6zMM6pOBXllsfdpUl0Svz+N2oHc3N601EBDHspnFEDiO1suKcrIwc/JQ04ALkVbT7/HfbXwfd16f/4Pnaidb+zgI4AIA/yYPZGdnDwPw6em4qNNtVoELodPSUwaQUdVf3DgMsqLieHMI88qH47517xoWVDAcRSBoFqkkja3xpX1xsKGV+bmuJBv+58ZhaGoO4a6H2wOaO68fBpvIa4XgBH7cW669AH99aS9kWcEv//g2PG4HysYOMGk4kOtISZawKGG6Jc1lNxUefrfxfSyerlFvEXFNQ8MnrmvicTuYWhWE7ihR24UkjLKsYkxBbxoo6alUScFl5a2l6JLqwKObdtMDJHFjiADuqiw0UTrqX3Om6gbabWY629rKQkgiD1eyDYunjwDPAYoKyKpimBAhlulxQuQ5hKOKaSp2+ZodWH5LKT770qsby+fx7/0NGNo/k7m+FBm0oEAee3D9e1hxSynzWqMx4zQuuaa6mlIT3e6KtTuxvKYUr+w4qJtYtFMKPo5RdI9xbK7omKxa73+OQ7JNQK8s9xnVNP4xGmnOA9bUhio4SAKHSFwzLVFXLbuXB5cO74n1DM06Mum0qGqEYV2S9z941I8vjrTg8qLepvH9NLeEFl/E4DeW31JiaoLMKctDIBRFXU0pojEZR+O6O/NuHI6UZAmqChxvCWL9ln1M8IjXF4IK4KW3D2BQnwwMH5RlSGoJMkrffAxFYuiZZdSzmjy6v8lPr1irCSiTSS0yNaNv6pRc0ANJDhuW31KKQCgKURDQ2haiZxt5L72PXrJ6O/4wbzQWP6qdOYkF+9r4FO6ZnHBa+V+7jUOkg+9NEs85ZXkIR2RTAybJIYKTFYMf4wUOkk3Ag+vfw9yp+Xjm9c/gC0RROT4HPM9BllU89ep+fPDJcdw2JQ8vvX0gTsHmpkwEjz33EcrGDrAEL5H/r60sxLb/HMZFud3jxVwJKUkSRJHD1LEDsWpTeyLrcTsQjsh03elRfIB53TR6NRrR2ddeSHWQ75kxghkvzb72QgDAZYXnmdgEHtrwPu6dVYIDX7Viw5Z9VA+G2DWX9qcF7pVrE+Idt8SkVj/Tm9Ysk2UVz71hpON+7g2NjvtUU+QOC4Ew+3evL4Qkh4ieWW4c82rAsNZAhFIYPv/mp3RCKiareOa1j9HsiyAak5HiSsL/3DAMgVBU02W18Tjc6EP+wCxT3LDk0XewYnYpllYXg+e193I6BNrAuGSYdYNZj3huagliTEFvABr9/ZUl59M4nXzWirU7qAYRaUYnO0QsqhqBUCSGNJcdqzZ9gGsu7ZfAlrAP11zaD11SnSYdQit9xK9br6ebBujHYiSGaPQGAIH/3r6jLCtw2EQ898ZHht/yiS37UD1pKOrW7GDSaD79z4/jIDSNvlWyCTjU4KPN7FmTh6Krx8n8zSWbAFlVEIsp+PVfdjE1J4m/9aQ4cKI1bALSDe2XAQC4+pL+Gr2332+gCV+/ZZ+psEn2wepnd2PW5KHonuGCCuDO6/NhE0WD/sn88gKs37IPPx9xHnpkuFB+ZQ5+t/F9eH0ai0GzTysM2kSeNvZFgYcck5mNa+2cZNOunY3AjU7rtB+bfVvASTSmYMOW/Zh5TS4cdnYRuLXNKLGzcu27qLoqF8GwbJhgIj5weRzoe/daI2vMynU7LanIQ2HFNA1F4uNQJEbp4zUAJLDlnc8x9qLzaE1N//l6do1MjxMcOKzfso9ZnBZETqu31JQy5S5+VVWEmycOgSyrGkuDLCMQippyh4kX98Wb73+JK4r7wB+MQpZVE/jpp173+i7tZCdY9TVEj9tBGSwKc7qb4s26NTtw5/X5mFc+HOGIjEhUy4/mTs1nflZTSwieFLvpWsgAiqwo+OKID3sPHMeo/J7w+kKmPJ/EGquf3Y3aikJ43BIOfNVKG5F6poK2oBbjL6oagUA4imSHDXaJx89G9EFbKIJ5Nw6HO0nCV8f9ePqfn+Cywt4U4KwH7wBA2dgBpmGAujU7sHRGsaGhp7FmACnJdhxv1pokZWMHUirQRIaaRq/GynWqIJ9O9ovv1wSBR5MvQmtvXVIdSHVJ4HkOM64eChUaLXJMbgess/oJen9pJX9CNKPX/59R833T659g2vghlI1w5a2lzEnvung9m8iEuJwSBVOTz2d9bnqKA83+MG64YiCSnCLufiRBlsEuoKUtEpdx0ADHPAccORag+4Zcw8p1O1F1Va5harfRG0Say4Ekif9Bc7WTjaMXA9icnZ39ewC27OzsuQBmA7jtdF3Y6TSrhINwGxNKFo/bgelXD0E4IhvQRkTbLiPViZisIhKNoS0YgyeF3YBzSCJkRWFSEM0py8MDf30PZWMHmIpov/nrLiyePsKEWFmxdieWVhej+upcNPvDmH3thRAFHjaRhyCwaQSONrWZNsaymcXM620LaXoTHX2fungzkGhNANpoekqyRuUliu2TZKyJQj0CgEwjZHqcaDjRBo/bAbvAoXpSLm6eOIRuDABQBT6OMOfgdIoGNLnNxhuu9UzVDYxEzXS2y9doU26tCTSBc8ry4E6SMO/GAtz3eHtQOO9GbbpO7mASq1uGy3CgzynLszxsrYKqmKLio8+OG+hAd+w5goLB3ZivVywmrkSRs9Qc5BjafwqsmkvaAcaiIxOEzoDh+zCrwFr/W9z/+C467bNhyz5s39OAopwsw3SqPoAkhytBmZEJuKgsm1BuCyoKsPdAE4YNysLDT/0HE0b2NSDi77w+30Q72tAUtEDrlQBQ8da/D6N391SMH3k+oMJA/UGaMyyxY3DAoD4ZGtrJbsOvdBRiDklkNtoWVhYaaECspgcEHoa1vWrhZZBlBTaRx/jS800Irtd2HcI1l/ZnvlevLBcWVhZqlCdxsAyrELn8DPCvX2eW/remtMO/Iz4yUTdCv5bICUYK3JGoAkVRMacsD54UB4pysnDJsJ4GQE9tRSEuHd6TojQ/+OQ4FlWNwJLVb9NEMM1lN4lW11YWIhKNYXlNCUKRGBRVwXk9UvHCm5/h8qLeaG2LGgJfPco5sfHcEYoPIJRxKoJhmaKkkxzsdZuR5ozHEBbaZrJCiyyTRvWjz2V6nMhI1SjtFvw/I0tBXfz3+aGoBUNQAAAgAElEQVRRdz8a48CcJPomG7ejQiAsnuNkDZ374Pr3UHVVLqUA9aTY4XJKBraIeeXDEY0q2PT6J8xr/se/Pkfl+BzTWvG4HWjxRQzN+uW3lqC2shDNvhCTdpEkpIQG1CGJpsnopTPYcTNZ64nahIBGZT9pVD9INhGrn20/axZPvwjRmGKaZnj8xb14ZecX33i9nk4aoB+D/dAAP5VTLfaPiqqrcrFjzxFTrnfd2IGIKQoOH9OmODI9SdSHNno1+qOinCzT9OqCigKIAoejx4LokuKga421BlNdEgSOYwLp6mpK0NoWNcTQ+jxsTEFvpt79rMlDIdkEpLokPPnKfhxq8GNOWR6dziGvJYWObl2ScbxFA30QX8/SKaqtLIRN0NayvnGtKNrURWtbGJJNZFKedRb3Oq3Tfnj7toATu41HxbjBcCdL4Dl2bYFoixHTn7VWNYRmn4XWkqyamC4WVBQgySkwa4JJdhHuZInK5fx2/fuYeU0uCnO6o7UtYumDybUvnFYIWVUti9PLZhbD43bghC+EyvFDDBTSHrfDJPuwsLIQz73xqSluWTazGKUXnotf/vFt2hQ60+pe36WdTNNIy8E4hKMyleIgbDjndGVPDykKEIlqwyCk+WdFDd/ijyAQisJuE+nzLM3KBRUauGbSqH6WcWejV2PkqqspwYYt+2kjUr925k7NR7Mvgta2MNa88BEmj+6PXlkuHGzw45WdXxjkVhZWFpoY5fQUid0z2N+/xW9s2BMJKEJrurCyEFkejcZ82oQhdAI28X1OFeTTyX7x/Zq+tq6vvd0/eyRkVds/zb4I7DaB/r5WMWvXuBb6uVluk/xJbUUhAuEoOIt8NaTT5mzxRyz1YWVZQYtfYxhaXlOCQX0y6HuxKO9rKwux+tndNAclIDpSV3xow/u4Z0YxbBJvGhhYUj2iw3OBWKbHCZvAIxqRf9Bc7aT2WX19/TPZ2dlHAFQD2AFtKvCG+vr6t0/nxZ0uMyQcKnDMG4Qk8fj7Vq1JZxN5WuT0tUVMzbkH17+H2ddeCH8wakjWllQXW3ayY7IKry9EqVXOzXSh4UQbLdxZFdESOabJ4y1tYXRJdSIcVuB0iHj0GU10fVXtaOoMyXRgjwwXGr0BZPfyGGgKAPZB6A9EkN3LY6lx5QtE0egNoluXdq70opwsTLk8myaYRTlZmD4pF/fMLAbPcSbktP5AIYfkwspCdPU4EI4oCEYVKiBK6BgTk/45ZXkmjSCDltgZqhsYU9iNu5gFun/G1UPhsPMJ9Ftaw1hV2M0ygeOYTY+Vs0uZPOGCxbQhz3HIPi/doD2UfV46RItmtShwmDYhhwYgmR6NBkOWVfaE4C2l8AeipolQTyq7uSQKPGRFgV0SDPfDLgkA1DNycvTHZvoAotEb1AXWbhw+5jPogi6PI930hVUiMAxYCxMD2npy2EQ8+ep+1NWUoKlF03V9cdsBlI3NpoVXIpSd6pLQJdUBRTX73MRglaD1YjEFR5raMGJoD7yy4wuc172PqYFIfB04mDQzq67KhctpQ2aXJFND3heIomzsAMvk8cBXrWj0Bi0RVDYxvsbtAgLhGNri6KnyK3MMiY1+IvtLCzHwgw1+DW1YWYhQRPPXVkHdT92/fp1Z+t+v+d4k8bSkZ1YAHuwC921T8vDkK/tNhQKS/M2aPJROzc8p04TrExvIREwbnIZYa2oJ4uXtBzEq/1xkpSdD4Dmkp/DwBbTE9IEn3mGu47o1Zto6faJL9kaqS4LLKaEoJwuTRvVDIBQ1FEhSXez4QlWB2j9swx/mjbYAnLT/v36ScU5ZHu57/F3cNDGHCSSJKSqTcvVs9e2sSaLlt3TcyGbZ1xUCrZ4TBU3PV4+Sr7oqF/c/bpxEJjF4R9NPLG3YsrEDTM36g0d8+ODjY7iy5HzT5F1icbFbejJO+MyT0UeOs32kLxCl/28uLvFw2EUkOUTceX0+FEXTXREEHosfNe8z0njxpNjp2SjwOKvXq95+cICfyjHXItHXIaAaEldkpSeBA3CitZ2ClyWZsH1PA6b+bKABXc1xQEzRYvvpk3LpWrNCL4Njny8AKAhEf83Ep1sVUM7p6oLXF0IoPuY+eXR/8PFYhuwd8lqCBie6LOS6kp0Smv1hw54jjCOJ2s/eUNQMlorfm87iXqd12o/LvingRBB4nGgNG+QUEiVIFlQUIBqT8eDtF0OyiQiEo/AHIuB5oMXP9oGqqqJrGnuiutEbwDlZLiyePgICz4HnOcRkBbKsIilJRF1NCZQ464zNxiEQlBGNKQhFYtTXSTZNc81qgsTl1GJMm6gB8w83+ix9q9cXRtnYAfAHIvQxYiyGlzpdPqx/HxWgtcizNS87Ffu6phErB5tfXoCX3j6AJau34093XW5Z6yW1XHJGs5oMeqmj2VMuxB3XDcMDT+xiagKviK81qzOfxJ3kNx7cxwOXQ8J964x5ImnIxWSFSbnvC0Rp3qSnSCT5nDvJhq6eJE3r+xQa9oQdjqzfupoSWnshWsnmePnUQD5nC/vFj8VYtXUiS6OfTp197YX0902sEZSPG4SuaZpMTTAs49eP70KaW6I6kzFZgTvZBo5Hh/E2MY1yP9+yDm2XBCyqKkKqy470lHYmw/qDXgPlvawA617cQ32sBsYI478vG4BR+efiLy/tQ/1BL/zBKNycDbKiGmJhVi6a6XHC47bTx4m/sQn4wVmzTupMyM7OTq2vr38HwDun+Xq+d+M5Dl09TrSFIpg2QZs6s4maiG/3LsnMgnCjV0OrJ1IB6AWG9Y2HrnGnRp6rW7MDK28txZLV25Hdy4OFlYVIddmxqKrI1NSSLZo0Lf4I3EkSfrvhPcwpy6O6KG0hGRu27IvTi9kMAZUe9Znp0RDziY2SX9wwHByvFVDWvPCh6fCaX16AVc/s1v4eHJbfUgpF0XiWa+Po++xeHkwY2Zc6eit9wFSXhIWVhUhJ1oImSeDQ1BLGsse2G4JAV5INIsebkn49cps8pg90ztQRcd7qewkcEy2hcsDS1dtNr19+SylsNp45KcXxYBZSozGV6lGRx59/81PMmnwBs+km2XjEYqqBvuKO64bBLvHMIEzgORMC6YEndmHZzBJ2YCurtAFIHrs3vr/SEvT90tx2iJpsF7b9+zCTWvRMnBz9sVliAFF/0Islq7djVe0Yw2QxYGzqAVohaNKoAB5c/x6WzWSDLvSggidf3Y+tOw/hipLzkJpsBwAU5nSHLLdfg14oe1XtZUy/oW+0sdB6c8rycNWofohEZUtf13giaKAuBIBp44fg2Tc+wbWXZaO1LWz43Kde/Ri3T81jvp8KYPktJVAVICYrJv2IhZWFEG0cema5ocS1IXgemHL5QMtJgFSXhP/P3peHR1We7d9nnSUzSQZIAlgWRQgYoCaQRJKAlq0V2SwtBFESBAJEkaL+ZFErIhCwi9WvnwtgBWwF+hVBVGxRcAUFBFpkC4hokCXrJJlJZj3n/P44876ZM+eciC1IlXmuy8uQTGZOZt7zvs9zP/dz33/aekR3H0cDmsGQhJRkVR41GJQNz6zv+/76TWG2/7IsWkUmSeFZ7wkY/j7PMuCgsk9j96FnNhKZTGOA95oUJ16YPwSKAkiyBIZhcf8dWXSSDwCVyCUT/ESapSwmXxl7SzeNH1l0Edgm0Yr0zi5d43nTzpOYU5iJrR+c0p1B8yZn46N/fo2+3VOQ6moxh28O6L1W7pugSsABwKvvnjQ4m3Kw+b2TlK2X5BCxauFQfF3VQh4IS7KhdK/b40OzwMNlF76V6fwPMcwb0Qq+nRuHGq0BgeRnNpFDUAICsgyO48AIDBKsPErG9gXHgUqPx14XAVXMwC2nXcCaN47qJl2N2MrJDgv69Wqvkd2Plv6MbuTxPIsUAx/vDdtPGE4TbHy7HPWeoG7/nF+UjZVbDmk80ciea5Ybt2+TAEmR4W0OqqDTI0MBBQjICrjvUPryvzWuNMFPNiGCNAdCmuba6tc+w5JZeQiGJEiygnqvn4IPZnKvTruo5tZNId2EqAJQv5VY/+85hZnw+kIasCH6ec3ueaddiJAyzH5P9fG8e/QNhgoC0TVlm0Qr9h45jymjekNRFLwwfwgsIgu3J6ipAQigKknaz8uoubt87T4smj4Ad0f8hjgoV/Xaj0c8fggRBijWA6iTI7IiUyn6pAQRTf4wXn1XVQAoi8EorkkRDD2pXtx6GNPH9tGdwwuKc8AwChoag9jwtlaek5DYY3GQjW+Xazz5Xnr9CPwRiWLDCZKiHCqBTJodLqeVgtOxEo1hSUbHdg5Iioz6Ru150Nq0d3SoWFwLTmnWLPqh12XfJr6paWR0Dq1Ytw+LZ+TB0xwEb+CHNndiFgSBhacpqGv+vbztGJWTran30TMzNyMNIs8hJZnDstIC07yC1OatNRNTXTacq/ZiUFYnhCRJh92VV7jRvm0C6hr1sqLPbDyIpaUtliekwW2kRDS/KBsfHvzaULFg49vlmmuPblKS15JlBY9NG4BgKAwZiq7Gi23Gxqp8mZ39P3T1i/+mMMLICof3oPeD0y7A5bQCABaXDMD52ibs+tc5ihH8cmgPBIKSRjFr7sQs7NhXgbPVXlyT4gAArNryGYZkd4E1jTO8LwgJGFBxvOaA3vdyTmEmeJ4FyzA6GWWCGZRXuLH6tc8oaY80AI2wPpJ/262CTvHr5W3HsGH7CUPVL6uVx+MleWhsCqDBq0qpThze84oPmVxsvXQ+PT397wD+AuCN8vLywGW8psseRiyPOYWZeO5vnyHZKaJweE+6WB6blmt4oJJpweggBsO/KsxCstMCjmVgEdXH/fbP+6nvQ8d2DjAMDDXAyfjr1g9OoXB4T2x+Tw+EkUU4suA6uJxWBEMSOrd3YmRBN9RE/EtkWS9ZFl2YLizOQV2jD+9+ekbjN+VKtGDD9nKM+0l37DlSSadkyGHCMqCeKS+9cRgTh/eEKLLglZb3I5bNYlbstktSJ78gyZAkGUGwmqSQFIBLZuaB5Rk6jksitkEQm+j8UEfEBTM5S9aYLVFWagw0SZICjlXgsGt9BHmeAccYT+RZeNYwWeZYBlYLFzNtyCEclg2besvvKQDLMprHsyyDoIk8qWnjkzWWjAuFFbyz5ytto2/PlxhZcB1EjsGgLK0f0ILiHMjK5QeWvk1S80MN8+a88XRodBJJ/l3l9uGt3ad1zFHSGFgyMw+iwOLQ5zVI7+yKeIS1HNhm3hCAAlmBbs9NdlhaZesROcewpJiygF5996TmfUh12dDQ5MfkERnw+oLgOVbjN+v2+E0nZmvr/bBZeA0QPW9yNib+tCfsFh4cz+DZ//sXlVGdOLwnmv1hPLfpgG5/IGeC0y5iTmEmmvwhPBHx5Kq44MF7+8/gln6ddE1P4p8V/fXV4Alotv8KHNvqvUwKz2SnqJO9eDjCCqv1hBAwaSSnuuw4ZzKFdLbaA4Hn8N7+MxiS3RlPrT+A/3dXf8PnIczMIdlddDkC8TBOdSWYFoELi3PA8wwemNQPv/uLurcnO0WkJNswfWwfClyT51yxTpUv9wXDqPf6wTIqpcLbFDIklJAJEnLWLyvNRzgs07Np4k97YuJwwGphEQjIUBQFoXALuCHLiqnX4O/+Eid1AN9AkLoMx5EgcqiJkeacX5SNbbtO49DnNXTiHq0QMMzALZ5naaFXVloAMAoiijS6x1tEnoKJgH7ybt2bx+i1rX5NLUBjn8Pt8aM5EMKscX2R1iYBX1d5sW3XaQzJ7gJXogVtEi34VWEWGAZIcliw9s0WVmn0nrtszV7T3JjnWWzf9SX639Aey2blIxxWcK7Giw3bT8Dt8V/1CgVXmuBnlo+6G9XSuMrtQ9cOTjw5uwD1MXJBBCwwkyEKhiUosh6EfGbjQTwxM4/ukSkum8bPmJzBy+8pwJKZeXB7VLBhx76vUDisp6lVRIpLlVBv8usb2HMKMyEIDO4a0QuSBCz7i3lNOacwEyLPIuO6dlTuOtVlw5KZebpz5q/vlGP62D5QFEBmWQhqko6ASaOS51hYWECSpO917RaPeMRDjVgix7jB3bFibcvUPfGMNlIAIJ7nDjuryR9Jc+XnP+mOdW8e02BbiQkCoDBY8OxHuuc0kkImE1h7jlRS3GLJzDy690dPkCQ5RDjtImxWDnPvyIIsq2eQy2lFeYUb7x/4Goum3wS3J6DZX1VCNIfX3j+NobldNPuvmcJL7ETJguIciAJHv2d0rvwQcK9LHa0S10zOoQZvANPG9EFYkuFyWrD8ngJU16uEnjVvHAUA3D36Boo7Rjf/GpuCaPKHaG1CSJiPPN9C9FlsoiaXlGChSnLEm5dlgBe3HqYEnLkTs7DmjaNIdoqYOLwnlYQl2ADHMRB5Du3b2o0xNrSof5E1FApLppOJRA2AqNpt23UaowZ2owpF0ThB9N/y5XkPVr/2GeZOzIIoslQRKckhwuW0wCaoEolXWvI9HuZhhK13jCJdyoqiw48XRAZ+po/tgzOVHkOFxcdL8qjSEcmVLSKH6nqf4X2RYBM0e6GiMGAifoQEV3Y5VfK/0RARIUSrNV8OnAkCZLkFwzPC+p7ZqMovv7j1sGkuDEbB0ln5kBUFsqxg+yfqkEm0ihMAnD7XeMXxiIvFtq8HUAhgAYAX09PTNwN4BcA75eXl3ztqiRHLg7AfAGgKlg3bT+gZRUU51D8wdlFW1vrg9akatokJFtRGmURWuX10wS0tzceUkb01HlBV7hZprwnDesLlFHHo8xoAwOIZeWjwqkXd6x+ewtibr8eOfRW4a0QvvP6hnn1vpkvbOc2BZaX5qKxrpqx9kjgBwIp7CzAi/1oIAosV9xagwRukLJJUl+qHsqw0H795eT/KK9w4fa4Ri6YPgBw1sRjLYDJKSuYXZaOxKYB6bwBpbexIEDjTAtDtCaDZH8KI/GsBtICDqS6tHFhsovNDHRFXoBg23MwaaLKiIDcjDUOyu9Bkece+r1TABECTL4x6jz9qYs4KwcGaNu+27TqtGqGqdTs2v3cSE3/akwJv9DoVVcbI6JrCsqJp+AJEzrXAGBTjGMOJRVMZUhbY/P4X2Pz+F5rXvjXvWgQBQ08vs9e+VMBSPKlRw6w5L3L67xNPQAA65luva9thw3atWfCG7ccx4/a+EAUWz206RBNZwpgH1M/7zY++wGPTb0JVXTNd92lt7Hhr92nc3K8TGEbboJahoE2SmvSHJZP7TAbe3vOloaSNILAoHN5TkyA/Nv0meJu1Hj3Eb5ZlGLRJtKKxOaCbOpk3OVudojZotqiypy2TX4Ba4C5bs9fUoDzJIWJ+UQ6e3nAQxSNvwIJndyE3I40mNEZFePQU9tMbDmLxjDxUXPBgw/bjKBnb5wfdZDHbfxV88x4hSTIgAS6b/lwKSgqV0jDah0gTwIwN6vb4I16AH8PltLYq5w0YM41dTis6tFNZeEtm5qGm3q8zuSYG8G/vOYXZ429EWtsENPtCeOT53aZrjOVUae+yNS3X/etpuZg4vKeOjBVdNB76vAY5GR3oBK2a2+Rh+yenMSirk6HSAc/pCVoESK5yx2WRALWJYQT4syxzOXqACEr6M5cAee/sOxOZuFcbeLFnQFobOx6ekoNX/nHcgHmfDTAMFq36mMrfd2jnUPMYhwWPlwzAhdom2jxjTUhDHdo5UNvgwwOTssAwDPWDqPcEDe83wiBdcW8BXZvv7DsTaXrkq1IxdgEMA50/IMmRAWDHvq90jOr7JmRi9Wuf4ZdDeyAcVjSF9PyiHPgCIay/CvbZ1uJKE/yMXj+Wlc+xDKTInm4EFixbsxevf3gKj00bgMamAM1ffjagq7k9BMtg3ODu+O2f92PuxCydcgIABMOypgm3sDgHR76oQc9r2xjm0A1NqoTTU78aBFlWVEIgy6C2QZVqv39SFl7/8JSh72aV24fO7Z2YNqYP1r15DHMKM3V/rzvGnytWKYbsPS6nBXYrb5KDf//rtnjEIx4tEUvkiM1HSb5mNhHn9QVxtjqo8b8DWiQ5AWiUV1bcW4B2yXq5Q6PXJq/xo1QHVa7YtPMkwpKCtVsPUxIfmSCZU5ipTvN5JJ2yxro3j+Ev/yhHv15pugms37+yH2WlBfjpTdfi1yt3a9SXLKLep5B4DD5eosrgMYy6T7dxWuhjyyvceP3DU1gyMw9MRPr/h4B7fZdhRjJq8AaRlCBi+oqdlLzptAuUDJnqssEi8BHbgQLUNKgNwj+sP0gn/8pKCxAKSxB4Diu3HNLgF//45LThhJ0kS5p60+cPgeNZ/GxAV4y9+Xr4g2EIguooT+r9WGxg2pg+WPbaXswvyjb02Y1W4SivcOO9/Wfwy6E9DO8Lp12A1xeizTySh5yp9NK/J9VlR2NTAG6Pn74GyZFI02fWuL4aqxcVA1SbItE4PVGjCYQkhCGqtj7x9XxFggwyOBMElJUWQFYUMAw0nq48x9J7AmjBWB+Y1A9JCaImv41WGmIZUKUMkisvLsnD33bo1VfmTc6GJEnq/STJqGvwQ5Jl/N87JzAkuwvsVgbXpDjw1u7TyMnoYKhsd02KAysXDIHbE8ALrx6C2+PH0tJ8miebnQuKYlzXtU2yYmlpPrxNIZ3ajJlM/5XGIy7WE/AcgN8D+H16enoPAHcA+B8AiQA6Xr7LuzxhxvIwMhsur3Bj3ZvHsKw0H6GwjMamIBIdIp5cd8iQbfPcpkMtflal+RAEY0CqrsEPUTAecbWKPJavVf0SWpieQSQ7LUi0i/jZgK7U2JUUlLEgrZku7fnaJoTCCnbs+wrFI3uj2R+if4fb40dYkmEROJ0MDWk0vvS6KrUwbnB32hzkOVU/nUwGyIqCx6blUobq3iPnIfBMRG8dYFnVj4hlWaS1SYAgsJAYBnwrB6/TLmD52n14YkYeBVseLs5BklPEygVDTBt8P8QR8WBIxspXD2Pc4O6wikAorGDlq4fx0OT+hu+fyLM62bcFxTmwWFgEg7IGnCO/Yya/GZYVeJqDOFvtpZupJ6JnTzZfck3/984JlNze17ioZ4zBODAwBN0kRcGHB7/WNB/f2fslRg7sZur9d/vN10VNAqqP51gGkqwYHghGAOSlBJauuI/Nf0lEN+fBMICiHoKhoKRr2oscg5KxfTB1dB+4PT4EQzI1ae/Qzq5pbJP9aOpoGRaFwcThPfH+gTOG/k9HT7txS79OlI2Um5GGKaN646cDrgXPMVi15TNdkrxwSg7sVgGMiR5+Tb0P/Xq1xwcHzqAs0iykvhNhRdewbPAEdQ2Wp9YfaJERm5mHv759AlNH96ESOQ6biDVvHMYvhhgn59VuHzU/JkESGbNJGqddhNvjp3J4qS4bJv60Jywii4eLc+A3mUyLPi/djX5acE8d3fsH3WQx238fmJR10X+30bkkRfZEMyYvyS1e3nYMi2fkwd3op+xnQGVlCrz6HNPG9DGU845usoUlWbMeiE5/NIC8eEaecRIsK/hJ/054+LndWBgx0W5tjXEsgxffPKpjbc8a92MsLslDIBSG3SqgsTmgTkpGJnqTHBb8bccJzWs3NgUwNKcrbZ6T75NcyIxBTa4tLoukruFopjyZJHrgzn6X5d41kvmscvvAsS1f1zT4MO+PH1HvSgYM2IgPHgQOJWNVX1VSeLIMAzDAgv/9yHBidU5hJt799Azyf9wRc+/IAhSgwWssxVtxQWUnE/Ym2fvJ/fbYtAHw+oJo8AZ18ofPPjSYqiiEZRnNAZXok97ZhfsmZLa6FkcN7IaP/vl1FGDHgOcZlIztCwWKjuixPEISHDWwG66qpCEmzHKISwEMXYxaA3n95aUFCMsKrWkA9fN95O5c8IApsZFIcE4Ypqq95GR0gNMuYEh2FyQ7LPD6jPfRrys92LD9BGaPvxEpLmPfq/M1Xl2OSeS37DZep/rx4muHkd7ZBVkBnVIgeXeyUwQDFVgkNSWRtHMlWpBgFSDwDGwWDslO0bDJHjvtaqakMGtcX3RJS/xBqrfEIx7x0AYP4JG7cykZODZvI2ekWU5JCOqGJJ1tR3DXiF6aszosyfA0hSix0ei1onPhwuE9wER+tmPfV5h8Wy+IAos9RyoxfWwflJUWIBiSIEQsTxQoqPE0aUDs6GmTkAlJOyzLABSNVKinOYQ1bxzFzJ/3odgjwzAay4f5RdlgAARDEpr8Iep3bXQexvfObxdmJJ/XPzyFKaN6A2ixnlleWqDDLEISwHJqjRU9kTd6UDc0NAWwbddp3HlrT4wfmq4jgLmSLOr5nmxDRaUXL7z6GQBg7h1ZcDf6EQoraA6E8fTag7p7gkzUmeUcag6pKrNEE5LnF2VDQcsgR3pnF27p1wnnqo2VZ2RFQUqyHY+XDICigDYVibVKqstG1TWI+l2Vu1kzcOJyWtEpzYlnHxqM2gYf9VojTRGC0xtJMj5cnIM2ThFBSflBDXj8t4eZiuK6N4/hhmtd9J5x2o3XoMtpAcMwdK83qtuiJeZdTivCsozRg7ohGA5rlC9Wbv4Mbo8fs8ffCLuNR4cUOyRJxZ8YhoEC4MUImbP/De0NLUIAhk7r3XlrT7AsAyksQxBYLImoYRmtfzPFL6ddwNcGU47PbDyIpbPyzYdxriAe8e/U+5ao/8Lf8Nj/yjBjeUT7gUT/zO3x40ylB6GwQoECMppNQBRFARIdIu4enUGTE55n4TRh4zd4g7gmxWF6HVVuX8QYU4SnKQgreNTW+9ExxY5kpxVuj59u6kbd6g3bT+gmUuYUqqO1f9/9JUYN7KYZuyU/CwZlQ+bqY9MGYN22Ixg9qBsq65qx+rXP6KF4ttoDUeDQNsmKJ2bkwRcI05vNSGt9QXEOPvnsPDa//4XmcC267QY8PCUHS1/SH7xDsrvQayL+KDwAKSj9oBp8FxMcy6ia81Est1SXDQLPGMojSgbSsGVr1CZztDcaiSq3z3TCjmcZw4aiKLCGfoQ8ZzxxYPb8AAwl4tXt9cYAACAASURBVGb+vK+hhKdVYOGK8f5zOS0QTCQ/LQKLsKwYHggcy5hOjl4KGc8r7WPz3xSkCZKS4kR1tUczvctzLBBp1gah3ueiwKBJ4PG7iBwVkbiMTrDJXqEy8GW0dYq4OauTof9T4fAedJ8hzPTo5sd9EzJR7wnShLXKrZIzzlZ5cOhkte4+i5bimDwig/59CoDXPziFYbldNYw3QCWJGK0HMpn3/oGvMXpQNzQHQhB4Dt7mIH7z8qffOOWV6rJptNJbMyifU5hJ5acXFqtyCA/d1R/JDhFhfxjJdgFhtD5RFvv1lU5qLneY7b//6d9N8pJYmaF2STawHCijsrzCTZsWsUXStDF9kJuRhs7tnRh78/WQFQWzx98InmMRlmQkOSz0eURBK2taOLyHjql83kR+9EJdE5Idqj9gdNFptMYevLMfOBb0fCATW8UjMxAMSZAVGYkOEQLHguetuunA+yZk4kyll4I5hI1rdO907eCEKKjN66UGBXwcUFaD54zXMH+Z5EDNpJ7JEUo+V6DFu7KsNB+MJNPPKrrnRXwLwwxLm95GjYVYeZl5Rf11jNL7JmRi/7ELWDIzD7KiQJIUDVO6vMKNdduOYMKwdM158+Cd/RAMS3h81SeaKUSBVwlI/Xq1x7pteh+XBUU5SHSItDHT/4b2qKn3o12yFQzDoMkXwqJVn5hO1VpFHk+tP6BOTl7FYZZD/CdxsWoNHMdC4Rg0xkh9LizOQbJThMtpQ22t11SC05WoWkOwrKKT2p5flA27jTdUoHn+VZUI8ugLHyO9s0vnC0geEx1VbtWr0NschDNBBM8y8PqCEAUe+45cwIRh6WjwBigYSX6HyB7xPEs9iR6dmovGpqDOM/iNj77AxOE9IfAMnn1oMF599yRVbNmx7ysNoGoGUlpFHmH5h6neEo94xEMbkiSjS/tElEXI4YLAamTyyb6xfrteASAaKDYj6Zw+10gJlXMnZkFRWki4sXnqjn1f0ekPl9Oqwwfum6B6WU0f2xe5GWk4U+lBWpsEPL3hYKsgdpXbh7Q2qg+2Wc1WW+9HWlubISYRDEsIBGWwLHC+xot6j5ojkWZOtBSz3SpAkmVVlSQkxffM/yAkSUabJAsWl+ShoalFgW30oG6U7AO0NHH5SNOVA4M6TxBL1+yFy2lFyc976xRjHHYBt99yPYIh2fDMXVaaD5uVR11jQDPlGl3ztYYdtEm0mjQuZPo4j0+V/m6bZIMiK2AYBp8evUBxDULUcTmtuntv0fSb4PWFqIodwdYA6Hyv507MxPnaJjAsQwlGhGxqtwiaYZO5E7Ow5f3PaR1N6mEj0tDSNXuxZGaexpPtalTV+q6jNRXFTTtPYlBWp0jz1zjvlRXgH7u/oNLHwZBebjZaKaNweA8sXv0J3ZOTHFaN7zshet6a3xXnqps0++fCKTl0vzSzCHnorv7wRHzXczPSMGVkb/iCYdhEHvuOXsCAvh11ZIB5k7MByIYTuy9uNSfoB0KSYS/kStvnXBT2nJ6efh2AiVAnAFMB/A1AUXl5+QeX8douW/CADiQi3WzCQjeSebl7dAbum5CJ9/afoQnDsjV7qbbz/D9+pFkQSQ4RNfU+XaFGEpvCYemmyY0KKrIIhWSNmeUDk/rBbuMxa1xftEmymjKl3B4/XE6LykjlWIgCi8q6ZqzafNiUifmrwiy4Ei2mTaGi2zIgCCwu1Khsp2c2qiDLH9YfgNvjx6xxfdEpzanZJIy01ssizNTN73+huekXrfoET80dpPGzIBOI5D05V+NF5zSnBhy62oIHsGj6TaiMkTI0mjbasP04po7ubdx8khTwJs04rhW5MKOG4vJ7Cgybd9PH9sW7n54xlA8lHmvk+e+/ox9EnsXoQd10r8swDFKTrSgrLaDggFVkEfCFkCBw6Jzm1IAG/pgJR9r4LC0AA+jA7qc3HMTy0gLAYELnUsl4Xmkfm//WiG6w8iyLplAYi1Z9onmvE+2i5vM0krwgoBUYgBU5BCVjXx2iqU++b6b7TRIRoIVhv2H7Cdw1ohc2bD+umcYifgCjBnbTNJ7vv6MfhuZ2QWWdfjLbbGKpXZINNQ3N6N2tHVgWcNpErP/HcRTdlkEBb6Mpr3mTs/HXd8ojrL4WplJ0gRvtKSDwLBhGfd+HZHfBGx99gfwfd8Q1KQ7Ikvq5kKZs7JlIzsvYr6+GJovZ/vuf/t1ilNk8kRkiMrkhGRppw+jPNHr97j1yHhOGpesa2mveOAq3x48VswuwaPoAMJEO9R8iCbzTLiDJoT/7jUyuo+VHF8/I02joE1Bm1ri+uCbFCa8vCFlR0OyXaFFpNLElChxkWcK56iZdsh6ttU+aeZNHZJjupXJQQnIESFaVBxiAUVAytk8cUI7Edy0HKnIwlEne/N5JzZoiUeVW5ZVZjjVsBpAzgwNDGZhGOU5jU0Czllas/RRl9+TTyeoEqwC7lYPD3kkDKMSCGhOG9cS2XV9o8ptkhxWPPL/L1Ddz/fbj2HOkEk672vDjOAYcy8AXDEOSFdzzm51I7+zC3aMzNHJS99/RDy6n1XQCgpAEZUUBh7jP8KWMi1FrIPmg2+PXMX7JY9mI1L7ZRMFLr6t+6nYLj2Uv6b2onpiRh5WvHlDlltskQFFUoC7ZKdJrLa9wY8v7n2NZaQFkWY7cLwwleZBIddmQlCDiNy9/qlmfa95Qp0qGZncylf2SFcDnDyEx4klkEThd7hydK5F9en5RNgBVznnUwG7Yd/QCHi/JQ2NTwBQM9wfDlMD1Q1NviUc8rsa42LNJgQJJktEu0aKRUdx39AKKR/ZGWJKwrDQfDd4gEhNErH7tM0rQLK9ww+sLYt4fP9I8Z5VbJYXNHn8jtrz/Oe4e1YKDRBPtunZIhCTLUKBgWWk+AAYLn/3IcI+rbfChcHhPcBwDi8ChcHiPVmvHVJcNlXVNeHz1HqoMEJsnOO0CKmt9hpjE0ln5VJrcqMHotAtwOa0IhiQEQxK+PO/Bjn1f4Y7hPZEcb4j8R6GEZMiKjGa/Ku3+swFdYbVwWPnqYfqYWPxGAkNx5Sq3DytfPYzC4T2Q7LTgTGUIK189jKLbbqDKJ0ZnboM3CEmSkdrGjsUlA3A+quYjOXRrqj4sC8PcPvpx/kAYDMNo6sT5RdmocTdFFNsU+jdED7u4Eq2oa9BbRJSt2YtF0wdg7M3XU5UXt8eP87VNSEyw4K9vl2N+UQ42vn0cowZ2QzAk6db7U+sPqKQjqHU0yZ0CJkpE0TLjRnlaPC59tKaiOG5wd2zYfhxDsrsAMF6DtQ0+atE05ubrEWxFZSrVZaM4XZXbh4ef2430zi5Vzrm9U1V2afLjF0N64HyNV5+Lv7SXTmGbWYQ47CJWbTncMgiwUns/vPDqIdR7gipm1jYBLMvgxa1q3pybkYbFJXnw+ILwNgchChzqPUHYLYLhvWkTeSxereKaRAI1GJIQlHBF5W0vdgDlXwBeA/AQgH+Ul5d/LycASUiSDFeShcqiKACaAyHcPToD7ZJsAKNoxk7JhtYm0UoP5Mq6ZlrYCxyLBTFJw/K1+zB7/I0ISzJ+lObE4yUD4PWF4G5U5a5GDeyGem8Af//4S8wefyPaJdtwobaJvtbC4hxwHPDU+gMa6cJmfwhpbewIhWRIkow5hSpD6aHJ/dWJwQgo6UwQ8dymf2FIdhesfu0zlJUW0BvSDDBxOS3gTZirLAP8elULuE2SEa+vZVom2aEacEZL75m9FpGBIv8mj2vySyqLINmGZIcFPxvQlb4n5DW/jezaDzVim8MPF+dA4BXdtBEAzDCR5OQ5VapwXlF/NHpb1k6iQ0QobCIXNsmYnR6WFdw1oheqIz8TeAZ3jVDlM37SXzuRR8BGh53XsKQcdh6+gGTYNBw/LB0NnpCmcf9wcQ6SI5KEsWEmPybJLWwo/d8gG66rSyXjeaV9bP4bQ5YVQ3mBaEmVZRHWV/RnlpKsl3Mtr3BTmYDJt/VCgk0wfEyHdg5NQ9Zsj0pyqIAb+Zyi5RjHDe4OABqvwYXFObqC8Pev7MescX0NvdxSXHbdVMoDk/qhoSmAZKc1srYYrN+uJs6kkei0C9SvSgNKO0UU3ZYBnmPw13dOaJqU23adxrQxfdClgxPnqr3w+UN46e1ySrAAYCi5keQUEQiprEhCzgiGJPAcg/vvyILAs2BZ4IFJWVcVAG20/0LgvvkXTYLjWNR6glgfIXGktrHBYVMVBnwhmSaeanKag1A4DJ5nIyBxi9F7TkYHHemGNL6tIo+6Rj8SrCIYBvjqvEczDbawOMeQTJTkFLGsNB/Vbh/Nh8iZ7270Y8e+Co3XlNvjVwu/d8rxk/6dEAxJcNhETBvTBwk23hDsWFaaDyiMaWFMfKde//AUfjm0BwKhsKF/KGHVESCZAzSb69W6z8ZGMCSZnO+XRw5UlhQkREkRAgysFhYThqZj4vCeWLmlRUYfUPdcBQoWPKtl+joTBHAsg/ootvWcwkxTQgWZLiRR5fahpt6v8VJbNivfENRYXJKHXwzpgaQEVS750Oc1dLoJAJ6fN5gypb2+IGXDEkmkaWP6oN4TxC399PmP3aK+y9ENQFIYMgzwq4mZplJnhBDHMkzcZ/gSx8WoNZB80GxSU5JbCF1EkWDJzDx4mkOwijwYVsH0sX0QCEpgWYbmOtHPAQaqFCfD4JHnW9jyv56WizGDrgfDqCSia1IdqKprRlpbG+oa/dj8noGU9JQcvLj1sC5/nTamD/YcqcQ7+84gJ6OD7v7JzUiDLCvgeQ6epiAenZprqhxCcqjOaQ5MG9MHG98uR8nYvhg/tOXs+vzrekwd3RuBkKTzJiSegCLHIAjjxn884hGP70+YnU1tIzJ+aqOhWdPkWlicg3ZJFlhFDr+LTD19/nU9poxUJRiTHGruOmpgN42cYWKCxfD8D4UVWC08Ssb2BccxGpuaTTtPYvVrn2HWuL4IhRXatIutNYGWerDBq3oQLi7Jw8oth0x9UgmIPXdiFkRRxdSIV9/jJXnw+oJwNwbgtAuoafCDYYwxicamIMVzjBqMsqIYTiK+sv04Zozt+42fT5w8ZB6SJFOCuSwDHKfKGEZ73C2M1BzkzEJM/lBe4cbjq/fg2YcGU4l4V6IF9R69TDZ5zmZ/CAk2kTaiyesk2ARwHIMlM/Mia/kmVLm1RNRd/zqLm7M6Geb2xSNvoCQzSZZ1dRjx6F747C6NXG60xCd5TqO1yjDQqRIkOgSs/0c5Dn1eg5/074Si2zKwaNXHprkTA6ZFwlaSkewU0eyXLjq3vxpVtb7LaE1FMSXZqlH7iZ2CtYgcVm1WG+hHT7txW4Fsaq3TJlHF96rdzZqfE3L04pI8/G3nCYy95XoosmLqod2hncN0UIpg4OMGd8ePUh2orNNKOZNJ62Vr9uLx1XuQ6rJhcUkeRhZch6LbMlBZ14Sn1h+gdWuqS5XADYbChnWbAnN52ytZs13s/ZJaXl7u++aHfT+C41jUNQQM2e33T8pCvcevGzv99bRcNHgDKB6ZgZ8N6IoN209g3bYjmDq6t2nDoV2yDY+t1DbOduz7Ctdd04eOlk8Y1hPL1+6lckJz78iCtzkIQVCnAI1YxguKcuBMEPHws7vomCzHshpQcu7ELDjtIrq0VxuQsqLgocn98eS6T01vCAXQTDmS55o3OVtXRBJg0d0YAKAWjAqg8xKM9R0irxW91qNv0i/PNdIJCJdTbSoSDzDSDLzaJ6fCAG2GAS3j8WWlBYaawzzP6j7T+UXZ4HkWIUlGMCjr1g7nMJO8M24ScywDb3NY8zz339EPTptoPHV3TwFe3nZM4yH48rZjmDnux7g1vyuq3E308Lg1vysEnsUjBn/z8nsK0Nikl2RKTDBmG3MsC8BYz9lsXV0qGc9oH5t44q1GQ1NA12Al8gJk7VW5fWCjkg/iX2MkBVrb4Me4wd2x9YNTGD803fAxkqTgpdcP0wlts/3QYVM9FmRFgcOulXpetmYvbr/5uouWuYpmnjrtAlKSbfjNn/cj2Sli8Yw8dQJHUhCWZcoWIg2fM5XeyCT6DVhYnAO3x0+LyuhJRcJ6IqBag9ePBc/uAqB6XOT/mAEDBp3TEsFxwKxxP8Zzm/6F8gq3YQNz6Zq9mudcNP0mpCTZEJbllrUbliDj6mLtm++//z4LMZpoUO8J4q4RvagsElm7RJ52+drIJP17J5H/446aPdmsQOuY4kA4LAFg4WlW2WrHTtdoJC2imabR4OzyNZ+icHgPDdMOiMjaOa0Ykt0ZG98up/KlLqcFb+0+jTOVXtitgk7Szgj0rna3MEHN9uYu7Z2Y8fO+aPSq+73LqUrqtY8w9P6++zRGFlwXZ4JeRHAca3y+c8xlEfkPA3jkud26z7WsNB88FEwc3lMD6i0szgHLMnSdv7PvDG1cCDxD12KV24d1bx7DQ3f1w/yiHE3OPr8oGxvfLtdchxF4kOw0Vr+QFQW/eflTrJitym7GPr/FwmHybb00Db5opn6SQzRV3VhWmo9Ul42+tlFhSFRH1KlaB85WezWEODAKwooxQenJ2QVQ4s2Ubx0Xo9ZA8sHW/E+jIxSUYOFYcA4RYABPUwiLVn6iWacMgOp6PzbtPIlkpwhFBqaP7aPxhHQ5rWjwBnX76WsffI6RBdfRCWpCDkpyiEh2WMDzjI4YSPIVIs2V1sauyWWIjcOiVVpVAzMfQvJeVFR66cS2AgWBkIQxg67H3aN6Q+BYKIyC2WXvIb2zC7PH34i2STawLAOryIGDgloDedV4Qzse8fj+hRF5dv3244Zy7yS3JeTaJEeLxYesKKiub4YocEhx2RAISth/7IKGKPzF125DpYHdh87ihuvaYuWWQxh78/UafIJY4KzafBjFI2+g12iGcSQmWPCnrUdQ5fbBHwpjSHYXTV0a/VhXBMQmCjFPzMyDLCkQBBZuTwCrtxym6lmhsAKBN36e2gbtVHd0g3F+UQ6afEFT5YxwK/hEnDx0caEhE4aBBIHT+f8R+c8qtw+PTcs1/ByJMsC4wd3hbgwgEApjx76vDNV8wpJE80xAS9ohU/a19c24JtWpuVaeZ5Gd0R5nqz2GuT2RIG+XbEVtg98w5+VY9f+tEdDGDe5u+DeKPIey0gLURVTo3J4AGr1BHPq8BguLcwAGtNltljuxLDRMTUZSACi6qTKitBEdrWF48bg0YTTIQJSg5hRmoiyCMVe5W6Zg2ybZcLbag1WbD6O8wk1zzlVbPsOMcX112PTC4hz8/pUD9LFGFjZNgSBG39wNobCM6qimvFEuPmtcX3TpmGholRUMSTqMMHbSmkSVWyU4P/rCx1hxb4GGSEp+3r5tAi7UNuHvH3+pU8Uj6kVGNeGVnGK9KAy7vLzcl56efhdUSdCU8vLy7PT09HyozcHNl/UKL0MYJSekqSWwbGQaEHhy9kBIkgIwQKM3iCdj5FREgcWLWw9j8ogMrLi3gALExLvmQm2T7jWWzMzD2SoP9VWLBrJq6n146fXD+OXQHvA0heALhAzlBsrW7sUTEbZSlduHJl9Y12jZ8v7nmDi8Jx6NGve+/45+lIUcq2c7pzATr757Erf066QB9JISLAhKkmER2b5tAv6w/iBSXTZMGdmbjtJG/70PTMoyvPne2fslAGjAeXIDRgMZLqc1PjkVE2ZNKY5jdJrD84uyEQrJ9DMlm9LGt8sxfWxfDXuHPM9T6w/gydkDDafWRJE1HPPmWIZKe5Ln+f0r+7HMRLs8LCuGHoIsAH9A0iXrDEym9yTFEAT7zeyBeGz6TaiKkuxLbWOHyAGy9O0m8i6ljGdc6kgbZmbp0YdvbkYaWIbBEzPycK7GC1HgDLX0iTRx8cgbMCS7i+lj/IEQlWlbXJKnelEYSHY9s/EgAGDybb00rDziITUoqxOd3EpyiGibZKzFT/z5ohl1i2fkUQbR6XONWDorHwwD2gAk17x8bUvyLwo8Grx+dO2YqAOkSSJGfu/pDQdpQ8XI44Iw78cP64HT5xo1zSMykULkP9I7u1Be4caiVZ9c9T5UwOXx9ox+zm+Sp61yqx5PP+nfCU9vOIgFRdl0vXqaQ4ZEkNp6P2RF0ZKJinNgEVk6MRqOMC8Xz8gDwwDnqr1Y96aaEG/YfkK37983IRMNTX56fpAcgTDmsm/ooJdkXtvSWCZBQOQqtw8vbj2s8YUhe3Ndow9/2npU04yscvtog3rWuL7I6pkWZ4JeZFgE1jAvswgsguFLfyq1ds8wikLJMbICKIoSM/mqSgu+s+8MUpKtsMSwPssr3Dh1thE79n1Fc5ywpProxDYXiWQy0LLPmflXEEn0qrpmzPvjLipFQ3w6oQBbP9BLoI8b3B2rX/uMktiM/m5ZBspKC6hss9k9v2j6AAAK3tp9Gr2ubUcJca9/eAolY/sYvq8upxX18WbKvxUXo9ZA8kEjoIw8NjaoRzDH6vLVaG+neUX9wTIsfr1yt44tP25wd12dVxbJD6LljqLJQSvuLTD1CGqTqLK1/QEJCyOEUlKLAqAEVvJav39lP1bMLtDtz0b12zMbVSm7J17cg7KIXKkCBYzS4nv76Asf02spK82HhEujuBGPeMTjyofR2WRm4xCd20qyAlmWqITmXSN64X/++k9ax3x69AIGZv5IQ75ZMjMPL249rMM4yOTR7PE36nCOpzccxOzxN8Lt8Ws9zTm9VPq8ydnYtPMEyivcyM1IAyIkVKJEEFtbPfWKdkJEloHHVmnVAJIcFvzPxn+iU5oDY2/pZtjoeP/AGc37F93MCYXDpjJ3SQ5R11CJjkulbnS1RSx+EwKnIYQa1UmEkJve2YVOqQ7UefxIdIgYPagbzR+THCISEyw4cPwCsm9ob4qJkHzhydkDcbbaq8HJFpfkYdlLew19/OZNzqZr8vn5Q+C0G5PkJRn0fCak5U6pDlyoa6LNkU07Txr+jU++/CncHj/uv6MfOI6By2GBrCh4YkYeeIGB1xuCosAwd8rNSMPU0b0hywpYjqWkNTKNaU9JiMiUAjzLQOD0uX0cG778ETvIwLMsWE5VgmIYRleXPb56D/70yDC4nFY6QVs4vAddOyMLvDhwvJKqKvIcg8amIH1stL1IWpsEfF3lxbufnkH+jzuiU6oTC59T89bpt/c23D+37foCm9//As/PH6KzygqGwihbc8D0LCK4BIlospvZ5Lm7MYDO7Z20vxOdIxOVDoE33rOvFHZxsZ6AvwYwBsD/APhD5NuVAJ4G8L1rApoBEh3bOcBFtMlVpnRAw8CIPTAfmJSl84AiBdGEYT3xgoFBeyAk40epiXjjo1N4bNoACDyDs9Ve/GH9QZo0/GxAVzy36RBcTivmTsw0vFYGrcvZGSVbRJru4ed2IzcjDUtm5kFRVHZGdb0PP/9Jd1TWNVEAAVAX9qLpAwwXPM+xeGCSargsK8bvaaLdgo/+dRaLpg8Az6nyRVaBxciCbrilXyfYrQJYFpg8IgOb3ztJ34Mqtw/BUHxyyijMmlKSrOik4Jav3YclM/MNZUKnjtHLF5DfC4ZlcDxD5btkBZAUGYoC2K2CZszbbhVMmznk0NcBbAxjCHotKy3QAWtbPziFkrHGkqYsay7tGQ7HSPZNyYEsKd96Ii8u43n5QuCNAVjSOKN+q/+rlcYwmiZq9oeoJKjZVF6zP4TaBj9yM9JwS79OlLiQm5GGpbPUqT9FBl56Q2UtPTYt19ADp6y0gEpAk/sqNyNNt04em3YTBJ6lJJEd+74yNBeva/RTHf7YayYSWx8cOIObszrhy3ONGqaRK9GqKTrJ7ykA9f8j2v/kZ09vUEkvPMdi2pg+cEWAQqPJ82h2VE2DD/P++NFVDSxfDm/Pi5GndSVasLA4RzV/d1qxcou6t1XX+2kDpGsHhy4BJR6RK9Z+qgWQ1+zF0ln5+LrKg79//CVGDeyGef+jrulYplt5hRvvfnoGZaUFCEkyJEnB5vdOYkh2Z8NrBaOgXbLV8GcdIxIdsesLUD3YSm7vg7J7ChAOyzgX0fon008JVmPZD6vII9lpgSiwkIPxXfmbwh+UcPSLGiydlU/9xvYeOY/c3h3BfvOvf+v4pnuGgCssx2HBc7s065TIFHmag5AVGPqr7tj3lY4ANacwE1YLRxsbHMvgrd2nMWFYOgBQEtKcwkxDUIPnGSQ5LNjy3ufUu8fTHMKfth7BA5P6AQwMiUwOu6CqYMiyKTEEULDg2V14cvZA9bVMwDxPcxBWkcOw3C46n1weAAze18LhPeIA378ZF5MbRueDBKDoGPHYVaBOZ8qy9hyIll5rDeBr9AZpzhrLljc7F8i6NFpnLqfFUN1lQXEOeJ7RvF6V24cN20+gcHgPdExxGL7WhZpmbHn/c7V2BMAyDFhGrTejZaKr3D54fSqxIzpnWDT9JjxcnKOR9SdrOXAZyDXxiEc8rkwYnflmdRkhfdKcIPJ1NDlm9vgbqUpMLM7h9gQMMY67R/eGy2lFu2Sb4euKAqfxNL9vQiZqG/xUTtGVaIHDJlL/1FSXDVNH96b+wVVuVYlg1ri+6p5Z1wyrhdNIRs6bnI01b2iVtLZ+cArTx/ZBye19YLcKCIRC4DmGemzXNqhTk1NH98auQ+c1+cVLrx/G2JuvR1hS0DbJeDI7KcECnmMgm6TC5qQswHIF/am+bxGOUYErr3Bj3ZvH8MSMPDQ2B5FgFWARWPAci1m/6IsLdU2wWwWsfPUwJt/WC1NGqTK3fKQB0uvatjhb7TX8TElDosrtQ1jSy3k2RPyvq9x6Hz9fBBtJddlQ7W4GyzI6K5I5hWqjglhMDcnugiSHCI5jkOqy0zXtjkz6LS3NRzgk40JdEyWLAsDvX9mPxSV5Gn+1h6fkoI1TRFAGbf6R3KlTmhNeX0jjyU1kg0NBSV2LEsBC/Q8SEJIQx4avUGgb4RJkSf1aManxFEryVL1enfaWM+DPbx3HXSNa1FRW3JsPQIu3uj1+CLzqRQ20PL4u5AAAIABJREFU2NYQklyV24dVm9X7afGMPLAMA0CB2+NH/xvaY/P7X0CW9VZZZSYDKmTSekFxDj6IkDDImnQmCJg9/kZs/+S0ISac7BTBQSXZEow8yWHB2jePYM+RSpyp9OK+CZnG/RSWBaTvHru42Nx6KoCc8vLyyvT09Kci3zsF4LrLc1mXN8wACYFjIUlSpGBj6Ads7hll1YGraiMjH7UNPkODdruFhyCw+FnetZAkBQpU9kg0gEv0bdXnZSg4S6YzVAN1tGoSa5ZsXZPipID0i1sPY9rYPmiMkZghMkQ5GR3gtAuwiBwem34THl+llamra/TBInJw2iwIy8ayn6LIYVDmj3CuxosN209Qv8NkpwhPcwhLYxilZyq99LCKm8Qbh1lTyqyJwHGMsUwoy0BRTMA5hsHOvRUYmtMVYABFAXburcBtBdfh+U2HMG5wdyrj+fymQ3jorv4mTTrGUIqU4xjDa2VMgDWYmB2beVhCYejaIs+99KUWIOzbrKu4jOfli6QEi24tEy+6lQuGgGP1fqvL1hhPE5HDe9POk3hgUpbhukhMEPHXd8oxZVRvzd6950glOrZLwG0F14HhgJKxfTF1tAKWhWHDMSTpm957jlRi+tg+VOZKFFh4mkN4fLVW+ksQWPxx478010Uk6oyuuaLSi2Vr9tL3KbZR99i0XMOzhudY2K08YELQsIrq8b9szcdUdiEUlkyn0Fa/9hm9zqsZWL6UpAACDoNpeU5ZUXTeJW6PHw6biN9EqREQGaW9R86jeGRvNDYFwDAsytZoJziWr92HxTOMfU7qvQEkOkQNsAHo/SrSO7vwk/6d6L1IXt9M7rvighed2zt1z1E4vAcYhsGy0nwwDIOKC40a8DjVpfq4nK/x6ORHn9l4EI9NMyYk+YNhXKgNo0ta4rf8BK7OYBgG112TjIefa5FvnzsxC0wr7PH/JC72njGT1uc4BsUje+OxlbsNmc4Th/fEGx99ofNBmTsxE7X1Aax54wh+NqArBmV1QrJTxN2je+PRyHpf9+YxTL9d619htXCQJCAsSXTiNjrvcHt8aJdkN9wry0oLsP4fxzEkuwsSbDwWFOeg3uNvUSRw2QGGwbQxfbDrX2dxY3qqhtRHgpwLv3vtMywvLTDMP3iOxaLpN6EySvHArIETb6ZcXHxTbmjEhvaHwnj0f1tArEfuzkWSlW8hlEak16K9dkhEA3zR3iaxbPlo30syxZrkEOGwiTh8qkan7kJyjc+/rkff7il4YmYeOJaBrKh+glV1Ps3rRUvStnade45U4vS5RsqYXlicoyHJksdWu1VyFZFV9zSHsPbNo5g1ri9976wWHkpIou/TpSbXxOPqjGBIQkqK85sfGAl/IAxPo++bHxiPiw6jM9/lNJ6gIHnkw8U54DgGkqxgYXEOAiGJ7nekkWeEx5n5q4XDCgqH98CF2iZDHC2tjR1nq70ay5lxg7tr5BTTO7tQdNsNuHt0b3AsgwZvUNf4eXz1Hjw3bzB4jkWbRCvKSgsgyQrOVnvAMmptSPbslGQrZEVrnTO/KAd/2npUgwMCwPih6Zg2pg/aJllVP0SWwZDsLljzxlFTubz5RdlgOSKlaBxmGOjZag9cTutVSe78d8JIDtbt8YPnWSRYeVTWNdFGwPp/HEe9J4j7J2Uh2SmiyRcGy6hTqMdO1+C2guuwfO0+w/w2miiZ6lInS2PvgWCoxTsvWnWISM7GEnrTO7uw/F6VbAkwYFkGE3+aDkUBCof11DQIFxTnaHzh1XP8x1BkGMoikoYk+ffSl1TrHkYBXv9QS/KvqvPpPLmXrdmLJTPzWm1Ix7Hh/65otcaTZMgMg3l//AgLi3M065Q0g69JcQJQUFK2A888eAtdI4kJFqzbdkRnWxPd9yivcOPh53bT9U4UghYU5SC9swu1DT7dfWrmI0+knD84cAYjC7rRXo0vGEKTP4ywJOOnA66FRWDx5OyBCIYkcCwLkVOl/2WossFd0hIRkmTUe/0Ye/P1OH2uUSUJbDti6InNRlTqvuu42JpQBFAf+ZqcLAkAmi/5FX0HYbZYOShApGAjyQcAcw89k6aL2xNAWFJ0km33TchEIBxGQ5OkWwDRTIroxekLhPDQ5P4IBCWtF0SkS11WWgCPL6grAM3GVb2+IBw2EUkJIiaPyIAiK6ayeY+t1DIzlt9bgGBIRsUFDza+fRyjB3WDk+dgEVnUVDfrmCUPT8lRm5UvaL1glkX861oDm3USPHETYxrRIAQYtUPHA5BMTFZFntVNhyyISHsygLEsmIXVSW7ML8oGb+IlBAaGiQugapVHTxSGZRmSbOzLB8AYWLunAC6nRQPUuZwWcFBMGqLGYOK/C4TFE47LEyzLGDdYgxJ46Jl2QIsUMVk/pHi0CSx9HkuE4RkL3go8i6mjewMx0gVDszthYOaPsGrLZ7omdOz+nOqyQZKM128gKFOPhlhwjDRkls7K1zBFoxP81pJ/QuyocmuZfmlt7Xjwzn747Z/3a35v5eZDGDWwG2ob/YbXmuRQJ6cWFudg086TeP3DU7h7dG/D9zvJIWquhXz/agSWTfffb3kexfpy5GakoeweFSw1km6NZRM/s1GVM2IYhp7Vz88bbPj5ySb7rbsxgL1HzuOXQ3pofhYLQEdLeES/vtEUFZFcHD2oReLISJJ27sQsJDpEzb2wsDgHDV4/kp3GU4TNgRDuv6MflZ4m7w/xdnlgUhZdj/GcwTwYGMuAL7/n8sj9XiyRxsyPR+BY1HtbmM7v7T9DPYEEngPPMTj0eQ3e2XdG83vEo+zX026CwLEqoUlWJ5jIa5RXuLFq82GMG9wdHdpaUFEZwspXD+Pu0Rlom2TFspe0+fHTGw7igUn9EApLhms0JEkYPzQdf32nHE67iNsKrtMoEjx4Zz+8uPGfdLrVaRchy/o8JlpeMSzL4CP7TGz+EQppFQ9a89WMN1MuTUTngwoUOqUJqGtgyZ/2UIJMtPRaa147gLb2iwZI2rdNgMCzePDOfti086QuR5lflI0PD36t8WXlBAY8a5z7M4wKnEd7UUVP3XzTdZKcANCfFWRv33vkPCYMS9cp5Uhyy56clGBBba0XQFxxIx6XLkSBw6gHXrvox7/+uzHwXMbruRrD6MwXOUY3CUzy29/MHogmfwgPRdQocjPSqALQ5Nt6QVFUeWOHTS9luGPfV4YWN5vfO4lxg7vjD+sPGuJoC6fkYOsHp+iESHpnF5IcgmYfSnaKsFo4PPq8KtFshgeeieQaS2bm4ZHnd9Oct94bQG5GGt2zjZTFlpvI5Nc2tGAtqS4bykoLNL9bXuHG6x+eok1HlgWsIgeJTFCZhNFeS/Z3t8d/VZI7/53gDaRjCc5woTagycvIcEU4LOGuEb1QHfkMBZ7Bz/KupY292Po+xWWD1xfCuMHdsWPfV5g4vCdCYUmzBtM7u2C38bpruf+OfnDYeVhEHrPG9dUQLpOdItyNAc09c9+ETLAMo2vKla1RfegXPLuL/u13j5ZMcZBY3+0qtw+hsAy7yOKO4T019/8TJgRVtyeAdknW+Dr8ngTZ7387ZxD8gTCVCg2EVIKXwBnL6Ls9ar3PsMDXleoU7IWaZrrPEXJarG2NUd4Za4tD7Ef+/NZxHW6Q1sZuKG3/1CsHAKgTh9Gk5wfv7AebyOisqta9eYwONxHyBNl7rZxqL8dxTGSPVt+LnfsqdITVByf1U71Hv+O4WPxuO4AV6enpD0R979cAtl36S7r80SqIx3E6xuamnScxd2IWBU1IccJyxk0Xb3OQ6pmTosxhE7Fu2xFMHpGhkzIg0myke925gxPLSvMRDqsLxhcM48l1eimvaWP6wOMLIhCU8Oq7JzX6zZt2ntDdIAuKcyArMi3KcjPSMGWUMejbGMPkWLZmLx4vGQCOZdG1gxN3j+qNmgYf3t7zFQZldcLv/nJA4ynBcyysPANfyBjENwNQunZMRFlpvgYgipsY64OAECkpTlRXeyABYEV9EjCnMBOhsKz3ZlqjMnN4noUrUWzZoFgWHA8EgsY+giW39zVsGjLQM3xe//AUSm7vi7+8dUw3hThr3I8NQQazaUZZVpAgcOic5tTcs6GghLZO7fWLHBA0SU7iQNh/X7TWYDVjLFoETqMRz0FBKCjR5wmEJCrpEn3Q/qowE3/YcBCFw3tonvcXg3vg1yt3Y9qYPromdOz+PL8oG/88UakrouYXZeOdvV/SdW02QR6WZPyqMAvtkq3gWBYrt6iy0eMGd4dF5LBo+gBYRBahkEJlSWOJHdFMv0XTB1D2aU2DDw3eIE32T59rxOzxNxrea2vfPIKxN1+PHfu+wuTbesHltJhO1rZLsmHllkMapurVfD8Z7b/fNmJ9OfYcqaRS4LHrr+yeAkNf3nZJNuo1AoB6OsR+fvWegCmoWzi8B87VaCVoyivc2H/sAs1DeI41nIjlORYiz2LJzDy4PQE0eIP46J9fY8KwdGx8uxyTbu2FRdMHqM3mZ7Uyj0+tP4DZ42/Erwqz0DbJqpH+NGtkeJuDcCaIeGBSP7icVrAswLAMgkEJpb/oC45loTCq91RTKKyTULyac4boCEsKXE6rZn/ctPMkwpexqX8xRBqRMyElCQySHer+53JacUu/TprmwqLpN+HhKTkaZYmFxTkAoyoIhEISqt0t03KxU6rlFW6sfu0zjR+E0y624lkrorpezy5NdakqBAeOV2LCsHT4A5Iu9/rtn/dj9vgb8egLH1PvtFC4JY+J3cNb22fDgMaTpsod8dWMN1O+s/gmj9jon0d77XRp74SsAGuizvi0NnZNrUmkkP6w/iD1jiI+V1oQeR9duwBoM/icx4tmf5iC15t2nqQy0Dv2Hccvh/agNUN0vhJ9nV07JOJstUc3sU3yEQJEL5mZB0Ct1wAFIwu6Uclqcp3E5/LpSA4WlhQIHEdtOOKKG/GIxw8ndB5qEpDkFCmZl9RlauOpQEOmUNVZTmHR9JsQCMp0z8vNSNNNUowa2A1v7T6NaWP6oHN7JxCxLzn0eQ1uzvoR3B4/fP4wJWgCEVzrJXXi6PS5RvS9vh1+MbgHGpoC8DQH8cCkLCQmWCDyHAWDCX5BcmmX06rKJ7dzgGGAsnvy8caHX9Bmzro3j+Hu0TdolDbM6sJYYuvciVmQFYVOUW/aedKQLDRxeE/wUMAoqmxikiMB1dWtt7TJXhs93RW9v1+N5M5/JxhJMSSnh0KSIWly6ax81DX6EJYUTTNhflG2hqgZW98/9ze1Lpo3ORvvHziDgsxr8NBd2XjyZfUeKBzeAyvWfqrJ6f3BMFKSrVi/vRw3Z/0I7dsmoHB4D6rIFqv+En0+k+YLmZr1NIcgKS3ncKrLhnPVXiQ7LIb+g8R3W/P4Gi+6pCUiOeaMZ0yGFxq8QRWT+A4+x3hcmpAkGSltElAXaoK7WetL/nBxDhZNvwmLVn2iIbfV1PuQYBVQ1+DHhu1q32L/sQt4vCQPjU0qpkD+zbGgNSDByxaX5EEQWITDMn5vYItzTYoT90/KAscyWDIzD7ISkd/lWYSbgtRvsDLK9zJ64pA8z2//rNqpxWIzi2fkoeKCB+u3H8f0sX3AxHhaqoMz2vfCaLBAgQLuCkgxX+z99SsAf4E6DWhLT093A/gEwMTLdWFXIjiOhT8i8xbdZQYAUWQ1Gz3HMfj06AW64RH9ZJfTAn9kLJsAC/dNyMQ7e79E8cjeYBhg2pg+2LRT63/XoZ0DZaX56NDODrcnqAFBzDywkhwiqup8SLDxGDPoeiQ7LbBaOVyT4sCw3C4UbHYlWiAKHDzNQfx1+wnNmG1dg/GUSDSTgxwGHMuAZYE3PzqNze9/gaHZnTBhWDqVlKpy+yhQ/pvZAxGMLObHpuVqJE9TXTZTsNIqcgj7QxqwIm5ifHERDMmGjY8H7+xnClKwsoKz1U26xmH7dgkYe/P1msb33IlZAIBGr1/TdPu6qhEpyVZDxrFFYDHp1l4qK4QBkhwWTLq1FyRZMWwaTjfx/mMYBpIk6YB3jmNRG7PBEj3xOBD2/Q/TqW1FASSZasTHfqYcyxhOrF6oa8JdI3rhvf1nNFNKYLTePNFR5fYhrU0CLcY2vl2OKSN7w+NTE4i2yTYk2kWEJRm35l0HnmeoTIDR3sdzLLy+EM7XeNC3eyqmje0DT1NQ59nz5kdfYEh2F/xiSA/YLQJkWdK9FwuKc6je+Ip7CzDvjx/prl0UOPxp6xFN0hXdJJw2pg+e3nAQy0sLwCnGk7Vi3Ij7kock6xsxDruxjLcZ41IQtF5im987iflF2dj4tjYnEQUWjc0hLCvNhyQpOFfjpazfju0c+P0rB3RG7YOyOmkki4wS17ZJVigA6hpUD9/UNjZ0u6YbAuEwpo/tC0lSff2SHRbd3+VyWpHiskOWFSoXTp77xa2HdUDPwuIcNPlDWLX5MJKdIiYO74n1248bnlNb3v8cowd1o3lTPGfQhiCwmDomQzM9/OCd/VRfs+CVA91DQQntDEg9UkgGAwZPzMiDJCtY++YRTT64aNUneHK2VjITDDB1yTtYOisPgZhpuQfv7IdHp+biiRf36NY3YVA/veEg5t5hLCtts3JICAqGRJCX3jiMIdldsPHtckw1maxum2SjX9c1+hGWZNitPHioU+yEBftN+6xRA4r6asabKd9JfJPfJR8z3RrdcN60U51UGXvz9fA0h8DzHLa8/7kKZqc5UFHppWf1uMHdsXj1HuqFEh0kd4n+N8MwEHkev9vUsjeSaQRFUVA8MgNNvhDS2tixZGYeOJZFbkYa6j1BjWSeRWSR5LDo1As27Tyh5hRtEnCmyou3dp/GwMxOOvWbek9QU+sGQhKm394bnqYg6hr9VJYvQeDiihvxiMcPPIIhWSchCBhLgW9+/wuMGtgNi1a1WEIQMpwRYebQ5zWUDLF0Vh5mjesLh03Ao1NzIfKchgxRXuFGlVv1Tp9X1B9Om0iJbDv2fYU7b+0FnmMhyTLdqzftPIm7RvTC6x+ewpzCTNgtgs5XLf/Ga3D0tBvlFep/8/64CysXDKXXbzZJWFPv0/gXe3xB6uNNJ8wEBi720hAlJEkGw7EaRQhyLVcrudMszFRFJEmm5PTon8X62xIMVVEUtEuyo6ahWbMWl6/dhzmFmbphE0LWvWtEL7y87RhWrFPJPivWfooHJmXRIZN2yVZqQ8KyDGobVH/fuXdkYUh2Z90AS5M/BIYxtuRhWUYzuRqd26Z3dtGpp+citkDEj57UsIQEGo0VzJ2YhTVvHMX9d2SB4xgwkkzPeIVndVO8903IVIcIxvaJr8PvYURj9mTth2UFDoFH2T35UBSgoSmAmnqVSKxAgcCrKnPv7T+DW/Ou1SkR2iwsAAaLSm6CuzGgneiOrGkjW5yz1R48vnoPcjPSMHV0byiKgqo6Pzqm2NHgCaBD2wT4Q2F0aOfA3aMzVGnpNsYessRCJ/p7nuYg7fN4moIoW7sPi6bfBKvAIyzLUMBg/fbjmntk6wenUDi8B+2X3DchEy9uPYySsX2+c3ziopqA5eXlbgAj0tPTOwPoAuBMeXn5l5fzwi5nmE2WJdpFnKvx0AZeNGPz0RhJy1SXDYtL8vCPT05j/NB0zQY2d2IW5hRmQhQ4tHFaEQiHUXDjjzSLOlqbmRy6a944igfv7KdjDpt5YCUmWPCnrUdQPPIGLHh2F9I7u1A88gbthj8lB82BEKwWDglWQbexPzS5v+GU4/rtxwFofSKimR52q4B+vdJQ1+j//+x9eWAU5d3/55mZnd1NdpMs5AB8BZHKghw1QBZJgr4K0qoIVFoIHhA0RAgFPFohwRawQETbWrT1QKqAbYX+XrwQ7YvCq+WogEiLHC4eCCiSkLBJdpPsNTO/P2af2ZmdmRzIFdzPX5DdnZ3dfZ7v8z0/H8Pkns8fMqx8Uwqk197Xj/JWFHtkephgRHO91jptk5DBsgQZTl7ztwwnD8ak04YhBIIg6TqWlq/di8dmFprShTlTrZox6XlT8hAVRHx08CSWzCiAJEkghOD9PcflYnRUwuLValpRDywcgzHX9dLTKJjQK5iJXLdUIDaaEIyEk2mFjoQz7Q5vie4kwylTITeFIqgsKwQIcOxkA7JddtMA7evqgKag+NMRvbHy9f2YOeGHYAjwzamAbs1Se6f+d3lsOuXot/XIu7oL5j+73ZAeptLE5i8tK9BxpdOg2EwXw2HnFWq7J17eo+uUooXPqCgCioiz9vuOhIVkl/5ZBm9hdBSZj5aaUPmxxHCSj01gI3hv93H07JaGSaP66IoTDjuPime2K110xaOvRjAcVZxvNQVNusOqmzZJnIgtL/bgiZf3IMPJo2TsADQGIxAECSte3xfzMz7U+AxD++VoaJcm39pX0eVM9Il2HqhC0U1upUvv6+oAWJYovP8P3TkIjzy3A7MmXGN4Ts2acA2Wr92rmY5J+gwqSJJSAATinY7Lfn5u6EAT0RJVq3qiG4IAEXp/3ai4EI5oKTMlVi5q5HRKxQuv79NNPZaMHaDo7aSl8jjd0KzsCY6TQ7Ha+madP/LrkmtR5w8r+i0zxg9E184OAMD/bDmM24b3goVjsPNAlam2GsMQ5d/hiICn//5vLC0rANPO886sAAUJmkRL0us5dzDyNR65Z6j83bMMGiNR3RqqmOrBK//7qdLxD8i/2/1Fg0xp4+g5beajUF1B+v9IVFQS1EC80//R0nxlAiDRV6FsMerkc8VUDzIzbKicKesHqRtIbhhyOf6wdq/SPf2YwfslTiim2iw4Vdeko1VKyUpNdhQlkcQlDvOmCWMWkqiJ/nrpOH3DjJqymGMZzH92hyovtlP3PJ8/CN7CgDQTjU18ePIQNDZHNU1C9DWUPSOnUyoqEvTqqY88/sarNDZP/ZmNaOzmTs4DQwBnKo8nXt6Du27uo5tapI2aiDVKWGM+VEiUJ0jOJB5LUjC3jtaYyIwaVziWVX5voxxqYj705bcPgbewWPn6fiwoGYZAc1jH6EPPUWeKBS6nDZ3TU5DuEOUGz8awsl7o9Utv7w+GIbr4iDLdMZ2N92FtfTOmju6PX6/QTgk+tnq30oTHW+SYkRbFE/O4rnSr8tza+maseusgfP4gTtQE0D3HqS10SMC2f3+tmfzasPWL2IRrch12RNAG5zlFubBbLZrGsAcmDQLDAOkOG0IhUVkblTMLMKcoF+GIoJMooxqRjzy3A4un5+ty1ktX7cL9RYMM9VGff/UTuLu7cNvwXhobX17swVvbvkSdP4zJt/ZVZB/oGlbnKwB5bwTDUc3nzHbZkWK1KL7uotJhSv1j+Vo5//GHB6/X1V1mT8xFdqcUZbCA7vN7x/Q/7/mJdr2f1+s9BuDYObqX8wazwsHi6fnKOOpT6/YqHZuPmnAWMyzBj67tqTOWT77ysTJd8fis4WAEBsvWaDUj1EHe7Im5aAxGMGP8QLlKbfBe3TIdisGmi3TTh0fgPeZTgsK7bu6jN/gvyZujzh9GWgqvG3F9fM1HmFOUqxkhd6VZUXSTPPWh1omgr1m2Rta1ognsxIOkaFRv3fe7fO1e/Oa+fBw9GaeV8TeFVUUaOdlBkyNqtNZpm4QMC8dg4k19NAZ33hSPaQIZBBAE4/UWNfu7KOnobB9bvRuVMwvx7q5j+Ov/xmkAsl123JjXXZcYeGz1LlTOLITNymqma21WFohNC6r/nu6wgpi0R5gViEURqG3STwgm6eA6Hs6kO9yM7gQAfjayN6p9smD3saoGdMtyKHZ/w9YvWuQZB+K0hN5jPlhYBidrGw0pHKnTvnztXiwtK8DxKj+eU9EdtpceZt6UPASaw0rQW1lWoHFSzLR56Fm2onykYacUPT+oPTX7vpNd+mcXogCdM/vSW8ZUfixjTLc8Y/xA3fMH9emiNBzR69IArtoXn4qjWDl/pDJ1RyloFpUOM/ZDsmTGgmA4ClESUTSqN7p0TsXphiA6pdkUn8DIZ1hUmq90h5ppDKrpGE/VBZWGjpVvfIKlZQV4Yf5IfF3lR31jGNU+eaLK6D7p37MybKgo9ii+DW9hICYbQRCJGp+bEeHcF0nbS+9u5K8bFRcS/UGeJSga1QeESIZBGMPI160o9miCTnq9krEDsGbjIUz7SX/85r58iJKEk7WN8DUElYRLtS/OfvHw3UPw3u7j8DeFMW2c7BeTGB1pYoMIFaqfU5SrfCZRBBi0z84mk3gXHkaNSpkZKaitDUBiGSx84UMdTVenNCvu+JF2sn7+VA/SHbJOz4KSYeB5RvPbUr3A9Vs+03XO0+lvID6p1xiMGO7x+gSpB7WvQpuPjGLInE4p+Me/jmDUtT1xz5h+SHdY8aSKfsnMj6HagfH1btx4uLSsQGZ2SOKCwplmh82abJVJ4tzA7MziWRPWF5PiICEEH3wsawNzLAEhMoNEonzC+Buv0uXFnlonF+tcThv8jRFdTsPfGNbFdOqcnYWTmbWM7J2N55Biizf5zJ6YCzBxP4DSJz9amg8JEo5XBbDitU/g8wexqHQYxt94FbIyjP3aqCg39rTkQ7UHSQrm1nEmTGQsJEX70iiHqj5z6VpMsVrgPeZDQ2NIo71HX+NMsSDbZYcoSZh8a1+lAL2gZKhh/mHG+IFw2o2ZZTqn2wx909kTc/HmP78wlYkSJUnRxC6f4kHl6l0aakeGyPSeL288hNGFVxo2Yat12wHZHlw/6HKsijFopDt43DumP+wWJtm030FBG5zDBrS4T77yMWaMH4i5f9ysWRc1dUFZsmdSruHa8/lDcDll5iGjx11pVqx664BGF/vv7x02pfekkmqAPg8jy5/la/zz8mIPBFHU5ORmT8xFOBJVXgfIsj7q61lYFk+t08evC6dpNTYvVE3je+npmRUOmBiFnLojPhiOApIxdaUkSZBgfK10B485RblgWIAhxou2e44DJWMHYMPWLzAirwdWvvEJKssKDd+LYxkNRcxb2771aCVRAAAgAElEQVTEfw++HNv3fYv1Wz7DnKJcdEqzGb5PZoZcmRZNCowcyyiJt3lT8lBT34x3tn+lTHUZvYb+3Sjx3C3TYfgaAJqurTiXudRisiOZ6GgbIlHRtOBmlEC+7ycDYeFMpgRNCq9ma1kQJMNkl2DQxUefb+c5NCBOO2vnOQiChJffPogReT1g4+Vk5ctvH8R9Pxlo+JnNCsQMQ5IUst9zGNGdLJmRj1BY0HShP1qar9j98TdehVQbh4XThsnUeJKEUETQUGHNm5IHZyqPBSVDQQiBjecM1zil56r2NaO2PqiZ6vP544k4s85+X0MIi0rzEWgOw9cQUujlqGZW4utocLlw2jDUB0LylJeFUa7HMcRQCHnD1i9QMTVpT883ogb0R2ZUfhAk3XTf/GIPACAt1YLKmYWIRER8WxtAoNk4OSGIxpSi0agEq4XR0CvVmOidVZ9uwtN//zcWTrsW4YiIf/zrK4zI64HsTnbFJzBLBgdi9LldOqWCZRnD57jSrJrAgHbfzZ6Yixde/wRjruuFtZsOY/yNV7V8TsUobSRofY75xR5kJBtBwDDGPi1j1m1zFtHepIqZTjAtLlCaF1GUwKg64sOChMpVu7C0rEAXAD61bi8qywpRUexB18wU00SJ95gP/++9w5g2bgB+9YxcWK8sKzB8flqqFSvKR4BlCHiWoKLYg9r6Zl2zU7qDB2/hlCJj8eirFfvcXgOcTOJdHEgs3NJmRhpr0jVOqZEiUREWTisxYeHks7oylpCuLCvA5t3HlA75qCDiwTsG4/d/2wOGQDMx8Pb2I5h6W3+FVpT6MkZ7XC31AOh9FSPKowynFYIo4ub8nth98CSu6Z0DhkDRGFI3oya+n8POY0X5CHAMg2Akatp4SIvgSVxY2KwcbnvojXa9ZsPvxp6ju0niUoP6zAIhQGx634xthLUQQ51glgEG9+2iaAMP7ZeD4tH9cc9t/XH0pB/rt8iNnRbO2NfM6ZSK1RsPoHh0P93jZjFd9y5OVJYVojEUgZ3nDO2d3KwRl4/YsPULjC68EnYbp7H3giRizcaD2HmgSvlMUVFUqKJbanxvyYc6k98j2dxpjjNhIhMEUaN911p+oEvnVIQi8jdPm32M1tXsibkgIPjD2nhOw2yt2nhOozOovpYzxQJJgiIf5EqzIsVqQTgSxbRxA1B92jj+q6mTdYpr6po12p7BcBRNoQgkCWAJwXu7j+Omod012p908jax0EHtQem4ATr2oSQ6JmiDsxl1PfUxaSxWMnYA6gNhZDh5iCZSYVFBxN239MWJUwFj28gS/HjYFbDxHJqCEWRm2LDv8xoA5g1q6j2Y+BjNV3TtnIpTdc0IR6J49f8+1+XRR+T1UO6BEKJ7r6aQcTOemsXpQtY0vpdFQLPCARcL3Jeu2qUUxWZPzMX/bDmsK3RVFHvwjx1H0LdnpuG1OqfbwLEEvvoQTjcY6+4dqwpg5RufYN6UPLy9/Qiqfc0IhiM6LZzyKR5wFoLNu49i8i39kO7g4enXFe/vOa5UvbNcdlODzxCCuX/chgUlQ02DtGU/L4QzhYcginjjgy+UjuZ7x/Q3cUbk7iw1bWq6g0enNFssQB2qGP/1Wz6TBe5Z5oySFclER9tgVnATRX0CuaLYA97CIGpSvDOn5TTuymNZYqhH+PDdQ0ydWStjwKUuSNh5oEoz4QQA9441drjMCsQgSQrZ7xvUFHO8hZHpY4lW4y4z3a6hdq72aaevqN0vn+IBxzL43V8/BiDruGbFnOdAcwRHvmnA5t1HUTpuoKnTTum5jBJvaupOo0YKynH+h1e0Qsc/HdEb//svuUGjMRjRTQRQil21bttDdw6Cy2mDIElwpvB46M5BYBhGcfp/POwKZDisEBKoDpI4t2gvlZ/6DKQJ1YefjtMyL5x2Lbp3SYNk0rRUW9+srDOX04aiUb3RLdOB2vogVm88iPuLchVNSXd3l+GadMSmaxsaw1j3rhe3De+FDVu/wG3De8EX83PMksFpKTycdh4MC0gmvkqnGL0iDRjLiz1oCkYULUJKi0P3TH0gaHhO1QeCmHpbf4VuFJD3+pJkIwgAmSqrPbTbZxPtTaowJpMAmel2rPr1KNT5Qxqal4piDzKcPCIR2R+KRI39ooamMCwcAc+xWDqjAKs3HtTYzQyHXNSrqQtqrmG2vhkGYAS5oS0iAJ2dPARY0RSMaJqdgmEBT6/7jzKxEAxHMb/YAxZnloBLJvEuXqhtvJoWLJHqE5DX0GMzC5UJAn9TBPs+r8HxqoCi0UeIhEdL88FxBLX1QY0GsKdfV801aXNoonYKlXpQv6/aVzGiPKo63ajoqhSN6qMk3qndWLPxEDbvPqqLXWdPzMWatw/EtH0EWffPRJ7gTIrgSSSRRMcDPbOyspw4dcpvyjYClkFNfQj/jE38sSwBxxLYLAxCEUnxZWne4WRtAJdlORWGgONVAZl6zbCJH6jzh3GytlH3uFlMd+ykH5dnO/Cnv/8H94y5Wpd7mFOUi3QHr8g0qBvaALlpIt1hRbReBCESSscNxL1jJDAMwLFE8eeNYsL5qiRxog9Fm0sEUZILLSyTzI+dJZwpExldy6xJzkx95jY2R5DusOK5uTeCYRg8dOdg/O6vcb3u8mIP0lJ5CIIICVBioJaab4LhKFjWbngm//nN/bhnTH/4/EENK0y2y45ZE64BwxDd2lYahos9cKZaYOFYNAejSLFZ4GJsqK1vxpv/lIsicqGRh83CYYlu4pcgDEaXy036sJcOaINzW6jraTFu14FvUTSqD9a8fcCA1tODYCiK5es+hstpM8xNBBOKxpGoaNown3gfxoVyHoGmMGpjzC+/Lhmqy6OrdeQpw0s4Imiu52sIGV7fwpxZPeRs47zkw91u928BjAdwBYABXq93f+zvvQGsBtAZQC2AyV6v97PWHvuuMCscECGuhySKgAQJf35zP3YeqIK/KYxFpfloCkaQ4bCCZ4HRhVeCZfVdShXFHlhYBoIgYclLu+By2nS6e5QDvGTsAKx714sReT2w7/MafHOqCbsOfIuF02SKA5ZlACKhti6ISQnB1+yJudhz6CRGXdsT4YgIK2+c2CGx9sq1mw4bjn9Turhn596Iv7xzCLcN7wV/Uxi3De+FDz7+WpdonlOUiy0fHVMOF0qbOm9KHqKiiIZAWKf34HJa5STHGeqUJA+J1sGZ0mYAX3zt0+jj/ftwFVJs2QCBYfGufGoe0h28voOdM+7Ks8Y4whMdCivPGD7fZmUQaoroflPGxGEym1AwKxBHTRLhSQrZSxNqehSX06bRWhvaLweLp+eDgBhSCdDpq/uLBsGVZsXJ2kY89+o+DV//+i2f4b7bB6Dime0a29kcjiDLZVc69I2cA6PE2+bdR5UziE7wLZ6ej+ZQFBaOBW9hNJ2eQHw6cN/nNfhx/hWIRES8/sHnSgOGkeafy2mD1cJpkuTyZ9qved4L5SPBnpufJgkTtHfCXX0GSpCw8AUtxfjCFz5EZVkBrAY+iZrSdu6UIWAJo3tfKx/XsaDNPbQTjmGI4gtRp5wWnCn9J3XOzSh1f/+3j+HzBzFvSh6sFsaQojoYo9aYU5QLliH4/d+0RXAaMND7u2fM1XA5tfTRLqcVvIVFfcB8IvL73gjCcQQZCd9bhtMKjiMIh1t//XdBu5MqRDKhM5fAMIxhR/yM8QNxWZZMoW821WrjOZ2fqtZpAQHsFgYpNg6NzfEg0ihBl1hAZVkGtf6wch4VjeqNzuk2AFrKsopiD1xOKxDTlkni0oLaxqtpwVxpVkPb5POHYLeyeGxmISRJ0tE0lxd78D9bDuOGIZcjK8OuWdeJRT+fPwgrz2LWhGuQ7UoBIcA7O47gtuG9NDRHib6KIGkpjx68YzBe2nAAADAir4dOs3752r149L58fFsTAMcxmDXhGnAsA1eaDS9t2K/R9hEEESzLKIVOdYL7TIvgSSSRxKUJ9cTbax98CUA+u+Ucnaw7ZaRJtmRGvtI4tubtA7ocFm1OuPuWvnh/z3GdH57TKQW/uGuwopuc7ZKlFV7/4HPcfsNVuPuWvvjdX+WE9P1Fg5CZYQPLMGBZAsIA08YNwNTb+gEgeGlD/LznLSyWr90Lnz+IhycPgdVpgc8fRH0gjKyMOJOXurm+e44D39Y2KqwugHlzifo7SEqfnB18VyYyvoV4jJ6vdhuH+c/GcwtzpwzBb6bno6ExjGAoilQ7h5O1jbrcLc1NGOUf0h08Vr7xCYpucmvye3H9sQGYN8WjkQ9Sa2VSmagrujoRiUpKw3CK3aKwD9ww5HI8/vJHmu/FmSrn4zgAsLCa3BzPEsUvTq7VSxc0F20WKyXK60gScGvhlUp+rc4fVvJazhQer/7fZ/jpjVeh2iezaqjZGl1pNvAWBvP+uE3jU9P3mjF+ILrnOHVrvbzYg7WbPoUzhdcPXhV70BgMY+2mw3hgknyNSETExu1HUDJ2AHp0dYIhRKMjn5bKQxAl5HRK0diLzbuPGtaIvks95GzCNBfidru7teUCXq/3RBue9jqA5QC2Jvz9OQB/8nq9f3G73XcBeB7AjW147DvBjI6AGiECgAVg4VncO6Y/br/hKtQHwlj11n6FwjISlhNyAhis3fSpxsi+sulTZbSZLlpRkpSE2rEYB7g6uVV8az88PHkIXnhNdhje230czzx8I1hWwsmaJoQjgiFHOdWWcjlteOiuwYY6a0JsdXmP+bBm4yEsLStEbYz2ix4I2S47RFFOiB850YAFJcOU4uBHh6oxa8I1yOmUCkmSUFPfjI8OVaPqdFOsWMmAYYD6xhBqfM2mgsaCkAzxziUsLAwLbryFRZdMB8qf2aYxxLyFUTrHEot3QlTCy28fSqDlPITScQPjXXkMIIjAe7u+wq2FvQwTdaGIqNsfazd9ivvGmdB7mkwgsiwgtWP5JClkv19QB4tUj5XaIGrT5Akg4wR0TV0Q6Q4rFqz4l+axp9bJCS5RlLDwhX/p7O/CacPw6v99hjt+3Eexu9HYOXLPmH7olGaDRCRMStD/mXiTGxlOHotKhyHQHIGvIYTf/VUueDw390Y0haKYO2UIGgJhZDissPIcWJaAZRg8dOcgvPDafgAy/3i6g4fDziMalXSaf0b6rEZ6WgyD5MY4z/guE+4tTVNFBBGZTl5p+iCEIBKVKW1dThtSrbxOx3jpql2onFmg6DzQJLKFY1HbENRx5j+2epeiMUjpL6hzTil16ft/cyqgJGQA4LHVu7GgZJghRXXx6P6K4x0V9OtZPani8wdhtXBw2jhYVRPlPEvgD0ZhtxrTNSUbQYBQWMR7O49ipOeK2DluxXs7v8Lo4b3O+ZRku89mSa+HuefQSYwu7IVgSNB0RQNxupk/v7lfacAwasJb9dZ+w2LGsZN+bNj6BUrHDUAkLO9RiWWURIta08ffHEagKQyX0wqiWlPq86jaJ+sGLigZqtDnUtpGGiuQZALkkkSijafrLcVq0dgmd3cXikb1hiPFghOnAujZLQ0EDCJRQfE/auubsXbTp/jxsCvkRr3iIZrEhs8v+zD3Fw0CIfLE6guv7Zf9+7ICJcFy8IhPSbCkp1pRG0tkpDusWL3xgJKAoXIYgii2qvvHsQTZrlQ0hSJoDgnYvPtLTBs3AKXjBujONDVVmlH8nUQSSSQBtOznsgxB0ajeOqpv2gR09y19sWHrFxhzXS+8s+OIhj6Z5r2OnGjAjPEDkeG0YvH0fPj8IdQHwnh351GMHn4llpYVIBIRUVPfjNc/+Bzjrv8BooKovGe1rxkVz25HtsuO30zPR/kzO/Boab7iX9MJvdtvuAqZ6XYIooSScf0RjgiIRiWU/2mbxgda9vMCvPjmQQDx2E4Q5QZ+nz+osFhwABZOuxZVp5vQNdOhY7xISp+cPXxXJrKwIGlyYFFBhN3K4aE7B8cmQBk8/PRWze+3bPVHmDXhGvzq+X8h22XH0rJCUw31lW98AouFYMmMAoiSfH+iCESiUew8UKVITSXGQV99K7MZPXpfPgDg25qAUgCcPTHeELdw2jDMfGKLkk+0cARvbz+C93Yfx/GqQKzhzgmWkdelEJW/F+rLqxv9wzBu2kuu1UsLDBvXm4w3EzvAWxgEw1GNvA5dU7X1QU0TBM1PVZYV4HhVABLigx308WyXrN3eo4vT8JxgCFE02xdPL8CsCdegc7odALDXW4Xi0f3BEODFDft1OeofD7sCk2/tCy5G0y9Isn7rvs8/wYKSYXjt/cPw9OuKdAePbFeqUi/JdsnsW/GhGzknQe2HzcpBiggXjb/bUkP01wAkoMW9KQGtDxB4vd5tAOB2u5W/ud3ubACDANwU+9MrAP7odruzYu9p+JjX6z3V2vu1BWZ0BGpEwgKsLIPMdBtcTqtxQCOa0BeO6a/p1qGLsaLYY2iQT55u1GgxZLvsaApGYLXY5K5Kp00TMFJ6GEkCBv4gE/89+HL8+Y1P8LORvTX3IUlAY3O8tdvnD0IURUSiokYrJ1HgMtAc1hQpGYbgkee0UzAvv30IZY9vwYrykUqAaaaXQgWNkzh3SHQ2lILbTwbqHIjla/fisZmF4Fii64KYNyUPomS2riW89sGXSlcexc0FVxomde8dM8DkOsbrgQCGhWwC2dgkoiWB7AtJIaumpkzS15570GDR3d2F7l2ceGDSIIWK2HvMpwSOVga6LvQHJg3Ci28eQPHoq4278xuCYBhi+BjLEOz7vAYjaps0mn8Uv5tzHcIRAV0yUzFj/EA4UuSC3aq34lNVD08eAruVVTqKOI7BS28dwLjrf4B//Osr3Da8l6ITRPdnhpPHzgNVygT2U+v2YsZPB+oK8V06pxreN9XTUrqSWAKCJIXM+caZTri3Nk0VCQsgAOw8i1p/GB/EGjesPKNxtimqfc2oqQvixTcPKCLvNXVyUc9sXxASp9Qwcs5njB+IrpkO3b6o9jWjKRTBxJvceGz1bmVSqnh0P/gagrhnzNU4VdeMv7zzqa4hZH6xB+lOXtFeo9oR6u8wIgCpFhYSq6e0STaCyBBECQeP+NC3Z6ZyXh884sPNBed+SrK9SRUO0NCwUEpCdVMT9UdpEOZviigT3qXjBgAEsaBMwjen/GAIdD4JtfUr3/hEs04EQURUlPDShgOaRE5tQzOyMuzo5LQaxgWJe8bGc6axQtI3vnRhRAsWjkQ11Mxq5gJ1R/3cP27XXe+eMf3x0F2DQRgCGy/rCqobhTqlyxN48antPASa47okiQmW+c/uUP5N16a6KXDZzwuVfxvRKg3tl4NAgg9eXuyBlSWIhIUWp9pbir+TSCKJjouzEQO35OdyALplOgx9UxvP4clXPsai0mFoDMq0yiPyuqP8me2653bNdKAuEIQkETQFI3CmWDDwqiz4/CH8Y8dXKPhhN1yW7UTpuIFY8fo+jLv+B5oCH/WfCCFwOW1gGJja2idf+RizJ+aCIUSZ3KL3QYuXpbf3RzgsapqWqH+jZrGIREQ8u36fqe5WkvHi7OG7MJGZ5YhfKB8JRhARNil0U+md2RNzEYkKhs/pnuNAydgBWPHqftw/KVdpYqbn/tB+OYbTWGp/GQCKR/dDl86p+OXdg1Fb34wX3zyoyDGkpnBYUT4SDAPwFhZLXtylvM57zIdFK3diRfkIENX3Yrb3z0RfMYmOh3BE1DHMPfnKx3jozkFItbCoLCtETWwQqSkYwfK1e2OMFQa0mRyDu2/pi00ffmWYq96692v0+q90w9dSuk/aJPeXdz7Fg3cMgiRJyHKlYv2Ww7j9hqsM9+e463+AJ1/5GLMmXKMUEuWGEZtC97zv8xoNmyJ9L4ZhIKky1qIgKRIvLqcNp075z+G33z60tO/s5/i9LwfwjdfrFQDA6/UKbrf7ROzvpIXH2lUE7NzZ0epzsrKcrT5HFCXUN4ZkQXebBempVjAMgc9vrPdns3JIT7XikXuGYvGLO5XgqSWD7PMHlc6Oh+8eApuVVRIdVM/PiP5g7uQ8/P09L3YeqEKdP4zxN16FFJvcmbnm7QP48bArlPuqmOoBQOBIsWBByTA0heQpFNoxVVHsQbqDR2a6HUP75WDngSoUjeqtKSK5nDZEogIevHMQIAFWC4NFpcOwYMW/TLl3bVYOLqetTb9ZW36P7wvas36rTzeZFtyMC7MSJAlY965XY6zXvetF6U8GGjvfLMHQfjkYkddDef7m3UfBMQQTb+qjGbeeN0Xu8DC6jpXnkOGwxvcUxyA91QoAaA4JaFBpqKVYLXA57WCYeD8C/czyFON2nTP92znXtXm9nW2IooSjJxuw+MWdynfxyD1D0aNLmuYztBcdcV+cLfvbGnz+IIb2y8Ftw3spXZGJtpXaoIwMCb+dcx0iURGiKGHlG/JUdlu0/RIf4ziCh+4cjKagsd1Ld/CICCJCoajSBPJEjD4DkG1pKCwo09M0ATjhpt5YtvojhWpRO4UlT1LdfsNVSHdY0RgM4/6iXEgANmyVmwCyMmzgLZxpEO1M4bGifCS+OeXHs+tl6tOzsUYvNZyv9dteiKKk+BZqG5OZkaL5/eiUN50AeeCOQUh3WA3XRH0grAR1tMOuJc0JQogyaZXo01Atv9P1xv5RWgoPJjb1bbdaNOdGRbEHmRkWXN3ThU5pNjwx+zpEBfmMcNgsqAuEABCAEBCOAWdhFX8sES6nXdnr9Iw50/V9Kdnf2vpmXeFhTlEueI5B5/TU83yXrUNtswmAeX/aprGJ6q5oavOzXXZwLItOaXE/QBQlBMNR1NYbU4Rmd0rBb+dcp1snPn/QkDHhidnXgRC0KS4wO1/a4xt/39AW+wtcnHsz8Z7UNvtUXRCbdx+V6d66OA0nOR6bWaisF5pwTnfwkERAgIBHlm/HTZ7uGOHpjoZAWGEqoDZ00o/6wN8YhiOFx1cnGgzXXlSVlDdbny5n/LyQdf+0tEpG2quVMR88Kyul3d/TpYSOvH6/b0j+BsZo6xpWoz0xsNH3ruTbIiKWzCjA29u/RN+emUh38OiUZoPLaUMgGIGFiIY2y98kNz3U+UPYdeBbLC0rUB7TxXAsgZ23aLTi6WMzxg+E3WpBipVFqo3HHT/qg9MN8VhT4/NO8WD6+IH45lTAMEdC70lm8DJulrfxnEZOh/79qXV75cbomK/g8wexJJb3OBs5t0sdF3Jvm+WIQYDOnRyobzTWDMuKTZc2h6LgOdbwOceqAkrT5cnaRl2egDLFvfz2oRhtrR2iJLMKAPJAyW3De2mKhxXFHvzy7sEAgI3b5IZ/GtNlu1hDdhb1WjPb+5dnO+HzB7Hs54WoD4SVBu1slx0pNg6ihLMSp7UFHcnWX6w5iJZgs3KG8RJdJ6IooTEYwe/+ukdpYmAYYxa4zAw7Hn56qyKdlpirnnJrPzAMdJS4syfmKlTP6Q4eWS47po8fqNh5+hyz/UntNcfKk4DVvmZ0y3KAYQjKfnoNSn8ix6LPv7ZPMzCV7bLDaec10kGJZ9/F9HsRSTp/tEhut/srAKO9Xu9+t9s9GMAar9fbT/X4QQB3QS4CGj7m9Xo/buPbXQHgSG1tAKJo/hlpJ2JLaGnaCIDpY1T/IAr5E/kb45pVRaN6K932f3nnU2URPTf3Rnxb24juXZwo/1O8uOHu7sLkW/vqaEEBedFRGiM1LdIfHrweNp6DJEmwcAyorFpNncxBvnn3Udw2vJdMrTT8SjQEIgoVGC0YOu0WhCMiZjy+RbmPxCIk1fxLsXEQREn5nEbfR2toy+9xLpGV5bxYMuBXoJ3rV2LjRWOKbJcdlTMLFdoJ9d+X/bwQUUHCtKXv6a774q9uQlQQEY1KYAggSrKOkN3K4ZQvqCv2dU63QoIEIQpFd5DlACIRfH0qoDPs/5XlgM8fMt1TLXUQqj9zlBCUVm7W3f+K8hHgzqNtU0NiGZQ/s13/O5QVnDHtV1v3RUdev98FLMsgJEqK9h0FDeRcTpuhDVJ3rPEWBk2hKKpqm5QpVGcqr1BvGukuZLtsiIgSolFRZz+pPlrRTX2QkcZj7tPb8MCkQZpuVLPJ8KVlBfD5Q3Cm8HgyQRcNkNd3TV0QqzcejFF2XAsA8PlDePOfXygBqtGUAbXXqzce1DQMfNc1ejbwfV2/Z4K2dFpT+6g+t43WRGJnKCBPgMz94zYM7ZeDybdejerT8X2R5uCx4tX9yHDyKB7dHxFBQIqVg88fQqApjMuyHWAJA1GSIEmSRk9w/lQPLBwDgKDqdKOhP0P3bGcnj0hM8NvCs6jxhzV017QT8PpBl59TXYlLzv5yrKaQBsjf+2MzC4Ho+ZvLOZNpgZbO/G9OBRTqrDlFubgsKxVigmA8yzKQWIK6dmiTGMUA84s9sFgYRZuztbhg4bRr5aSmKgbolumAhWUUfYgLjQ6zflW40DGDEczuiWUZCCCQCOBvlNdfok9A8UL5SDQFI/jbpk/xs5G94W8MK/a3a1YqJBHKhCHVE6LIdtkxa8I1aA4J+K9sB+piWoNqRoE5RbmwWVmseFWmDDXSip1TlIv/++g4Cn7YDV07O8CyBBIkfFMdUO6lW5YD952hD362f7vk+v3uyMpy4raH3mjXazb8bmy7XnMxPv9i+A0uovULtGMNJ6KtMbDR2k88a+nkf6LMydpNn6LOHzb1ZX3+IJbNGo76WJ6hpVgoHBVx/+8/0H2OZx++EVYrC5fDhmMnG/C3TZ9izHW9kJVhN401t//nBG4p6Il173oxIq8H0h08XE4rBEkCAYEoSrDyLFa8tk8Xf9EEt9F58Hz5CNgYIjMTqHygi0kT8GJcvwAu6N428h1pboCyyyU+Ttc3jZnmThkCBgR1gRBsPAeGkRuI6xtlOvpsVwqeWvdvXZ7g+XkjcPSkH9md7IAE3T1IkqSRbwLoPi1ETX2Tjpo2M92OUCRq6PPStWa094f2y9Gweai/gym3Xq34xedj/bZ03l6M6/dizkEYISvLidOnGw3jH5cddT4AACAASURBVJuFQ1RFkRkW5Hm5ime244FJg7DqrYOa6er1Wz7DA5NyMX3ZFlSWFZjaRY4l8PlDStOb087jfz88gsF9uyh2cUHJUMNcw6JSeRhq2eqPNGvz/T2y35vTKRVfVwewefdRpeGNnmMsy6CuKaJZu/Om5GHdu17T3Nq5/r3au4bbNIHrdrsZACUArgeQCRVFqNfrHdWeN1ThOIDL3G43G5v0YwF0i/2dtPDYeYda3wNI4DFuhdqIjpEDgCvFgsdnyYUXUYSuAKju7Fj280LNYqV6fg/daTz6T2mMqAOU4eQBCZqpmMSDhRrhe8f0x7GTfl330dKX5G5U3hLvQlGL29PnLV+7VxHfbO37SOIcgkiGU6YsQ/Dw3UM0Ar4P3z0EhMg0r8bdcQzq/WFNUaN8igc2nlMKgEBcH6pyZiEaGsM6Rz3dwevGwtdsPIRf3DUYr5hoaZJ2UC+0Rot3IZCkPDj/EARZ+8zoe78sywkrY2yD1DQfRJC1XqkdzHbJVKEAFB0oqiuRmW6H1UJQ5Quizh/Es+v3weW0mepO3F80CLMn5uq67anGTiK1TKA5gl8+tVUJVNWaatkuOxoaw8jMsOEXVFcAAMMSpNgsKB03UGkGqPY1Y81GmZO9S6dU8BYWLBunKEn8rpJrtOOgLRQ11D6qz+3ENWGxMHjh9U903WzpqVY8P28ErDyLal+T4b6gepszxg/EyaiEpat2KQ1LifR2pT8ZACIRBCNRrN54EKW3D8TlOcbUvTae02lFhAUo5wugnYpdtPJfSV2JdkAQJbicNs35u37LZ7LPdp7uoaXmupb8RbMzv+p0EyJRCcWjr1b8jIfuHKSzZ4IgAgLa5acaUZiyLMHDT2snEtVxQWeVLifLMOBZQLRIeHxWYbsKkElcOlDrziu69CY6xQwDZKRYMGP8D/HNqYBif39y/ZXIcNoUW5gYKwLyWszMsGs6/MunePDQnYMgilD2h88fxJIZ8pquDwSRkWHDrAnXoFuWA6GwgMZgBJ5+XeOaVDMLNc2pABSWmovJB08iiSQuHL5LDJyYbxuR10Pn91Wu2qXomq/ZKE85dU634YRK12xOUS6+rvIrRQ6130sTu9QGLiodZmjDjlcH0KOLE/WNISXRu/NAFZ6YPdzw89l4Dp5+XbHuXa9mUtCskAlAk497+e1DKBrV23CSUO3bqn0g7zGfort1WZYTNit7UWlOfd8hCCKcqRaNr01zA/eO6Q8iSQm+JYMVr8cLxNW+Zvz93cOYeJNbyTNMvrWvUoSmhYcMJ695X3nSX2Y6WlSajwUrdmh8/mA4iv/KNtZSq6lvRlSQMHPCD9EYo3JUN789PqsQ4Yho6Dcb7f0ReT10OfSn1u1FZVkhCICFL5j40Wf5t0ji/CAxXuItcj6Z/s6JDZMVxR5TthVB1MuOqB8/cSqAbFcqHl8TZ9hyd3dhTlGuwo4ByHIM6sdpvo0hBDYLh4qpHlgtLE7WNuL9Pcdxw5DLNTmMeVPy0BgMo9rXDFGUdfCovvVjZYWIihIYRpa57ki5NaaNz/stgF8A2AegAMBmAFcC2NXSi1qC1+utBvBvAJNif5oEYK/X6z3V0mNn+n7fBS05NEAsGSeIsMZGPUOiBIllwLL6r7fOH0bFM9tRWvke/rD2Y0y+tS/c3V3KRFWqnYO7uwv1gbA8Mq6Czx8EIUT3d/Xo6lPr9qJoVG9MHd1fZ3QrV+3CiLweyv+fWrcXI/J6wOcPaTZI4mfkIGF+sUceczURhrfxnO774CSZBzfpjJwnSETR5assK0DJ2AHYsPULCIKEvd5qLJlRgBXlI7BkRgH2eqsRjogA5MIhXVPUGY1ERaUACMTWz+pdiESMqUVFUTJ01CUxTklX/sx2LF21Cz5/EBaOwbjrf4CVb3yC8me2Y+Ubn2Dc9T8AIe079jkgNpEVv3+q53OhQB10NZSkSBLnDIzp9x53UuUpEAZRQnQ2WgBRAj1AXsNPvvIxxt94FbJddiWom/vHbQCREIpICEcEdM10wOW0wXvMh4bGEOb+cRuWrtql4QgnBHj57UPgLSzKp8TXazAcxdB+Obj7lr6avSAIEtzdXUqTRdGo3srnmT0xFy+8vh+PPLcDFou8psKihOaIiGfX/wfVviZdA8milTtRFwhBEEV5MkZCco1eQjBb19Q+pjt4wzUBAGs3eTHmul4aGzqnKBe1Dc341fM7EBVE/PYvewz3Bf1/l86pWL/lMwBy12iiBu3SVbsAiYBhgYUvfIg6fxj1/hAqntmurPm7b4n7QtSfEVQdkIJofPZYOIKSsQNaVq9OQgMLx2DyrVqbM/nWvrEJzfMDs+a6aCuvMzvz39r2pc7PoPbMaH+0109NfH7YxBcSRAksy6DWH0b5M9tQWrkZ5c9sQ60/HLuOdEafO4lLC8p6gmTqwwqCiKggauzpSM8VGl/bKFbMdunpwSpX70J9IKLsD9pwUVPXjF8/vwMcy+LxNR/hrW1fwt8o04v+8qmtim12OW0QBP2aX7vpsO7+519gHzyJJJK4cPguMXBivs0s5+SMJZC9x3yoeHY7OI6ge44TD905GPcXyU1qWRl2Q7+3PhDS2EAAujzIvCkebN59FCdqAohEtXbP1xAy/HzBcBTOFAtG5PXQNMubFTJLxw3E8/NGYMb4gUrx8rJsB4pG9dH4ZhNvcuOdHUcgxJzcRB/I5w/C5bTBGpsQS+bcLjJIUH5Puu7U+0HtWwqiqCsijMjroWihGcVXj63ejXvH9Nf5EISRUDJ2AALNYUVKit7Hs+v3obE5gqH9cjTvle2SpSGWr90LjmGUAiB9ryWrdkEQJFO/2WjvJ8af9FqCKCJqEtcJ7Zw+TuLigmZNC5Iut0ZjHiHWMNm9i1OTG8t22fHw5CFoCkUUW5xoo+cU5WLtpsNoCkV0dr4+ENL8jRYR6eQ03Qe/en4H6gIhNDZH8IdX9gIAJt7kNtxjTjuPBSVDYbGo8oaCCAgCOEkEI4gdLrfWVj99AoDhXq/3iNvtrvB6vcvcbvdbAJ5uy4vdbvdTAG4H0AXAe263uzZG9TkdwGq32/1rAD4Ak1Uva+mx8wqzzmOGIZBAlC+xta5mo6TH8rV7sbSsAOGIiFA4inBEwLSf9Mf2f3+DimKPjn5IgqTjzaUdRPSaXTunQoJx4dKVZpVF52MdKdmd7Kg+3ax8psTPKEkSGI6BxSIL0LvSbIbPC4ajyc7P8whKo1XtawJYJjYJBMNuM5uVwbCB3fB1tV+h8Bk2sBtsVhaRiKQUDumaoNOhZgez0e9vNoUlSJKhmKsoSjrn4slXPpbpyNoBow59mjw5G8LkZwLqoCfaAg5ol6B0Eu1Da997a7TOQYMEV7WvGd27OFEydoDSvTe0X46O8phO65l1K/mbIvAe82H+szvg7u7Co/flQxIlNDSFcO+Y/hpqmWpfM5at2a10ulb75GnGJ2YPh68hpNj6WROuQSgs4kRNnAJv9sRcRAVjnQy1jeZZgvJij85W8CxBJLlIW4WR/b1QgXdrE1WuFAui4A3XBG9hMWFkb4DI2pYAkJZqxfothzEir7vS4NFSEibbZYevIaQUvc2SNjX1zbDyLOYU5aJzul2nI0V1Tywcq2i6qX0KlmGUz6DWyIpEJWzefRTZrj7Jaao2QhAlXZCzfO1eVM4sPG+11DOdFlCf+SAEkGR7NuXWq/HjYVcoPk5OpxT5Omc4cdgaWmIhaIk9JMkUkIQaLfmwAHT2l2Wg+b+RznxFsQfPrt+neZ9qXzM6p9s08d/m3UfRKc2GxdPz4W8OI8PJG/oj1DZHBb3/7/MH4Uy1yJpVsb2nTpIkkUQS3y98lxg48Vw1i6nSUq2oLCtQ7BgkyBRtMembP7wi6wSb6fOpr0UIwctvy4xF6Q4ezhQe/qYQbhveK6anlqvxPVPtHMqneDRMSQ9MGgSeZ9AQCOuKHmY+sSCKsLG0eDkILENAQAwZL0rGDkBEEGGLNTAlGbc6Doz2w8Jp14KAIEqINm9l4Feq15PZWiKEYGlZgTylxBD8+3AVel6WgZVvfIKSsQNQNKq3jsVt6apdeLQ0H0dONOjyydU+Waetvb6q0WdNSzXWoecYBhKMc4rJfPKlg9ZinrAgofyZ7ZpJ1c4ZdpyuD+L3f9ujyCfkdE7BslmFEAQJoiih6nQTgHhThvo9Elm31m/5DHOKchGOCIZsho/elx8bUGFRl1BApM+rrZdZvyqmeuCyG8ePHS3/29aYM9Xr9R6J/bvZ7XbbvV7vAbfbPaQtL/Z6vbMBzDb4+6cAhpq8xvSx8w2jH3VOUS6eeHkPfP4gKoo9SEvhzSlDY9cx2wiRqKgRdZ9TlIvRw68EzxBUxow6wxCAyJzil+c4FWP/zSm/RstHrkAT+BqMxS4ddh5PqGghK4o9OHSkBgeP+DB3ch6WrdmtOQz+/OZ+lI4bqHBAu7u78MCkQZrxcMqrfrEu8ksNLSV9Mw0oqCJRCfWBkIbObU5RLhx2C2xWxrBwyLKM8cHMEsyb4tFpAlpYk4QYIdi692ssKBkmT2SJwHu7vsLN+Vca7oXoGSTCjGjxzpRq7Gwg6aBfGNCOIt36j2lCtZSYBYATNQGTRggoun3ZLrthkoxSIq/ddFjTpDG0Xw6mju4Pf3MYFcUerN/yGXz+IL6tCSCnUwqsPIfmULTVIgvLEMVuG2lAPDx5CJqDUVg4Bi6nDb+8awie+MtHmv2e4bQqRb6wIGFtAh3vWkrHe65/qA6OC2lbjJC4rl1OG3z+IFJsnDIRyLMmjilLUP6MsbYJTb5IMG4Qoo+XF3sAKR7IJTrf9PnhiIDf/XUPFk4bBgCGa75Lp1T8Ye1exa9S+xQ8C4XSXE21RH2VV5Lrt80QhAtfiDIrotHEQEtnJz3zqb6CCAaRqJbKef5UD2BhW6bzN7u3NjQQtRTshVoIei9GCvMkLixaona2WlgsKBkKG8/Fktfa9UOpyivLCtHQFEZaCo+a+ib4/EHNe2S7ZCYXdYxXXuwBZ2Hw+OqPZF3AyXmm/gid9jYqOK547RNjDZRz8m0lkUQSFzO+SwyceK5u3n3UsGFxzdsHFCrNBdOuBSGxggoIXv/gc1T7mrHrwLeG+Y1/fiwrC9HYqD4QhPeYDyvf+ARzinIhShKigqRM59XUN+OBSYPw+gef47bhvbB8raytPWP8QHTpnIqaumasekvWT5vx0wFIsVraVMhkYxp/atsfNWmoTnfwOFETkGV30DY5gCQuDpwJPaLar0xXFdHM1tLX1X50zXSgtr4ZLqcNfXtmYvXGAygZOwBZGTbYbSbFQwaoLCtERBAhCBJee/8zpdmZITAsorfkqwqCiAwnrzQFyVIDh3XDK3OKcsGwABE6VtEkifajtZiH1kZobAYAv7lvmIbKedHKnTKt8k19NM0XVL8vsS6R6bJj8fR8+Pwh1AfC2Lz7KNJSeVgtrOE+YAjwaGk+XnprP0bk9TDNeVT7YlJpZYWQWEZ3vnW0/G9b432v2+0e7PV69wD4GECF2+2uB/Dtubu1iwfaH1UuvKk1mpau2oXF0/NbTaqYbYRE2pbla2Wu5EhYAMcy8AWNp04ynDwmjeqjBHt0Q2z999co+GE3ndGtKPZg1Vv7dcmQxdPzsX3ft2AIDHmrp94Wn5DxHvNh1VsHMWvCNcjplCrrUbEERJAu2kV+qaHFpFZYUDmGAiICILKMYdf/0rJCcCyBK01bOGE5gGMYwwk+jmPAcQQLpw0DQwBRAqKiCMLAeLKIZ+Dp1xWLVsaL3A9MGgTWpGjItJMO9Iy+o7PyDi2jvQ76hZpavJRAKdjMijOt0Tqv3XRYl+CaN8WD9VsOo2TsAFzRNQ0so+/Mp9fp0ikVD94xCBFBkO1j51QEmiL49YodGtvtclpjwvCfwJnCo2iUu8UiS0WxR1PEuevmPppOJpfThlBYUBymbJcdv7p3KB6+ewhSbBYwDEFtfTPWbDyoFEmoJmAi7ci9Y/onp1FawYWyLWY2Qr0ejQrE84s9sFgYRYM13cHD5bQixcqiMSiYJnxXvXUA86d6YLOyOtteUeyBI8WCpWUF2LjtSxw84lMSInYrhwfvGIzf/22PZt3Ta/ubwnDYjScTOY7BnKJcVJ1uRIaThxCOW85IWECmk9doXtJrPrVO7vpOTlO1DRbO+Py1sATSeTp2jIpo84s9CEaiStNZWwvsAgiWvKTdk0tigZoZjazZWmlrkb81FgKzoLejdYomceHAsgzq/NoGvvJij279TBrVB02hCE7F1tuLbx40LNb9+U1t/Fe5ahdmTbhGiWWXrZE1Vo3Wbk1dM97bfRzHqwJKnOhKsyEYjnYoDZQkkkji3ONMi1RG5yrPElPNNJfThjp/CIvWxn2G2RNzUecPw9OvqyEN54KSYfjRtVfg29pGuNKsSLVasKJ8pJyUJpLS1JDtsmPu5DwwBAg0R3DPmP74VawBlCams112lIwdAO8xH9zdXQg0RfHBnq81TfWbdx/V5VPmm5z5ZnnCtFQrXnzzgKHOcRIXP+h+sLIMogIM6RGpnrR6/XMsA8LEfUY60aTVK/Pg+Vf34YE7Bil/X/bzQk2M/5v7jM91SYIST9G9428KY+ro/nhnxxFMvMmtWbdtYQwigqw5rvZRfpx/BWZNuAYcy2g1u3WaiMn816WGVlm6DGweb1CsG5HXQydTRWP/1z/4HEtmFECSAIuFoCEQxiMrd2jeb8vuYxjUJ8dwH1SdbsJf3vkUv7x7MAjR328i42JEEPHiBrlgSHMqdp5FJCx0qAaNtp4lDyKuuPIQgBcAOADMOBc3dTGC/qggRNHSoaj2NSt6VC1195ptBCPaFhpAmVGIzinKRWNzFKl2CxZOG4bGYEShiisa1RsLX/hQJwKb7rQaBmsSgBnjB8Jus2DlG7t1n0FIoIDxHvPh6b//W66EQ4oLxMZoCpI4t2gvnZQoGk9diKKEUETEitf26Tp9po0biLe3H9FM8L32/meYMNKNv75zSPf80nEDjKcQI6Ih7efjs4YbdgZZWALBxGK2h4KvI1FuXWyTRR0VrRVnWuxGgkxrRSlhqM1sDkXw3u7j2Pd5DZbGHHTGJMHLW1gIkoiXN8j7gyFQJmbp/SxfuxePzSzEvD/Fixg5nVJ05wINPJeWFcIam2Z0pVjw+KxChMLapLaRRsBv/rwTJWMH4BdPbdV8R7TIl5xGOXNcCNvSko2QVJMh42+8Skd1sWTVLiycNgx1sQI5QCc1Ck2nX3kLi/vGDUQwEsWXX9fjH//6StMg9MHHxzG6sBf8zWGM9FyB/B9eBl9DCKveOoB7x/THSxsOaJ6/ZuMhFI++GtkuWW+C5xhdknr2xFwwDLB64wFMGtUHxGAdRsIChBY6pZPrt40gRFeoffCOwSCE4Hx9e0bJPpYlePjpbaY23AxmuiLRNk7eqQvsEghe2fTpdyrytxj0GtCZJpMeSRghCn2ysHLVLjwxa7jsa0sSIEn485v7laT1otJ8Q18mxW4xjP84lS6ynNwQdKwwFcUevLLpUwBy/Ld01S4l+Q20f1ogiSSSSMIMiUlUEXEbJUFCXUxfFzCOf2hi2Iw6MdAcRud0G3rkpIGFBCEcBQdAAoMVr32CEXk98NMRvZGeyifY1mGG16OsLWr/++ARn9J0l+G0wm7lFAYvjiVgJePGeSPfYe7kPKzfcjiuc5y0qx0SNI4LRYybL2kMqV7/UUFE6eLNcHd3YUHJMASaw4gKolJQo3kKyjBEr0u1gun///LOp7pC9NzJeXhpw37d3llaVoBIVETfnpnK8+njlat2YWlZgZyDMxkA0fv22sI9kDAJ1oGKJkm0H61Nx7WVQtZMW7J7jgPTxg0AYQiiURHRKHRNoUtX7ULJ2AH4yzuf6qYGH5g0CKveOgifPwgCgET1g1+JjIt1gaCOkagj5m3bmrM65PV6fQDg9XoPASgEALfbnXGubuxihSmFEUta7e6lG+GxskIlOcGxxJC2hWMIIMhJCXUxz98Uwa4D38JutegKKJRqrkvnVKVTiSb9AGBF+QjDez9xStaUmnxrXx3V4+yJuXjtfT0FzJl2bCfx3dHeBD7HEsMgnWMJJEj42cje8DfKTrWFI/jZyN4gDOBvCuObUwHlNf6mMCwswaRRfQzXecRgCjFKGEOjHYmKcDmtGh0Rl9MqVxuNPjPLoK4poiRE6BrMMFlvHanIcaGnFi8ZJEwyr98i01pQx7qlxCxUj9EEF524pv/mWAJRAHhTWy+B5xhlfzwwaZBxYjpGxefu7sJdN/dBZoYdLMto6DNWvPYJfP6grMPqtCl2VQKjK9yYBbrpDl7zN/X6b+80SnJSNY4LYVtapLIlknI+m60Ff1MYd9/SV3Fk5YBTNJx+rSj2gJMkSCxBVVUTHCk8ikf3x6YPj6Bvz0ykO3jcnN8TVguBvwmaKe+KYg+sFhZFo3qrqGBkvyQYjirUHT/576tAGIIFJcPQFJIbmKgW7cyf/RBSRC8439r3n6QkbzsiEVFXqH1pwwE8dNfg89okkxj8h8X2a5AAMG3CY5gz04qlkwQ06DO6h7ZocZoFvYl0psk1m4QRzBpOQhEBnCSBZRmUP6ulJt/04RFlcpv6MvOm5JlKRKSlWuHu7oL3mA/ZLjusFg6b9hzBotJhqPOHEBVEZDitKB03AFNu7YfGYASBpjCcqTxeeG0/Ls9xnNG0QBJJJJFEazA6Z2ls5j3mazH+SSyEAHFf0coSualM9To1Q0pFsUeRYKDXPFnbaJhLCYajALRJatosAQDPzxuBXyz/p8ZP6OzkDRuelTzhzEJEBRGSBNTUyz58kjGgY4PGcSVjB7Q5hqTxjveYD6+9/xluzu+J3/11j8ZXffOfX6Ci2IO3tn2paP5GBREPTx6Cx9fIa9jnD8JiYbB4ej4EQQJhCCws0RTUAXmdn/LJtKNmRZdTPrmZnzIbpVpYU8p+DgALCZNG9dFoDybX8vcLgiDKDWcMkdm3VINDifHSV9/6sX6LPjeRbqIt+W1tIy7PceLYtw2w8RwyHFbTZg3KZiizeznxzakANu8+hqJRvdEt0wECosioAADLxiVW6PtVFHvgbwor7Fv0+h0xb9vWeP8ogDSDv38JoNPZu52LH2YJBSK0faS5oSlOWTe0X46Oamt+sUfuTgLAWxhMvrWvjtYzsVNZpncswPEqP2rqmg03SkNjWNcJUj7Fg43bv8Tdt/TV8Jx3y3Sg2tekJA39TWHVlNeZd2wn8d3R3gQ+zxFDXnyeI4iKBKGwoNMLtLAmWoEcadfoPsMY60kRQpBqYdE9x9mm6wgguo7oJatkuq+z8R1dSHSkqcWLFSzLwNcY0Wj3zZ6Yiw1bv9B0m7W0duljogiAAKcbmlE8+mqlQE0ESaEcTaRWtFsYRMIComCUNWfG3U+L8uouoqH9cnSJNEo/4PMHFbsqiJKucGOmweZyxh2mxPXfHt7y5KSqFhfCtrRkI1iGYMPWL1AydgBcaTbDtVAfCCsC8TQxzDKM4cRIhpMHBLnbmp4LQ/vl6M6DimIPOqVb8cSs4YjEaEmtFllUO/E8cTmtSLFZ8MYHn+O/B1+uKRzOnpiLzbuP4rbhvRQNYtLCujL7/ukeTKJ1cJzcfKZuEqO2CRdwS59pgZ1jiSGzAMcSCLEpajNbZ1Rgp5ME6snZxHtorXnHTKtY3UwhihdXQ1ISFx7aNWJOK6vWUlGjb89Mnd7vune9GHNdL10H9OyJuVjz9gHcfUtfbNj6BSaMdGPTh0cw5OouON0QxJqNhzD51r54dv1/dF3PD0waBADw9OtqOC2QjAWTSCKJ7wozNqwZ4wdi0cqdpvGPM4UHz+llTYx8RWpzIUpYUDIUazcdNiwubv/PCZ0fPG+KBwwjKXSiho32qgkt6icsnp4PawvsWQ2NemmLzk4+6eN2YNDz2kxbVx1D0jUpihIWT8/HOzuOYHDfLvj7e16UjB2Azuk2pDt4hCICRuT1gCCJuGHI5Rof+ME7BuPxWcMRjoj45pQfb7z/BUbkddf4AOqCOhCXItm8+yjuHdPfcD1TbTS6D6lOpenn7mA6aUmcfbSWR6LxEssySh6PUs9fnu3AydON+B+DwuCcolxkueyoqQsqeYcFJUNN1y0ARf/1sbJC9OyWBleaDUtfUtVgpnpg4RhlyGlovxwsnp4PAgKGAViWtDjNy7bCVHcxoa05Zt3+drvdqbig6YILg9aMWWsjzYkODR2PXjJDvp6FjdEoxg56UYSO6oB2kqhHq+niAwCH3WLIP26xMHh351GZ4pGVpxCrTjeh4IfdlE1V7YvznM8YP1DpDp00qg84SCCS1GrHdkfaAB0R7aWTCkVEQ178yrICAMRQL7CyrND4NTMLQdoxum9hGXPaT83kYMvXaYnuy8iIdSSnoyNNLV6sMEvkLp6er5vGNltzihMCgGUYZKbbdWtHYuNFPmp/s112TZGO3sP6LZ/pkm5zinIRjERx75j+eOS5HbpzYGlZgdKFp6YfoAVhliG6wg3DAPOnehT6A+pcpdq4Ftd/S99FIj1eclI1jgtB59eSjeAAZfrU5bTp7C0tJtNOOLo+1DqTtDBIG5oS99OIvB6682Dpql2YNyUPDImvjwUlQxVHnD5v+dq9eKxMPjduzu+pWfd0ny4oGYan1u2F95gP944RW3RMzWx7MjnSdjDEuGjGEHJBnfozLbDLGiR6ZgFKKdui3TcpsNNJarN7aG/zjlEQ/Mg9Q5Fu4y5KvySJ8wuWZSCxBD5/y02irWmppDt4Q73fCSPdkCBh4bRh8DeFUR8IKz7GkRMNWDKjAIGmMPr2zFTom4tG9cbytXJBPJFm+slXPlYaoZJNbEkkkcS5gNk5e1mWEyvKR4C3MJhf7NGwBD14x2D4/EFwLINO6TY8fPcQpKVawcaYASJhIV74I4CvUT9pKEqSzrYW/LCbzg9+bPWu2FSJBSxLHWOzkAAAIABJREFUdPdiJvnj84eQmW4DZ8CykmQHujShnuqjMXy6g0dmuh0c4rSaZgWTVzZ9qjnbs1123F8kN+M47TyWrdbGVr//2x4sLSuAzx/EopU7UVHs0cnzqAvq9H2cqRb0umwgPtx/QkcNnqiNZuO5Np31ScrP7zfaatPUMSAt1v3mvnxFhk2tSZ3lskMUAZYQRdoCANZuOqyLb8uLPVgbo7Sn65yFBEEgSgGQ3teSl3bh/qI4k9fOA1U4cqJB0ewkYDRN9hTZLju++taPlW980mEa5Vvct263+zMAEgC72+0+nPBwNoA3z9WNXcxoqzEzolALGTg0Ow9U4fYbrsLcP27TVMcBIBg1Ln4YUb2dOBVQNoq7uwv3Fw1CZkaMEoklYAGMLrxSNtgMA0GS8OY/v0Dx6H4tOllGCWSzpGRH2wAdFe2hkxJNnGhRlPn1zQJ4w78L7QvsGRgn5xhIQDsoBlui+zL78B3F6ehIU4sXK8zWKwE5IxtktnZaS/yq7aL3mA+iJGloPtdslCf7Fk/PNzwHptzaT+OkA+Y0nurCTWa6DYun58PnD6E+IE8qFo3qg0wnj4ggtmv9JwYgy35emEzyJeB80/m1VWNMECXwFgaVMwtRU9esSfRmu+zIdqWgsqwQIBLCgoTOTl5TTONZgnBswqRk7ACFUteMciktlUfFM9uVx2w8Z9KsIQKSBGKi59fQGFLukWUYmArDxtBRbPvFinBEwJqNh3S6jQ/deX7pQBNxps07giC2i1lADTNfNjPdbur/tvQ6s+YdoyB48Ys7k4m9JJQz1+cPapooaLJPzcJC96fEMgDRNwCZUSZlOK0o/9M2PDBpEMqf2a55/2pfM2rqmpW/Z7vssQSLpDSPGNntK7o6W51WTCKJJJI4U5ifs3LzjxgWkKHyGTiWgQQJNXVB1AfCePX/PsOkUX1gZeQzPJECvGTsAGXyBFA1Qs8s1DXTd41J7ahB83E097Vw2rUxyZ84a5aR5E99IIxOaTbUNUd0RcNUm7Ef/X2OuS4FGBU4qJSI2r80K5gYDX90TrfhD2s/NpUfiURFcBzBgpKhputXl+uNiogSghfeOAB3dxdKxg7Af2U7UHW6UaeNFgxHk2d9Eq2irU2TRjEggTavRnNfi0rzsWDFDiycptVq9R7zYc3GQ1gyowANjWE4Uyx4Z8cRjMjrgdtvuErL3mWSk+icYVNo8hPvVRBE2HlWl5NRN1x3lKaN1s6Tn0MebnsVwCzV3yUAVV6v9z/n6sY6Osw6OdJSeFO6LiBB6wfQ6T/R56tFM7NddlRM9eDZ/9F2G4mShEee2655f1eKJTbNJ8DGs5g0qg98DaEWnSwWRC7WEKIJQi+FDfB9AGMSpDMMASHGdJ0sa1Z0I+2qTIUFCas3HsSIvB6w8UAkKv9/xviBaIoIqDrdpBQHczqlGHKLAy3TfYkdPAPckaYWL1aYBYotFYnP5vtoinRTPUpnEccymP/sDt11zIradf5Qi53/ZmslGBY1E1YAYp1Lhe22wYkBiJmuRtLxP39oq8YYB0AMC2B5FlFB1NDjPnjHYIRMdHyJJJ/zlOp2RF4PpDt43D9pENZvOWxKbRuJaJuUzJ5H14rZuqevK49NKP5/9u49Toryzhf/p6r6NsM0Mw0MaBLBxIOFQVxBGRRGswsJe5KouMvvCCSrTBRRxwgxNxlwF90gg7ltNFmMBBPUzYI5S+Ilydkl6smuYMIQJVGJtB6jwgZlYGiGnktPd1fV74/uqunqrurLTPd0V/fn/Xr5Eoaenme6vs9TTz2X78OzpEpLkkTLdKCSJCQagDIa6QTvSL/PfoJ9OPOF1XsVuniHab9rT75n6er3XKuBvP2Hjid2RydjERmLdBaYFhr92/OZq6DXLp8NQdDPh7VuozVt+M/r21rgBhBPttfZ2nUXNC5iI6KSyOc+a7r3xxW4JBGTGn0IJM8yTW93U59x7BY4qKoGDZppAbMkWY+ljB/nxQ+fPoTu0CDu+cFv0dm+wGivBYiWY2XPvPAWVn56ZsYxJ4mxs1Y+c1WhfMd6cmWn0E0O1KGnN5L1vv5+Tz/OntSA+x/9XZazCBNjval93dRdi5t3dEGeGsDnrp5pOhtNP+qB93rKpZBFk+nPcpKU2YauWzkXO37+GrpDg+jpzTwCLRSOQBQFBPxerPvnxNFlP/vPPxk/V5+fsBuTgAZc/6kLTIuoU8saSztm4p33wqYJcqc822UtXzAY/A8AkGX5Q8Fg8NTYFKk62K3k+PodrbaTZzo9eABknP+kv37382/gtqUX4YPNfkgi4PVIptVGSxdOz0jfkj4xpwdxk99j28lKf+BMHTishgpQEwTNMoYgJA4H/uJnLjG2UuuDxW6XdRpPlyRAQP47+FIP2k5161IgFM48O6q+eZxlbyJXui+n486W0Rmr3ZT5/ByPSzTi1G+z6KOnd9DyTIAmvwcSgG+uvRKRoXjeaTwVm9VMimqdWjHb4GT6A0g+5xdQ6RXSRkRjasZOL0VVjQlAILNPEAewc8/hjLOf7rphLvb+/r8zJqfXrWzBybTO9+7n38y4b6TGil398Y9zo7O91ZQKnUrH7RYzrmdHWws8bhGxeG19/qPZfVjI9zHtd20p5Cxd/Z6baxEFkPlsqaowMsDojh7vw9dumY9TZyJGX1nfsWd1P1+7fDY+0DwOP+j4eCJzDBJx7EoOvuzcc9i+D8BFbERUIiNpX3L1lVOfcezaXFEQcP+jvzO+Lk8NYPXfXpjRv123ci52P/9G1rGvCY1eU6aWZ154C1dfcR6GonHL5zYIXFhRrfJ5jrPrK6amINTv2x6PCHlqALuffxPrVrZgy6OZY8s3XpPI9lbIs7wLwD03X2ZaqD+h0Ystt7cirmhGhjlB0Xivp5xGM0Y3fA9oxcneQURjChrqPca48r/8n8MZR+9saGuBS9Nsd/rpR5fZHVl1ZiBqnA0/vGM37UgIi3MMdU55tst3jqZXluUOANcD+CCAPwN4HMA3gsFgmdcNl5/VgGpcgGkATk+rFY0Nd2hUNTELve3JV4wOBJASPIBx/tMd112MSU2JVR2P/zKRUu6q1o8YKQ789fWmCpbvOQ2KogIKbDtZqWdg6e9hDBwmHxIVwLEVoCZowDMvvGWKx2deeAurr52FWEzFs13JcyJFQFGBn/36Taz46xloSpt0a/J74RKBk+H8BjYA+86MomiWZxFubl8A0eJXSE33lX4OV76rral6jcVAlB5n+oSFqmrGgBmQSM81pGo4fmoAu/YkHgrlqQGLh8YWPPzTxK7t1DrpH+eGGlWgAmhurseJSDjvCWH7lFyZqRVzDU6m19ngkRCeeeGtjJRkrGOVSz87MnWnV660roqqYdHcaRn3ip88G8Tqay+CRwK2tLcipqg4drIPg0Mx/Hzvn0wPlaFwBF6PhDuuuxget5Rx1oVtPY0n2vGoYs44wBgrjVhUxbHucEqdFnH4nR6Mr/fUZPaGsdh9aPUQfPeN8ziwV6UKOddJEgXMmzkFdV4J966+HO/39GPXnjcQCkcyd72kLdLRB7EDfh+WLpwOf70bkWgcXo+EiY0+oy1tavAa8ff4L1/HbUsvwlkTx+Hk6UE89ovEQKHXLZn68oqiosnvwf+8/FyM87mwcdXlGBiKoW8giia/B0pywQYXsRFRqYykfck2LpD6jLP7+Tfx1RsuRbg/aspKBMHczi5dOB33P/o7BPw+o38cicYRiyt49sBR43WpY1+pz1oBvw/LF5+Pc6Y04KrWj+DxX76OpQun2+5C4cKK6pVrzMpuwsTnlfClz85BY4MPqqqhp3cQ//vZN7B04XRs3tGFwaGYKSuAPlasZ5pLPYswkco7e1zFYqppof6GthY01bsBLTFu7PQsXDR2Ch2jc3ukxHhA8vlUlAAlpuKu7+0FkKgfetsZPBLCjp//0bQxSn9vt89t2cbq6Zs7b18An1cyjXX7vBIiQwq6Q4mU953tC7KW1clHOuU7CdgJ4C8BrAPwLoBpANYDmADgKyUpmUNYDahuaGuB2y2aUnHp2/8lURiePQYgQcSKxTPw9rEzmbvwMBxYf//wbzBv5hTcdM2F+PJnLzGt1gQSk4nmClbYOQ0jPQMrDuCRp1/jTpEK5gKwYvEMywbK5ZbwV5eeg3u3/8a8408UMN7ngm/KeKMR9kjAUEwt6MBqu8ZRVWGTggOWk4DAcIymnsNVyGprqm6lHIjKFmcAMv5NX4Gn5yb/x1vmI3QmgvBADLF4HKFwxKg7wHB6gpHySLDe2WORWjHX4KRVnV2xeEbO9HhUOayuod1B1saAhShg8oS6jJ2A+q7xWDTRnp41sQEuSQCExH1l557DpkVKP/jZaymD15mrRK3qKdvxseV2i/jAZD86tu41tRduj4j4IGt3KVg9BE9qqkdPT1+5i0YlUEj6V48kYPniGRlpwAN+L6CoWc9j3/38m7hr5aWIDCmmxUb6gJ1+DtbwM2JiNXVvXxTf2XnQSHXU2xdNDIqk9eUTWTh8GW1ztWThIKLqkqs/mdo/BoB4XMuY7Kj3uUztrJ42NPW5DQAeXrfItDsrdewr9VmrOzSIe7fvx+RAHVYtmYXgkVD2zBlcWFGV8nnWsZswicVVxOIa/uHhF03PZw3JcYin/+stLPuEbDrHct3KFjzxq8PGz9fPItQ3ctjFVRzISFV7H495olHIt01zeyScDEczxrMmNg6PYaTvag2FIwj4faazXwGgcZw3Yywk9eiyk6cj+OHTh7B04XTjyKptP30NbVd91BgfyVZP9N/LqYs28p0EXA7gkmAweCL59z/IsvxbAC+jxicBrQZU79vRhduWXmT62oNPHMSmW+dbbie1Ch79vcf5XOhsbwUEDdBSJv4U8/uoqmZaWeKR7GemUcDOqVwpjPR0j6fD0YxdLUq88itALcjeQAmWO/K2tLdCUZSUBltBLLlLI3UlnL7L1S71q+3PhnVcuQo8c7CQ1dZE2WRbnZctzmDxb3oagc07uhAKR/DeyT7jAfCemy/DhrYWpB8G75EExCAhrqroDg1A8kh5p9qIRRVM8ntMO3vsUivmGpx0coemlmRN6WpxDT2SkHW1mgtAQ53bOM8SGI7lzvZW4+eKyU4xkNgVu2juNLgkEafOROCSRLRd9VE0B+rgTilPelk9koBosv8giQIUCGzHx1AsrhoPWEDi8+7c0YXO2ws/Q5TMctXL1IdgUeSnXa0KSf8aVbSM+piacSVV+gKPUDiC8fVe3P/ovpwDdnqKT69bMi1SveuGuRCT2WsEQYAmiUb8AtyVQkTOke15zZW8Pw+PrQEdyTOj9Nfet6MLW9rNR/dEonHL9twtifZndVs8awX8Pkw7K7G7JBKNozlQhy3trYgzy0pNsIvNze0LIEiicf0tJ0wkKeOYJ/357KGvLoQgCohEY9iczDQniYDbLWHFX1tvNMk6scEzrKlMogqsn0+TbfLOPYexaO40TGj0Jse8huc90se80jdIpR9d1tsXzciaNDlQh0g0XtBmJqcu2si3LuubvlLFkdjMVtPsGkqfx5XxNQGC5c09PXiyncNnmXJREvHu+2ew6Yf7Ta+f6PdYTi4W8t65trmmHx4LjH5XCxWf/U5P1fZGb1W5vW4JN3z6goz8yV63BCVqnRnYbudH+kTIhrYWSCis8WRHhYoh1+q8bHGm/zn93/z1biOuG/0ebOtYNNwOu6WMCZpT4aipPuiHbo9zS3lPBKZP2lv+rnkMTjq1Q1Mr8l1NmnoNY1nSfuuv10TRZoe29f0AmnUq8M72BaYJwPSydrS1YNeew9h/6DgmB+rwtVvmsx0fQ4pic99X+HmPBne0kq6QFEGF9GOtFnioI/r+VpzuG0K9z40dP3/NaIv1QZbUvwfq3YnjHwD2B4iootm1p6oKhCLm+7Nd3zOuDrezEAS4xMxFdB1tLXBLifTqVm1j+rOWPDWAGz59Af4+ZSfXcIpFZlmpBXaxeSI0iH/a+XLW/qJqM14XV1Vs/MFvbJ+v7rn5MnxjzZUYisbznmjmGdZULtnGpSf6PUYGokTWot/mfNbKdnbfcwfeteynN/k9NXHepV3mvXQ/A/CkLMsfk2X5w7Is/yWA3QB+WrKSOYTeUKbSZ5HTvybm+WnbrRSxO3wxDhgTgKmvjypa4sFNS/xfUdSC3zv1gXNbxyJ0ti8wVTL9QVf/DNLTmVJlE5NpY1MlYtV6hbqiWp/lp0+G5EtRVDSlxVXTCAbK7OqfxBX2VIBc7WK2OLP7t+bkZEhTfeKsv9R2WFFUU9scVbSM1BsP7DqI46cGbNvmkWKb7XyF3sd16XGX3t6KAmzuB9bvl08sWZW1c0cXFs2dZvz92Mk+tuNjSJKs7/uSxM97NEZaL6n65Hp2SlVoPza9HRdH8P2SBIzzubFx24vYf+g4gOF4TW2bGb9E5CR27akoZmacyNb31NvZyYF6xBUVO/ccxqols9DZvgCrlszCrj2HEc0yIZLeP16++PyM8ZP72L7WFLvYDA/Ect5v7e7zx070ZX2+uucHv4UgwPa5zwrHCahcJJtxaUlMZBDS+6jpu2Jz9VWtYnrF4hnGhqnUfroaVap+AhDIfxLwSwD2A/gxgDcBPA7gdwC+XKJyOYZVUG1oa8GUCfUjbjxz7ToZzesLfW8g+8BhIQ+6VIEEDWuWzTbFqn4GlJW4zQ6C+Aiud64B6Xywo0LFkKtdzBZndv/mBvKO62w7ygudYM/5s9hmO95I7uP5KLQ9zSeW7MrqT55jAQC79rzBdnwMSSKwbuVc0+e9buVcSPk+EZClUtVLcqZ8+7ij7ceO5PujMRXhwWjOtpnxS0ROYtceQsi8P+fb99SPvtm8owsdW/dh844u7D90POfYWWr/+IPNDewf1Dir2FyzbDZ2P/8mgOzxYBfXu/a8YXqd1T08VuDxTBwnoHKRJJvnU2n4GUs/ozVVPnMZVjEdiyqjHot2qqzPGLIsrwgGgzuDwWAEwFeT/1GKbGf6jfQMhUK3YRfy+lJs8U5NOyZBSJyHIgjMb+4EGvDMC2+Zzvh75oW3sPraWZYv11doZMaPCCilTWShn7XTHRoAUnKn87wSGq2cZ5/miLPRxqDdz49E45BEsejnRTDdp7OVKlXLSNrTXLFkV9bwQMz4eygcQZNF+nK246WhKMALB/8bG1ddDkkEFBV4tusdXNV6Hs8EHAWmUKKRGG0/diTfL4kC+gaiOdtmfQeNBrbJROQMTX6PcTaaSxQgQUNcQ0Z7l2/fc6T39tT+sSbZjZ+wf1ArzPdq4M8nzGeUZYsHq/u8JAkIhSOm11ndw90uEfF4YU/6ox0nyHY+NpEdRdFsnk8/YrTD4YHYqNvj1OOpajVOc637fXhMSuFwVqs9R7PLqdBVnS4Ad984L6/Xl3LnlH4eSsfWfVjd+Rw6tu5DaCAGicvLK5YLwIrFM7D9qVfRsXUftj/1KlYsnmEbDx4J6EiLn462FnhKfDpoamzdtOlXptgqxo5Cqm35tIu5dkSPJgZdADak/fy1y2dj8oR6bHvyFbanZFLK+3ix21Or2F63ci6eO/Cu8ff1bS0QLNKXU2l43QKunHMO7t3+G9x6//O4d/tvcOWcc+B1cwpwNJiZgEZqtO1uod/vAjBlQn2in5EWr6lt89rls/GNx19i/4OIKp4+VvDV7+7Fqvuexd3f34czA1EA9vfnfPqexbi3s39AwPC92isCAb/PmMTLJx7S7/OComXEVEfaPXx9Wwsax3lL/WuZcDyYRsoF4GNpz6cfm3OOKfPWcwfezchiN5K2tNbjVNA0+xlTWZbDwWDQP4blKaZzAbzd09MHNcv20OZmP06cCI9ZofJV6Mz0xIkNOHl6IK/Xl2rWW5NEdGzdlzEz39m+AEKe71/u69Hc7K+UUbBzMUbxW2g8uD0Sokri8FZJFOGRgFi0tHuJihFbTpbvda7F+C2Wcq8GkiQRCgTEVQ2SlNhJ/dDuPxjn9QDVH/OM3/yVK15H8juLHglvHzsDn8eF8EAMXYfew4K/+AA+2OyHJKLiV95VW/urSSJ+vvdP+HjLuRkrLZ3UtlTS/UNXSL2stPI7JX5TVdpnCDinTJIkQpMExBXN2DHjloCoohm7FHbtecO0S6GY/Y9if06M39Frbvbj6i89VdD3PPOtJQV9TyW+vhKuQQXFL1BADI9UKWI/11jBSPrNejmL0ecudb+9nO1JJcYvgIqo23ZKEVMeSUjew4ffc8KEcWP6OVTqmF22+lGJ8VvJYxBWilWebPVC/zcIADQBqqpBtBlHyFWesY7TUl+vQmM416SpJMvyXwH2GYKCweDzhfxAyk+h27BFUUisDsnj9aVKBZftPBSudKpchcZDLKqkvF5BbAxyCTK2qNTKnSJT77y4ADQH/HjvZJ9pAhBgzNOwcsdrIaIxFfdu32/62rMHjmJbxyIIFV72aqSoGn72n3/Cz/7zT6avf3L+h9m2jJKT6iXVNkVRASWREkgEAAWIKckHfkHIaLPZ/yCiSpZrrGA09+di3NvZP6BUpYgp/R5ezhjjmB2NRrZ6of+bTgIABSOrOzUep7l+Ry+AR2A/CagB+EhRS0SOxfNQqFQYW1RrGPNULRjLlYXXg4iyYRtBRE7Ddouo/FgPyQlqPU5zJT3tDwaDHwkGgx+2+Y8TgGRgvnMqFcYW1RrGPFULxnJl4fUgomzYRhCR07DdIio/1kNyglqP01r5PWkMKIqKQL0bne0LynauFlWn1NiCIACaxtiiqsb2lKoFY7my8H5KRNmwzSYip2G7RVR+rIfkBLUep7kmASvpkExyAOY7p1LRY0s/WJWxRdWO7SlVC8ZyZeH9lIiyYZtNRE7Ddouo/FgPyQlqOU6zpgMNBoP+sSoIERERERERERERERERERUH04ESEREREREREVFNiMYUNDcXtuY9MhRH+MxgiUpEREREVDqcBCQiIiIiIiIioprgcUu4+ktPFfQ9z3xrCcIlKg8RERFRKVX8JKAsy+cDeBTARAA9AG4IBoNvlrdU5SdJIuKAcZClqmrlLhLVuPSYrKXDVYmKjfWJnIqxW/n0a9QdGgAkkdeIiEaE7X3l8o+vg89b8UM9RGXFNoyciHFbm/j8RsXghJ7h9wH8czAY/BdZlv8OwMMAFpa5TGUlSSJCAzFs3tGF7tAgJgfqcPeN89Doc7ERoLKwisn1bS0I1LsZk0QFUlWN9YkcifeCysdrRETFwLaksvm8roJ2uT3zrSUlLA1R5WEbRk7EuK1NvO5ULGK5C5CNLMuTAcwBsDP5pZ0A5siy3Fy+UpVfHDAqPwB0hwax6Yf7ES9vsaiGWcXk5h1djEmiEejtH2J9IkfivaDy8RoRUTGwLSEiJ2MbRk7EuK1NvO5ULJW+E/AcAH8OBoMKAASDQUWW5WPJr5/I5w0mTmzI+ZpCD4Qut+7QgFH5h782CAiC434XK9XwOxSLU+J3rGOyEn7nsebE39kp8Vtpqr2NdwrGr7Vsv3O1xq4Ty24Xv9V0jZxW3nROL38p5dP+ApX5GdZKmUbbllTi51QsTo5fyq0Wrlu+MTxSlfAZ5tOGVUI58+Wkso6Fav08Cr33VuvnUCgnfQ5W7W+lP79VQhlSsTz2Kn0ScNR6evqynpfX3OzHiRMOO95ZEjE5UGdqBCYH6gBNc97vkqbc16OSKifgoPgdw5ismN95DOX7OzN+q4Pb567aNj4bxm/ly/k7V2H/pOra3yq5Rk6vf5VWfsfEb4pK+wyBGivTKNqSYpeJ8Wv9/lQapXi2rTT5xPBIVUw7maMNq5hy5qGcZa3E+AWKX08rRgH3XifFcCll+xwqMX4t298Kfn6rtDirtfIUGsMVnQ4UwFEAH5RlWQKA5P8/kPx6zXIBWN/Wkqj0gHEmYNXP6FLFsorJ9W0tjEmiEWgc52V9IkfivaDy8RoRUTGwLSEiJ2MbRk7EuK1NvO5ULBUdM8FgsFuW5d8DWAHgX5L/PxgMBvNKBVqtFEVFoN6NzvYFUFQNkihgUlM9enr6yl00qlFWMelKfp2ICiOKAusTORLvBZUv9RpBEABN4zUiooKxvSciJ2MbRk7EuK1NfH6jYqnoScCkWwE8KsvyPwAIAbihzOWpCIqiQkDyAioaRFEoc4mo1qXHpFLm8hA5GesTORVjt/Lp10hPT8JrREQjwfaeiJyMbRg5EeO2NvH5jYqh4icBg8HgYQDzyl0OIiIiIiIiIiIiIiIiIqeo+ElAIiIiIiIiIqJa5B9fB5+XQzflFo0paG725/36yFAc4TODJSwRERERUX7YkyQiIiIiIiIiqkA+rwtXf+mpvF//zLeWlLA0tcvjlgq+DuESloeIiIgoX9U8CSgByOusvGo5T4+/R1GcC+C/AcTLWQjUYPwWgr+zrXPB+K0KNfq5nAvGb8Xj72zrXDgsfgt5XSVyctmBiiv/uWD8FgXLlJ8il+lcMH6pQuVxnc9FZcQvUGAMj5RTYt8p5QTKWtZzUWHxCzjr2pUSP4eELJ/Duaiw+HXiGATLk12Jy3MuCohhQdO0UhamnFoBvFDuQpAjfRjAO2UuA+OXRorxS07G+CUnY/ySkzF+yckYv+RklRC/AGOYRobxS07G+CWnyzuGq3kS0AtgLoD3AChlLgs5SyWsBGH80kgxfsnJGL/kZIxfcjLGLzkZ45ecrBLiF2AM08gwfsnJGL/kdNwJSERERERERERERERERFSrxHIXgIiIiIiIiIiIiIiIiIiKi5OARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpPoytiZAAAgAElEQVSARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVYaTgERERERERERERERERERVhpOARERERERERERERERERFWGk4BEREREREREREREREREVaaaJwFdAM5N/p/IaRi/5GSMX3Iyxi85GeOXnIzxS07G+CWnYwyTkzF+yckYv1Ry1RxcHwLwdk9PH1RVs31RIFCPUGhg7EpVIvw9iqO52S+U7Yeb1VT8FoK/sz3Gb3Wo1c+F8Vv5+Dvbc1r8As6+nk4uO1B55Wf8FgfLlJ9il4nx62y1/llUUPwCBcTwSDnlejulnEB5y1qJ8RuPK465dqXkpBgupWyfQyXGr9PGIFie7EpdnkJjuJp3AubF5ZLKXYSi4O9Rm2rx8+LvXD2q9fcaLX4uzlCL14m/c3Vx8u/m5LIDzi9/JajEz5Blyk8llmms8TMYxs+itjjlejulnICzyjoW+Hkk8HNIqLbPodJ+H5Ynu0orT81PAhIRERERERERERERERFVG04CEhEREREREREREREREVUZTgISERERERERERERERERVRlOAhIRERERERERERERERFVGU4CEhEREREREREREREREVUZV7kLQOUjSSLiABRVgyQKcAFQFLXcxaIS4LUmKhzrDTkB45Ro7LC+kRMxbqmWMf7Jin98HXze7MOhzc1+48+RoTjCZwZLXSyiisR2lMqJ8Vc8nASsUZIkIjQQw+YdXegODWJyoA7r21oQqHezMlUZXmuiwrHekBMwTonGDusbOZGqaoxbqllst8mOz+vC1V96Ku/XP/OtJQiXsDxElYrtKJUT46+4mA60RsUBoxIBQHdoEJt3dCFe3mJRCfBaExWO9YacgHFKNHZY38iJevuHGLdUs9huU7FEYwqam/15/+cfX1fuIhMVBdtRKifGX3FxJ2CNUlTNqES67tAgFFVjUFQZXmuiwrHekBMwTonGDusbOVEsrjJuqWax3aZi8bgl7hykmsR2lMqJ8Vdc3AlYoyRRwOSAeXXS5EAdJFEoU4moVHitiQrHekNOwDglGjusb+REbpfIuKWaxXabiGh02I5SOTH+iouTgDXKBWB9W4tRmfS8upxJrz681kSFY70hJ2CcEo0d1jdyosZxXsYt1Sy220REo8N2lMqJ8Vdc/NxqlKKoCNS70dm+AIqqQRIFuJJfp+rCa01UONYbcgLGKdHYYX0jJxJFgXFLNYvtNhHR6LAdpXJi/BUXJwFrmKKoEJAMAkWDUubyUOnwWhMVjvWGnIBxSjR2WN/IiRi3VMsY/0REo8N2lMqJ8Vc8TAc6CpIkQpNExAUBmiRCkvhxUvVgfBONjfS6pqpauYtENYhtfu3Qr3V3aIDXmqhCsA2mSsJ4JCKqPmzbySkYq6XBnYAjJEkiQgMxbN7Rhe7QoJGXNlDv5rZUcjzGN9HYsKprd984D40+F+sajRm2+bWD15qo8rBeUiVhPBIRVR+27eQUjNXS4VTqCMUBIyABoDs0iM07uhAvU3lUVeMsORXNSOKbKzWokjglHq3q2qYf7i/bvYRqUyn6NE6pg7UmDmDnnsNYtWQWOtsXYNWSWdi55zDbHKIyqrTnynyxna9O6fEY8PsQCkcwlBxv4HUmIhp7o73nOrWvQbWnVLHKfit3Ao6YompGQOq6Q4NQVG3MP1RJEvHu+2ew6Yf7OUtORVFofHOlBlUSJ8VjJd1LqHYVOw6dVAdrjgBcfcV5ePCJg8a1WbNsNiCUu2BEtcuJfQG289UrNR7lqQFc/6kLTPcMXmciorFVjHuuE/saVJtKEavstybU3rRnkUiigMmBOtPXJgfqIIljP4oSB4wJQIArOmj0Co1vriqiSuKkeKykewnVrmLHoZPqYM3RBGMwF0hcmwefOAhobHOIysWJfQG289UrNR6XLpyecc/gdSYiGlvFuOc6sa9BtakUscp+awInAUfIBWB9W4sRmPoscjlWUGSbJScaiULjmzFIlcRJ8WhV1+6+cR5X49GYKnafxkl1sNaoqmp5bVReG6KyqaTnynyxna9eqfHor3fzOhMRlVkx7rlO7GtQbSpFrLLfmsD6PkKKoiJQ70Zn+wIoqgZJFOBKfn2s6bPkqQFtzJIrtRXQVByFxjdjkCqJk+LRqq5NaqpHT09fuYtGNaTYfRon1cFaI9pcG1EEoJSvXES1rJKeK/PFdr56pcajBvA6ExGVWTHuuU7sa1BtKkWsst+awJ2AebA7PFJRVAiKCpemQVDUsjWeLgB33ziPKzpoRIoR31xVRJXEafGYXtfECk/JwQOVq9No+jTpMeGRBEfVwVriArAh7dps4LUhKruxeK4s5v3baX0tKowej25U9nVmn5SIqkGutqxY99xKGcMmyqXYsWpXhyRJqKm+Q6X03ypWOQ6PlCQRcSC/HVjJ144f50FneytUVYMogis6KC+SJKI/puD4qQH4PC5EonFMmVCPcW4JAPKOQ64qokpSTfHo9kiIKoCiqpBEER4JiEXLt12HBypXr0L6Hunfp8dEwO/D8sXn4wOTGhDwe7GlvRVxVXV0HaxGdXUu3HPz5RAFQNUAl6uyFx6MxkjjmqjSFRrbdm21W5IgQSu4XlRTX4vsVdJ1To95jySgJxwtWkwTEZVDPs/XVm2xRxIQVTQogjCitpl9ZHKikcatXoe2tLcipqg4drIPD+1+BaFwBPfcfBl8bldNjFtwEjAHu8MjO9sXIHXIpFgNqNUNwC4g8x2MtSqb/ruxwa8uhcahJgkY7DcfhToYjaOh3o1TvUOWsSVKguWkhKKoEJBsVBSNWcUoK0kSEQpHEB9hpzWXUsdjal3zuEWoCoreaXB7JJwMR9GZrIfzZk7BTddcCEEUIQrlWeyR7z2Rxt5IFhBZDaSZYk0QIOZ4Lz0mAn4frv/UBXjwiYOW/ReIAlySyL5GmWmSgFhEgZBSY2NxFR6fq+rSgdr1kyf6PYlBE/aBqUxS22CXKEKUgGgs/z7ESBbkWLXVpokTlwRJK2zihH3/2lCs6zya8ZL0mNf7KYqq4UufvQQTGn041RvBu++H8dyBd7Fi8Yy8xkTY9hNRueXzfJ3r2a3QsWAFAiLJiZBde96ouYkQKq1877eF3pfdHgmDMRWh8BB6+6IZ9/tcY4yKokKTRPz9wy8a9U2eGkAoPIQHdv22Jha5cxIwh2yHR+of3mh3RqQGvgYBO/ccNn5mwO+zDMgmvweKkt/NwmpSMRZTcR93clSVkcShBiAypOCh3a8Y37N2+WyoqnVsfXPtFTjZO2RMSkwO1KGjrQWT/B7b3Ul84KJ0w7G6z5FtUPpq+hs+fQEe2HWw4A54rt81qsCoa/LUAK6+4jzc/f0Xy/qZ5XNPpLFXSPtv9dpNt843/p4t1qzoMbFqySxjAhCw77/YxSzvFWNDFEUMRRVsefSAcV3WrZwLf70HahUM4WfrU3eHBrFzz2GsWDyDu5mpbKza4LXLZ+OxX7yOUDiSEY9WbaPdgOGW9lZokpgx2AdkttVWCzc2tLWgiXWBSiDboox8FgWmxrxVPyW1Dq1b2YKhWBya5DEWtzCTBRFVqlzP13btV3ofN30sWFU167HguIr7fjT8tTXLZuPXLx3N+dzGZzXKRyGblQq5L0uSmDHxvWbZbOzccxirr50FV55jjOn1benC6cZYHpB74xcEAJoAVVVzLpauRHklPpVluVGW5brknwVZllfIsrystEWrDPrhkamMwyOT7B7E4hBy5qfXA79j6z5868cv48jxM2i7aia+dsvlkKcGbAPy7WNnEFNU25uFXdkCfh8AwZgANJeXnMw+Du0pipYRXw/sOoi4Yt0RicU07NpzGKuWzEJn+wKsWjILu/Ychl12QkkScToZ36s7n0PH1n04PRCrqZzLlGkksVoOdrn5U8tv10bH094nlFYPQin1QP85mkuEJknoPjUATRIBYbgeLl043TTBUq7PLJ97ImVXivNrCqlTVq8NhYdGHGt6TPjr3aYVdWuWzbasG4rFntFcdYSKJxZTjQlAIHFdtjx6ALGYcx5e7GTG0V5cfcV5kKcGjNcsmjsto18cCkcwpGo8T4rGhFUb/MCug1i6cHpGe2vXNkKAZT9dg4Yjx8Po6Y3gyPEw+mMK4vHEqmcA2LhqHpqbfEb/Jb2tv68C+2LkHNn6N3b9lMGYii8/8F857/2pg3Z/98kZGbGbWoe2PNqFgUgcp8NRiB4JcUFAHIIjnj2IqPbker5O3cm/vq0Fd66Yg1A4gmuuPM/0Peljwb39Qxnt3vFTA8YEoP61B584mHNMg89qlK98xyUKHRO0ev2DTxzEornToKhazvfT+ygAsPm2BbjvtvnobF+AqWf5k/Mkw9Lrkh7/2558Fe+dHEDH1r242aH1IN+S/juAjyb//DUA9wLYKMvy10tSqgqSzwGsdis3TvYO5mwg9UC96H9Mwuq/mYWHdr+C2+5/Ht/9ye9x/acuQHOTz2jsO9sXJGay/T74PC4cO9mXczA2tWzy1ACu/9QFCA9Ec04ekvNkW0FkR1WtBxFUTbOMLQgarr7iPGx/6lV0bN2H7U+9iquvOA92eQAViwnn+2wGgSsBD5cfGyOJ1bFm19HVXKKp/OkTH3rHXEu+B5C9g2PVobjpvl+hY+s+hPtjmDdzSsbP0RXrMysk7ot1KHmtKtUDVCF1yuq1vX1RTA7UQZ4awNSz/LhzxRysb2sxJk+s3kuPG1XVsOnW+RBFGO9x/acuQN+gdV8jlkzVkcopCwOqgaLmXkDmVHYPh0sXTjde09jgyegXP7T7FQ5o0Jixa6/9yd3WqfXRrm2EljlgOG/mFPT2R/HQ7lfQsXUfHtr9Cs70x/DeqT5jsSkA1Ne58f27FuEjHxxv2xawP0yFsuvfuD2SsTt11ZJZpkUZ6YuQ7AbsErsERcybOQXy1AAmNdXlrEM+j8tYOL268zmc7B2s2nsfETmbRxKyPl8rqmbs3tfH4R7a/QrqvW5Tm5o+FhyLq6Y+7/q2Fpw9cZxlWyiJQtY2Mn039qolszAUUxCHwD4Cmdj1cyHA1LdUCxwTtHvfxgZP4siRLO+X3kf5zq6XIYkiVE1Db98Q7lwxBx+fe47xfXYbvxbNnVYRC/NHI99xuxkADib/fAOAKwH0AXgFwFdLUK6Kkc9h2PrKjdSAmxyoQ0OdB/LUAIJHQrZnJukN+tKF52PjthdNwfTgEwfxtVvmZ6SaW7t8NiY21uEnzwaxvq0lY/usXrlcSKR71Mumr/hctWSWZXklUQCU7B1hbgGvXHZxmO26ukQB82ZOwaK50+CvdyM8EMNzB96FSxTQsXIuTvcNwedxIRKNo6nBC0DIaPQefOIgOm9vtXz/uM1gY7wCUwcyTczYGUmsjjW7gbdVS2bB7Rouf3gghsmBOsu0Wnr8DGXr4IiC8b5WHYr7bluAt4+dMX5O+mfmEkVo0MbsXKF87olkbyRnPuR1HfOsU5IkQoOA+z/fit6+KLoOvYeWmWdjYqMPnbcvwIlQBP/w8HB6rTXLZuPxXybSa6V2hK3iZsPnWnDPzZfh+KmBrH2NYyf7MHWK39QfKkaaWfZP8uOSROu2RBLgqCcYC9keDgEk22pvRr84W30kKja79jo8EDP+rLfddjEtiMCmW+ebzkS56ZoLjfSI+uu+/a8v4balF1n2Ue66YS7mzZyC/YeOm8rhEkWEBqLYuecwFs2dhsYGDwJ+L+o8km3qfyLFZqfdplvn45GnXzNi6Qsr5mD382/g2QNHMTlQh96+qOl99Hu/16Kf0dHWgmgsjvd7+nPWofBAzJgMBIYXO1XyswcR1R49xeHOZLYt457rFo17riQKWL74/Iw+a+ejXfjC8jnoG4wZ3+eRBMSSt2q3S8wYp7B7PosrWtY2Uh+3Xrt8Nuq8bmx5lGNmZM2qnztv5hSE+zOPIynkvixZjF2//vZJTGz0Ia6oxmKh9H6tJAqWYzB6H3nDQy8ax2McPd5npOZ3wcgobvTHsy3Mr7TxbTv5TtmrAFyyLM8E0B8MBt8B0APAn+8PkmX5m7Isvy3LsibL8oUpX39HluXDsiz/PvnfX6f822WyLP9BluU3ZFneI8vy5Hx/XjEpigpBUeHSNAiKmtG4We2MWLNsNh775SFc/6kLIE8NWG4n1SQRYrJBP9M/ZPOQJ8AlicbKue5QIt3FydOD+KtLz8GERi862xfgkbs/gU23zsfOPYdx06ZnjdV3qatK9IDd/fybWLNsdsE7ObgFvLJl26Fjt6LX4xawfPEM086+5YtnwOcRAUEwrSaGINiu1lBtVmuINqkNxBGEjP47dIcGSrIqmTtRxo4TdpMJAoy0t/qOKP3Gv2vPG1i7PNGG7n7+TaxdPtuyY27s9suS4iNXh0JVNXz9jivwkQ+Oz/jMNnyuBZFY3GiT123diz+f6Me3fvxy3u3zyNIIZ78nkr1cO/ZGep/Np04Nv/de3PW9vXjuwLv41IIPY/tTr+JLD/wXjrwfxrf/9aWMRR7LF5+f8V5WcfOv/3EYXrcLH2xuwKols9B16L2MvsaaZbOxa88bmbsKR5lmlv2T/EmSgC9+5hLTdfniZy6BJDl/2ssujiY11mFbxyJ0ti9AnVvM6Ben4s4QKjWr9nrt8tnY/fybGW23VUzPmzkFZ/qiuPv7L+Ku7+3F9qdexYrFMyAI1qv4z544DmuWzcYzL7xlarPvf+wA2q660NyvaGuBKAE79xw2Mn/c9b29uPv7L6InHGWbSpYkSUTMZuFneCBmiqWN217EJ+d/GPNmTsFdN8zFcwfeNX1PtgG7zh1dmNhYh1173sjoX6TWoTXLhv+sTwyOdPyDiKiU9LZu/6Hj2Lyjy7jnRlMmQVwAPjCpwbKNndjos71XN47zYn1bi2mcwqotvHPFHPRHYvjaLfOxcdU8yFMDGW2kxy3ihk9fgGhMMSYA9TJwzIxSWfVzb7rmwox7+iNPv1bQmKBHSoxdP3fgXYQHYpjY6MMn538YP3jyVeMYiOWLZxiZtPTFQ163/S5BfaFQdyhxPMZXrr8Ene0LMs8vTPbH9YX5qZx2NE6+/Z49AP4FQDOAJ5JfmwHgvQJ+1pMAHgDwgsW//X/BYPC11C/Isiwmf2ZbMBjcK8vy3QC2ALixgJ9ZMqkrzjUAE/0edLa34mTvIHr7onj8l68jeCSEt4+dwaols7D9qVeNGe3UFfQBvw9fWDEbR4/3Wc6Ch84MorcvlrFyThCA7+w8iC3trYnBWJ8bHf+810gd6q93IxSOoMnvMXZt6LsCg0dCePyXrxsrTSY11sEFLeeBr/nsYKDysduhAwD9MQXHTw0Yu/qmTKjHOLeEoZiGTosHrM72Vsuvb25vtYxTQRAs40aUBKxdPjtjJ6tLEqBmOUcw/X0AlHyXXjF2olB+9Fj95torERmKj9munXx3Crk9EnrCUWx/6lXTjqhnXngL4YEYgkdCeOwXr6OzvRXhwSjqvW4A9vHjFQXLXdsuAEjrUFjtmpo2ZTz6BmIZKwS9bglf+e4Lpnr6wK6D2Ljqcjz4xEFs3tGFr9/RCg2i7e+sx71+Bq2+qoqNemnk2rE30vtsPjs009970dxpprPhfB6XZQx/aLIfkiBgSFUTOwIlMWN3qzw1gKuvOA8dW/ea6syvXzqaErM+HD/VjxuvmQlJFCGl9Ds8koCOthbjvpPaaY/m0S6wf5K/uKKhvs6Fe26+HKIAqFpi135c0Rz/WekPnZltrQZB0yBBQFTR4B/nRmd7IoOBdX00xydRMQ2314nnxmhyyX7bVR9NZN7we6AkV/9bxXTbVRdmZI/ZvKMLnbeb++jy1ACWLz4fqgZ0h/rxvz5+Pk6HowgeCRnfB2hYu3w2mpvq4RIFSNAwFFNt0x3Ztancie08hV6zxGJMAXFFg5rMPqG3k5okQItb7yLxeVy4/zHzObT3P3YAG1ddjp/9+k1cfcV5ePvYmYz+sVU/Y+nC6VBVDV/8zBz0RaK447qL4ZJEaBoweUIdvrBiNt7v6TcyGKxbORcP//RVAEDwSAjPvPAWOttboaj5Z8wgIiqF1DZ41ZJZ2P38m6b7c+oYlKKocLsk27ECu3u1KAoI1LtR7xte9BY8EsKvXzqKjasuh9uVWJAcU1RsemS/eZzN74WW7BNIkghVBR7YdRB3rpjDMTPKKnVcQlUTcWh1HMX+Q8ex+m9m5Z1hKqpo2JVcpJaa2eKrN1yKq1o/Apck4nQ4glv+9iJ87ioFigo82/UOlnzsf9hmwtEXCgHDC/Bdmob0oWq9P75zz2GsWTY7I/tX6q7BSpdvPb0JwCoAMQCPJL/2AQCb8v1BwWBwLwDIspzvt1wCIKJ/H4DvA3gHFTAJaJc+bZzPhbu+t9f02u5QIg1RamDEAWMw11/vhgABzx14NyOY7lp5KaJR1TQQfdcNcxEeiCKuJCpRXFXhQiLfs106uol+D6Bo0JLn9jzy9GvYf+g4tj/1qjE4kjoBaDfZki2lnZcPfxVBUVQISFZsJdF4iR4JZ07H8NDuV4xr+sXPXIL6iS4oMbuzgay/7rKZ1HNLAvoj8YyJRr/kQsDvxW1LLzK+HvB7IdikXbGLv/H1npIP8DohRWU1URQVzRPG4UQkbMRqKemxlU96q6hifa7Uvavn4zs7E2fqhMIRQNDQNxDD5h912abWEEUBcQiYlNy1nd5GuiTR6FCsW9liSq2hp2L88mcvQaDejdXXzjJ9/1BMsaynfYNRXP+pC/Drl47idDiadfJcT6uQ3pliWo/SsJ+kSPQPRrMYwar9T5W+kzt9F5TdRLSmaVj30D5TeZv8HlM6jvHjvLh3+28y6swd112M7/7k97hr5aXo6R3Ed3/ye8sY0zv1er8oPBDDrj2HcdM1F8IriTnjkIs48ieJAsL90Yz7+Ph6D5xQ2/VBk+7QAJBMfa/HR7bJcKv+xT03X4YNbS3G2cV6u7vtyVfwmcUz0MQ2kEpEv/973RK+9ePEDux5M6fgpmsuRCymQkzGNgA0+j34x1vmQ1U19PQO2p63CsDoRwT8PsvjJG749AXY8NCLABLt+/s9/fC4JXjcAoTkQhQAOGey9Y6D9DZVkkQoEBBRVBw72Ydde94w0iixD1G5Ck0F7/ZIiMQ1xIcUvN/Tb1znDW0taKp3I6Zo+NHPX7McGIsp9n3VZw8cxdHjfaaFyR4pMdCX+lymn9+a+t4dK1tw1qRx+OXeP+Fjc87BNx5/CQCwdOF0tF31UYQHYmgc50GTPzEOM5wqD4gp2pg8exARWbFqg/Xn/uCRkGkMSpJEQBKhQst4huxY2YLv//QV03snJjIAMZlBCwC8btHUnv7lJecYz216/yDg96E7NGiMs226db6RYnxyoA5fu2U+ukODts+L5VxAx4VIlUW/Hmpyw9S2J1/FornTLOMGGhKbmoCs92VJEhED8LmrLzSOLgGAgN+HoahiGmPQ60UoHMHdN82DoqqIDCmW49iP/eJ1U3kkUUTcYqGQ/oy5+tpZkCQhZUGRmOhXOChdfl5jI8FgcADAg2lfe66I5fixLMsCgL0A1geDwdMApgIw8kMEg8GTsiyLsixPCAaDp/J944kTG3K+prk576ymABKDv5t37DPtnhiKKfCP81gG9qTGOrhcIpoavBBFAT29g6YB13kzp2DZJ2Q88asg7rjuYkxqqoMoCOgODRjBDAyvnNt063ycGYhi3swp8HldCPh96O2LYO3y2bjnB+ZBOP1MqQ0pA3gbPteC25b+RWL3lggMxVS4fW40jvOit3/I+N2ARKUKhSMYV+e2nT2v97lw6swQNv1wePXI3TfOw7SzxkMcwbbYQq9HNSs0flVVQ2//EGJxFW6XiMZxXvT0DmakePv2v76ELbe32l5TyebrAODzSqZJPZ9XAgQgFB4yTTSuXT4b48d58MFmPxrqPaYy2cVFat3Sy6rHsOWAhyAULV5UVcPdN87LiONJTfUjiuNic2K9KEX7O1KhcAQ797yaMdm14XMtOPfsRtM1fu9kv2W8DURiRsf87hvnQUg5I1NPrZH63muXz8Y3Hn/JGBCb2ORDNJZZD5qaNNz+vy6GqmpG3QoPxIyVzBCApmQcptZxl2Q9cd3bl9jFeM/Nl1veE+7/fCsmNjUY77dqySzjHpH6um+uvRLNE8aV+tJUrFLFb1OThm+uvdKyTQyFI9Yr6ZP3eitW7X56m5WYABwwvXf6Q5ye2ja1c7xuZQseefq1jNj4xh1XYPniGcbOvfs/32pZZyYH6rFu5VzUe924/9HMnStbbm/FxIkNONk7iP2Hjpty+APA3/7VdJw9aVzOOBzJ51aIamp/u08NGNcYGN5B3NneiskV/nuqqoZ33z+TcZ8+Z7If4cEoYnEVgkvEJIs6YNW/uOcHv8W3v3AlttzeihOnMzN5fGNNadtAJ8bVWMmn/QUq8zMspEz6/UBVNfT2DZkG3DZ8rgVut4h7tv7WNEgYGYpbtneqquGFg0dx7+r5cLsErN+6L6Oef+2W+cbrU8983XJ7K4biKjZuS/QZNq6al7NNtaqP+ntu3tGFb3/hSgiaZHtvqsRrVyyVHr92z1tW/T5V1fDOe72470eZg9X3Jb9HiyrYf+g4ToejpsU8DfVuxAfKGNsAACAASURBVGx2CE5s9BnPlm6XgKYGLzweEaEzQ/jxv7+Oa648Dx0rW9D5aJfl+a2dj3bhtqUX4WOXnIPmpsR4hf576D/jW1+4Ep/56xmmso9mjKKW5BvDI1XN9T/VWP6etfKZ5qucn4f5eV0cHnNN3gvTx1z1xZMbV12OvsEoJoz3YcL4RPv43qk+hJJ994Dfh9uWXoSzJ47DidODGBiKJcYKUkwO1AEC0LF1n6ndu3f15di47TeW7ekDuxJnBertZ3doEIqimSYGj51MZK6zGvPQF9B99n9eMObtq92zQXo5nFQ/KmkMLV96eez6hr9+6agRNwG/D8sXn48PTGqAyyUZ41xWVFVDeGAIJ3sj2PyjroydqEsXTs94ru18tMvYXRuPqzjyfhgP7X4FAb/P6KNoGtA03mPUH33h0rYnX8H+Q8dt4yjfeLP7fCqB7SSgLMvb8nmDYDC4epRluCIYDB6VZdkL4DsAvgfg70b5noaenj7b88qAxMU4cSJc0HvGk2cupK9KmzdzSkY6q7XLZ+Prj//OvCoyZeAYgDHotfrai6BBw/qt+3DHdRfD45YsB9U0Dfj6Y7/DplvnQ4spON07gFN9UUSi1ivtTp2JmCrFfT9KDLyd6R/KWAHoHze8MyD19wv4fbjxmo9a7mCIRlWjEug/Y9MP9yd2aRW4AmMk16OYKqlyAoXFr92qztTt/7ru0CDiigavV8K6lXONlHCJQd+58LpFbLz5MnSn7OybPKEesbiKbT99DUsXTofPA8TiGrb99DV86bOXWA4qbm5fgOhgH4BEdsF4XEFPJAY7cZvzTATBOmUXNA2nTvUXbeVPo8+VsYOgp6dvRO9VTPnWCyfHb6nFBcEyvdV9P+rKaKtcNuk2mhq82NaxyIiNqDK8Y9acWkPEn0+E8dgvXjfSemzekRisuHf7flN7K4mJ1LiKqsLtlhAY78PmlMGKO1fMwakziXbfJQqIxOK45we/tb3n6AMz3aFBSJJ1fYpEFfz5RBjj3FKirtjUu8hQPLFTc4zUWvxatYmSJOKemy/L2FWtxRTLn5Xvan5NErH9qVdND23PHXjXFD+hcARej2Sk14pE42hscGdMzOkxtGvPYSNuevuitilqzpnitz1P9sTpQZzpj6LJ77U8yLu3L4qA35szDqXkjtr0z8HucytEtbW/iiBaXgtF08ra/8qHJon48b+/bhpk/vG/v46brrnQNHliVQfs+hcDkcTeJ6tMHkPRRPzku8K4kJXI5e7vpnNK/KaqtM8QGHmZNEk0JiqA4f7JbUsvMi3MdLsETA6My9wN0NaCwWgcF3x4EjZuexH33Hy5TX9aQGf7AmOhkd5HOTMQhc/twleuvxShM0PY94djGYtC1re1QFBVdJ/qT8a4mPH89+ATB42Bl5OnI7b3pmJfO8Zv/qxSegPD/b7uWL+p7bKKTf06b97RhchQHC4xsXg0eCRkmoTrvL0VLx9+z+JZswUuScB9ty2ApmlGlqKNq+bh33/zDq6+4jzTgPcHm613pvo8Lmz+URe2tLda9p1iUTWj7CMdoyilSotfIL8YHqlKabvH4nMfq9+znJ9pJcYvMHaffTqrZzN9x5E+JjzOZ30EQ99gFHd9b29iIVBbC+rr3DjeM2AstO8ODRpjCXpKZasd2HomOf19N/1wP75xxxXG7iWrn+2vdxt/nxyow/un+nH9py4w+gq79rxh9D0e/+XruG3pRThr4jicPD1oWkA31u2rJmX2RdLb+Wz1oxLjt5LG0PKRWh6r66FPcA8MxbDp1gUYGIqZxrv0/iEA4znKJYqQJAGRqAJF1YzXpy9itjvfPTDei6ULp2PLoweMicPUhUIA8MiGj5s2tyiaitPhqPEeVv2FfOIt2+dTCoXGcLadgD2jK0p+gsHg0eT/h2RZ3grg6eQ/HQEwTX+dLMuTAKiF7ALMJls6oZzfm0xPkb6KQh+8SjSuGk6eHsSjv/ijaRA4kRd3uOFNPYcJADQ1seKizudCg896Z2FcSXTchWQixMFYooN7x3UX2+4KSdUdGkQsrmJnygCeXiE6U858038/Pc3ot378stEZ/8CkBrilxJbvIZsbCdNwjS27M5HszvGTRAGxmIonfhU0Dag98asgbl36Fwj3Z6YQPXuiO7l6tCvjvewmrAthl5LTJVmfqeaRBPTkSHdYiFzp9Mi5JFFAY4MnZ1slSSL6o3HLwS+XJMAFAYqiJlLtStapNe5cMQf3bt+f8XNSDx7evKMLX7n+UkRjiunn/MOqy4xJmLiiwu0W8fXHfmd6iNBX5aXec9LPo9V3BVjVp/d7+gEAU6f4jfMC7NoIpsIde7GYamp7N7S1AG7J8rX5noWnqJqxSl/POPB+Tz9+sfdPxj29OzSAH/zsNaPPAgAPr1tkO7m3aO40IwZ3P/+mbTpb/ZyrbLtWb1t6EZYvngEAxuo7/RzO1dfOyhmH+ZyJSAmSzQ5iSRRQ8flABWTs5l6zbDYGhuI560C2lN/6mdl6W7504XQ0NnggiQLckpRXP6PQ9HpEgHni2G6SA0g8L978NxdiKKrgru/tNT+PuUSIooChmIJpZ/vRHRpET++gbX/6n3a+bPr6vJlToKnAP2wbnki/c8UcPHfgCDa3L4CabFPT+9x2O8D99W4sX3w+z2mtQHo7Zbd7/p33wsZxIXrbZReb08724+F1i+BxS5CgZaRWvnPFHGz72Su47uMyJoz34h9Xz0dv/xB6+6J44leHcc2V5xmD4muWzcbpcBQ+j8u0YE8f8LbbmRoeiBmLWKJpfaf1bS3weZgqnIjGltWzWepOu/QxV13quG13aBD37ejCvTdfbntme99gFH95yTl46fX38Y+r50ODhvd7+qFpWsYCzkR2t8QGELsjTCLRuPHn1EwBerlD4Qia/B7jnDdBBL7x+EvGc6Pef1bURArT9OewUqXs5JEQlcXueugT3BtXzTPu1fq/bd7Rha/f0ZpxjI0+eX7jNTON16fvRLUbY/DXeYz3t0the+R42DRmNzlQl7kjNi2OqiHeRLt/CAaDHfn8N5ofLsvyOFmWG5N/FgAsB/D75D+/BKBOluXW5N9vBfC/R/PzdHoHuGPrPty06Vfo2LoPoYFYIt9yHvQzfawGlPcfOo7u0ADu/v4+qGkzIHpw6AOu+k677U+9io6t+9CxdS80AMsXn4+vP/Y7/Nvzb+CuG+YaqTL0lZ4vvvJnTA7UQRQTN5lQeAjdoUGIYuK8ttTXr1s5F88deNdUjsmBOiiqhmuuPC+jfKqayDU9OVAHf70bAb8Pa5bNhtslYtWSWQCAe7fvx98//CK0ZM5nfWAl/WdITLMxpuwaJLeUGRdrl8+GS0qkAtx/6Dg27+hCx9Z92LyjC/sPHYeiaJYpRBVVw10rL8XGVfPQ2b4AG1fNw10rLzUGFVNNDiTS2iYOkhcRFwRokpi1nnkkAR3J+NPfo6OtBRJgDPA+cvcn0Nm+AIF6N6KKZjnIELf9CVSrXAACfm/OtioO4J4f/BaP/eJ13HHdxXjoroW4belFeGj3K/jKd19AaCAGt0cy3lNvL1MXhegdjfSfk37wcMDvzdhB+4/bf4u4oqJj6z4MDin4+mO/Q8Dvw/q2Fty5Yg6iMQU3fPoC4332HzoOCBq8bgnbn3rVmABcs2y2MTGTWp/uumEu9v3hGHweF5TkCrPU30N/nX5OHY2tOGAMogHDD4F2bVq2jmgqj1vExlXz0HbVRzFhfB02bvsN7t2+H88eOGrc0+OKapoAnByow8neQXS0tWDezClY39aC+z/fintXz8e+PxxDY4PHeG3wSAixeBy3Lb0Ine0LsGrJLOPhMTwQw74/HMO6leb+jB6j+kB3544urL72Ijy8bhHuu20BGhs8uOmaC+GR8utLKIqaOFNA0yAoKidebEiigC//3SWma/Hlv7vEGX02TcjYzf3gEwfhlsyT5JZ1wKZ/4ZEE47zjeTOnGP3yu763F9uefAVDioahmIJVS2ZBnhqw7WfYTcizP0J2JElEf0zBkeNhqBos+w36o+TShdNNZ3kGj4Rw7/b9+OEzr+FMfxRf/e4L+PaPX4aiaLj/860QRQFf+qy5nt+5Yg5cLjHjmeBzV12IzkfNsftPO1/GwkunJhbGJdvU9D63vgM8vcyRaDy5sCT3vYnGlt5O7drzBtYsm217T05tu+ye8999L4y/f/hF/PlEH8KROBr9HnS2t+L+z7di1ZJZ2PHzP2L/oeO4/7EDiCfPDOzti8Jf78aiudPw9H+9haULpxvt+JplsxEY77McX9F3oFiVV3/etGp/NW14zGV9W4vx7Opx5zfmQ0RUKLtnM33TR3doEJKU+eytt2mp3+N2i8YkRyp9wvDBJw5i8WUfxonTA8az3YnTkYzXpy7M0SdRUn/2Fz9zCc6Z4jc9wwWPhIxyG9kAlER/QBSBo8fDRirF1HHt1Z3PoWPrPvTHFECSEBcEQJLQH1PQsXWf8e+FjL9nw7HoymJ3PfQJbrtJ7bjFuO4Duw5i6cLppv5m8EjI2In60FcXYupZ/ozn2rXLZ8PnFRHwe3H/51tR55Xw1RsuzXgG3LXnDVP/YNWSWWhuGj5GxCqOqiHesqUDnZ/PGwSDwRfzeZ0syw8C+FsAZwF4VpblHgBXA9gty7IEQALwRwDtyfdVZVm+HsDDsiz7ALyDIqUJzXflvB19xXkc1jv19FVpDz5xEHdcdzEGhxT4692IRONwu0UIADa0teBUOJIxmPHI06/hxqsvRHdo0HRYdmC8FxP8PgwMxXDFxR/Cxy75EFwAhlTNqBSiIGDHz/9o2tX1y31vY8XiGXj72BnTqulHf3EIyz8xA/LUgDHop08sTkx24kUJuOHTF5gOjU09sFaf7dYHkNNXP7sA7qQaQ3ar3DVYn+OnIbEjYN7MKVg0d5oRM88deNc2dZsGIBo1r7S8c8UcAJplbnAIQKjfemU8gIzVQDEF2LXnsCmGd+05jFuuvQhI7tLTt1MrABSb9F5OWolBY0NRVNR5pJxtlZLcjb104XRMbEzsltq15w3Tju5Nt86HVxKNe8GW9lbEU3ZE250PmH7wcCxuvYv6A5MaTAsxUtNOJxZ3tBht9+RA4kDl4V1QwJ9PhI12+pMLzjWtvv7Js0Fcc+V5EEUYO/24i6pyFLq6LNvuJv0weU0ScLovarTbdrs3zpo4zngvvQ3/v787is98cgaWfUI2pfJau3w2pkyow8ZV84z7SpPfC5/HZXlu0NKF0/HEr4LGWRfpu1b1ftPJ3kEjDY6+E3DF4hmY6PcgqmiMzyIQRQGNDV7cc/PlEAVA1RJ9AVEUKn4joGqTeUJfvaxLrQO6qKJZ9i9WXzvLWCSy8tMzjXNU5akBXH3Feca5anZ9YF01rAylsSNJIhRBgCAknjz/7bk3MjIQrF0+G+Mb3Jg3cwqam3yo87lx54o5CA/EsPv5NxE8EsKiudOweUeX0VfQ43dyoA5fveFSfGH5HATGe3Hy9CCe/M//hxuvuRCP/cKcUjc8GLWM3UlNvoz+UerrrPo669ta0OT3QFWs0/gzw0B56dewO5RI36bHQWC8D//0ry8bfd3UtstjkY0lNfX8A7sO4ralFyXO/ptQh2hMwYcmN+DOz8xBT+8gHvvF6xBsdnGP8w1nyOgbjOKHTx/CnSvmZMROKBzBUCxuymSgLzRa39YCCDbtr6bhnpsvQyg8ZKpbG9pa0MRd2kRUAnbPZvpi4Hkzp+B0OIqdyT5pY4MHAb8XB/74vpEhTh+TO35qAOMbPBn9g9Q2uG8wCpc0nOrf6t581sRxxr/rkyirlszCuWf7IYkidu45jJaZZ5vSiOrlbg7UobN9genZS1E1YzHJg08czMiQp+88fGDXb019mtQzBouVHYBj0ZXF6nro8QrAdlee3fizv96NHT//oymmQ+EI3C4J39l1EKFwBGuXzzb1a//v747iqtaPmMpw54o5+NJn56CxwYfeviEMRGJo8nsy+ibrVs6FPDWAJn9iMbKqahBTdrZWQ7xley7dncf3awA+kM8PCgaDawCssfin2Vm+50UAs/J5/0IU40FdURKHvGYL8MQDVB12/PwQFs2dhsYGD4aiKv7jN2/jE/OmYepZ403Buvv5N3E6HDWlZgseCWH382/ihk9fgI6te02B5q13w+MWEyk2bpkPaECT35ORqvG6j8u45+bLER4wD7y9fewM7rn5cvT2DRm581NTvdxx3cX47k9+n7HietWSWdj+1KscQK4wVg9p69taEI0ptuf4+bwiln1ihimF27qVLfC6RcvGGYApjZC+WrizvRUvvf4+Nq66HJIIKCrwbNc7uKr1PPzny0czvr7kY+dlbPfWz0jT8zDrToejiNvUTf0MivRyukQRUJzSDNNYiUUVy7YKSOT3hgB4RBG3/u1Fxqp4vV3/9UtH0TLzbOMgYU0Soe89OTMQNaVWSl2hNGXCOPT0JvKSpx48vHb5bNs0nC5JxG1LL0JgfOLQ5PTFIlse7TImUwJ+L7xuEdFIHAIAryQmO96JnzU0pOL+R180/Yy3j51B5+2t8IiJiXe3R0I0eS6hJIrwSInPisZerkm9dC7A8hwcV+LN0B9TIMZFDEUTO5m6Dr2HhjrrBUwnTw9mpJZdunA63j8xgO/sMrf7T/9XYnIudUFIR1sLmpv+f/bOPT6K+tz/75nZa5JNslwSsBAElAAByi2JhOAFkNPKTaXVoEcSFRCwaNFf5eI5Aoqg9rQ9eqpWpRXxVKGnVBGl56BSFVCBAq3cDIgoVCAhsEk2l73NzO+PyUx2dmcQFCriPq9XX8XN7Ozs7DPP9/k+z+f5fDyWzWhfmqYt6EtzMWF4D0CbbHl76+eMHdadF9fuNVCCOp2M0yFy65g+hKLRs0r7/F03tYWuPrHZ0Kn9l4vQf9NmFzMz012mhrT+DMRHMZ0SN5Ei6fZxfRBUlXSnZNLJSixoJObAiXnGmT67KfvumhV1rA660PXPjtdq7CyNzTGmXNuPSDTGA8+8n7Tf1KemJo/vm+Svjy3/Kw9PH0pDU4SYrPDjkT1QFDWJ1t+OalGSRGTA6XESjsqImBt7lYcCrNlwwNAYMvZ/EdlWp/XbVCS5EE1KqDEsXraFHL+X6RP6JTEBSKKAhFYX0IvVeR18HA80IQhQMaa3Ub/wuBxkZ0goimrUDvS1Zer1fQDrKe75k4cYn9cm08OsiQPwuC0Ae7cW8fQfP6LyUMDIESrG9Ka930skJqMoNk1nQcDhdBiFaP2zH26h45MFAUkUcTsFwlElVcdIWcpS9rXNqklwz02DkBWFR39SStssjwEw03PS4oJcJo7qmbRmbt1zjL/urea2cb1t5T8C9WHSvQ4jDw42RXln22GjDvGP6gZqapuT1u+lq3caOe3dZVoOYgXscUuCVhdoYffSNYGzfS6jmZiXa57+nzD80iS2o3hKVP21swGUS9Wizy+TZQVfutPoc2Smu1m+dreRY6xav99SdkeSrOu6waaokW8unjGUQDBMoD5s0rV2SGJSXps49KXXrI+dbDSA+T+dOJD5z76P3+cxrjcUlrn/tiJO1oVs9ea/zN8SqW/Plb7uVzXbZ66ysrLjP/NC/pl2tjbqZgcwF7z0cwbqw0Z32e/zUD66Nz8s6YooCoDK21s/N+nfiILA0tU7TQG4bFSPpCC6eJkmgh1siPDYi616UXPKC4FWTZ17bhrEH96qZMLwS5n9642m668ONJuQFw6HSFTBoD3yuK1HdbMyXBpFoyQg09oVT2mpfbMmA5JDMKH7ZVVBkrRFOt6yfS4kSSAWU40GILQ2GJbcWcp9kwYTbIwYRTVfussWoaGoKpcP7GyaGp1bUUS6W2TYgE6m1+eUF6Io1tO4j80cxqTRvZKKk06ngGruDQIgSlgip0UJlJQTfmftVJzzibGKlmLcy+s+Zuyw7kRjchJP+RMrd7BwagnznzUnAlk+F05JZPFTm/D7PMyaONBokusIpcdbEEqPzRxmTOMGm6Isf2Mv2T5X0iZhTnkRkkOgY7sMojGZzrm+JOS/jvrTJ6ZM2i0JiYn+HeKtOtBMTW0zbqdEuyw3x+vCLIm7hrkVRbTzuVKNwG/AThddpvt4RFFxSCL/+8FnxrpvaAhKIvW1IYPaubgglxuvzmf52t2Wk9vb9h6j8xU+g1pWX++t4r4+fRL/nCxpKao5AIeIqRkdisQoLsjlykGdTc/RnPJC1m46aGgCvbPtsMXkayEr30zWMH5kRikpO3OLyarlxnzJjNLzXqvL6vm4v6LIFLdNz0D8e21AQ26nhKyohGUNBKEfYyc0n9WCyE7MM77s2T3XG8JzpbWSMs3O5v21YqTRG8wLl27m2XkjUVWSminxCPonVmrTVzrNuZ2/1jdGuPfx9wwU9J4jNcytKDKt+Tlt0rj/1iLTFPfdZQNoCkX57z/v5car81n5ZiW1wUhSzj1xVE8cqAiqatr/pYpy56fZxVBnHPgzPnbF+2ptMMK9Nw8iw+syAeU0UBu4nA5jvweta8v0Cf3wed2W/ilJgtaEbpPG0tU7qQ1GuHVsAa+8s9+YkMlKdyNKGHvZ+OblfbcM5v89sYHigtwkv9bonrU8aeYN/Wmb5UUUBWM6UWceKC7IpWxUT9N7U0CjlKUsZaeyL6s16OufomhsG6FIjAXPaWAEK0YWq33V4mVbWDi1hKqTTUSiCqDidIjGHk3fI23Y8Q+KCjqa8uC7ywbgS3dxoq5ZYxJwO3nojhKO1DSwYt0+Y9+VONGtg5g7tE2npraZl9d9bDCyJAIyNTrFj1m8bEsSmMguJ9EpUeHsAuVStejzzFQMP9WpYnVmwkAwhN/n5pEZpciKiorKb1/bhS/NZWKvenvr54Z2cI7fy9hh3Xnu1Z38YMjFSUNPWRluUw5jR0lfHWji8RU7DN+PyrKJYWDZ63sIBEM8OLXklMyRp/I3K6Dfv91WTJbHcd7kFN9JhpqzOcKpO0Di9IV+zsZQ1GgAVozpbRSI9eD8rz/sRdnV+RyvDbFmwwFuG9eHzburqA1GjG50VkZy4uz3eVCAUAu6Xy8Or3yzksnj+3LrmAIUFbweiY8+qeHq4i6WxY9AMMy8pzfFFdoqjULiomkllu/J8LpYvlabbkwUD0/ZN2cqUN8QSWqItfW5KR/dm6qTTQA4HQLlo3vjdok0h2Trpp6iEouppmTinpsG4XRYF9FEUTA2T/o59IKwTiGnv/7IC1tZNG2o5efKNsXJR+60LvZGokoSrdHyN/Zy780Dv5vBLWWWC++pYpRe4NBR9LMmDrQppIWNRGbC8EtBgFhMJRKNsWhaCY3NUdK9LubdWoTX5eBITYNBVXTfpMFEorLRANTjNcCk0b156A6NfftITQNrN33KVYM789p7Bxg7rDsP/XazqVGjnzNeODyRTiM+MVFtUFV1DRGWrt7Jkhmlts/u+d4QuBDtVIVTfcOpKCoxRUuY40FEvjQXRQUdCUVlYrgQMWu7jijsYsRjvZjbLssLAgQbI4ws7sJ9/7UBv8/D9An9uKh9BpIocLgqmORDVpo91QGNztPtlMj2uWiT5TYmRFxOidvH9TEQdfrxj7ywlcUzhjJxVE+effUjxpR2s5h83crk8X1NE1zVgWaisoKnhZY3ZadvtmwY6vlPWxn/fCAIoKpIksB9/7XR5DMPtzSJ9QlvVAFFVXlwagnPv9763MwuH8zJ+rBR0I4vJMfT1ehxPyvDRdssD3WNYSJR7ZnUn88ve3bP5YbwTNe9lJ2Zna37G180tCuO5fi9yLKSFAetEPQd2qQjtWhd1saxEehWXJBLVoaLZ+aMQBQForJMe38Om3cdZeHUIUiiQExW+dNf9nN1cV4SUCkQDDF5fF8jBi9etoXlb+xtmVb0IYmcsrGXKsqdf2YXpwDL2KXLLuhFvC+OB5OAco+v2MFD00qob7SmlfW4HIBqmYseOhZk6eqd3HPTIGqDEaZe3wevy8mtYwqQFXjlnf189EkN0yf0o2JMH5O8yZzyQprDGhV0bTBCc0jLx0VRwCGJSKgoskpDc9RyOjEU1jxyRGGXpDz4bNHUpSxlKbvw7HRyAp01LhCKEgiGTHFTl3I6nX1VfWOYCcN7GNNK5aN7s2haCU6niKJAQ1OEa4Z2M9F4+n0eHJKAIqu8vvFTxg7rzpI4SQe9Rr38jb0mCugObdO55+aBHDnewH++vMP428Ej9SyZUWoL/rx9nILLKXJ/RZGha6/rGCbGfJ2+P8UOcGFbfL9Fn+JbNK0EAQHRyB1lJEliTguY/torLuGBeMD9rUXIisK06/vicjpoCkcZUdiFvFyfqeE3a+JA/vj2PqMe3CbTY1uzjsmKAaS7u2wAsZhiyg/0WltdS90v3qoDXz65quX5gjFUpdf8Fv1us5FTnA+gzdPa7+fn56cD9wNXAO2gNSeqrKzscW4u7dyZVRHh6978xKTa1RKYPS7JQLL94vfbkpJmnUNfn/5zSNqUoo5yg2Salvw8P5NG92JeHD2ojqC/clBn7n+6Vb9kbnmR1gjK8lhOTKm0TorEF9qqA5o+4exJhTy6fKvpc55YuQOAizv6WDBF20Tq1Hh29/B8cPYL3WRZ5bX3DpgaYq+9d4AZE75PIBhOQgele5y2U7GiKJiKx9WBZn750jYe/Ukpc8qLkuhDJdF62ki20e+xo/SyLU7KKq4WH6oONEFL4U2FJFqjFP3Wd9vOVPNV9zkdsWbHU67TFN5yTS/WbNAadIsTtM/e2/EPhg3oxO/W7GJEYRduG1dAmyxPEp1AfDPPKYl8cbzBeD7nVRQZxT4dQGLQE0Ri3DauN26ng617jhnX5/d5UKGF1kjAJQmGfpoDgQVTLjPQh/Gff6pnVFaU874hcL7a113vrAqn+obz5XUfG/TiFWP64Etz8dbWw7bTqn6fx9C4zMvNMBJSAFWFf4+jl9MnTSoPBVi4Tpq/mAAAIABJREFUdDPFBbncPq4PnXN9SXE/K91t+5wsXb2TRdNKQIAvqhuMafLvtW9F5elNFR0RKknw45E9SPfYT1/FW47fy5GaBvJyfaki3Rmaw0YL2CEKfBOigGdKR6w/H7o+cESx1gaOygq/W7MrSeth9qRCA3zX1Bwz0d7rjeYlM0pBUJlXUcTL6z7m2isuMYH47rlpEL/403ZDjyp+Etuq6WG1LsVvCL+ufV2t85Sd2s7G/Y0vGk4e39e2ODa3ooimUMy2SRh/PALU1IbIbZOG3+c2AVz1yW+dckyP543NUQp7d0CSRJ595SM2764iP8+PL83N7F9vSrpuPTfSP1tfH56dOwIh1dg7780uH7GKU1av6ftEnR55bnlhkpRJ5aEAkahMhtfJoz8ppa4hYryu+7XLKSVNm86eVMif3z9IdaCZV97Zz32TBlPfGDHpWuoMRx6XQ2PAKB9MVrrb+D5up2jk5jptuT7dmOVzEQPjM8Fcf3FIImA/sZLSc01ZylJmZaebE+jHJQKMdc2+NRsOGHu6nDiddT22xoN+dd3f/1yxHb/Pk8ScpYNBrxrcGa/bSV1DiF/8frslVfjiZVssKaAPVzXgS3OycOlmIGGvJmjsW6IgmGK/rCg4VBUlIpOdUAuPbwrGx+Vn545I1YMvcLMCHMXXpwRRRHRJRKKKba9k8fNbeGByMZIoUR83HdguO597bx5IZrqbQH0YRVV5a+th3tp6mBy/lwenlnCiPmTZ+9CtOtBMbps0I0fWX9NZOawa9VqNWSQWT3+fQAFqRfUfryXvPk9Am6eb2zwJ9AAeB5YCk4F7gD+eo+s655ZYRPiyTUxiEu2SBGQ0WiVFUTVtEFQEWdF+3Lhx6eKCXG4d28cWHedxaYE9GpORZZUH7yjh+TWtSOV2Lc6hn8+KHlTn1U+k4VjygrbZPB5otpyYqhjT23Q98RvMzburKLs639Ce0vmnAW4dW2AqamvFQzc+j8N4uOPRheeDs1/oJgiCpeh61Ga6bvGMUjxua80OQbBu6kVjKivf/NjkRyvf/Jip1/azDpQ2U0gOSbCko9Ob4InHu5wigWA46Trb+ly2elipwsR3y+JjdDzyBvTpVpCs3tdS4NCbf1Zi2nohWC+CWCXUiTFYLybPn1xsQv/psf6nZQOQJIGte44xID/X+Ht8wU1P+BOv5d3th7l8YGcAel7chg7t0olEFRpDUaJRhSyfi4VxTb/7K4p4bOYwqk42JekICIIdPXZKV/Or2LmayImBQVmbSJV5uKqBykOBpGnVcFTm3n8dxInaZlMD464bB6Cq6iknTfLz/Iwd1t1Y54sLcnlwagkqKsdONPLH9fssY7jeXNYozyEz3c2JuhBvb/2cW8f0IcfvtfVrl1Pi2IlGS3/UKe8SP+u+SYPi9ClSG8rTMUkSKLu6p4nObW55C8V77J97LU6XRE0w8rXoiO3ATEdqGhhR2CXJzx9d3jrVZEXJtHl3FbeP04oa/jQnd1zXjzlPmicNf/nSNu69eRB1DRFkRSWGoHHgqq0bq/g9AzY51dkqMp8NrfOU2dvZuL/xRUOrPGNueRFN4ShvbPyUG0bmWxYD4xH0c8oLWfb6bmqDEaMYGN+gyUx3G/lIYjzX3//jkT3wpbm4clBnqk5ax95gU5Tiglwy090smTGUYFOUvQdrcEgiMVlpmboStIZgKvZ+o2ZVqziVnq5VgxBIOse8iiLCUVljIYqj+NLX4m17jyHLKg/99gPT62s2HOC6Ky+lvd9DJKbgS3OxeMZQTtRphe0/vFXJ2GHdAbhyUGfCETlpIu+RF7ayYMoQqk42kulyISAYRTt9vZh8bR9+3iKNor/v4ZYit8dlLW2iTSdqZgf+SwFKU5aylMXbl9UaEnMCPXdIjDGVhwJs23vM0AD0+zzcOrYgCbDvdkn8z1v7GFHYxaRTPXl8X8ta8OIZQ1FVuP/pTUbj0Q7k0LFdhgEIbJvlISvDRVM4hkMUKS7IpTYYSdqr3V02wKBLnDVxIKKGo0CVkuWhlIhMG5+rVTNYEnE6RMLhWGq/doFY/PMQCIY0Hem43zTeH3R94fh85O6yAQbrxKJpJUl+6vd5iMVUHlxmBtKvfLOSEYVdjCZ3VoaL/Dw/ZaN60LFdBpGoTJtMN48t32bb+9CmAu1ZOV5995OkYah5FUXU1DXxu9e0Z0BvakeiWlNQRrCl+l+6eqfGvsH5Ado83b3LD4G+lZWV1fn5+c9UVlauzM/P/wBYBfz83F3eN2u6YyNAoNFc1Js/5TKCjVFjWkovtGanOZN+3BGFXTha02CL+nQ5JUsNnLKr82kKx2hojPLqu58YTu732fPqW72e18GHLCcLwSdu6t7e+jnBpqjx9+uu6EZGmgtZUWmb5eHDnUeoPBRg/uTipCmxx1fs4N6bBxKJKkmbjcw013nh7N8Fs2pM2FFvKoqKqoDDIRgbpVAkhsMhINk0BkRRYPPuKhMtG8Dk8aqlFkOay7rJ6JAE1mwwTy2u2aBNLVqhNmRFtfShR2aUEo0qX6oFlLIL1yRJRJUEE/AiEXmj+65VZ1inK3h53cdGUS6RD//d7Ycpu7onkZh8yoTa6RCYeUN/RFEwkHIZaa30HjpaOTHWB5vCxvOmbxSCTVHKRvWwRO9NHt+XJcu2sGhaCb99LXnSJVE76OFlW3jkzlKT1lv8BPmc8kKDJlJ/dl0SRFM9wDO2c5XcyYpq2dB45IWtzLyhP//1h7+ZplX14xKb0Pq6sGDKENvEFzBtNkFrjBw8Us+Dd5QYCNHDVQ1JIKHKQwGKC3Kpa4gksQj834cHmVdRRCAYsvTrxTOG8uS65Obi3WUDCDZHTFR1L67VNDWDjSmA0ZmaLKtGAxBaAWN2tNvn0iIyX5uO2AEmMJAoQptML7UNYdvJUp12MdNmolUHQciyQkywzq39PreBWo0vepeP7k00qpjQz/MqiiguyDXlTmezyHy2tM5TZm1n4/7GNxIrDwV4ca0GzMzLzQBB4FcvbafyUID8PD8n6pqTioFpbgdN4RhLZgwlM93N8rW72by7ymAPqA40c7w2ZKzxS2a05v6J8VxfO6ZP6Md1V17KwqUf4Pd5LIEdnx+tY+KonkZDUddP0xvjRs6R6SbN7Ug1A78hswMgvbzuY6MRrIODYrhwuaSkgtyCKZdZxq7MdCeq6qJsVA9jXQdz4dkKTb9kRinhaIy5T24y+Uo8Bd3BI/UGgO7BqdZ5iUMSyG2ThtvpYO5TG5PWi8UzrPe5eg5vV3/R7e2tnyftYVM0dSlLWcri7cumfKxyAj13sAL+/LCkqwHMmTy+r2Vt9adlA7lhZD5/eKuSa6+4xPi7XR3ieEADYSbWE6xioNORDAjU81it5hHjF7/fnnRNOojuVy9vZ/qEfsz+9dsmcH5EVg0a/qaowpHjrRqE8U2f1H7t221fVX7Hzp9UlSQ/LRvVw7ap5s/U+iFZGS5y26QxfUI/07XMKS+id1e/6RqyfS7jebhv0mBEIfkz9fxg3OXd+fP7B/lp2UDaZnk4UqOxdsVraepgo4VLN5Pj9/KQRSNTv8b7b9VyivB5Ato83c9yACda/t2Qn5+fCfwDyD8nV3UeWCJtSzzPcnWgmeqTTUmFtYdbCn2JiFFfmpNlr+9h1sSBvPruJ8bYd1a6m4gsUxcMm+iI9M3Z5PF9gVbEXfxkiWUwt5m6OnQsaCS4K1qoxHLaeEHF2NTphd/3th8GtAbg5QMTqEUrirj2yksIR6x15LIyPDzwjFnvZ/GyLfb6bymE8lk1xSaoSDbTdQ5JIBJVeHDp5qS/Lbmz1LKp53TYNwdXrDNPCK5Y9zF3XNcPp1M0NRmdTg02dPv4PsRiKqIAWRlubh/fh3BUttX4s/puMUXlpYTPfWndx0y9tm+qwfwdMD1OJ3LtxycJb2/9nIoxfQwUWiLyTKcrmHptXxBg8YyhHG9JnnU+/Pw8PyOLuuB1O06ZUFedbCImK3TI1goP8dNP1YFm20Lcz24ZzMKpJdQ3holEZWaXD+YPb+6jYkyBbQG7OtBMIBi2bAxZaQdFYwptfS5jjfrsaNDYuFSdbGL+5CE4HQKSKOJ2CoSjikEvmkLrnb6dq4kcSRRs9SLaZWm8+S//38dJPmaHgnc5rfOFrAw3+Xl+289SlFZdn8pDAZ5YuYNJo3uZmssVY/oYtKT6+/Tn0Zfhwu2UTDS3+qRLNKoQCIaM4rgvzYmqQrtsLzFFxud1EQiGAS2Rt9IYTAGMvtzskI+xbyAn+7p0xDoIJNKsgYF0iiQ9d7XLl9v7vUyf0I9V6/clAY8SQRB29OWqiqWPV1nsDxa3gDbi9az+7bbis1ZkPpta5ylLtq97fyVJREUwqBK37D5KUUFHsjJcyArUNjQbmvIThl9qTG5DnObaHSWEIjLBpigOh2jsCeOLgfGFxvg8xa5g6HE5DABpdaCZF9fubZGQ8CIKGsNIx3ZpRhMHrPXTjOsLKyiqgtslGVOxqdzhn2N2ACRtLXUxYXgPg07rT3/ZT/no3jSFYsyaONBYg+1il55L27Ea2a0pkahsUNHrr1nlp7oPyop1QU4SRX772i5utcmJFZv3CQhk+dzce/MgE2CjtWntbKGlE/G4xNaJldOgpU5ZylJ2YVvipLQqnXrKxyoniM8ddIDxRe0ycEoistoaN+3W6Gyfmz/9ZT9jh3U3aezZ1SEy092crG82NR7XbDjAfZMGE2yMGLU4X7qLqhPNBoVy4vdZ8oI9uEIHi+o5hP7vl9d9zMRRPS1Za/SmSXz8T+3Xvt12pqBnuzq17k81dc1J+7GO7TIs35OV4SLD66K4IJd22R5CYTnpWh55Qdt3xTNgzJ9yGV6Xg4emleAQRV7feMCS/Svb5yYSU/iXIReT7nEa0im66c/J4mVbjGfA7/Og2uQiGV4X6V4HciRmy5L3zwZtnu5+/yNgGPAO8D7wn0ADcODcXNY3b/GObRWY7Qprcgs1aPyPq6gqZaN6kJnuNMa+dUe756ZBZHitA78/000spuD3eZh5Q39tUyYKNIYiSZvROeVFlo48e1IhoqBt2o5UB7l9XB8CwTBOSWL52t34fR5jw6cqKmOGdWdkUR4up8MooujXo6PtvjhuPdUoiYKlToAo2iX1X+7sKS3B0ze7QpUkCpYNPUkSbBu6sqyy59MaHp4+FFVVEQSBLbuPUvr97zHv1iKTFtq8W4sAlUs6ZdP1okxUVaVdtpdLOmUTk1WDljD+mv7j7stpbI4lXZPf57bW+DsFrahVooGQ8p3vgtlx7YPmx106+DRarWdbKQ1vH9cHQdCaXQ6n1giPtcRtSVVRJUFLkNOcTBh+KavW76dsVA8WLv0Qv89jgDkSE+r2fi8CsLClqa5P+R34R8CI11Zrid/nwSmJZi23W4uY+C89bacP9OS/riFC++zkZkrloQCdcjLIz/Mb6MQjNQ10vSjTOI/T0ZqivbX1MB99UsOSGUNxoFJTZ08fZWep502zsz2RE89IEE+JGX9up1PE65EYd3l3HJJo+rtO5Zao/1Z1sikp2b7rxgG88MZupk/oR0aay/gsfYIgq6WBt2TGUILNUZySZDwrP7tlMD6vk0NVDTQ0Ryyfx7ZZHkQBvB4H067vZ0Kf3l02gIZQ1NiwpnsdtMn0gAANzREUVeWx5X81+aRgM6GlN1xTPmltdsAg6StqAp7OfbY7RhLtNkP2dMT6uapPNiErKjUnWwsZiRRJK9YlN/l0yvO83Ey6/jAThySy5M5S43o8LpFwcysrhkMSLBkKHA6BJ382nKZwlEB9mFXr95uKI/FWHWhGQDBpY7TLTuPEiYYzv+EWZqW9kfL3s2df5/4moqV1rT7T9H15IQ9NK6E2GCYr3RqAUdsQZu5TmyguyGXKtX35xd2X43E5cDpF5k8uZsW6fVQeCvDOtsMsmDIEhySw5M6hVJ1oxp/psW1kJ9LwqyoGoNNY/1uYBcC+WHmyPsSvXt7OnPIimiMxHn3hrymk/z/R7ABIOW20iZP4HHPWxIE0R2ImDb3ZkwptwT++NCebd1cx/vJLkvyouCDXNu9xOkWT7+jnu7ijjyd/Npz3P/qCSzpnIwqwePpQFBTLiTwElfLRBTgcou1EdWJd5K4bB/D867sYf/kluN0ii6aVIMsqoiRoewABFFnFLQqAyvHaEO9uP8zIootRRBkVEY/HQST01TiyU/lHylL27TXbKSebeKbtn62f8cw0F4umlRjU2RIQkVVUVTXWbvtpPZEbr87H4RA0KuSWOLdq/X7LPdzytbv58cgezJo4kF+9vJ0X1+7ltnG9cYiiiV1g1sSBZKTbM2VUB5pRVCw1XnXWuBy/F4dDY/xqn+0hI81FfWOESdcUsHztbsvmol4L0V9PDYR8e+1MQM+SJBKLAxHrluP34s/0MK+iiL/uOcYPSi5mwZQhiAIoKjgd1ntEv8+NJArMvLE/Tc2xlhpJcj0sEGyVSZk0uheRiMLC5zaanpl3th02vQ8B9h2qZenqnVpNueV7xetjBpuitM/W8uqYrDCvooi8Dj6eX7PLshezfO1u7vxxfxTOH9Dm6T530+L+fTcaBWgXoOJsX9D5YvGObRWY49EYumlNCZFQJGYEZr/PgySKPL1qh+VE4S9f2saSGaW2Dq6CZbGsXbaTJTNKORkM0cbn4dlXNXH3PQcDBm1o2ywPb2z8lF5d2xmTf/Hd8FkTB5Ke5iTYGEna8KV5BUuHFwTY9PcjzJ9cjEOSEAQQBQGHQ6A2qIl1jintRuecDH52yyDqGyM4nSJzyot45AVzw8clCaekmztX2koXqokiloUqSdKK/fHTeLrZNddcTpFeXduZJkHnlBehCtoERjxaUnKAUxIZ3LtD0uSo12M/8WFFAfbInaXMLh9MfUNrcyUzw4XTIVp+N1EULClQl8woJdB05o2MlH27zI5rH1q5vnXqIjtNnJVvVhr6q4tmlNDUEE2i4cptk0Z1QEPKL3t9D1Ov74MkmBPqe24aREaag7nlhRyvDbFl91HqGsJcmtcGScTYACRepxXVweLnNcAFkNR015sk8yqK2P1pDTl+bxLF55oNB6g62cgt1/RizYYDTBzVE6dTJBJV+O1rrXqzibQcDr4anWUqVrfaV03u7LR54u/rf95zeRJ1qy5yHY2p7Pn0BMMH55madx3bp1E2qmcS4OKve47x173VJn0efTL04JF65pQXGlRiiUCLe24ahMMhmPxy1sSBnKjXqOhm3tDf8nnM9rmpDUaotZjcfXzFDhZOGYKiqEy5ti/1jRF+t2aXiTmh3yXteGvr4TiftM6dJFFAQkj5pI3ZNrW+gibg6Tz7pzpGlLAEKdnREVuda2EchVxig6LyUIDlb+zloTtKEFoCWHwM1LQoRRY89yH9LmnH9VddquU2DgmXqBWJVbQGfHwOleZxEgiGTY3pueVFpHudtvRzogiC3DLhKKuGbubZsnjtDWQ1NQF4lu1M7m98PFdbNEL0XDivg8/EmuL3eTSaz5a4bje9qtM9jx3W3aSJdteNA/ho/3Fm3TSQSEwmFlNY8NwHxlSsvhe1aoZn+VxEIgoPTx/K0tU7LZkFFsfRHYG9flqwKUp1oBV97fd5Ukj/f6LZNeIyvM4kqk6dxi3+tUeXb2X+5CGW51BUlXkVRTidWjPtz+8fpFfXduS28ZLudRKJyZZNuOde3cmk0b1M9J85fi+fHdUYiqyA0Ts/OW40sSVJpL4xYqITnVtRBGDE8LkVRTz76keUXZ1v2mvq+czt4/ogiAJLX93JmNJutMv2cuxEKz2dhvp38e72wwwb0CmJISlen/Z0G3upnDhlKft2m91eOH4thNY9hyArSTmB045yOabw8PPmuu5f/nrYMi//j//eZtAPbtt7jLGXd2fxjKEoisrx2mYenFpCXcuEd/we7t6bB7LkzlIiURlVhQXPfZC0BiyeMfSUQOMvqoMGzWG8xuvza3ZrzY3ywYiCyNtbP2fssO5GDqM3Psquzud4bchoIOo0/Pp6kpXh0uqIpCjEv20Wz24RkxUURTV6IC6niJIwRR9D23sl9gPuLhvAr17aTiAY4oHJl9Ecks3Py9TLuOemQSb5tTnlhQSbI/zhzX1GfePusgFGvht/7pisGDIpAkKSFEZ8cxo0/39oWglet8Tc8kLcLgcOSeDRnwxFUTBYOvTrWDDlMsIRmf/6w9+YNXEgtcEIggAP3lGCoqicqNOYDiaO6klWupsToeh5A9qUFixY8KUHzZw588TMmTNPtPy7YebMmX+aOXPmipkzZ1Z92Xu/QcsGftrcHEE9Bfg+Pd1NU1MESRJRRAEZECQRt0visj4d+NHwHvgzPRQVdGBHZTWNIa35N7q0K4N65vLRJ8eN1+69eRBNoShLlm1l3+e1/OsPe/GDIRcbznxNycW8tuFT0+c3hmKMLu1KccL555QXsnztHvw+r2lD1hiKsetADT27tCHd66Q5HMXplHjmlZ0AnKgLseFvX/DWlkNcMbAT7f1pPL3q7+R3acPjCefZ+UkNwwfnmfj9G0MxtldWM6Iwj6H9OlJU0JEnVu7gtQ2fUvn5SYoLOjKoVw5iCzXHb/60kw93HWVY/068+Oc9XHvFJTy+cgd/eHs/H+46yqBeuQiCwDvbDvEvl3XlmpKL6dO9HWs2HKB/fg5Cwo+j/x4AiiiYaET0axtemJf0vrNl6enuhefkxGduZ+S/ALIq8PrGT5l2/fcZN6wrlw/ozJ/fP0hB17YseO4D1r7/GW9vPcy7279gR2U1Iwbn4XCIDOqZk+R7bqdkShgaQzHjPbUNERY89wEr3tzHh7uOMrhXBxySaHn8VYM6071TFk+v+rvhQ3dc3xev28GKN/eZvov2LHQjFlP51cvbeW3Dp+w9eJLLB3TC5RR56n8+4l9/2MvwoRXrKiku6GB5nrHDurF87R5+NLyHcfxrGw4woGfuOfOdc2nxv/OXHPet9d+vYoIk8uGuoxw8Us/U6/qy60CN4cfzKopI9zp4eZ3mH3dc14+nV/09yUd/NLwHG/72BZ1yfFxW0JEHf/thUrwdWdSFD3cepTEUo12Wl2H9O/FQwnEffXKc3l3bEmyKsXT1Tm4dW8DW3cdwOiQUVTUKJf0uaW+6zrHDuvGHt/abvldjKMaQvh15+PktlH7/In5Y0pXRQy/m6uIupHudDOyZy5HjQXp3a8fCpcnXO2viIJau3sW72//B7FsKcThEQqEYx2ubGdAzh8+PBjlUFWTXgRoemFzMyMI80p0ScssmxuqZGlPaFdHmdzhbsfpC8F9VVUlzOxhemMeY0q5cXdR6b+1MLxgteO5DI64WFnTE43HwwLOtcTUWU+h7STt6dW3DDy67mAH57UnzOnlx7V4G5OfQLtvL8rV7mHRNbxqbI/x4ZA/SPU4W/W5zkt/fPq4PPS9ug9slcfcv32XD377gRF3IOObaK7qzfushJo7qZdoI677e75J2rH3/M+O1nZ/UUNS7A1cO6kRGmpOSvhclrCtFNDSFeezFvzKs//csc6Fxw7qx60AN7bPTeen/9jJ2WHeeXvV3Vr/3KVv2HKNiTAGfH63nRF3IuMaigg5sj/uceRVFpHkcKKrA/IQ16avmDxda/I0q8JtVyetpUZ+OiGd4b07n2T/VMUpMwZfm5KrB+vPSBZ9HMtGwxefnCCJvbv7MlE8KgkqwMUL56AJy/GkM7pXDsZomw58lUaB7p2wy0lw88OwH7DtUa7qOXl3bEInKjC7txqLfbdaewZ1HGdy7A6JDZO6Tm/j7/hr6dG9Lbpt0gk3aZOov47RS9OdqZFEXMjOcFPY25/TzKopId0qocfc33q8S9yAOUTAd+8+wb4v/xtvXzSHOhXm9Lo6ebDLi+RUDO7Hn05Pcck0vnl71d/r3aG+Kf4m5ybGaJu643pzPzJ5UyH//eS8Thl+alMfsOlDD5PH9mP/s+/TIa2PsGePPe6IuxBfVDdw6tjdlV+czojCPcDTGgmc/ZOVb+9i86ygzJnyf9m3SLNf/sqvz2brnGJ1yfAzp24GrBnU2xd27bhzAinWVRmwu7f89un0vm//98HPb3OFs/3bfdf91iAKFBR2T1kOnQ7L8TX9w2cW8vfWw6bWrBndicK9ck+/dN2kwqgJP/fHvvPruAaoDTYwp7c7jK3ew6i+f8OGuo/S7pD37DwcoH92bod+/iIJu2pry909q2HWghlvH9ubd7V+YfOVHIy41KDr1z//ok+OMHtqV//fEBjbvPsaw/t+zzF9+9q+DGV1yMSOLurB87R42765iUM9clq7eyWsbPjXymRy/lxGFeaiqyvcvzeEPb1XyzCu72HvwJFOv68u+Q7W8ueVzhg/Oo+tF2Txk8VlXDc7DIQqIomCZp6W5HUmx+qvkxOeR/8IZ+PBXtfMldqenu3l5XeVpH3/Tv/Q84+P/Wd/zm7yn56P/Al/5ftjthW8a1ZMtu4+dMreTJBHBKRKOKkl79MG9cvnlS9uT1vCf3NCfplCMIX0u4oare9Czi5/f/28llYcCNIZiNDZH+GFJNxY+9yEvr9vHwJ45/Pp/tHxizpObkvZwPx7eg7qGMA8/v4UB+TmWe64RhZ0ZkJ9jivd33TiA//3gIOWje/P7/21d03cdqOGeiYPI8rkYkJ/DqOIueF1OFjz3AT8a3iMpL/nbvmp6XtyWpat3MvW6vjQ2R+jdrQ1jh3UDFR5fuYPV731qG0fPRU58qufjfPTfc11D+6rWWrP4gI8/C/D9Hu15YuXfjLptUUEHvF4niiCAIGoEM4LA+q3/oKBbGwbm53DjyHx6dW1j8vHC3rkmKvzGUIyte6oYd3k3euT5jbqHyynx3Cu7GF3azch3rxrUiSdW/i3puRrS5yIu6ZzN/35wkCF9O1rW28aUduWtLYfI8Xt5YHIxDlFEFAUUBR5+XtsTDu13kUm6Tc8PRl12sfGMDx8fb7E6AAAgAElEQVTcme/3aM/jK3aw8q19bN1zjCF9O5LjT8PrEElLcxm/l6qqCKqKCAiqelb2e2fqw6c1CZifn/9zYFVlZeWHca8NAa6trKycfWaXeP6ZFWJr/pTLUFXBQGsWF+SyaFoJgiAQjSm8ufkzBvfuYHR6a4NhYrKCKLRqK+gISN1h7NCTsqySleFi/uQhNIWjpLmdhuB7vAisbtUBjYNZVlTaZnk5XBW0PG9Whpv6xjB+n8eWvkUUrGmLZFlBluEXv7fWF1i6eqfR8a48FKCuUdOmstKxmD6hH726tjNRPAJMHtcXURKTJh90O1faSheqOR0iVw3ubEIx6igIq/sYk1Vicoy1mw4yf/IQJBFkBV55Zz83jOxh+x6rCT5b3UdZ5bX3DpjQma+9d4Cp1/WznuAQBMvzL7mz1JYm1IrqzukQbWlCU3bhmBXXfoe26QTqw2T7XMhyK/WAXQzUaSkmDL+Uusaw5TFCi1agLGtIp5q6Ztu47HFp/175ZiU3jMw3QBZ6IW/b3mPaNEBuBoeqGmynRnRU/ZIXtrJgyhAeX7HDhKSeP3mIQXOQeB0NzRHj2OpAE796eXtLYv8Z1115KffePIgTdc0oqoooCMRkBVUUcLgkXJw5fXMqVpvtTCdy7BCn8XoM+Xl+rhzUmUhMIRpT8bi06b/nXtnVgnbvyyMvbKXfJe1om+Xh9nF9iMkqLqdo+dvUN0aoa4iQnWFNMRqoDzOoVwdiNpptOgd+/GsOSUQUBOobIkbc11kJnnt1p5HP2OVCR2oaKO7TkRN1IcuJlEeXb2XmDf1pDstkZbhQVWjrc/HIjFKismIS7X7oDmtx7u+qT8abKGK5norCmS+Qp/Psn+oYSRJRZDVuQk4mKptpcAONp6ZSfGBycdJESfyUs65FMmviAFtf/tHwHjzwrLW+ZHz+Igga5fMSG62UaExm3lPaFNb0Cf3o2DYDl1OjmrYDAthNjbT1uYjIaopO7ltmdY1h47fMz/OT5nFSNqoHT6zUJvIy090mii1/ptvkS/r06sPTh2p7MQUEUaXyUCDpWNDjeTgpz7Gail24dDNP3TecI8cbLLXfFkyxngSrqW3msZnDqA2GjalGXdvoRF2IF97YY8pP6hoiBurfcQpq35SdHdPjpS9dYwlSFBVRxGB3sPpN41lh9Nd0WuPJ4/vSpYMPRYXG5ohpbz+mtJutLlZdQ4TZv95oOm91oJncNuk8O3eESYu6bZbX0pfbZnmNf9vVKGpqm5n71CaWzBhqUIPG62HqcXRuRRFLV+80pgbvunGAUbeInwCobQiTbiPNEpUVguEY2T7XabNkpHLilKXs2222FMeSeMoJHj2fCwRDpHnOQE5KVrn/6fcB+M3s4ca0oc7I1ikng6qTjQYd6aa/H2FOeRF1DSHL63Q4RKIx5ZR7LpfTwTvbPmXy+L50zs1AVSEciTF5fF/+47+3GWu6fo2KqnKyLowvzcn9T28ypFhOVV/R14eHpw+lMRTlcFXQMveIj6OpSerz2+JrFokSDNWBZh5+fgv33jyQaEw1rcf6xOuVgzoTbIqYJmrB/tmoDUbIbZOOKMChqqhR94j3u0QpFP29/kw3kiQworALx0402rAluPjNnBF4XBK1wTAPLn0/ib3R7tqUuBqJoqimRqHeB3lkRqlWYD/PzA7Yn2jlwI6E13ZwgdCBWhXgqk82GaOnoNFO/Ntv3kcUBQQBXnn3U+5/+n2mPfI2Mx5bz7ynN+FySmSmawU13fTAC60Jqv7f+tTVC2/s5vZFb7Fw6QeEIzKgaMLrM4aSme6muCDXdL168q41HlVWrNuXdN55FUUoLV3lKdf1MWjoEs+jqFi+HpNV282m/tD96uXtTBh+KQB1DRFbLQGPy0FWhivpM1RU5j61ialL3mbuU5sINEVRlDgUiM01S2eZQunbaJIkokoi1YEmVElEammmJgbix1fswNFC+RlvOX5NT88hiXz0SQ13/nw90x5dz50/X89Hn9QYOj2J7xFFAb9P425eMmOowY9u519Si2bf0tU7mfvUJpau3snYYd1xOUXmVhSZfHZuRRGCaL3hUxSVu8vMPn532QDcTo0rPf78N16djwCWNKGogun+xQTBuH8p+/aZLCu09Wlc+7eNKyAaU1n2+m7SPQ4EWTWahPH0FvGmvw5awayuIUJxQa7Jv6eML6C+McK8pzZxxyNvE2yOkOF18ehPSplXUUR+nt84VygSM843orCLacpab2L8sKQrS1fv5FBVA0tX7+Td7f8wrlE/z103DmDV+v3G+4JNEW65phf5eX7y8/xMHt8Xp0OgriFi+Z0C9WHT99P9f0RhF3750ja+OB5k2et7AJjz5EamLnmbeU9t4ovjjTSFYiyYclnSehJfuEh8ftxO6TsVq63i79cxu4KRorSuzxOGX6rFtJPNRrxbvGyLodEgijB/cjGjS7sx/9kPmLrkbRY89wENLZqA8Zbj9+JLc7J09U5++dL2pNg6r6KI3LZe2mR6DI3jxPdbFRDbZHrITHfx2nsHDGBGXUOEhuYom3dXGc/gqvX7mVOe7PMr1u1DUVQyvFrj0OqetMvW6G9n/3ojc5/ayIlgBEkS+Pdn3mfh0s1UHgpQHWjmSE3Dd8onz8Qcksjs8sHMn1zMkhlDmT+5mNnlg3FIZ35vTidPszvms6NBI/eLf4b0AsDcpzZx4B/1pvx8RGEXowEImk8cbykiJOY+990yiPmTh5DudXLXjQNwu6zjlCiCwyEwt7yQJ382nJ/fNYx5FUX0u6QdwaaoKb9obNaeJ7v15NiJRqoDzUbD5YFn30cluUgUHz/kFrrIxKJIc1RJypFTucr5b3rhDbS4vez1XXRsl4Hf5+GWa3qxcOkHzP71Rpau3knFmN5kpbuSfCkQDPGP6iDTHl3PwqUfgAr/cdcwQxc23vSmG7TuOfPz/EazMTFPEUXBtqARjsSS9pN33TiA1zd+iiyrhp/q/v3vz7xPu2wPgWDIdPzbWz83pDFE6ezf45S1Wny8vH3RW8x9aiONoahRnI7Pg6F1jc/McJlemzVxoEHbtnT1Tj4/FmTV+n1kpJn39nbNO1+a05i+i7ccv5d/VDcQjaksXa2xFs2rKMIhCcyfXGz4pn6sTpOsAz2tzpeV4TZqJPrfKw8FDDDgb+aM4OHpQxEEbc3Iz/MbebBet9CvOcfvJc3j5KTNtet+H5PNeZqei8uKmpQHpuoX35z5Mr20b+877f+lLGVWZhc3JVpAa6r2/4lNKb2m7HE5LPfoupxUvCXGPbll76fTGC5dvZMZj63n6VUfUTGmNw9PL+H6qy4FVPI6+JibsJ+aNXEgNbVNxmetWr+f2ZMKTcfcN2kwgfpQy3kgFI4x47H1zPrP95AV1VjT469REgXSPE7CUdnUXPyy+kp1oJmYrLD4+S32TdC4+q8dMParqbOm7GybElezsGsAZ2V4kuqwj6/YwdDvX8SLa/fiz/QYe1A9R7V7Nk7UhRAEOHqikaWrdxrN6Xi/s/PBQH2YDK+LrAyXZb9kTnkRy9fuxiEJHDneaPhd4veyO78gtK71do3ImHL+NQDh9DUBBZJnaAyg+7fdrApwp0JqBOrDlp1kv8/NGxs/NSHR3t76uaF3UnkowJoNB3hwagnB5ohp4k8/v67fFK/xNKfczH9/d9kA0jxOHJKAKGibxRfX7mXy+L74M934vC6ef71V8+SemwaR7XMlaQnNmjgQWVUsdSKaI1EyPC7L7xkf1P2Zbm2RbOMlze2wPD4UifG99hnG3/TP+O1ru5IC/H/cfbnx3vNFOPN8MzuEjC/dugkritb6P6IoIEnJYurzKopwOIQkf5lTXojLKVpyLrucou3xVs24x2YOw+0UTRo7bqeIiDViVRQElr+x14TIX/7GXn52y6CkguAjL2w1TdDE3wtFUXGlEEYXlCmySkxWaApF8aU5+cGQi3E6tc14PO82QnI8mVtRRCQaY8mMoWRluPn0i9qkKZNF00oMHcH8PL9WEHi+ddpW58m/8ep8FFWbzAJsQRHN4RgLp5YQjsaYPamQ+sYw724/zMKpJaCqHDvZaCClobXAt3T1Tu4uG4CqYiCY3976eRLyWZ+A0b/fGxs/NT5bT2o8LgcThl9qCRqYPqEfeR0yk9DkX6bvNbt8MI++0KqPdf8FGqvPBULRDnHqEAUWTLmMqpNNdGybzuTxfdmy+2jSbz6nvFDT3rmmgCXLzLoPi5dp+kwHj9Rbrr/VgWaWv6EVzjrl+FBV1aSZtmh6CXPLi0y6xLomYPyafu/Ng6htCNEuOy1pCnv2pEKKC3JNSP3mcNSI/7p+TyAY4rOjQZau3smiaSWW90RvssR/P6t4v2LdvlT+YGMOSUjSNNWLsmdKLHM6eZrVMfp0nv4bxqOA4wsAiRsxqw2nVb7u93kIJjynsyYONOKU3+ehbFQP8nJ9BJuiPPfqziRNkwenllhOBz44tYTnX08Wf59TXsTaTZ9q+VhLnrJl91FUFWRBQBIFXJKQpBFjN7UaP+ltdZ9Sdn6a09Gqte1Lc7J5dxW3juljTAPG/6a/enk799480DKmP/MnrWHi93kIBMO22n6zJxXyh7c0erpV6/dz36TBhCOyiRVEz1PGXd6dE3XNRKKyZXzV9Xv0XLu938tzr+40tDKt/FQQBJMu0ZoNBygb1ZOmkJan33vzwAujWHCe2pfpOCfrz4g8++pH1AYjxu8cisQQRQxQkZ5Hlo3qwdEWQI1+fitta7028PbWz21jfefcDB6YXExdQyRpD6lPbd9dNoATdeZ4ahVnX3hDq50UF+Sa9p6BYAiv20lMlnngmc1J16BPD+jXHGppei97fRfjLu+edO1zygt55Z39+H0eUDEmeLfsPsqVgzqbris+D0zVL74587gdjL139Wkfv+YX48/h1aTs22pfVbdLrynr8TAxfuW2SUvSODPHvUJAYU55IXUNYcucYfqEfsx4bL3x3r/89bApliuqyrLX9jL1+j5GviAKGMcoqko0qvCfK8z6ZsUFuWzeXcWJumbruqEk8LtXdzJ5fF+gdbhlzYYDSd9Tj7nQ2iyJbxwmrh/xbEOpSerz1yRJJKa0smzZ/Z6KzW+Y2yad6T/qR3MoatqD3l02gMwMl2X+sGbDAX48ogffa+8z1SPe3vq5sf6vWr/fsp8hSgLL1+6mYkwfU79Ef1aisRg3Xt2TE3XNtIkDHyd+L7vzO52tdfTT8e3zyU73WdoE/Dtwf9xr84D3z/oVfQNmVYDTu9FJP6QkkNvOa9rw6IKob2z8lFGXdSUqyzw8fSihiIzHJeFwCCyZUUpNy8arIRTh5y/+lVkTBxoNQN1GFHZJSuYfeWELS2aUcvs4xWjcCMCbmw/xL0O6sGhaCU3hGE5JQhLh6IkGaoMR4/2/fGkb0yf0IzvDbWqivL1V0w28qH06S2aUIsdx0v77b97H7/Mwu3ww9Q0Ro1HjS3cZRe4cvzZC+8e39zGisAudctOZd2sRixPEbv2ZbjxuiUfuLCUma0VlQSDpu1cHmonGFKPAcb4IZ55vZrvhu7PU0mcjUYVjNQ3ab6woSKLI3/ZV0d6fBlHYuucYD08fqvETCwLvbDvMiMI89h48YXp9y+6jDOl7kWXj4JE7S61pRa/Ot1wEYrJq0kvQr/XnM4clicbOKdfE6K3oyxTFbnLQppkofvmGOWXfLouBpS/FF0D03zU+njgkkZiqUFsVwuNyUHWykcv6dmTuk5tMvhEIapTKM2/oT4e26dz/tPnvT6zcweIZQxElgWdWfQRoE1l+n5v5k4tZsW6fqaGX7nUiSSIup0hTKMpF7TNYuHQzew4GmDS6F1kZyah6vVjeNstrUFSvWr+fW67pxZoNrbSLbTI9iCLGhmLFuo8ZUdiFt7YeNqH1YrJCp5wMy2enY9t0ojGF59fsYuKonknNLbvnZ+YN/U2JVZbPhRy58Mod5yJ+2BWMnBIEmxRTonzXjQN4Z9th4zdvl+Xl9Y3a5J3TITB5fF8Dza9fnwosmDIEhyTgckpEojLXXnEJIwq7GMcuXLqZ395/NXMT/Pvfnn6fn989jAVThiAKIAgCbpeErKgta4oKqDQ2R0n3asU1KxrPxTOG8tyrOw2kfm6bNBoSmjRzyot45k/ad/3ta7uSm/blRfzmTx+Z7l11oJloVEmK94FgiGyf62vlDzrFWnWgCSTxgsk/ojHF0ocf/UnpGZ/rdPK0xGPiKeH0z7ejD03cVMXk5N/aKl8vG9WDl9d9bMp5X333E8aUduPemwfidjpM9PaTx/dN8ltbemgRfjDkYtI9DiO3r2uIoKJw1eDORo6kU5fOe2qj6bl+ed3Hps85klBkB/N0V/xnKwpJNPoXgk9+m0yPC3a/QVa6O6ko8Mf1+/jRCGuafUXBBORMcztxu0QmDL+UVev3mwA71QENtPHTsoG0zfYgyyqqqnDj1fkcPFJP5aEAkYiSlKc/sXIHS+4sBVREUaA5FEsqaMytKKIppIE8Fy/bQo7fy+IZQxl/+SWkex3E4ujVddOKe1pjKDvDTbbPzZjSbvxm1UdGQymxAHKhxtVvyk6LkjmOojymKGzeXWWawIvGVPyZWt7cNstDOCbzs1sGoSgqv/j9dlOBt64hlOQ7eqFu4qie+NKdTJ/Qj4w0FxleF8te16i7AsEQN16dz+MrNpt88/EVO3jwjhJO1oXIbatNwSy5s5TXNxzglXc/Zc/BgCnH1ek9obWO8ODUEgLBEIqqkp3hpq4xbMqFdODc0tU7jWdybnkR6V4njc0RRhRqetv+DDdLWujFZVnllXc0Ro5ZEwdyoj5k1HwqxvRhvg19tHXjNRWrU5ayb5udqbQDtNaUt+w+StmonqxoyUOzMlz4fW72Hwpw6cV+Y0+lqCBJIIkiD08fSnMkhtMh4XE7yPBqYGKdElTPZbMz3EBr/NRjnX6MP9MDwLN/2sV9kwaxeMZQUGHJC1vx+zzcdeMAAySkn0cH0F9/1aVEojLZmW4TUD8z3cXKdZVs3l3FbWP7GNfk97m4fVwfwlGt9q2oKgLw29d2GTnAXTe2NjmtaJsTARJ2wNjztZnyXbIY2m+r/4ZWzbH4prZVH8XncjH3yY1JecDMG/qz/eMqHp4+lFhMQRAF6hpC3HB1DzLT3fzHi9sAeHRmKeGIgqKoNIaizLyhv8Z610brz4Bq0OifqNXkRRRFNvJyPb+dV1FEOBrjmT99RLbPxZRr+xrXnOingWAIj1ti5g39cTkl/D43iCqyDOleJ4tnDCUSk5k9qdAkA3R/RRGSJBBRBALBkMbcd57kAafbBLwb+HN+fv4k4CBwMRAErjlH1/VPNasCXPsWtHs8UmP2pEJe33CAywd2ZsW6jw2k/OxJhXx2pJbS/p2MpFDfUG3ZXU3/Hrk4HQIZXhevbN7P4aqGls2eJ+kBsZseickK//7M+6aAObI4j4amKG9u/pzS/p2SplP0Ikt1QJv8aArHcDq0MqU/080NI3sQbIoSjao4HYCqbQ6XvqpNIfp9HiIRcwHynpsGAa1j8ds/PmZC/RcX5PLwjKGoKogCnKhr5vGX/0YgGGJuRZFx3+ZPLk767sUFuQhArAUxrSfMZ7oAX+hmh66QFZV/u62YE3XNxqLdNstLmleiWyc/c+MKUXMrikjzSESjCm9uOcTv/69V5DrH7+UHQy6moFs7o+Gh/952m82YrBJsivDF8QYjSQk2RRAFwVKzT1FU/D6PqUC3av1+wlGFvQdrLJuP86dcRvXJJuO75bRJwylZn99hN+EIhFMIowvL4tBtuh9VHgqAgEa11rIBd0kCEVnVpkGdGj9VY30saRpG59vXLSYr3Dq2gF++tM3gv4+36oCGhGoOxbh9XB8AY5KquCCXWTcNJNgUoaEpQju/RmuQmebC6RTJTHOhoBXWKg8FuP/p9xlZ2JkFU4YgSQKxmFaE0BNpvcmjf1etYd+FvA4apc3KNyspKuiIL81Jc1imNtiqy6MXafQprqqT1tzoOk3pXTcO4OV1HzP12r6m5pZdDHBIIouXfWC89uzcERfk82QXfxUFvirrmVXBSPNXeNhCe+fusgFEojKZ6S4kSaCooKOh2ZO4/hcX5OIQRRRZRhQFZEUhEAwbsfKWa3oZU3h2kx7NIZlgU5i2WV5UFSJR2TQtOGviQNwuSTu/jQZtNKpQPqY3bqdE1Ylmfv7iNrJ9Lh68owS1RZtSiNOk27y7in/9YS8WTSshHFVwOURcLtGSoqamrtky3gsmrbkzyx8uZE2KRFozaF3Hv4oPn06eph8jSaJJZwGSN/fxBYDEjZjLKSZtOLMz3Abjhv5a51yfpS5wZoYLSRCNAog+WWg1YahTOSVeq9sp0aVDJira9Wb73DSFoigKpuaLFXXp4mVbeGhaCZOuKSASjXG8NsSmvx+x9N+X131sup4cv06j//4F55PfFjuduCCKQks8LyUci7FoWgmBYBhBwDJfDUViVB4KGMCen7/4V5PPpnvMk66VhwLMe3oTS+8fyedHg/jSnGSkO3l0ZimKgn0Mjsn86qUd2n6svBCXU2LRtBJUFY7UNPDGxk8Z+v2L+OnEAQTqw7Tze6hvjJDtc1N1spFPDtcmgfTmVRRpVEeqQKA+RHu/l0PH6pkw/FKj6OmSBBS0xp+iqMQU87R5yodP36wa0CpnpuMsidq+yWpif9nre5h6fR8ERB5auom7ywZQNqoHbpfE/MlDiERjRGKaJq/e6Ev3OHE7RW4b24eGUIRjJ5rI9nmobVmrp17bj4n/EqH6ZDO1DdbAClEU8LgdBggvx6+xCwzu3QFREAg2Rfnda7u55+Zk8PTm3VVce8UlLHt9D7dc08uY3k7MhbJapgyyfC6WzCglpih8cTzIinX7CARD2tQAKg5UguGYoX057fp+pnPOmjiQcDR2Ro3XVP0iZSn7bpheUw5HY0SiMW4d2wdFUYnEZFQVLs3zg4IxzZzj9zJ/ymU4JYGYopDucRKOxlARkWXVMlbPqyjiVz+93Jjcb5/tMUDBIwq7IIoC9948kJiiIAgCdQ1hsnxu5k+5jNpgmIbmiGX8OlEX4nev7aZsVA+ckkRebibB5giKqlIbDDOiMI+h378Il6uVFUxnJfrxyB7U1Gr1R1GEydf25fbxfREFTSd5444vjDxXB4N2aJuOQxLxOASicaDh1CT1+WuyorJ5d5WJSUBR1RaQGQgqxFSFmkCIhVOHcOxEIyvW7SO7pVksigKxmHWO6pBE9hwMcPnAqGk/N7e8EAEN4O6QBBRF5fk1rXW2ijF9EAQVWVZNA1oTR/WkU24Gkai2Fns8otEw1FkuNu+uYmRhZyYM70FdQ4SFU0tY1sKmuGbDARZNKyEUkalr0GR22vvTUFoYFZojMR5cagZ5ts1yG0xakigQisa47782npd7ttOq0VVWVn6en5/fDygFOgOHgY2VlZUXBD1vawGulGBzBKckEYnJtM3ysOTOodTUauivP7xVydhh3Y3pitpghAnDL8Xrkeifn8OhY0FmTRxoFKLf236Yywd2NlGyzCkvZO2mgyxetoXiglyD6ktvbLTLTm4M5vi9HKlpSCokTJ/Qj3Svk2tKu3H/U8nTKbrgtT4BhSLw9KqP8Ps8TBrdy6C407v2Oh3HvTcPMr5bvBh4dUCbKnzwjhKO1jQQjsbo3yPXhCbZvLuKg0fqNXquk80msfglLajr2mAEhySagkPvrn6uGNiZOU+enw/K+WSiKFr6iFMSaFLUpKZGOKIYwRS033FJy+SgQxS4/7YiTtaFDB9sk+UhJiu8u/2wabLvrS2fMXZYd+vPdgiUjeppDtoVRbicouXrbpc1rWiaR6JXV3PzcU65hqIINkaTGtJZ6S7rz3UItihMhyRZfgeHKIKcSi++TSZJIoHGqIk++a4bB7Bt7zGCjcmFOgRobI5qi3c4ailOPX1CP5NYsYBggEGsplBy/F5tIiSBvsCX5uLKQZ2NyT0defynv+xPona+b9JgHluuUdRdNbgzC577IOFcWpwPxn1XPfFpCkWNCa+RRV1MwJV7bhpEblsvD08fiijCHdf1Q0Xl2Vd2Mqa0mykGB4Ih09ThEyt3MH/yEBMRuCSJqFg33nWaaP2eXKiIPbv4K4rCGe1OEot5gNGwktAoA3XdhXjz+zyke5xG7Jw/uTjJj+PpYstG9TQBQOLXer0xXDaqBy6nNt2nfzcd5ZnTxovLIZKd4eFwVdDkK7XBCJWHAvx/9r49PIrybP+emd3Z3WQ3yZKEEJTzIYRANAnZQBJEAfnkqEArwQMJCuFgFdBWCNpyEAm0X7W0fmoFFbBKsEUB0f6KYFVAhAhYzkEBBTnltEk22ezunH5/zL6TmZ0ZilYpYp7r8hKWOe3s+z7v8z7P/dz3s+v2Y3ZBJvwBPzjemGbuYm0TWCsDh80CUZLQIcmJQZk3QhIlWC00Lnn92PzxSU1R0s5a0BTgYGctOF/diF3/Om/agTBjfLri71krDVEAgqII5jt2mlzPHeMkCRw5hxmaAn7gcOvb0ocSGv0l03NhYWiIkgSvLxj2TRKsjFwYfuufX2oAErxKkB7Qdm3X1AeUzyM1TdTj1oja7vFJ/XCxxo+3P/zCMDGjBpGYaWXU1gfw7Lr9inba2FvlfYQadc1YKNzzP71w+nyDQl3aPsGJ6roA3C473C47xg/ugSAngAcLyzWELr2e7Ur9giCIYFkGAQ44V9Uo0x43hTBpZG8sCjMXkHiVUCsruq8RY3bh1AGGPjUYErFq0yGkd0/AXbd2w6UaeY825c6+hsdDojB7YiYam0OoawzCHWMDTVOoqQ+AtTIYkt1RAyaZX+QBxwthrXqgX2oSnNFWpahZ3xjCuq3HlW4HkpB5cEwfgJLlKiQJCAgyy8yTL2iLM2T9uF786g9tZgXohFg9CKKkyAOWocAZxCQWAA+O6aPkAICWjonE/rwAACAASURBVP0pd/aFy8HiyTAbEE1ROsouG8tg44cn4UlLRmw0C5qmcKm2GfFxdsRF28HEUAiEBNT5gFUbDysg4O3lX2PcbT0MxybPi0pxmTzP71/fp8TjJJ5lrQxeeHwwGEZWqalvCqLRHwJNw3T+kA7A+Fg73t15Clmp7Qxp65RxqMoJCaKoe0/PrtuPxcXGdOVmXa+t3YCt1mrXr6nnuQQgIdaG6vqWWGHsoK4YlNlB08wxZ2ImXFEsbuvXAaGQiEUrdyp7+8mj+qChKQSOE1E8tq+OoUjNYjGrIAPRUSzK3pdz1KQQCABulw0UJQOEx9zSDfExDqVwZ+S/QpyA+0ekavxjSZEHdhujkfuYX+RBojsKJYXZcNituPv2nggEBd1aAcjAuEfvycLtOZ0Q52Q1rGRWKwWJEzUFQKC1k/paNgLSJLEb0MK+1bZNNGprmxAQRAQ5HnU++ZxZBTfDYqFxrrIRNyQ6TdlPfH4OBcN6anLWbpcd/iCvkWqYV5iNKXf1xbjbeoBhKKzechh3D03RdOARIPu427qjvpFDrJNFrNOGuBgbnlv/L8wuyFAYEYbndlGauEgM+8DoPmAYCu/uPIV+vdvho/3f4NasDli+Rls7IXs+zV5AEMEAkEBrmMqutTwCs3Dhwis68OGHHxYffvjhrx5++OGD4f9f6zMxDsDs5uYQpMvkIaOjbfD7Q5AkCTYbg6aAgCWv7MFb//wSew5fQJ9uCVi95Sg2fnQSTgeL3l3aIO+mG+COsaFP93hs2XkK2b3bwdfE4dl1+7F5xylUfF2LRwpuRnr3RDT6OaR1TUBlrR9nLvlQ5wugcGQahg/ojP7pyWgO8HjmDfm8Y6dr4UlLRt5Nyfi8ogpNAV5xwKu3HEVNfQsCvinAY1R+V/zvX/bhtswOWL/thOZ7NQV4jLu1G/r3aYcxt3SFy2HD6/84hhNn6jBtbDpe2PAvZVA2BXgcPlmN+4an4v99+jUOflGFh35+E5LaROHmnonISUtGZa0fNfUBNAV45KW3x6//vBtD+nVElMNqeO8BfZPxh7L9KB7bF+cqG5Vzx93aDald4vGnNz/Hv05Uo0+3eAzL6YReXeKx5t0jOHGmTrnG/opKDM7uCOpyP+D3bNHRtkVX7WaXN/Pxy1BI756IQ19WK2NkzsRMuKJZ/Oal3ZrfdX9FJW7LuhEHKqowbWw6RuR2Rk5aMk6fb0D/PskAJaHRz2PF+gPKGOyflgxXNIu2baKx5JU9WL/tC3x27CLuG94brmgrUju3weGTLfeeVZCB2Gib7t4HKioxJLsDFhh93q8jnn51r24M3prVAYtW6o8f3K8jlryyR/P5wS+rcGtmS8FEffxt/TpCEkRQkgQaAKWiuqWtNHp3jdd/BycL6RotWhA/dQXHXfvjV2VX+r3MTKQpzQJLxtGM8TfpPvf6AshMSUKUzYK6xgCcUSw2fnRSc72mAI97/6cXMnu1xcVqPxiawvDcznhz2xdI6ehGTt926JeapBk784s8WPveUY3vOnyyGtPH3YTfvlauG5s/G9wTOz4/pxzXt1s8LAyNtK4JGD6gM8rer8DPBvfEiNzO6NMtAf9v92ncPTQF5yoblQRdSkc3fj60J5avLcfbH57Ep4cvoF9qEnb96xz2Ha9S7nfwyyoMyrwRNfUB1NQHQNOAzcqgfaILf3rzc7y57QscO12L2RMzMSKvM14IU3iR8wdl3gBBkBBls4CmKXj9HN7f8xVG5HXFH8M+o+LrWswYfxP2HbuI4197lXcSbWWUOXel9qMYvyb+NzrKAkq8su9LknkLV36KsvdP4NPDF5Cdlqy8Zx4UfP4QnA4WNfV+nKtqUs79xc9v0oBz7ujfGZt3nNJcvynA4/7hqeiX2k7nT9Vr/eGT1fjZ4J64IcGJP799CLwg08pF2RiMva0Htuw8iS7tY/H0q3uxftsJHDtdi+KxfXHiTB0+2v8N7hueqozl8YN7YPnacoQ4AZNHp+FARaXm/fzl78fx4f5vMDy3C8q2HseE23ui0c+BFyTUNgTgjrWjX2o7SBKQf/MNGNA3GQGOx7LV5cq9i0alwemw4Lasjhg9sAuGejqhbOtxTBzWCw4LDUkQYWVo1DVxWLByt+7dfpvxKAAoe18f24zK7wLa5JwfxfgFYGEt6HpDrGYOTx8nd3WI/A8b2kuS7E8GZ3fEqPwuuN3TEdFWRrO5jzwmOzUJdguNusYQFq78FBs/OonPjl1E/z7J+KD8DFK7JOCljYex4/Nz2F5+FpW1fvTv2w5vbvtCc++mAI8h2R1gZ60Y1r8jRud3g93G4HZPJ+w5fB5jb+2h8e2TRvTG7kPnMW1sOm7N6oDUzvEA5KTGzwb31MXR+ysqMXl0b3y0/xwAICctGSGOR+HINCX2CnE8bmjr0sy///vb5+jVqQ0WrdqD7eVn8dH+c9h37BJGDeyCYTkd0bdbAp5dtx/rt53AoZPVmDE+HdlpSfjTm59j08envvMYj7Qfy/hV238aQ3xbM/cLXSFSAMXQcNisaG4OAQyNKm8znvvrv7B5xyl8fqIKuX3bIyu1Lc5VNuLMJR8OVFTipu6JSO+eiI5JTqwPj9mUjm5MG5uOQZk3Iiaahad3O8Wnjh3UFTPH3wyKAm73dEJGSiLOVTbiT29+Licjav0oHttXM5YfmZABl5PFr1/8BBs/OolAkEdOWjIamjh4fUFE2Rk888Z+3Xi+uWcifv3n3Th2uhZZqUmIslvx5IufYNPHp7Dj83M4V9WkxDWVtX78fGhPlK4pV3xvn24JKNt6HCkd2+DIqRplL0jWIbJ+XM6vXqld7+PXKN7dX1GJW7M6Ys27RzRx4zs7TuLmlLaa/TPD0BBpClw4qbr/eJUur3DvHb1AUfIYn12QgRWqohr53fr3SUZCXBRinSxinCwa/Rx+95fPsD78m/fuGo8/v3UIOz4/hxnj05HTpx1YK4Pcvu0RHWWFp3c77FfFB49MyIDVSuNvH3yp+b5NAR4Tb0/BgPRkeHq3g4WhQVMUVpQdwHufnEbf7gl49Z2j2PH5Odya1QHOKCs2/FN/jVH5XXBLxo147b2j8KS1v2z+g4xDSZJgoSkIknkc4ElLxucnKjV7AXXce7k4z8hPX0PjF/gWY/i72g/lu6OjbVi3teLfHxi2e/6n1w9+/NVao672ehhx72tu/AL4j98H8ZtgKICiIUJe5y00BUmSDOf5wIwbsWhVi6+eXZCJxS9rffehL6vx0M9vBscL8PlDGHjzDbg180bc1DMRS17Zg40fncShk9XwpCXjyMkaxVendHTjvuGp6NjOhduyOiA2moUkATf1SFSaVV7Y8C9s+vgU9hy5iH6pSchKTYLDZkGDP4RNH58yjBFKijygaQrPRsQBByoqkd49Ae998pXy2f6KSqR0ckMQ5QJj/z7JKF1drvOrI/O6Yv22Ezj4ZRU8ae3QHBSwULU365faDg6TXIEkSYY5vP/ELjc/rsXx+0Pn0L6LWWgK2WnJmjWcrH0OBwt/SO6SJ3HvsdO1yOyVBIah8PvX9+OWjBvx8qYjuvE3v8iDzTtOYuDNNyhxMADDmsWBikp0bR+H//vr5+iXmoS+3ROVOURy3B/t/wb3D0+F3WbFH9cfwKaPT6HK60f/PsnITW8PiqIwKr8L8m9uj8XhuUpya6Vr5LzDp4cv4P7hvfHFGS8G9+uoFBnJc6jjWPKZOpYl+wUSz5P4rE2sDZQoKb5FgNanfFf7tmP4emTr+s4W5CRdx5SaazkSHTGrIANjbukGUZAUkUpArloHQwLmP9/SzUR0fIZkd1T0QYwQ/KVG2kpO1pACK8omo4yN0Ps3JkXrqDznTspGwe0psLFaahlybyKWXeltRqzTpulgmTMxE6Ik62g5HSzGDuqKWKcNNAVD3Sufn9N0kzQ0BREI8XDYrAondeT7VCNDyXO0UjTqjaEpOKOtGj5xQRIR4gRDik2blTHsurNZZarEv31wQnPO3z44gWlj0427B2fmY+27xzTHr333GB67N8twTHGmtGPGreBmNEbmmhfGx/OC+bgJcaLJd8g0PacVzXmNmgkVaCRlY0pHN0YP7Kbpyl5kguT96oIPqzYdwrxCD5qDHKrr5OMnjUyFrymEOKdN4Ry/UNMESZIM9U0tFuqyfpb83c5aEBPN4pXNRzB9XF9DCjt/kIdd5beNEM9LV+/FouJcHD3t1fhQUZBQ8vwuOcia7AEkSnfusjV7sXDqAFSc8SprSKxT1nNZ+94RFN8li4Cv23ock0akobE5pNFbIb5heG6X635+mPlfhqYu20SlRYpSOl2wpav34rcP56POF9Lq4BV5AEDpHm0XH60ZV2ZC1OeqfLpjyb3Ua32Htk5IAO4b3gsOuwVHT1VjeG4XPPniJ4Y6aWqWgQ5JTjw1bQBYKwPWSiO9ewLuyO0MiqI0XU12llHOlyQJY27phvrGkCY+mVeYjb+8d6yFIm6yB2/+o8KwU2F7+dd4cEwfSBIwY/xNEEUJQUHu+hNAfS8dfNezJkWIE3U0lbIeSP5/nIi/Evs29KHkmBBo3e9KxsM3lY2aGPj+Eam4WGNMd8xaLVi95TDG3dYDS19XddRM9sDGMnh6Rh4amkKoqQ8oNHK7Dl7A0pl5sLMWMAylzCGjuZWc4MSCKTmwsxbYWAYTh/WK0L2U2UDI8Te2dcLtssPOWnTXEkUAEhDkBI2/fXbdfswYn37NokuvZzPzC+eqfErH0pMP5CDWbgEnSDptvtI1MtsA6XiuOOMFa2Xw6z/vxvwiD9q6HYb7o3mF2XjywRzEOlnU1gd19P7tE1s0fivOeBWNwY5JTpy51Ih3dpzEHQM6K3Nk9MBuGjaYeYV6KnQSn5A/ryiTO2nN1pT7hvcyXS9K1+xV1g31OeT9XQ9+9Ye2y+2D9hy5pKDaxw/ugbsGdYcEKB3CRl2EhBVAvXev8vrRPsGJsYO6ItEdZXi/mGgWv399n2keY0WZdt8fH+dAk5/D0jDwMyctCUum56K+KQRvQxCvvXcMj0zIMJxXNQ1y7oMUuNWdeyQ/s3T1XoXdxugaCbEOBHleps5PMtbC7pDkxPJf5MvdKQyUzhQLY8z8YLHQ+PsnpzXazBZIV6Sf3eqnW63Vrl1T79UsNI0mjsead48aMj/Eu1iEBP089/q0tMc0DUO/EwjxsFoseGHDfo1fVncXlW09jlkFGahvDCp5WOJ/1X78gTFpGJLdyWB/X44Z49MByNqvpIuLxAixThauKBbNIQ5Oh3Fc64xiAUCjTeiOsePVdw5j8ug+EExyfRQFlM7Mg8/PwR1jM+xoXDbz22uRt9p/z/5dlyZvEPc+88Y+Zc9C07I2Hhl/Sq3DxeKBMX0UphqSVzPba3Vq58KCKQMgSrJ+rzqfQGKEhFgHFoSB0KTAd7HGr9PCJvPNLLe2ZHouGAtt+Bw3tnUipaPbUAPbjHqd+I6aiHzP1WZAvBr7/R+NmRUUXFFWw4Gx+eOTSGoTpSSiici2WsSdHPvH9Qcw9lYtvabdpBgnayvtRcnzu7Bo1R6IooTHJ/XDgik5KJ2ZhwVTcvD4pH4IcXL1PBDisag4F394dBCKx8oJMsokYVJVF1C0oNRGCnfkz6IoYc7ETIXe6Nl1+xHiBMx9bicWrdqNWzI7YM27R1Bcuh0vbDiISSNTkdLRrRQbN3zwhXLfxuYQSp7fhRc2HAQgmU60P64/gPGDe2ieidCktVqLUaDQ0BjCwpW7MX35B1i4cjcaGkOw2ywoHtdH0X20WigUj+sDQdQ75BVlByCIEkABPx/aU3POz4f2NNUMEkQJXl9AGZ9LV+8NC51ShmOKpow/Z8KUelf8eVhbcH6RB6Uz8zC/yIOctCQwjPHx9GXGDRNegHTfweQcsnkueX4Xiku3o+T5XfD6OTBMq/u8WsYwNCSGBk9RkBgaTPg/Qo9Z8vwurNp0CPePSEVOWpIS6BIz8jdbPz2NknDSDYASOGz44AulMNbUzOOj/d/gN1NyEGWz4oUNBzHnDx/jQk0jfvPSbixatQdVdQHDMSgIku7znLQkxETbNGM4EOJBUxQemZABRxixFOkXrQyjFHsA86CooSmo86FUeFxXepux9NW9CPF6islKbzOYsL7m/SNSsWrTIcXXjx7YTaYEpaAUUuc+t1N53ykd3UoiyiLJGmzXawEQMPe/1GXSOnofshOjB3ZTYgagBbwQuW6Xrt6LSSPSsPwX+VgyPRcNTSHNuCKi3JHjuGzrCaUQorbItZ6mKXxT2YgtO0+B5yV0bh8Lf5BXEshmMVFbtwMUReFPb36Ouc/txPznd2FkfldZfH71XixatUeJYUrXlGPOxEzkpCVBFIGEWIduTVq2plyhsCFjddxtPTT3drvs6HpDDCbc3gtPvvgJfv/6PpyrasS8/9up+GbuMkCSb2OEklL9Xglt5Y/dzHUtr24S3soykBgm7NcZWFnG0NcD5gnw+Fg7oh0WzCuUfyvi68u2nlASy0DL70cKgCSJQq6z9NW9sFlkRPJjKz5WqEgBedw1NHFYtGo3zlz0KXPIaG5RAF7YcBAlz+9CfWNQN5+XrSmHJy1ZOf5SbRMmjUyVKftVlpOWBF9TCCXP7zT0t0ZFw287xlvt25uRX5hVIPtbQP4dlryyR9G/Mxqzdtai7Hfkop8Nbd0OxZcXDOupiwPWv1+BaJsVwaCAOp9MCUv+rXT1Xl2sXXHGi1WbDikavxOH9VKe0SgeWrZmLwqG9dQ8a1u3A7xqLSdjzGjc84KIhDiH6XqhLvqRc8gcul786g9tpACtNvW+iQAgVm06hNVbjuLsJR8CggQwjCE4ZkXZAeU3J2Bfh92CIM9heG4XXAhTdkXeT5KgjD+zPIZ63y8KEk6dq1OO23PkEp588RNwnKj42RDH6/z1IxMyIEbsX90uOzhewKyCDEy5sy8S4+xI6ejGlDv7QhBELJmei5y0JOUa84s8YFkaoTB17plLxt/pYk0T5j63EyXP70SNLwTWYUUTJ8DbGNDFV4/ekwWvL4ht5WexdPVezH1uJwRRH/eaF21b/XSrtdq1aJF7tXnP74TXF8So/K6GxYFmTkR1fbNunhM9aUAunJnltqwMg2VrZO3R+UUezJmYiRAnYNLIVOXc0QO7YeHK3Sh5fhdCnKCLXVeUybFEfWMIsU7WNOZwRrGKxjYpBK7adAgcL2JF2QEsX/MZrBbj53Q5WAzN7qCsLyXP78Jv/vwJ7hrUHRZG3vsumJKj2dO2dTtwvqpRyc/4mjhl3VA/GyeIkCy0Jt5vtWvbBEGU5UsMcj6Xi3sBoKa+GbMKMpQ87LPr9oO1MqhvDOLrCz7U1Afw4Jg+GDuoKwAgEOINx6QoAYtW7caFar8utvnjejm2sVpbCnfjB/eArymkB+atbol9zXJrXl8Qkmg8xi/VNuH+EakYO6grlkzPlYHe4f0soV438h1G4IGlq/fiaurs/aTjbiasJcJTlFzJpowRnoEQL6MeVF1WoiSBpihdt99r7x0zHUQEQUzMDMEfqa0kigDPSzo9NIES8cQDHnlgvvSJwg895c6+aGgyFt92RVmxestRna4OQZKQqrhasJZ8LzUilOj77TlySVmEnpqWC4ah8M/PzipIkUCIVzaRld5m1DYE5QXF5B3FOlnle7eKwBobJ4iGRb1lD+UbcnLzDvNuOdZKIxjSn8O4jOeChaEMxw5DU5hXmK10FxDkMmulMbewn1ykDHeFxDhZWK204XUsFrkYEtkJZTHRHLSZXMfKUKbyfhYATxR58LTqWk9cZqy1ojn/u2amgxITxRou/IuKc7HhgxOacWQUGKd2kWmq1Gh5gswn1+uc7ELXG2IgihIWr2rRBVEnPkhQHdkl/tY/tZ/npCWhYFgvnUas1UrjmTf2w+sL4KnpuYZzNRDilfu8s+Mk3C5j7ViyCSB/n1WQgZp6LbKfMlnnJBGYeldfZU1Tv9PSMFLvcnorPxUkv5n/XTozzxRVZeRD1B11QEtyzay4S7o5l4YLyOR6Xl8AUTYLls7MQ5W3GT4/p4zjsq0ndJpm6rX+0XuyUF0vz4+iUX2w9dPTGDWwK+p8ISWWMIuJSoo8+Mfu07pgeonJGJYkCQXDemHf8YvI7t3OND5R/z3WaVMQdikd3Zg0MhVnL/mU9WrKnX11v4WZ1sC3HZ9qtCMoWdzqeulwtTDm6/vV2oFYWQbVvpBmTZ8f1hFeqNJMI8hIKfyMkc/sirJi+VqZXWLG+HTcEO6IqvQ2a5CmieFCxZ4jl/DAmD4mMZGIc1X68VMwrKeiV6X2w5F+v6TQg1feOWy4TqjvQ4roJLb2+gJ4ekaect+2boehZpfa3wZC2h/qu4zxVvv2Jggi4l1aXZt1W48rcQOgYjEx0Y8lTCmxTlbey0mSolXpsFtgtzKac0giUN39R8ZOxRlveF0H5kzM1Gj6zSvMRmw0G9bwCyiMMmb7r3bx0ZoxSDR91M9e5wvqxv28Qg84njftviV7XTJmybyOc8mAqOvFr/7QZqSn+kSRBxaGwlPT5OTTwpW7DTtJn5pmvC7fkOjES/OHguNE+IMcfrv2Mzx8981grYwCpIj8rde8e0TpZDXTya5vDCn3IEj6jw+c18TXCXEtcWxdYxD/b/dXuni8aFRv5dqkyBnZITt1bB/8dm2LXtXcSdmY+D+9YLNasPXT0xiYcSN2HPhGKRrOnZSt0Q4iMZH6eUtn5uNSrR8vbDioyf0EQjwS3XY0BXj8368GI8TxqGsMyjrEEbpW1zObQKu12vVoRnu1FWWyLq9ZcYAU/NT/vr38ayyc2h8+fwhWiwUvbTxo4EuzEQjxJp3/HqXrTr3vvlxMuXrLUcyemGm6Z0t2OQEAr713DIun5cLbENDsFwF5qxO5xjwyIQOvbjmMwpFpGvmdSq+sj/rUtFycrWzE9vKvMWlkqqI5P6sgA//87CzmF3ngirLC6wvggTG9Mfe5XZpnO1/dCI6XsGrToaveCdVq37+Zxb0k/lv77jFMHdtHwxYU42TRGAb1q/d+I/K7orLWj1/el4X//cs+zRq/esthZeybxbOXav3Ks6gZkCKPTU5wamJVo3hGFOU6DIkXvL4A5k7KxktvH4LXF8CS6bkado2SIg8SXCyob8lkRxgQrwYD3RUVAVNSUj6tqKjob/D5zoqKih9lH29LYrmliLdwan+d8ysp8sAZZYWFoTSUikYUGGSDTqrWkYOIICzUyePIAkZJkQdlW48r5zwyIQMNTUE884YW+fHMG/vkhHGtX6HJUKMtjRYlMsArznix9t1jWDozDzwvAqDgD3J47N4siKKEV7ccVtpwyfeaMT5dU5w0StjVNgTQto0DvbvGa77TvMJsPDv7FlTVBeCMtmJxcS4kSTKkEXU6WCz/RT7cLhscVlonFvtdfufrjcbRDGVh1IK9okxO4JttRARBwuaPT2ooFTd/fBIzxt9kWFyjacqQSvOX92Vh/fsVms/Xv1+BaWPTQVO0pshYUuQBTcmCxepFwO2yQRAkvLND+zzv7DiJYhN60mUP5RteB//mN7Zaac05Vqs5+uhyaM6fNIriKplRUL5u63FMvasv5kzM1NCAVnplBPK28rM4e6kRswoykNQmGqIo6vxNrJNVKJTmF3mU4INYW3cLNeiiYu0GQB0oEFqNGePT0a5NNCwWGv/7l32oOOPF2UuNmiR0ZIFt2ZpyPHz3zUqRg3QPRj5HTLRMCf3hvrOYcHsK6psCuqI7SUwXjkxD6cw80DTQJsaBusYg5hd5sOGDL+D1BVDfGNBtRuYXeWCxUuB587FOUcbBU6yT/UkBNsy7qMypFcx8SCTohTYp0JK1t9LbjCpvMzZ+9CUWF+fC1yzTab341iE8ek+mhmkAAOJcLGJdLJbOzAPHiWjwhwBIePSeTPj8IYACnll7AG6XHQXDeuKOAV0AUEqCzKjATWhyy7Yex5DsTnj7o1Oa70SbJL4omkLp6r1hmjDz+ET99wvVjfjlfVn4cN9Z5N10A3z+EOJjHQp1h1HwX7b1BOYVepSizX8CKCKUlImJLlRV+a6b8U3TxmAe+t9Q2n6fFhKgW9OXrt5rSnNpBN6ZP9mDlzfLG8FKbzMWrdqDBVNyNL556eq9aOt2YMb4dLhddky9M800OUvTFCwMjQVT+6Oy1q/EBx2SXMqxxN+PH9wDyfFReHpGHkRRpmgCpaWGNttQxsfasWDKAPiDHMYP7iEzZ0jQ0OuY+ZlYJ4snijywWlv2E62guatnDEPrKHxmFWTg7KVGZR0nqGKaMU6ovfaeDMJoE2NHc4iHKIgQKQqslYHdyqA6zC5Afn8z5hQCImnrdoDnJYiShKem54KCDGylGUAQJDz54idwu+yKLzcbl9V1zbrY/oExacq/zyvMxp/fOgRAZr/pnOwCQ9PwBznEOh24WOPX+d55hR6sf/84fnlfFtwxdvzukYFwu2ywAmgT67iu/OoPbZE0XBaaRoDj8as/7UCltxnLf5GPSm+zIY23GTjmXFVLAnZR8QC4XXbExzrwTWWjIWVXc5DDniOXcPp8A351fz9QFHRrCRnjxEiyfPzgHhrQE0PTWDozH6IogRcFhTqZAB0qvc2asWrcwVqO2QWZms/UtOGTRqSBFwSMzO+KxmYOlbXNOHa6GouKc0HTgCQBz76x36CILyoJd7IOEfv9rFvw2IqPle/6/3Z/hXuG9UIbF4uQICk+nGUo3fxv9dOt1mrXrpnt1cz2NfWNIWz44As8PqkffE0tYPcb2jrRHOAhCMDvw7Tzdb4QZhVkIDFO7moiXW9Gnf/LwvTZkQDmyzWPVJzxYuunpw2BnzHRLP7x6WkUDOuJRav24EJ1oyaHTa4jCBJcTlYTB5Ai4YNh8JyaEtTn58AwlMIi9tdtJ/Cr+7MAAGVbK3BrVgddroFQParXCgL2aAXY//iNgYQnJnvw9KstY3DOxExlnSFYNQAAIABJREFUz1Jxxou/bjuBB8f0CdPE2lHlballAC17v6dn5OGfn53F3UNTsHRmHkQR+OpCA2gKyl7LbE5QoHD0VI2SK/P5OVgt5gDYp6blwmKlDWP2d3acxORRfbB4Wi4kUcLj9/fDxdom0BSU2EFNAUxy1KUz83W+g+wRzPI9DE2BAWXY/PB9F8ivNIfd+1t+fs2bUWJ54cpP8duHB8oIT0kCBeDDfWfx+j8qsPwX+ZoCizPKuOW6UzsXquubdVXrORMz4Q9ymmDZ6wsgym5Vkgjnqxvx2dGLKByZhgfH9JEp72hZv8XoXrX1AbSJsWHBlAFgaHlBGTuoK3x+DtvLvzbsqCKBudcXwNlLLRoWJeEk8KXqZkN9q3bx0fjDugPKZ3Ji2qZwPW8v/xqxThtECYY0XyQgnzisF37z8ieaxYlU1OcXeRDtsMDO0rAA30sB8GpMoqttZhoFNG2sQWa30Sgp8ui66Ow2GoGQiJ8P7Qlfk4zaJAs5xxvr5v3yviylhTvy3qSgorYpd0pKtxW5TtnW4ygem45Gfwgdk2IUNHVNvR8OuxVjb+2hFL3buuVuFdPCpyjBZbfAproOG9ZzMCsA84DSaaD+DmaBRyua879rkUE5QcUbdWF7fQEEgtoOiflq7ZxCD/xBDp8dvYj42BYUsrq7Y0h2J8Q6WbhdNny0/xtUept1CPdIAIfXFwBrZdAU5NBczyuIe3USOrKQCBA0tAPPzr4FogSsefeIIVrQzjJYOHUAWCuN+c/vwpyJmXhv12ksKs6FP8DBzlrACYIcVDWHYGcZSACeeGGXxtfGuWywMhRe3nxE2WC4XTZ8ccaLXl3aQBSNi5CSJIGO4Gkn/2akhXI9m6lGzGW6qCJ9CAkC3S47Vj0xFBaGAiVI4Cnpsus22eyRJNyM8enY8MEXKBzZGxaLNuGUk5aECbenYO6fdmrWvziXDTItN48/vfm5DoVKEomAVluqUzsXeEFCiOPR1MyjztfSdap+D6KkTwo+ek8WaIrCnImZsLE0ohx6NL4RAOq1945hxs/S0a93OwWBqo4bIoN/8l6j7BY8PUMuhEOilDVB85tchwChK7XLre/M93D9K3m3ZihIZ5QMKkiMs4O1WuAPcpBAgbFScDrleFmSJFAUBdZKK/6IJCbinDYsnNofl1RFPHeMHVE2K6xWCr27Jih0NJFFUABgrTQ4TqunPb/Ig7GDuiK1S4Lyvo6drkZ8rF0zhpdMz73sOkGu5WsOYfmazzT3Zq00eEEEw1CARIGmjTsfib8FYKrJ0Wo/nJl1CswYn46yrScUsCgBVnRs50LpzHxwvIALNU1KnPLIhAys2nQIY27phnbxUYpWTlu3A49P6qfp6jOj+CIdpSVFMjCDjLOctCRMHt0HEi/BaqHhdtk1vjwxzq5LdMydlI03t1Xo1veYaFbZ51FoSXis2nQIS2fm4aWNB1HnC2HauL7K937s3kzExzrAC/L9i8f2xfmqJqzaeAh3DOiMeJcdPCRUev0AQyuJiJ+qP/42ptZKlSBp9jIE/GsGjpk/2aPo8pHY8r1dpzHuth6YMzETDENj0shUXKpt0uQQSAz7yARtx5zbZcP853fB7bLj4btvRkKcDHZeufGQpqhGkuWRLBU0A5npSBTQHBDAMJSuW0/tQ80Q/wlxDjw7ZxCqwrF8xRkvOreXacPVzBuPTMjA9vKvMXpgN6zechiTRqThXFWjEq+rn5ehaVNAd019QLk3Kca/EQYm1tQHUN8YUvIdctdwq59utVb7MZhZvscMPLsuvGeJjBmXTM/FG/84jqJRaZpr0RSl6RaaW9gPyQnGsgudk2OUONDtsmP84B5IjLPrAMBqdpd+vduBZqhwwYTkpyj4/CEM698FLEvjpZIh8PoCOuaAJybLTByCAENQNEPTOn2znLQkdE7ug5hoG6LtVtx9e0+IEiAKIsbd1gNr3j2iK+wsnDoAdw3qrhQYvb6AAgB1u+yQAAiEoQ+t/vJaNaN9HjGrRW60SGoTjUu1TVi95SgAYMGUAQiEeETZrfAHeTy24mPML/KYxrgAMCq/K558UY6PF0zJ0bEUGYGVSffq3UNTFBaAGxKjAEoPgH30niz87rV9ch1isgdtYu1h9gy5y/edHSdRMKwXOFFAtVemNZUkIKlNFDhexPwiD7aXf62wH6ifX5AkOFS5GbfLrtkjGO0PLbh6DHSXLQKmpKS8FP6jTfVnYl0AHP8en+Wqmhnaw9sQQF1jEO3io+FtCCKjV1sAQKzTpjk+ymY1LgwwFJqaecTH2bBw6gD4/CG5jVSS8NSqPTpaiZgoK85XN2mScepk16P3ZIHjBdOiD8dLWLp6t2YAHTlVjdEDuykdVUltHHDYLHh582FFuDKS/qI07JjNgl5LmDqV/L2kyIO177VQhpYUeQBKgiRKSO+egG3lZzXv1RVlxZDsToab56Uz80BTFKw0EPc9IkOvVxpHmtYnWWcVZJhSfAVCIj7ef1YpFgsisG3vVxg1sBsYmjKlAzUq9jEMZUjvaVooYyidIOojEzJk5JDVoqE3KgnT2kRHWbBw6gDQFCBKgCCJpte30JShsOrlBFeDoqSZh6STzKyzj2UowyIqy1DgWuGcP7hF/vZmqPgZ49PBWhm0axOF+UUeOB1W/KFsv+a40jV7MbsgE7dkdsDKjYeUwKHijBdfX6hXkMjqJMmlWr+uu8jrC8DGMgptUkKsA9X1fqx8+zAAGG4WIjvBgRYKyKq6ALaXf40h2Z0QbbcogZKdtWDte0cw9a6+WLhyN+ZMlBHPPj+Hg19WAwCG53aJoBj1IDrKipdVQTzxtbMLMhEfa8eYW7rBwtCIibbh75+cRt7NN6ChSS7QG1GbErrSkiIPACh+Xw5YfjoFQMDc/9I0TLuo1DRe6iAw0jdBgrJuJ7odiLZr1211QbDS24yOyTGY+bN0BXGXk5aExdNyQVMUeEHUUbcsXb0Xj92bCbfLjqQ2UZhyZ19EOywa4E4kiwDRjVhcnKujso11skqHLUlsv/l+BYbndVY6rSUJcEZZlCCegKLaJ0Yrx/j8HERJxB0DOus2hzYrgwUv7daN5Rnj07HrX+exuDgX9U1BuYBC0xoACSns3/M/vdDGySqFwOsVIHSlptbFJaYAW/7Dr3+l75YxoYxxOVj8bfsJjB7YDaWqRMf8cPfbogiq0Jy0JNT5Qkoh2+2yY/LoNF0R7+XNhzBpRBpKw3Mwko4mzmWDrykEf4DH719vWTfcLju8vgCG53bBuapGrN5yVEf9Asjj8uXNhzUJGq8vALuNwVPTciGF37vP31IAJOetKDuAp2fkYdWmQ0q8ZL5BbPG3pBgAQWrtLLkKxjA0giZ7xxsSXfjV/VlKUSSS3qukyIOOSTF4YEwa6htDCrr+9PkGPDUtVxuTNoWQ4HYoFKFOB2s4VxLDxzQ0hZQxR0BSv/mzHmypBiUtmZ6n2aP+/ZPTGD2wG06fb9DsP5mwWKXVQiHEC8q9H5mQgZUb5fFKUVDuL2u2SRqQ1txJ2dh//BJGD+yG5PgoBDheQ/m7cGp/cJyo7fL9Cfnj72qReQySDCP7eJI4dkVZQdMywEHt8yRIGJ7XGT6/TDe+dEYeVpQdQHr3BBSN6gMJogK6OFfVQpdPwDbk/pXeZjQHBSx4aTfSuydg4rBemnEUyVIRCPGw2xgsW/0ZvL4AFhXn4s1tRzFpRBpinSyq6gLYe+QCFkwZgMbmEHhBxMN33wx3jDEN/rmqFkDz3EnZ2Pn5N+B5UYnXAW3BjvyfoWGaVGcYIMbJ6tmaCj148a2Dyv0rvc1wx9gMgYnrth5H8V19Ze0koNVPt1qrXeNmlu+Jj7WB40WUhjuXaVo+duKwXvD6AhoWFrJHH5LdSQMgHj+4h+645Ws+w1PTcg39GiChbOsJlBR54A9wSuHggTG9ZSa3MMimus6PolG9FTAfoZd/efNhXf5tfpEHQY7H3Od2KVqqsU4W8bF27Dl8AZm92oGmgEXFuVgdZoUjfpVmoKGpJ7GGuqg5v8gDb0Mz5j63S8lHjLmlmxJ/VHpl6vJn1+1XgFLt4qNRXdeModkdcFu/Dho/+kSRB7EuFiFObC0KXkNmts9j2AAEilLiu6HZHfDzoT0xuyADFE3BylCoa+SVbv22blkL24zGlqK0efyyrScwqyADmz8+qcnfvbPjJJZMz4XPz6GmPqCJr2cVZKCpmQdF0ajzBWG3MVg8LRcUBdTUBfDqO0cU0NLSV2Xqcn+Qhz/AwRVlxR0DOiPKzsDbENLlysl8Kyny4OP9ZzXvqK3bgXOVPrRx2RUwECdISmxe6W3G2nePKTIWFpoGE97fCZRxU8/3zUD3765VY/JnCcARAGXf47NcVTMqKuSkJUGUoNPeuzWrA76p9GmOJyLWkcUNX5OspVNS5AFFAXOf2wlARuySH12deHlp/lAkuqNQ6TWm8HjmjX2YVZBhmJiNj7Xr6OWWrt6L0ofyAUnCpBFpECQRHCfi5c2HMSS7E8bd1gNulw1vbjuho78IcgJuSHRiUfEAXKxpUhJ7ctfJKSwuzgVFA5CAV97RUoYSjcBVmw5hXmG2Qo0DtHQvmKH4auoDEAQJUXYLYlxa8c//xK5XGscQJ+Kfn2mLem9/+AXuG56KR+/J0nXRCYKItz86paFtA4DhuV0hGXRurig7gN89PNBY+4+iEAppEU9zJmZClCTD4iBNUYYFm9KH8k3pPXlewlOqwnZJkQe0w5i+jKL1YvdEz8GsAMxaaV0SniDxIzUdACAkmHQz3tX3R11M/rFYpA6KGWIoqU20XOiamKF0uBkdF+eyKcWROl9ICYITYh1KUZocu2xNORZMGYBFq3aDpiWN7trKtw8rPu53jwyEzWqB1xdApbcZ7+w4iUXFuQhxvALAKLg9xXDNCIZ4JMbZDYvlhPKgaGSasrEgQdMjEzLA8YLSidLyzDKl3uiB3VDnC2k0WCgKqGsMIjHOgaq6ZlTX+eFJS4Y7xg4uJGLyqDQAFEofykMwJOJSbZMSvANQ6A0eHCMqdEchQVJQe8rfr2PUs5n/vXtoT9N1RU3jJQHG63aY8pAUomcVZEAQRDwwpk8YYd6MVzbLaDqCnIMEvPGP48q1SIfgkum5qG/U6wK7XXZYLRbNpm1eoUeh1wSMNS7nFXrw6pbDurlB1vySIg+i7VbUNwXhSUvG/mOVuK1fBwiirJ1MOlLJuc+u24+lM/OwaNUe5dmI3o8amUq6s4zmcXJCNEbld8VvXpK/i0zRbkyZ9/SrMq0Iy9BKN/j1CBC6Uvu+6UDViFAJxmvyspn5kBha8Q02qz7ZMr9IHmdDsjsZCqk/dm+mZh1eF16XOV6Ezy/7coeN0dHnE4o5hoYSh698+zDGD+4BOwt0SHLB6wvib9tPoHBkC3LbSIOqpes8aAgmio1mNYl2m5XBX7efwMEvqzFjfLopi4g/wGm+t3aD6JILtJQEXpK7ka83v3qtG0l6eH0Bw2SFvBZIpnu50tV7UfpQHuobQ3BFWRUa2IozXlA0pRtn84s8kKzyHjKlo9sQWHSp1o9/fnYW427rodzLCCRFQBOkUDJnYibe3FaB8YN7KHtUAAp9ecckJ+qbQoiya8Eb8yd78IdHB6GytlmTZFms0pszuv/yteVKUrF4bDou1fqVNafS26xor/1U/fF3tcg8BkmGzRh/ExZO7Q+vL6iRMFGDGwB53M4Yny5TGUPWg3K77Lg1qwNWbzmsASRMH5cOry+gaPOuKDugQeOT/f228rNIahOlR9Lf3gvNIQ6xThtoP+Bv5pXEtQQRowd203XtcYKgGZ9G8yAS0Lx8bTmWTM9FgBMM/Sx5zlgniws1TVi0ao8CnvL5Q2j0hxDrtCHEiXjprcOYNDIVi6flQhQl1NQ3wxll1XU5Rtms+N1rWmAHiT1+7PmGVmu1n5JdNt8TjrkYABAATgDcUVZE2fU6fXbWglgni1c2t7D7mOVA/QFOR6M9Z2ImvL4Axt3WAxQFlG09roCLiB8nccArm49qfBIgy1aYxdEP330zgBamIgD4w6OD0KtzPBa8pOpSnJSNe4enwmZlUOcL4GK1H3GqvIrRWk+uT5g5OF5QQHcr3z6sNJPMLewHGjRKI2Qb1m09rrne02GJABK7mIGDfsrMLv8NM9tDzy7IRJtYObZL6ejGkOyO+LUm35CNdvFRePjum+F0WJXc3oYPTujAOHMnZcsU3l4tBS0viCi+qy+agrxCEcoLIgKcgMdWfKx5TrdLZoGJpCt/6a39KBrVG/Nf2KU5vtLbDFGUFMYEYkYScCQGWrp6L0pX78Xi4lzsOnhBk7smzxWSldd0eZmKM14sWrUHy3+Rj4RYuzJmrxYD3WVjk4qKihJA0QTc9L3d9RowlqE0Qer28q9RNKqP4gCBliLcoqkDdALZahFrtXbZkOxOyoZv4dQByo9oxlkrChJqGmQEf8d2LsyZmAleEEHTFGiKgs/PIcpmwYtvHVI0GEjywYwiURAkfHWhAas2HcJj92bh96/LCRFStCOBv7pbr63bATtr0SE6OEHEqo1ysnvXwQuYMT4dN7Z1GVKGkgVu2ZpyPDUtF9X1zaBAwR1jQ3VdM0TJmGqObIrXbT2OaWPTwX9PbeDXK40ja6VxW78Omg3TrIIMCKKEWBerocviwxSZZu/BrFAaNEt0356iQzI9u24/Sh/KNywOmgqfCsaf86JxAPbgmL6G9GWP3ZdlfP3LCK5SoAwLn8tmGsubCqJkSHX64Jg+rZu7q2CROihm45lomFwMb+zV2lDq49S0ueog+KWSIYZJXYahsGBKf3C8iLOXfIY8+o3+EJxRMo++O8YGt8sGCYAkMYpPHZLdCdvLv9Zcf9+xixiR1xWSJKGuMahJjP1x/QEsmCKvIdX1+gLNa+8dw+yCDMNxbmcteHbdfiVAIc8ZCPHgeAlulw121oLla8uR3j0BI/K6aIIv0pWrLtKQawuiCIskgYG+C5dQOqo7Ba83NL+Z/zUDERAjNF5mCC9QAC8B0XYLfvvwQNQ3BjWc+vMKs3FLRntk9mqHhiY5bnnrn1/g50N7YlR+V1gYWhmzNE0ZdvUXDOupQ8eTojH5rUkikaDwE2IdaGgKXnbNL9t6HIUj08DzIqwWCgPSk7Fy4yHsOXJJQy+qPlcUJTz/+GAEQzyq6gLY8MEXyn0bmoIaikqjeWxlGPxmdUuHINHvMXtGnhdhtTOQQF+3AKErtRAn4OipGk2s8OG+s0iMi/rW3z8SEWr2e3OCiF//WRtfJsbaZPr9sOYoTQN1vhA6Jbt0eq9ulx2sxYJVm1oSIAQooWbPMKNddkVZIYgy2G9IdifFB2/86EsUjkyDhZZZCy7VapHbZlpsvCAagonqm0LgeAl2FuB4Ca+9dwxDsjthW/lZOKNYxDlthuM5ym6FK4o33CD+ed4QlKjQ0dejX73WjSQ91Np66t/DAgDhPYdRss/tssPXxCk0W+oOKZqCYTKN7CHVVJ6xThZOBwurhVbmnCctWRlTiXH6GKbijBdJbaLx8pNDEeJENDZz8KQlI8hpWWZI17dM7Ril2w8vfXWvJqYgn9M0hQVTcsKJT2PwVUNTEEOyOyHECYiyWzF7YiY2fHAC28rPmvrun4o//q4WCZBr63Zg4rBeoAQRdqsFK8paqELN3rGdbXnDPj+n6FOpC9mV3mb4gxxmjE9HcoJTQbKrtbBinTaFFeD1f1TgUq0fPxssa2MOye6EsvePY8LtKYh2WBDiBEUnS+5KzTUGi0bo2ZPYZOmMPFTVNcMdYzfU8/P6gqbdsyQXEx9rR4gTFKrbV985jMmj+8DbEITDZoEgiPD6AnjihU805y+dmadcV12sNHq3sWGWnB9zvqHVWu2nZN823yMIIhgDiQhOEBATbYPXF8CH++Rcmo01zl3UNQbBWhmNREeQE/DUy3s0fkaSJMM4Qb1/I9dkGCp8LX08wFq1pP9kP7X0VS3jyvK15XLHIS+BUIqqaerNipp2m0UHappVkIEHxvQGx0vY+ulXGJ7bBT4/hyl39lViFALWU7979RpFvm8kOOinzuzy3zCzPXR8nB3nq2T9YaPO12VrZJDOlp2nsOfIJeSkJWHJ9Fw0BXi4oqx4+O6blVwGTQGVtc06ClpSIPz7J6fDIHYbomxWhR4/MudRasIIYFaXYRha990ul18gf/Y1h2TQZluXnO+hKFyoblQaqh69JwvuGOP9X31jCG6XTfExRrHdD6EnfEXxdUVFxaaUlJTOANIBOCP+7Y3v8XmuihkJu88rzAYnCIYOkwpTJ6kFsmkaKLi9lwbFEEnVFQzxCufyhg++0FW5CWftz4b0RMGwXjr6lu3lZ5B3U3s47FZMuasPOE4EKAqx0Ta8vFnLiUtMTnID28u/xpMP5oC1MIYDt118tCaQnTspG6sjkP7EIas7SeysxbSYRzidK73NCPKCjpqrpMiDhVP7a2hgyCZ4VH5XjB7YDfP+b+f35sSv1iS62iYKJt17s25BQ2MIpWtUXXSFHsS0sRrSG9hYGiFONE6wWmkM9XTSJLofvScLVoveOZLN+saPvtTMnY0ffYniu9JNnazR56yFNuyIYq20IX2ZxbTQa1745E0KhLwoGjrE67WY/GMytQ4KA8lUuFeNCi7begIlhR6UvX9c0fmLibahKRAyHntWRqfnM3tiBqwWGnaWweN/2mGYAJxVkKEANQht49Mz8vDEC7sU+k5ALuCpA2Oi2abW7SNrCKHNCIR4je4ASQbOGJ+O9olOCIK5LyZJCPLZrIIM2FgGf912AlPu7Kt0EI69tYcyzwFV10JEAoZch4x7IyRYqSqINwvYf+xm5n/NQASRZsZC4Gtq2cQYoc7Wv1+BicN6aZCa8wqzYbMy+O1arb6Y1UobUlklx0cb+r72CU5NPDB6YDesfe8IRg/shlpfAI1+4znj83MKJUykZt+YW7phz5FL4AVRSRCTmMrrC+CrCz6s2nRI0emZNDIVMU4WtQ3NSmdCnEv+u9Gco2ho1pvLxSVkU1wXjvvMYqefik+32yzIvak9vqn0KR1ruTe1h93GgA98u3gr0g9E0skC8rs9X92oiy9LZ+aBYShcrPVjRdkBzCrIwKSRqRrkKPGJZpu5hVMHaD6L1G8l9/f5ORw+WY2CYb10sVCUnQFDUyhdU67x8WZJDleUFZIEHd30irIDePjumzVxCgDcNai7XBxysGgKcIYd4TQNxETbDHVXzd7d9eRXr3VTUx+q94KJbgeskGMUC0PLdFgG3YIFw3rq1ss/rj+AJdNz0RzkDQt3TYEWHXlSoCNjp0OSU9lTEt20zR+fhCi16PnkpCVh9sRM+AOcQv2v3n+VFHkwt7CfTp8SABqa9J3k6piCWE5aEkKcVgzXLMkR62QVlpmCYT3xsyE9MSjzRkiS8Tk/FX/8XU0PkFNpn0eAjcwSXgQYBsgx6px7Mg0TvGvfPYb7R6TqkOyRWlgkBs+7qb3SpU/s9PkGLC7O1c0Dr6/lmmrEvyCKeHxSP018c9eg7hAleb85aUSaQq9L5gwZazRNGfrZd3acxMKp/VFTH8Cf3vxc828hXsCqTYew7KF8MIy+W35uYT9QFIVFxQNA0xQoUPAHObhMCo4kqfdjzje0Wqv9lOzb5nsYhobEULqcRJTNgr9/chq/fjAHDU0hLFq1G26XHb+8Lwv/+xctW1dCnB1eXxAhToDDboEoAX/5+zFdk4mapYKYUT73kQkZKNtagQnDUgyBavGxds3x8wqzEQjxumu7XXY0RhTX5kzMVGIGM032mGgW5/2NGlAzobxf/34Fbs3qoGk4eWRCBj7cdxaetGR0THJifpFH489Jbpl830hw0E+d2eW/YWbzBBKUpimzfLHXJwPCSLGdsElcCEujkXOI1p5Rg9ab2yoM5Xsi44V2JjkPV5QVGz/6EvMKs7HjwDcY6ukMhgYsFhqsVZ8/NpNKI2OzrdsBb0MQGz74AtPGpWu6eske9pk39uHpmXmm+cviu/oqPuZysd33aVdUBExJSfklgKchawD6Vf8kAfjRFQGNHAbpXot0mI/ekwWrlVZatYmewuOT+iEuhsWS6bkQBAkXa5uU5C0Q7g5p5uCwWxRqoFgnqzh1UZIgihLuGtRdEdeOTCYsKs7Fgpc+MdUQYlnakNKpsZnDmFu6gWUYXKhuNBy4FCg8fn8/uKJYnK9uVGjn1EYmivq8WKcNFppCSaFHUwCdMzET28vPKDRl0TYrXv/7MV2C+OkZeVg6U6bEqakP4J0dJxVNicjE6n/qxK/WJLraZtblxvOiLklWumYvlv8iHzFOqwb1TzMII+8pXVDyy/uyIImSjlLrmTf2YdlD+Tok/fbyr02LdxQNBSlKko2uaBY2ljYsTBohnf64/gCWPZRveDxjEHzNL/KAZcwLwPiWQd71Wky+Vs2M1oF8HhQlxLlYLHsoH15fEHbWghDHY/LoPjpUcIyTxYTbe2kW5EfvycKCqf2xaOWnGk56ThCVAqCRno/bZdcg8kkCsKa+WdHKWTAlB8kJTtA0hZLCbLBWi5LUJecSHR6ng9UV3whCaenqvchJS0Kc0wZRkjD1rr5I756ARHe0Usz88owXad3idfOCBBxt3Q44HSyW/yIfbWLsoGmZynLqXX1hYWglQCcUeWqr9MqCxqZziKHBAbpuncg1wyhg/7Hb5bqMGZNz1GbkT9Q6C4Ax6sxIU3fZmnLMGJ+uix1KH8oHQ9Nw2FoE4qvrAqhvMi7QWBgaS2bkQRIlmYWABsbd1gOvbD4CAJg6to8u1iDjzIx+bvG0XKR0dIOmKF2S0MYyWPn2Yc2YX1EmJ8TVibl5hdl4b9dphaaOaFcAQJ0vqOmqUW9OI4PrWQUZANVCU2lEeTq/yANQgMTQ10WccDmTRAl1vqDud3Harf/+5Agz06WKfLcvbDioOY/MGQktsZ8oSppNIBludgLsAAAgAElEQVQfM8anm27mgpyA+UUeJR7Z9a/zuviU6KD06txG8ZUk2RziBIR4uRORJCyIjzfToAqEeNOCuhHKOhDiUVLkwT8+PY3ULgm6jnDCIkLo9AGt7qrZu7ue/Oq1buqkh1pbr3RmnsZXxESxiHGyOh9vNl6CnIAQJxp2CJKkwpLpuRAlABLw6haZmcXrC+Du23ticXEuAAk0Q6N4bDpKwkBKAs5Qg0ZmFWRoEnOlq/fiqem5WDBlAEIcD0GUkOh2gONF8CYAo1hVJ2tbtwPFY/viYk0LnWdOWpIuJiE6bcNzu+DgF1WYPTETDU1BnLnoCwNAeuOJyR5N53trjH1lpgbIqTXnIpN0Gz74QicXMatAps4n4GWvLwBrGKAZmeAlXXhqYKcR0n9F2QEsnZkP0SROogziTQIcMYq95xd5UPpQHgRBQlVdM1ZvOYp+qW1RMKyXjj6U5BPe2XESdwzojLKtJxTAHABYaAp33tIdNqsFC1//VPPcpPNw4dT+sNIAQMHtsin5G5oGaIpW5lfLfLKZ7jcdVlrRIW61Vmu1a9++Tb5H3YHmdtnx2L2ZiI91QBQBm5XGiLyu4AURIU5Q8gccL2J2QSbiXDZYLTQkSsLZSz6l242hKXC8YJhPsxkUJ0jxYcl0WXdaEuUYYc+RS7hjQGdDwOrTM/K0lPUso/h99bWNgEvPrtuPx+7NQunMfIBqyREY5annFXrQHOQUSRGOF+FJSzbM8ZF8d6Q/J3qCJF6Xu6tbtNOA61f66Vo2o3kyqyAD1fXNStPUIxMyTAFhkXmiQIhHUpsoLJ6Wi/rGAEKciCi7FQ+O6YPmoL5AbZYPeezeLCwuzoUkyXWZ6rpmw2dIdDtQNCoNrJXGLZlaZqeSIg8WTx+A37zY8lmcy475kz0KTaga8ETyD6u3HDVkW1Ln9WrrA3hl8xFlT1tdJ8sHTRzWS+djzGK779OudH78CkBeRUXFZz/AM1x1M3MYFK2nCXzmjX2YMT4dZVtPKFy39Y0BcJyIr8434IUNB5XAlXAdq9GUv137mSLOHR9rx6pNh5TjSbLDjD6JIDFJgkz9XEtX78Xj9/dDnCpIDYR4xLlscEWxctBKQUdjShY0mgF8/hBinCzcMXZE2/VttCR5QdAd7eKjZaoMuwXv7jqlDOLmIA9XFIufDe6BCzVNeGXzEXh9ATwyIQN1vhAAmTvaHWMDRQGNzbI+YHysDVZLZ7z23jE8MMYY4UKc+Hfle74ak+hqG23S5SYIxuOaF2QRY41JACdIsFgoRDusmjEU7bCCFyTDrlhBlDDh9hRNR+u8wmyIonHxrvQhWURZnWz85X1Z4Hlz2k+zcWDM055uWOjlQoJpAZigta+0qHe5YnIrD/n3a2a0DvEuVte9/USRBw4bg4UrZYSdTDcho4L3HrmAW7M64FKNX9et8cwb+1D6UD6WzszToNyIHzbT8yGUG+oEIFnYVz4xFDPGp+vQPWXvV2DC7SkA5KSu1xcATVOY+9xORSdWbaSIlpOWhIJhvRSNQnUi+5XNRxDnYsPBkYDmAI9f3d8PTocVF2uawnpVAcXvA8Dk0Wm65E/xuD546a3DEERzFD4RM1aPbwC636hFJyugQe1dj2h+M/9L09QVZSyN/EkktbcRat9MC1NN50U+a2rmwAsifE0h2FgGzigr2idGw6/qLFH/di9tPKhstojI9Vv//EIpqK98+7AiRi9KgChISjLa7LlEUTJNEj58980ahgHSdeAP8phVkIGEOFkbmAJw99AU/P71fUp31fJf5CPOaVPWIHKNZ9ftx9Mz8jC7IBMJbgd4XkQwxOOOAZ3D+okt71hdzO+cHANAwsubD1/XNLZq4wTJMDmwdGY+IkOFf2dmulQyzaesHcowlBIfEyO+QR2PWwxoWCq9st6rtyGo3GdodgeMvbUHGBqwWhh8vP8s3v7olOLbYlwsls6UE8fnqxtRfvQi8m66ARaGwpQ7+yrrA9G7mjQyFSEVNSLx8TlpSbpYQdb7lovlRn7A7bIpCe2CYT2RnOAEJOAfn55GZq8k/OXvx011BslGtnRmPqaMkeM2hqEQ52I1hc7t5V9fd371Wrd/lxyMjF0IzRFFUfim0ocqk2QETdFYvnaPYVJswwdyxxwg01BTFPDAmD548M6+oCkoYMoNH3wBAHj03hbmATNwhprOs9LbjNr6AJ5dtx9zJmYiOsqKbyobFTBU5Foxv8iDQIiTvxdNQRQkCIKkJDkrvbLsRPuEaI3cxpvb5DhIECX075usS/atffcoZoxPv+4Am1fTIvcibARA0usLICbaimUP5SMYEkDRFGrqm7HxQ7lg9uCYvqiuk4t0cwv7IRgUsah4gNK56fUFMHFYL3CCgHmF2Vj/foUiYRIJBAtxgoZWmRjZp0Z+vr38a8wrzEZ9Y9CQ7o5oD88rzEaHJCc6JcfqNOUJff7a945gwu0pcMfYUDy2L2rqA3hl82HcPTQFiW47BFE0BXL5g7z876DAQEKU3YK27mgwtPx+SaGeHE8YIMz2m60FwFZrtR+XfZvmAXVDidtlB8dLmP/8LsOCGOl2i491wNsQQE19M25o68SFar8OjNeuTbRCBQq0+LelM/N12oHzizxwRlnh9QXxu9da8s13DeoOp8OYyaK2IaCjD11YnKOLb8yAd21i7ThzqQF21gJJAkofknXZIrXfidTE/SNSw7TnFDq2c102363+vqUz8xHkeMS5WF1RVL1Ha2XruvpG5smymfngwnPjr9tP4LZ+HZQx+sf1B0y73oZkd1KulZOWBEGQ8OSLctPT5NFpGjDwkum5l82HqNkD4mMd2HP4PDJSkrBo1R5DHeE5EzNR3xTE8jWfYcGUAbpYonT1XiydmYfH7s2EKMpdgIIo4sDxSiwqzkVDk9y1a2EozJ6YAW9DEFYrjTgXazpnOiY5sWBKDnhBVGQeZBBhPorv6vtfi3evtAgYAnDw3x71IzGLGVWgiVaPnbWg4owXa949isKRvZEQZ8e5qkbEhbUPKr16ehgA4HkR8wqzYWcZLAx3nTx6Txaag5wm0I2kTyJFN1eUvPFPjLMbJ4ujWdTUBcBaGdhZBjHRNgSC/P9n79vDoyjP9u857m6ym2RDSEAEVJAFgdQEkkgStAXks4iAppWgQqIGJFFB9FNI1AIKRGytYvtDBVTAKqHfhwcOth8KbQVUQMEKqIEiAirkxCbZTfY4M78/Zt/JzM47EaxaBZ7r8pLszs7xned93ue5n/uGXZBx4HADBlychtvGDUQ4ImFmcRZYRtUJSnKKYBggIzUR/vYInA5VaHNRRQGaWgJ4Yf0n8PqCqpN12XDfzdn4qsGPZl8IoUgUNhuL4tEenGhsQygigWUYKqXd02tVeidFgSnhseb/PsOk0f1x8QXJeGDKEEBhNMF5fRs4xzLgwJzne9Ybo2g0s3qnxnMMtUuPYxm0h6Jo8LZrhb6u7gQkJXIIhWV8VFuP3IHdtS7BXQdOoOBnPTDthkFo9atFXIFnMO2GQeB51pR8VTme6QUNWVa0LkPy2e/+9CEWVRRoBWJizb4wOItrYFmGur2sKJBkeqGX5UhSXuUzZzlAklRHqxY3SJKShch1vmCjFZM74yEH0Gk32/lEB92saR0KTZ8vXLkLj1UU4vG7C9HsCxvoCAny/Oq8i6jjMhKVoSgKlcbOigLugq5OjdaQdLQuf20/0t0OMJTz3rDtMKaMGQh/IIxpEzJx56+ZGO2SghcevhqyrGjJ4inXDkCXZAcUWYEocrjjhkw0xAqSer788qJMzLopC4GgZKDTmDUpG+2hCLqnOTGzOAs8x+CJl9WuyKrSXFNX75Iatbvm/slDEAhHDQuLvIEZKB07CM2+EFwJAhJsHEIRGZKsADEKJBqtWXlRJlJcdtTEqEvPWjS/hf8Fc/qLjXh/wnKswe/JimJC7Scn0rnkg2EzFZvDxiMQioLnWDjsPE61hGATOJU2U1awYHoBvL4gWvxhjcHgyNetuPvGy8GyDBJsPKZdn4lbx8rwBcLwt4fBcSyWv74Pt40bhCfX7NEWmkmJdCosMn5oYJIuyWoHDcsySHOr435Z5UgIAotwRDLQQVaW5OL+yUNworENG7d/jnBE0rq29FbvDSAQiqLqmR1YVjUKdoGDwLNIdAh4fv1+jMzpbSpWrXhjH6orCjW9NbKfs51KRlboIB9FOfMFs5UuFQ8FjKIAkgIG1sAbRhePW1HW+dpD6Op24JE78uFvD8Nu4zTkZt7ADNw+bhB+MbQXOJZFRJLAKLH5H0DvbknonubUtBkIgILokSQ6eCyp2YvMvmn4TVkeGmIxfzAcRXpqAj6qrTeBj1R911p694eNx+K7h8PbGjJRwnTrkmAoQPfq5kJTixonlY69TAe2UnVXIQEcx1EpTEWOQeSscqw/bqMlB0WOQVhSIDEMlLh5kdAcLaooQM3mg5hy7QBzAu/WXAg8fd0ZiUr4ZcFFCIXUrjxFkcHzDPb/qwGX9EhBsz8EZ4KIC9OdmFM6FLKsxpVEZ9Um8tR3PJ7dRVYUlI0fDJZl4BB5jcGl3hvA6k0q9Xi31ESAYSDLEkRBiNE8tRsYRPRU5gMuTtN8ritBwMic3lj7Vi2uGXYRLojFKLKsgOdYBMNRjLuyD8IRdcyfTYDNH8o6A8+RJN3XjX4sqfkIKS4RU669DPWNbbCLPAp+dgEyuiSgPRSBPxDB4eNe9Eh3odXfjmafuv+ZxZcjwc5DkgAFCrbt/RI3jvIYJEz0QDBCp6UvBG/ZfRTFV/fHq38zd4pfN7wP3txxBL8acallAu3uGy9HJCrhxlFqUZwUnfXbCTyDqRMGY+f+E7igqxM1mw9qcYrDrspfdE2xlotw2Dgsf/0TTJ0wGArHoNUfNnSnEmCzHsAUlRXYOPasBByft/N2Lppld3Vc/gaxdQgpNJCYlNa4Qbp99D5zUUUBFYxnnU+TkWDj8dDtebDFGCc4lkXdqTYk2Hht3l60chdG5fRE8WgP1c8B0HLLDpsAQAHPs7DxwKKKAkQiMkSRRTSqYPFdhQa6ZVW6ImwqXHZ1J1jmz59cswcLpuejPRSFwHGd5rv1YBJJluGy85helIkvvm41AE70a7TzbF0/vHW8CzIEnoXAq3WBSERGa3sYVbfmwOkQVZYhXRxA3oNQJIrqigIEw1FcmOHCg7E1+N03Xm7KVz2/fr+hCy9vYAa6JNux+K5CjUKXNFwVj+6HoQO6gedYPHXvlfC1RWATOSwsL0BEkqDIKtORtzWkSqdYxN9RSUFacoKmV7/ijX0YmdPbQEsKQGsGWFGzD4sqCsAy9IK0JAN/fe8LTLiqLzy93LoxrsDG/ufywKdbBHwYwBMej+c3tbW13m/c+kduvMCY9PnmlOSA5+gPT1YUPHrHMKSlOHCyqQ1PvLwHXl8Qs6fkGKjeSHfIgun5hgQtoWCpPeaFJMvolmqsFK/bekhLKtIQJPrj6M/raExT54EpQxEMS6jWXU9laS5WbTqgIdtnTMzC6+/8C9cN74PnXv0YxVf3x6Ydn2NUbm88tMp4rjOLL4evXXXyKS4RE6/2GBz+nJIcCAKLP/z5Iw2hF58QJmjTtGQHHn7uXdP3RDelvCgTAs9pNB5bdh/VUCOkPZYUBvQLWq8viBSXeE56eI5l4EwUMG/qMLAMICuApMjgeZbapScKLBpbIqZuPKdDAMcCAy7uYijizinJAQMgGJJMEz0DevLVConDWhTWWZahcpU7RJaa8LKLLHV7UWAhhczOUxA5NPrCpv2kuUTIkoJTvjAWxnWVpXRSVKYV76IA1sR1J67Z/BnKizI17an4BXl8N9s5XcymmDWtg5WOowKOMRelFq/ejfnT8nGyiU6H3OBtR1qyw+SHZ0zMsuT+VhQY3of7bh6CFJeI8l9losUfNgS1U64dAIdNMFEMvLPnOAou74FQWML6dw7jgSlDwTDqu6ZfHNx70xC8uKGjo5ok15wJIqAwJtrfJ9fsQXlRJu57apv2DhOzFO8WeUQiMniWRVIyr3ZGBiKQJMWA1K+6NRehcFRDRPXKoCP5enR1wSEwmDZhMKZdPxhQGMiyjCjUDp+zZYxb+V+OZfBtr1DkGJPfmzv1ClTdqhYVvm7w43+3mrv6Z03KhqCjiCHjbMUb+7S5v/rOArQHI4Z9zynJxQvrDxioc+u9AXRLS0T9qXa8sGE/FXnZ98IUQIFBn9XTy20qWD4weShSk+2QZYXqt33tIVQu3aHpYpI5i6aFWL3K2AkgqFxd1HdU5DkN0AVJgiByOPK1DxOu6ouoZNYXUilAzz0qGZGnz6cCz0IOn9koPh3kdGfbCCKn0QcSbTP9ec2begXCUdkQo5CY2u2y47rhfQzx9pySXDQ0tyPBIcDfFjEV6wWBNWxfWaJSPf9iaE/426MGH3/vTUPQ/+JUNDWHtGtp9oXRq5sLzb4wlFgBxZ1kg9tlg68tjH992Yxe3ZKolDBEZ5UUoB+7S9UR1aNeZxZnwSZwkGLF/bCkUJGqnRWpz4ONvh8zahMzhnjOitGFYWDQGib0hAxABScAqi9LdAho8EY1JgMyNgb36wrIQDgqw9sawrv//ApXZvc0UYIrAPUdJ6wg6W5V1iJCoSIlhQ6CWH52zsgYTTQHlmGo4D79ui891UGlM0u082gPqkwB+jFfWZILUWAhn++c+lZGA8+tiTGlKFAMa3BPLzd8bRGTn3txg8owUV70M3zV4Det/USBQ9XSHagsycGo3IuoVPYECOYPhCHLDOavMOoXpySJ+PhfjThe58ej0/NxqkVljiB0pAro68hjdX6seGMfZhZnafkXQsWll2AhOsNVpbmw2TjcMykbKzfuhytBxLWFl2Desh1aEjE+BzRrUjb8gQhG5vRGg1elJ4uPRfRjnBzzqwafOhedX8edt/N21poV0OL6qy5BweU9wOoKgrQOaRp9YTwDDPncimXiixMqfXbx6P54+Hlj3lYQWC1P7OnlxpiCi7H89X2mNeN/3zIECXYer/7tECZc1deQNyZSDTs+oscUG7YdNklX1HtjEhSx2Db+nJMSbZg1KRsMw6AtEIFdVLBgej6eX78fzb4wtWOSgEk4loEEoLnVWHQk25A12tkq/fRjNRrrhX4dnzcwA8VX99dkzvIGZuDW6waBjdFxiyKLRSs74loit1PvDaBLXF4OUNdcIs+q8YXTBgXQ9k3GbWbfNI3dRb8W3Lj9c+w8UGc6R/I7gJ5LgALUe9vx5Jo9uPemIZh2/WBLtj2SY2tqUZuyaN2Pq988gOuG98Hr//gXikZcqtWLvmrwIdVl7zT//H2aJfOPx+OJeDyesMfjCQNYDuBOAI3kM/L9D3am36GFIzLWvlWLsvGDUV1RgLLxg7H2rVrIUDQOW0AdCLNLhoJlGPzhzx+hfPFWPLPuY0weMwBulx2LV+9G6dhBhu0rS3Lx/Pr92kBxu+xaJ96jdwxDRmoCmJiDJ1Z7zAuWRazLI9uEDFm8ejdujTvOjIlZWLf1EOq9AfjawibKreqVu7R2WxK83jp2EF5681PsPFCH6lW7cP3PL6V2iNSdaofTYUPp2MswZcxAaudXKKTSv1glmC9Md2LxXYVgLIpAaSlqB4wzQcTTa/diZE5vw/8JzaMkqR0ohEJ1xRv7ULl0B55Z9zHag1GA4xBlGCgcC447UyKrn6YxUBGK85a/h+mLt2Le8vfQ6g8jHJGpzyockandeLKsQJJA/Y0VXRihctFbutsBnlML6/oxShK2tO0VxawBuaRmLwIhmZrwCoZky/OhWVgCdT9hCZDAaAVA8t3ClbsgWaTUyIRXuXQHplVvQeXSHfC2R8AwwHXD+2hjcsUb+3Dd8D6QZHo3W1iifx6lHvXcNC7ONwKE1oE+jr5q8CEUlqg+prUtpNEhx49Lp0PAyRhdETFCY3fxBcmoKs01/KaqNBct/iBmTcqOdUjb8cTLH+K26wYhKVFEU0sQ6W4HPL3cmDxGpZaLTwJXr9yFUbkXwdcWxpKavdh5oA6BYBSt/jCVhroohop+eu1eFI24FOluB1wOEW3BCPV6CS0keYcJjRgpasbfu2A4ipOn2lC+eCvuf3qbGuCcUucbwxh9cRfag1HN7/raI8gbmEF5Rmo3LQ/A1xZB5dLtmKp7X84W/2zlf5mY/1CF4tkzmpdoif75y99H/alATFR+J97efVzrIHp2zkg8Mi0fr//jX1j+2n6UF2XimdkjUH1nIWo2f6YBhuq9AUSjZl/+2Kpd2vgglu52IBJR/SyZh+N91VXZF8Ju57Bgej4W31WIqtJcpLhE8DyDe4qzsaxyFOaU5MCVKCIUkhCNKlj/zmGT3w5H1GB3ZE5vw/xD00LUB9mPrdqNRq9K3T6z2PheE1RfZWkubAIDVuTUGCFmUUkGx6i/W1Y5EtUVBWrXtgILn3O29gGqxSHqfCp/O+ocSZLBSGonDyPJ1MWM1TYRCRrVN+livu/mIXhm9giUF2WiqSWoIUD151o04lIq5eFjq1R/JbCcKS5+cs0e+NrCRr8cexe6JDuoOsguh2iY46ffkAmWVZkR2kNRrNt6COGIhKqlOzDrqXfwzLqPEY1a6IYqChaWF2BZ5UgsLC/o9DkQv9GZ3glg9jeCyFHjlbPF/37fdrr+O77wQpgE9BYf65KiGqE+2nmgTgMfxa/voJh1uZfU7IUcBR569l3M/uN2rHhjH36ZfzGVGjHBJlDHVlpKApZVqvTlgWDU9I6QeEN/DSwDPPTMDtxRvQWVS7cjEhvfnl5uVbMtto7umqJqaDodAlUewCbyEAXedF7Vq3ZBPl//+9YW7yOIHmTl0u1o8AYM3xWNMK/7f//Kh7h/8hBMnTAYUYm+1pJlBXffeDkcdkFLeOut3htAj65OyIps6IIm3z22aje8rSFUlebC6wsiGlXw5Jo9WLRyF2qPeVE04lKs3Lif+i6QXAfx++TfJIaJ327Ryl1o9Ycxd9m7uG54H/x6ZD/DO7LzQB3WvlWrUoFVqPpYLAu0BSLo3c2FZKcN3dOccLvspmtMdoqGY9ZsPnh+HXfezttZblYsRWOH90EoLOHkqTbkDczA5DED8Jvn3tXixcljBsDTy02VTZBl+tqDYRTMnpJD9YMjc3qb5vslNXvR6o/g9nFqnviWX/bH2rdqMTKnN2wih7llw/DUvVdh3tRhKqPGip0YmdObKtXgawtjVO5F1JiibPxg7W+91XsDAAPMmpRtzIeX5mL1mwdQuXQHHnxmBwBg2Wv78NCz72LS6P54YPJQ01zz9FrVr5NOvqikmEDPT6/di9vGXQaOJXEaB5ZjvnENct6+G4t/F+LX8SNzehue2c4DdfjNc+8iOVFEisuGecuMerzVKzvyESwlB1hy7WWYt/x9zF+xEw3NQVPOeknNXlz/c/pakNRB4s+R/I6WS6gsyQXPM5BjEiK/f+VDHDvp06Rg9Jbu7tBPbvGrjSeuRAELywuw+K5ClI0frNVdSI3DlSBowKOazQc7zT9/39YZ0HnQD3YWP7DJsoKdB+oMnXUAcPs4BQKvJiCSEkW0BSJITrShatUOqjNct/UQeI7Bo9PzNd0TWVYwMqe3Rl1YOvYyAxL53puG4O1dR1FZkqu9JAQtMfuPOyx1oliWwYLp+QCAL074tM4QoPPEmf5vBYrhb44i0O122WMaJgp87RE47HRKGa8vhFt+2d+SvqnuVBvmr9iJuWV51O9PNrWheHQ/JNgEQ5KP/F+SZZVKCmphoHh0P8ML7nbZ4fWFsKTmfe0enitdVRGLRZoVhUDUSitQVgw6Sfrv5E4+nzv1CtSfajdQZslQtMI6GSdr36rFtOszqW36Vggoq44vyeJ8ohbdGpb7kVU6xjPZV2cUlbREh9VzsDonWQY4ynHPRaPROsybegUYBnj0jnx8HaN00yOBZ92UTfUxLX4Vzf73D49rPN4t/jAiUQlPvKx2Xcej5IpH98cz6/6JZl8Y5UWZ6J7mBMcyCEckA3KJINEYBohGFTgdAh6+PQ+NzeoYmDUpm/qsORZIcdq09yTZaUOLP0TdlvhvkniYWZwFSZYt9VujOr9X71V1tKorCtDVrXYtPvGyURPQbuOw7NX9AFR/qijfrDtHxv4j0/Jx5OtWbX96ejrr9+XsoFe08r+LKgogdEIRTJuX9B07tPue7BSRYOt43rXHvFi39RDuvSkbrYEwpowZiHAkiobmIJ5asxf33Zxtimus9t2tSyLS3fHaZYphHo7/ja9dpQzTo+kI9e7Qy7qBYQFFgYHOk0ahxccS6/HHsaaDjGi/dSaIkKIyVm/61DDfrN70Ke67We1wbw9JaA9F0BaImjoaeI4Bx6oLRgk4Y43Ys8GsxoQsKz/4XBSVZS0eJyCKSDSq+avOtFPJv+O/s4s8WtroftWZIJo+69Yl0TIW8vqMWiXVq3Zh3tRhAABR4FA8uh/Wv3PYMBab/UHqOGYZBmUL30J1RQEql+7As7NHUGNwWYHa5c5zcPAW8gUWVPkLpuef1f73+7TOKN7j/Xf8O0SKefp4YvaUHFNB2NPLDZ5jDOsqWVELLOnuBHAcA19bGFGLd7SlLWT4rRU62SrObfaFkOISIfBcjNbTvE2XZLX4QUBTemBrvTeArxv9yBuYQe0Wv3/yUMs1B8sy8LWHqd9FZdkQf5/vZj190zOxEGo6fyCMsvGDIYqsRmPva4/AnWSj3v8Gb0CjbaN9rygd3ZtW63qWZbB41QeW8W+CXUCLP4jyokzYRNbwviQ7Rew8UIdmn3revTKcOFbnN+Q64v1+j65OLKscacqJ1HsDSHHZdGuyjmvS6wdxHIOVGz9B7TEvlj84Ck3NQa1rksQL8d2GToeIJ++5Eq5EEV5fCEUjLo1ROJ+9zAHn7byd62YJxooB5t0uO+6ZlG2gCyT+p7woE0kUOYf/e/8Ide0RCEWRkmTDwvICKIpi8G9Wa7PUZFyx4Z4AACAASURBVDs4jkH1nYUAFItOfAVcLPa4MN1pGTvTcsMkf9biD1F9v7c1CEFgcfeNl4PnWKQm2dHsD2LCVX0xMqc31m09ZNAkXrRyl+Vcc2G6CyKjzvcypZHE7bLDJvCoXLrdkINIc4nndVh/AIt/F0hMQebWXhn0sQUw4DlrANHiuwrBMoyJNj+ji8OQM7PKrXW2TrR6b0gu4e4bL9fYHp999WN4fSrof1ROT+QO7I7uXRLBACY2IdIhq9d1D0dktAejmP3H7abjJTtFdEm2q0xSLINbftkff/rLZ5b55+87DraMWWpra2u/s6P8yMxKE7DuVBv+8OePtFblX4/qZ4no7ZqiilfGUxTZRE6jtBR4VktkkN/9/pUPcfeNl6M9FMG8qcPgaw+jxR9GY0w03ioJFonKaG0LISrJBvpNAJb0dSRxRv4+2dRmaEOVZGMbLKGx09PSzSnJwbQbBmHxqg8M1xmVZKSnJqAtEDGJh5PAGQBqNh80FTzJy3LPpCwEQ1HDdZP/6wVdeQAXpBmdStGIS02J2HMl0SHLdD0fKzpbzurzmL6X1XdWizwalYxd5OmF9fEKJEVGeVGmVjQk1Hn0c7VOeFnpHXKM2UnCgpeZ5xjICix0B0HN+p4pRaW1SDH92liWOXuzzWdo8bQOosCixRfGvOUdwV5VaS6SXSIeW/kBao950dQSMFHIVZXmYk1Mmy53YHdDYE6Sym6XHQwDPHJHPmRZgU3ksOy1j7UxTEAMAKi0QOVFmfiqwa8J/D4weagmem3lxwEGCqD5cLL/zvw3STw8vXYvvL4gqisKTJp0ZO4hXOMk4cyyDEJhGW/tPKomGVMTwAAQBBaNzUEtgVE04lK8uHG/1tne2VxS7w0gEI5i3tRh4DgG0aiCt3d9gbGFl4BB550rZ0OSxMr/yjIQZU+/AKpPOJeNH0y9712S1eIsSZYRuvCHnzPSHyY6eFx2sRscy2LxXYWISrKmudQZVfP9k4eCZ1ltfibJPavxaxd5Ew3Y4tW7saiiAO2hKKJR2dRJSqPQIuMp/jg0OkgSL5DfJtoFSLKRkpR891WDT3sfF0zPx6IXzci/RRUFhgLfuUgl09n8/q05bb+l6amPiF7ePcVZ2rlZjcVgOIpIVPlG3xn/XaK9AxxHPvO2hpCe6rAEk+hN9e9hRCUZF3RNRLLTZkq4zJqUjXlTr8C85R0gtcrSXMiyhLlleUh22lBVmgsmLk4hMXhVXHJjQXk+HnpGR9FMUNIw+xt90VJ/zmeL//0+rTPAl8QwRn3nuHeIMAkQmuhjdX7s+awOPx/a01CgmTxmALy+oIkG696bhiAiSXj4uZ2dFlrCEQmTxwzQxpvVdvHrO/J5gl0AFEbTLKZtk+K04ZkHRqCxJQCeZ01xfc3mg7jv5mwTLRgBCJ1opNOwK4oCVwJdQ1a/5juTYuy5ZrSkEMsxmuaSJCkGGvo5Jbn463tfaPTgj0zLt/SZ9d4AGpvpAIavG/3aZzWbD1LnaUXpAAvR9iHLCmRZja2rSnOxZfdRLY5yOtRxQaRVqmK05p35dgAQeJa6HccyWjxM1l6EUSg+Ob5h22FIUXrnbXlRphZTzJiYhdf+fgi/GNrTRKN3ns72vJ23s9c6i5nrvWq3tT9AB7hc0NWJv757xAQSumpIT6Ql29X4QlbAskA4KmHesvc1qsJwRDL4NyvfeqLRj0hUwYo3VG0yGkB93tRhEAVVCqAuxoREi6slma4/z3MMAAX33zIUv/1TR074vpuHYPnr++H1BVE2fjC27P4ck0b3xxMvm4HTehCHotBjFEVREGUZgGNho+QEi0f3M8Vp1bE47WzP//4YLB505HSIBlCYVT5DURTLZ67PpS2KMUsQgJyvLWLImVFjC4v9WuUayPcklxAISZi77D3D90+u2YP50/IN8jhzSnIxu0TV4O6SbEc0KqPk2oFoaglo+zzZ1IZ0dyL1eKnJdg1wpY8fBIGBEset+UPEwafFD+PxeJZ7PJ5llP/+4PF4Zns8nv7fydn8QMZBwYNxdG9zSnLwjz1faovokTm94WsL40STkTKObG8TeWrA6GsLa1RaBImmt3qvynm7etOnaA9FtKLen/7yGWYWZ2HL7qMmOoyq0lzIioykRBv2fFZn+j7dnWCir6uMBdjkb0JbQWg6H5mWj8++aERlScfvikf3o9CG7UarP2y6TgYMFFmlkiQ0qdOLMrH47kIDcq72mBftoQjKizI1yhjC9+xtDaHZH8KMiR3XvWX3US3BQUySZAhcRxuunnO7qjQXnl5u7dy+LZ3VT8lsAocp1w4wUFRNuXYA7CKLeVOvwNyyPFRXFGBuWR7mTb0CnAV1GulepX3HMKBTFDGgUsmQbfSW7naAjyFD56/YicqlOzB/xU4sXvUBWItz4jmGelyGASZe7TFc88SrPRAEOlUnz7PU/bMsA1FgqfsSBZZKBXWmFJUcByqdJMdZ3dOzf8yeielp4yRJMVG3LlqpUkd5fUEAwOpNn8KVKGLe1GF4bo5Ks+ZOtuH6n8coNCndRoS2Y0nNXkx/bAvmLX8Pvraw1sFNzC7ylp3W3dOc2PHPr7W/H3/pAy3AoVF8VZbmoj0UMVAS1Gw+iKRYl59+23tvGoJ1Ww9pY+TptXt1QsLQ6KOJT1296VM8vvoDjTZ0TkkOIrKEF9YfwPwV7+HnQ3pi4/bPEYpIKkLpD9tx/9PbsGX3UdwzKRu9urkwMqc3tn/0pYmGZGaxSkNCLN2tFoPmLX8Pd1RvwfwV72HIgG4g0bf1+3J2hOdW/tcmsN9I3Qd00M2FZAVeXxBul506XmYWZ+G3L32IL+t92LDtMO67eQjunzyESu3JgMGovN44VtcKlmXQJcmBjds/R+XSHXhhw36TP5pZnIXfv7IH3taQgbaDJPdocciMiVmISJIW+Otp4PyBCALBKIIW1Lx6Cq05JTlabLJl91EDjbR6P2y4pzgbv50xHI9My8eGbYe1wvaMiar2xWt/P0T17zWbD2rHtCqGyLK5wHc6dJZnk1nN78x/4BXl42IQry+oFccAUN+N+24egh7pLvS5MMk0tglt0pbdR/Hw7XmGeOiBKUNh01GUE7+c7BThD0TMcgBTOsYqsXS3WhhcUrMX0aiCSEQ2JVyeXLMHAq/SMD07ewTmlg3DJ583wh9QdZYrHt+KFW/sgyTLhvOnxeDVK3eBY1lUVxQYaGwJVX78GLeipTxb/O/3aVb+u7ElYKJWJawF+vEyaXR/LKnZi2N1fmzZfRQDLu4CUWC1dRahrw1HzN3kv3/lQwN1I/HF8XHkBWmJhvFG227GxCy8vesLVFLejZUb94PlgAlX9cXqNw9QxzzLAeWPb8XDz70HjjHHuV5fELCQemixoGGvLM3Fyo0H8OQre6jXxUPV8lY4DiFZgShwyOybpu33POUiXZqgLSLhlC+sFmQpdO56Sqx6bwAvbtxvWPfrfSYArNr0iTmfUJKrza2Auq5fvelTLKrooLzasO2wtr0VxW0oHNWSceu2HtLkFFZu/AQRKWqgGf/0SKNp/JJYlMTIXl8QsgJqLNDUEsDkMQOQNzADLAfMKckxMQqR5Pjt4waBtegk6JHuwnNzRqK8KBMvvfkpCn52AZ0u9Xz977ydt7PWeMCUN36wNBcC1xGreltD1Nir/lQ7hl7WDRu2qYwRi+8qxMLyAogCi3ufege3L3wLDz27A7KsaFSJXZIdWFKzV5tL8wZmoKo0F12S7VgwPV+T5NDnd0muo8VPL0byHANZAta/cxg1mw+a6DtnFmfBlSji7V1fmOR9qkpzUbO5Fk+8vAcsByyYnq+t/4i+fL03gF7dXBroM97PFo/uZwBxNLYETMeZMTELz6/fj8NftqJy6Q40+0KYN/UKwzbxTSHkGJJ8dq/b/lMWnxcVOUaLEQiNd+nYQdrcapXPaG0PQxTpuVn92v14nU/Lr7QFooaxRIt3K2MawJ3VQeJzDeS4JJdgxYLV2hYyxVMuh4hjJ1rQHIu7Kh7fij/8+SMVoH17HlKcNthtrOn9mj0lB6daglQaXkU23+somO9dQup0gaESgFsA/AXAcQA9AVwD4M8AhgGY5/F4bq2tra35Ds/tezNJkpHqErFgej68PpUibu1btbhueB8cr/OjxR9GslOELCtYufETE3qjqjTXkhNfTRp33hEkCqxW8LC7eSzSIdB/PbIfkpw2LKooQDSGinxxw34NxTenJBfb9h5H2fjBSHaKSEq0Yd3Wg8hITdDQJGpxB7hm2EWYcFVfTXjb6wtqNJ3XX3UJrsruiTWbP1PbYJPVjjGra4r/LD3VgfpTAYP4bXUMBUqS88TWv3MYN47yaIsT8vIlO0UIvAPBSBRTxgyE3cbizl9fDiWiRtMKx6qJZUXl5l0wPR9/efcIhgzoZuhW1AvJ8iwLSGd3NC5Z6PU9fvdwtFK69Gw2Hn/74Djmlg0DxwKSDLz290O48WoPGIBKq/bAlKFawEI+37DtMKZdn2mZ5J5blgeGYcEygMo0KkMB/T0JRyT6cSdbH5emXVhdUUh1kosqCujXPMoDnmWo+/rt3cOpqIsuLpFK2SByZurKqtJcMJJC7SyJSgr92iYM/l7Hy0/ZOiuqkE6LnhlOiDyHFr/qy7fsPoppNwyGK1FAeVEm3El2gx9et/UQZk3Kxm903YEqvXAQ9xRn4Xi9X0uIJDttloi5Uy1B/HxITxyv82sBMABtXolKMu4pzoY7yYbG5gA++OQkrhrS09RF9pcdX+DmX/bHo3fkg2EAnmfR1BLEvTdnAwrQ2GJGU6U47Zj9xy2m+9Wrmwtzy4YhIkngGBXx9+Az72LDtsMxvRcFJ5r9cLvscLvsuG54HwPKacbELPzl3SPa/JLisiESlTSfTsb4yo1GerCn1+7FoopCMBxn+V4QutCfuln538cqCjvpAla7HGjILjJ/Eb2/i7on4asGnwamqdl8EFOuHQBA0bSY9FbvDSDN7UCDt93g++eU5GLclX2wetOnWLP5M41ahmUZ/PalD6nUMiS599+3DAHDAAvLCyArCo6eUAuRpWMHUWng5pTk4NW/HULpWHpnSVqyA0/OugquBAF/++A4powZiFvHDoQClQ5yUUUhZEWlp45EJaQm2cGyarJ5bOElWhxD5oIbR3nAcR3vmttlQ0NzAKVjL9PiEVIMiT8X/nznNaCAOhfdcX3m93ZIWgdL7FTgdtkMbAEsB1TdmotFL+7SOqzIWJQkBaFwFF/V++BKFPHXd7/APcXZ6JJsx9eNfi0WnFl8OSJxxVyOZXHKF8LcsmHwB1QWjk3bP8c1+RchwSZgw7bPDPdk+0dfYuLV/Q3Ux3raF0UBBJ4eNyuAoSNnwfR8U+fUqk2f4Pbxg7TuMYIqj9+X2nnGguNiN0y7HrO/IUC6c4ne9rsyK/9NukFJfFldUQCG0kHMcQy8viB2HTiBqRMGo6kliEhExqYdn2sUh2oyjoXbZcfM4ix0SVbndEDtaqoqzcW6rYcMhZaopIBlgOfX78eEq/pSffaC6fmQFQUCx0GBgrGFfcBywNyyYWgPReBtDWmUYrddJ8Mmcpg2IROhaNSwFv7z27WYNLo/nrr3KtSfCgCQTWvgGROzwFqwbRAadjKfuRIEdHU7sPz1fVpH4epNn6K8KBM90l3gGGjdbI2+sKZFROYVAHh793Et7juXu1lpnap1pzrmfSvKK700yM4DdSibMBjlRZnISE1E3ak2A5Wm1xdEKBLFwvICNPvVubXuVLtpXe/1BSHLCrok25GapMa0HM9ozD8vvak+4+5dEtHSFgagwC7ycNg4VJbkoHrVbrz05qe47+ZsuBJUak3SuU98VmpyB3U+obsvHXsZurodsXnfDihA1xQH5k0dBkWR1TUoy6DB246N2z/HrWMHgWVZpCbZ4HRY05wTXe/48SzLCuwihx5dXbj3pmxLqYx4Otvzdt7O24/Xvg3NniCwhjhVEFiwUPDgrblY+OIuKpNJVWkunAkCvL4Qbh83CF5fCADAMIxJG410YwPQJHPqvQH8/cPjphzqnJIcFF/tQUNzEC+9+SlSXGo+uLqiAHaRp/qyL07EiislKiB5y+5jGg2iyHPgOAaSLGNMwSV4MxazkDzz5vePIHdgd7y9+zgWr/oA1RWFhmIGOcaxkz5LOshuXRLx1Jq9WgyxetOnmH7DYEPMTeYiEucsXLkLj1UUGuKseAYNcmzuHMj//tBm1Y3WxSVqz2TngTr8amQ/7Xno479eGU6caGpDokNAokNARCfl0TXFbqLVJjkPsoaxylFU31mIaFTG141+PLtOpe+sLMnR6GiD4ShkRcbInN741ch+cLtsCIWjeHR6PqAATS1BKFBwdV5vpKXYNX1Oq9ifWL03AFkBLu+XgWWvGxnCltTsxSPT8uFvj6D5pA89ujpRfWch2gIROGw82oIROGz0YqMkKRDj7vXiuwot85/fVaxxuvvpBeDa2traf5APPB7PlQDm1NbWjvF4PNcBWAyAWgT0eDy/A1AE4CIAg2tra/fHPu8HYBWALgCaAEypra099E3ffRcWjgmz62/wka9bY63MR3H7uEH4qsEPry9oWMwoCpDsEhEKydQBo6coIgg1/YQwpyRHWwyRYliCjQfHsxAFFkmJIrytwVghUtDaqYGOKjRZFJ5o9GsIjFE5PeFrD2uDJ29gBiZe7TFo9xCaTk8vN0ZfcbGW/CULM6s222DYWHfOG5gBf3sET9WYW70D4ahpwXjd8D7Y/tGXeOSOfCiKAoZhIPAMHl/9oYFrv7qiAG6XHadOtcHbHsGazZ+Zko20RAqh5hOF2CR2ls8BUQsayqhkpjP5/Ssf4rE7C/GLoT0NCamZxVkQeRaSpCDFZdTISXGJ4FkG467sYxi7pHuQNkYEjoG/XcFjq4w0NK5EC3pPjqXSudlEFsWj+xsSAZWlubAJdO0SK0pOhmXo1yywCEfo3SoRSbak8qMV9SJhqVMaOQYxByspmvbUpNH9zyfnzsCsqJu/avAh1WXH72YOx6mWkAEUMGtSNhQZmB+jYiMaKcSPqCj2juI0oejS+5nKkly4EgVs2v45rsy6kEp9tGrTJxr9xaKVu5A3MAPhiIS6mF5mOCIhIzUBez6rw/I3DgAARub2MtGAzSzOAgMGR0/61ALm9YMRjkgmXbUN2w7juuF98MKG/ZoAePx9YRiYqKBG5fTEz4f0RNXSHYb9KYpCRUWXjR+MFW/sw4yJWWhsDpj0rsDARA9W7w2gwduOJ9fswYOluUhLthkSi2s2f4ZJo/ujy1nA2W/pf2UZNpbpNAFPS+Lp6TIJlcv8FTsN+3fY+BgNJp3+kGVA7RAsL8rE5DED8NKbn0JRFHzV4EePrk6kxIANyU4b5pbloWbzQUMS8Mv6DlrNqltz0adHEgT+IqzbehC3XjdIe986jrUbd994OUTerK83szgLzW1qApHnGFx2SReTX05ME9DWHsHCFzp+N7tkKGwCjydeNu7L6wti+Wv7UXvMi+qKAqzc+AmmXT/Y9H5++OlJzCnJMcRAVbfmQmEUKBwLnmPASMpZ3/VHM45lcP3PL9XiBQIY4ljme5mLaAvJB0tzIQgs5i19X9OlTE2yQ+BYcFBgc6hzqywDChS8ueNzFFzeAy4H6SpNRHsogtyB3bFq0yco/1UmIlEF992cDa8vhAS7gK8a/CZNSFHgEAx36DVUlebi8dUfwO2ymzS877t5CHiewSPT8tES05QlSYp0twMKFHzdQKc+5Fjj72iAlnFX9kFDbPFY77WmuiHJGzIPTBrdH+5YYj/+fZs0uj/Skm0xUKBaPBQ5/OT97g9hNE1iPR0x0LEIt9GK2pIKTmptixjmW7L2Ip36sqJg2g2DEAxJJkAjkZMgib1wRAbHMfiqwY9mX5hKa+T1BRGVFARCUTjsCsJh2XQNJMFC4oTkRBFNLe1Icdkt18Ir3tiH2VNy8OGnJ82AgRvMet9zSnKw9i1VSYTQOqpru0IDy0LtMS/mr9iJ5+aMBM8xkCQZUXBa3E/u82OrdmNu2TC8vfu4AUxzrhrNh+iZKr5JU5f8/WWdOr97erkx/YZMA8hrxsQsvLD+E9x5488QCks4XufDX9/7wrSun1mchSde3gOvL6jR7+88UIe8gRlYWK6uiU40+vG/W1X6TP38XFmSi/tuzoYsA06HCrTTU+4TUJ7DzkPgO3T7yDk+Mi0f/7vloCk/UFmai5rNB7T8yoyJWeB5Bt6WYKe0600tQaqm54yJWXhxw35cM+wiLR6ab0Gneq6PzfN23n4q9m1o9qKARu9OLN3twGMVhXAndcRbdhuP6opCRCQZkqRKZYy+4mL428P47UsfILNvGsYUXKxptpI5ddeBE0iwd+i/N7UEtH//YmhPtLaFDE0Xj63ajfKiTC33MPFqj7auyhuYgcrSXEMeTQ9eq46tDX8+pCf+/uFxk3+uujUXY4dfglBYRlNLAE+t2YPaY17kDuwOgOQbZWqsRADHNB8pChzuKc4CwzIafWKzP9Qp7TNZW/OKosVYgsiZrq8yBsw/G4DGPyazpshXgXBEwol0weoLgSSf0atbEoKhKB5cugNl4wfD61Pn28ljBhhotfUNPaGICk5TYC7OeX1BBEOSQZoEAKpX7dboaEvHDoI/EIbDxoFlocXjc8vyDLEGYvuvvrOgU1kh/bbHTnasx5p9YS02IRJDxHyBMJgYRRaRjrPWUzbfaysg83cZa5xuEbAAwI64z94FUBj790YAr3Ty+9cBLAGwLe7zZwH8v9ra2j95PJ5bADwHYMRpfPdvm1WHSbJTxI2jPNj9yUlkXtpVGxQdi5kCtAUiCEUk3HvTEEMShegy/c/bahVb4BmkODsQzslOG1ZtOqAlUOu9HZzzzgQRsqQYHGplSS7cLrvhPOu9Kp3Vtr1fYlCfNHh9QXh6ufGrEf0MnS3kGER8kudY/PYlVUOrqjTX0OJKjKbfV1WaC0npKHimux0oHTvIUvzWLvLYtF1FkLiTbEh12eELhDHg4jQ8+coebRG6qKLA1F0iCCxOtQY1mjR9EYocx4riKyM1EUtq9qpJm+9qkPxIzaoQJ3fSNUXrXFl8VyF4njEVi+eU5CAqK9ROvf++ZYhp3N970xAwDKMJuZJjPLZqF6rvLMQDk4fi8Zc6+MMfmDwUPM9S9xOOyKjZbETj12z+DNOuz7RE/tA+h2JOii+p2YvH7rTu1iF6FvH3T5YBSZFNRT0gRiNH+Zxm56L21L9rLGcGUugDBVon6JNr9mBReYEhGOnosnLhqwY/Grzt2hggFF36fZAgeciAbti04wj+a9hFWFRRgAZvwIBWA6BRLKenOlDXFDAlnfN/dgE+/lcjRub0hiLTx2V1RaGWpBt/ZV/TNk+v3Yu5ZcM0WtDpRZmm+zKzOAvNvqDpHZxbNgzzV7xn6EAMhqPo1iWROt4v6u7SqI9uGzfQpPVJKBdoQXu9V0XuVVcUUhOLC6bnw8axP+kx31m33ze941ZxR68MJ+aW5SEjNcGg7erp5Ubp2Ms0/5w3MMNU2JoxMcuyQ9Au8nhyzR6UF2WCMJISOmQaQChe07feG8CiF3fhnuJs9Mxw4dqCi8Fa0MClpyYgHJGREqPzZBho84bXF0R5USZSnHbq+H/0jnycajGO3cWrPsCckhwsmJ6PYFjCyaZ2bV+kaBoMR1E8uh9Vh3DB9HxEohLmlg1DVJLgsPF4fv1+LVF569hBYFhA4DhwOLeKgVFZAc8zBlQzzzOIysp3rqmhIq7NtCYLV6o+tt6rop1JkrW6okDtfONUtQKGBR5c+i7Kxg/G46s/0LRS4jWZIlG18LF63n9BCEQQCkvUsbaovAAc36ExTFDLbpfdhPROsPP4018+RbMvjNKxl2nJChKzvrB+P5p9YWqCnGMZSCyjUf4/coc5eZyW7ND0PQFrrS2SvHl67V7cfePl8PqCSLDz4FjGgMjlWAYix6CxJXReT+1bmNl/s1j2+sfaXA90sLl4fWHqPbYLPOa98r5p3JUXZWrPlwGDVn+YqjVcNn4wnl67F/fdnA2B5zBveQdgYtakbIgiizkluVq83VHsYPGXvx3B9T+/FKGIhLLxg7WYIh7c85d3j2BMwSVIcdm1Y+ut3tvRVbZ49W4D+JLE6otXfYAUl4hHpuWD5Rh8Ve/DmzuO4LrhfUyds8te/xhTrh1gkIogxcgo1PVpyFJj20gZei7n+GixRzAc1T6jFbLUwpiayCJ5hWdf/RiAUa7DLvKQFVVH+J4YWGLB8zs1HT3SOd4z3YmTp9oMz5IU2EiseM2wi/DX977AyJze+PWofhqgDeiIr8kcTjpXyPc0UJ4+PqkqzdXYg1a/ecC435W78Mgd+RiZ0xu7DpxAJCpBptCux7MYrH2r1rBOSHaKmg436Uohx1i5cT9mT8kxdOWocg8MGPy0Y9vzdt7OBeu0sEHZnuNYRABDEY6w/yhQcLyuDUtqOjTb4+O3ze8fwdjCS1A2fjAuviAJK97YhxtHeQzx5Pxp+Vi5cT8qS3LQ7A/B6RAwpyQXa9/6DA6bQI0Je3R1YXnVKDBMR5EDMOZ/OY7B0RM+Q85CvzacN3WYFmNo9+LFXYZ4oWeGE8Wj+2la1lt2HwXLMjjweSPmT8tHawzo9uGnJ3H7uEGIRM0FwntvGoJgKIr5K943+PUkp4hZk7IN4Lt4Hfj4okckLCHNJZ4Huv0A1hkbF48OmtxXNn9GXQfVbK7FDb+4FP5ABGXjB2PXgROxnIVkqVvJMEBjcwDPr9+PSf/lMRV8Z0/JQThKb+a4pEcS0t39DQxXM4uztFqKlbxPY3PQwB4nK0CCncOk0dZMMHoAN9Fzf/g543Ev6JqI2X/Y3ukaj8S2obh7TYtXvus4+HTrJfsB/Mbj8TxaW1sb8Xg8AoCHYp8Daqdgs9WPa2trtwOAx+PRPvN4POkAsgFcHftoBAUpmgAAIABJREFUDYA/ejyerlCbaKjf1dbWNpzmOXdqVom8tGQHQtEolr9xAKNyeuLGUR4sqiiALKtUXoqioMGrJnrdLjvuvvFydElWnZQgsGhtC+P2cYPgC4TR2Kzq2/TqlqQFhrQOCrvIIylBNCQD9IlofVdAulvtghkyIENLWHRPc6LFby6O7TxQh9vHD8a+Q/X4Wb903DZuIFr8YbiTbKaqPaBW19tDEVRXFCIckcCwDASOwVbyYnBMrBWbvmjsnubEixv2Y2ROb61oqnYtyhB4BqVjL0MwHIUrUYQC4L6bhyApUcTJpjZs3P65CY0yh1IEtaqMf1mvdm2yLM76VSINrVxVmmtIHhMjC+14CsJ1Ww8hElXAcbCk2aR1CLIsQ00eWiWhJVkBGBi2BwNEozLe3nWUQtfZz1R0AICy8Qoeuj0PPNtBNxqVVYQ0CZrI/lOcNkiSBWWLpMDG0u+fFb0R+x3Sx51J0fC8AeGISh3wyB358LYGTQU4q07QSNTYqa1HJYkCh/XvHNYW8lYUSiRILhs/GPc/vQ1VpblUxFrdqbaO5B4DQ/JtSY1Kk0k6QOdNHWZxvhLumZSFZl/IUku2tU2lEZlblodIRJ1PCPUBKbaUjr3M9DuOY7RETnxXNW28t7aF0TPDhftuzgbDqMly/fu4ZfdRUxJEH7Sr7z39uXh9IaQl23/S4t2W/heqm+jsHbeKO47V+bHijX148NZcsLpuwqIRlxooV8hzIDFJY3MQqzZ9gpJrLzPs19PLrS3aysYPxoUZLvzupQ/h9QWxYHq+yeeTQhzDwESrWXvMi9QkG2QFCEVkNPt91Gv4WifsPWNiFl7SJQkBtWNBkujjQlEUKu14KCxpXYb6rnFXgoqYTXKKSLTT6TXaglHMelIlryDvrttlx8LyfDhsggaaOieLJArw+OoPTM+wuqKwkx+duRHEdSgiUWMQ2jMHA7AipxVZZk3Khttl13SgU1w2rNx4wDR+qysK1ARxSEKLPwRnAn1cRKIy/AEJk8cMwCMrdmrdIUUjLqXeE7LIW7nxEwO9oT8Q0d5HPVuIO8mOJ1/Zg/tuHoKmloAGprKJrKmAHx9Ld1BAFkKWZXxBSd50dSfgRKMfTS1BBMNRZKQmIFHgwCgKICkIgz2jBNd5M5ref3NQTEmAqtJcyJJVElFNSuljAPJ9j64uzLopGyzDQJZleH2dF99Skxwaeph8RwAdNZsPorwoExekOaEowP+9fwRDL+uGnw8xsl+Qebn2mBe9MpwoGz8Ynx5pwugrLsYpHeMMzZ8T+kUSxz9yRz4EnkEoLKMtGNHom36z7F08eke+tk49Xuc30O2S4x/5ulVbz5L7yLAM6k61I8UlWlKYCTyH6oqC84A50GOPHulOLUmmp04mnXibtn+Oa4ZdhNKxA9HaFoYzUTBQe67e9CmmXDsAr//jX5hwVV+8+rdDGjiCjOOX3lS7WF0JAjiO0Z61p5db+7yrOwErHhyFcEQGzzFojvnvpQ+MoI5zohPsa49A4DviIhoob0nNXjw6PR+yDKzcuN/Q6adH4td7A/C2BrFl91ET0Cmedp3QlAFAcYzymawT9Drc+q4UQI3Biq/2aO9fU0sQz8ToyM65OOK8nbefoH1TYUNvnUk4qB1LHfq+ZeMHUwG8C6bnwxeIYMUb+zBrUjbGFl5iAi62toXQ7AsjGJY0cFDewAxMnTDYUOAj+ywvysQXJ1rx6ZFGjB3exxRb7zxQh9vHDQbLwrLTjuQHaPeia4oarztsPG4c1U8DUBJgycGjpzCwTxpWblRzvhdmJCLd7dDAQnkDM/DItHz4AmF4W0OQZFkrAJJjLKlRQW1/+stnWuOI0yFi5cb9mu+1KnpEwpJunS2d7wD8nuybJE4kSUayS8Q1wy5Cop3H3LJhYFngZFMb/vbBcYzM6WUAss0pyQHPs7ALHHXc+drDmP3H7dp7luy0Yf0/DuORO/I1yZ8/v12L0rF0NixFMcfmS2r24v7JQ5FgE8DzDJY+MAKv/u0Q3t59XPtdOCKZ4ueq0lwk2DnMn6bmRo6dNK/HSBxD03NX838FhnMka7yF5QU41RpEWrIDfAyETLoq9XnLDdsO64rd333jyOkWAUug6v/d5/F4GgB0BVALYGLs+wwAM8/w2D0BfFVbWysBQG1treTxeL6Ofc508t0ZFQG7dHFSP5dlBQ/dlocFL+w0OPZlr3+MSaP74/qrLsGQAd3w0LM7DIPX6RC0SnK9N4CHn3tP2+ezs0egPRCFwLNYvEqlF7pt3GWwCXynVBTBcNSysNatS6KhC2/2lBwse22f1gVTs/mfmHVTNpKdNnoBgwEu6eE2UNPMn5aPd//5lQHBsWX3Udw4yoPtH32J4VkXGjoP9G3m5D7EJ4bJsUbm9Eavbi4sfWAEREFFcgs8a7gujmXha1MXiE+8rHaCVZXmUunM5k0dhhZ/SJvYtuw+qvFv65/bhm2HMbM4C3aRQ7Iz8UyGyI/arMZvSoqC3828EpGoDIFnkZxoQ3OsiyO+O0gUOCoFYWfUmAwLaoegwLP4n7cPYmROb9hFIBJV8D9vH+ykU4+hJtYev3s4la6T5+idfSLPwteuYEEc3SjPMQDDGLqvKmNduLT92EQOqUl2JCXJeOzOQkQlBXysSNLSFqLSwXAcgy6pru/qkX5r69r1P38OZ2pW41dvnV2X1xeE1xfU2u/1zzNvYIZh0iQJiWSnCDAwoYdmFmfB1x5Bty6JuO26QeB5JhZ42rWuEBJEb9l9VAuSiZ6KKixsRODPLM7C3z44jsljBhgCHX3yTZY7Orz1FB/E0t0OMCyD3zz7LtwuuyYmHL+NrChUlCGhSiJzid7S3Q4IHIvi0f1MiZXn1++nFrMSEwQDZTXR5SF/F1/dHzJkTUtAUYBQOKolBb2+oOV73OIPIy3F8ZMZy2fif1n2m1PsVnEHQZYtfHGXIcnbo6tZW2HngTpMuKovnlyzB1WlubjvliFo8LZrz5KGRq0syQVg1p0gVu8NoD0UhazrGtfPrcfq/Eh2CgiEonAmiBpqVU9rru8e1CPkgI44x6pzW1ZAHbvkXNNSHPD0cmvjPDXJjken54NlGbCw0F7mOe3vZKeoFcLDEXOH2KKVu/C7mVeia6p17PBTGbN6sxq/J5vaqEU5WVHQ7Tu8TpXyewdmFmdRYxDWGBpqVPOnWoP4+FAD5pYNg92mgt3iaRPjaVh87REDsrqyJJcapza2BPCHP3+kdSEStKXA0ynHySJPT29YXpSp0f7XewOG7wjdDcsCSYk2pLhELFq5C4/eMQwbYywZXVPsEAVeOyf9cb2+IKJRGS1tdJokloGp2zypmwupsbi33ttOvQ4wzE9uDJ9O/AB8v+8mzdc3tgSo97ixJWBIYOipY+tOtcFh5+FrC6N7mtPQwUWM+Lx0t6PT7m5Cp0nG4vCsCyHJCn770gcGv6bvADxWp2odT7t+sAElPWtSNjVWsokcPL3c8PqCONnUhh3//BpjCy/Bms2fYWRObyQ7RdwzKRvrth7UaKrdLjuKRlwKjoVGt6s/94zURPx2xnAt0aefP3p3F6kUX6lJdvBxa8ifin0f4zd+PCoK8Pwb+w2+vMEb0OQ6AGh0qmXjB+OtnUcNYASvL4jUJDvuuD4Tz732sRZbxMcnxL8tLC9AutuBzL5pKBrRT8shvP6PjzUNYq8viHtvGoIXNxwAa5FETEpUcxbrth7CtBsGaWtXK1CeIiuYu+w96vjWxxm+9ghG5vQ2AZ3iadfJv5+ZPQIRScYDk4ciKdEGQMHz6zuS0PrYRjt3pw3JThuee+1jNPvCWiHU6wsiLcWu+eKzwU53DH9b+6nNSd/WfsjrPFfu6ela/P3w+uj6n3Ybr+qMxm27aCW9CJfRJQEMOnK3Vr5LVoA1//cZ6r0BiCKLrokO3D95KFwOETzPIByRwTBqISEe9HnDLy6l7rN7mhPb9n6Jkbm90KKj1CRzOsuqNPrRKDC7ZCgWr/rA5NPT3Q5Eo2aJibyBGZAVGPapj7mrV6qyVMGQhHFX9kGiQ4BN4FDX1G7olvzNsncNHd+06xAFToufybFLrh0YA85xp722PhP7Kb0f/24O7d81Ws7iodvykJaSoD2Xem+7oVmpOiZp8ugdwwzjWc3rq5SdVjlavf7202vVItqAi9MMEiTE9GD0vIEZuH3cIEiyYgLhuV2qzER8HQMAPv5XI6pKcxGKRE3ya4ti4/z59ftQOnYgdT2WlKgysaQm2+nvvgxtHarPUTIMkJQoIi3Fod1H2r2++ZoBhm2+azutImBtbe2/AGTHdPq6AzhRW1t7UPf9LgC7rH7/n7SmJj9kmc6dmmzn8didhWhoDqidbwww4aq+8PqCGDu8Dyr/33bT4F1UUWi5eDtW5wcAPFWzR0s4tQc7Bta6rYdMSOCZxVmw2zgq722624HG5oAhuGcZGLpgplw7AL95Tk0e/6YsDw3eAJwJIhLtAoRYEa7ZHzQ45s3vH8GV2T0NC8Gq0lx8Ve/DNfkXG6g7aMH0Y6tUehg9OrYyRocRP2kk2HkEQlFT0uKCrk4kxCZcgsrTJ/LJ9YajEiqX7tB+53bZ4LLzeKyiEBFZ5dwOhaO4ZthFcLtskMISGhp833q8/Ngmh87GLwCkd3WhocGHpmAEUYalUng+MGWoJR1oPPIA6EBTUDsE7yw06TDMmJgFjgW1gAaL4rYkKSatsfXvHEZF0c9M78ickhxIsmJJNxqvI1IdS+jSEgqsIuPUqTa06bTbguGoRv1FKG/02ifTJgz+t8bUd2FdY8/5dLb7Mdk3jd9vui5B5LBgej7aQ1HThF88uj+WvfaxQS8vvm2+6tYcBIKSgZZwYXkBGlsCyEhNwIo39iGzbxomXt3fUNybU5KDN3ccMSTlxl3ZBzzPaN13qUl2/P6VPVTksj75Rjrx6r0B/Okvn1HH9wvr96PeG8DM4iycag1i/rRhONmkdhgShLErUcCxkz6DL9cfZ9akbAhCx/tMfGYwHEH3NHoxqfhqj2G8K4qCB5fuMAT86rxXgNuuG6SKML+qop4fmDIUvvYwfvcnIyW222WDQOm2Jc+pTw/r9+mnNn71/vd0LdnOa3RztE4ffZLXij+eFMcWrdylBbPJLhGLKgoAwIQcrV61C3ffeDkefu49y44Lp0MwdZ48vXYv5k/Lx7qtBzGm4BI8s64jOTh7iipM70oU8duXPjR0/emLJ2RcJNh4fHSwTqMnI4lkt8sGLkadrh+7+oXqyaY2zJiYhbd3fYH/uuJiFSamAGs312Li1R5t7snsm4YbfnGpxlowKqcnPv5XI9wum1YInzUpmzonBUNRNAStx+XZ5H8FkacW5QSe/U7numiMOlaWFfzhzx+ZYhCSUCbncPu4QXh+/X5ML8pEisuO+Sve0/xbZ8nf4tH9TOjP6lUqNZw+Tp01KRsrN36ivWdAB130PZOyqICSLskqSKTZF0bx6H7onuZEg7cdO/75JTXm2bDtMOaU5OBvHxxH354puPW6QRh/ZV84bBx2HqjTqEWrV+2G22U3AbdmTcrGixv3Y/KYAVTJAW8c5TNBm4bJc7OI6aAo3/hsfyrjV2+n+27+u8YAiEYlNAUjYDiOeo/DMTh6fAxQVZqLf+w5jtyB3TUWGX3hI378zJ6SY8nsoe9KIuNYZe8oMBX1a495kewUNZ97yy/7mzoQnlyzB49My9fYOmgUzilJInp0deL59ftNcdackhwEw1E8fHseWtvCWFKz1wR4JZ3pAJBgE7QCIDkHMoZpFF9eb9tpP6NzafyS8RhlGBN7ilXCVR0bYbgSRMyfNgwCz0LgWChQEI7IGFt4iSlppx/Halz8OR6YMhQswxhyCDMmZmH9O4dRNOJSLFq5C79/5UOUF2WiqSVABaeu23pQG6+uBBsEPopFFYUA6LrHPM9SO2zTUx0xfWMRSYk2rNt6ECNzellef3xs0disgkLmTxuGJ17+EABQNOJSTLiqL7ok29HaHjZJl6jsNQqafWETw0ZVaS6ksPSt0Po/tvELnN4Y/rb2ffnuH+N9/KFyCD/UfGh17B+jxd8PjjNrl1eV5kKJmPOHUQv5gwvTXWgPqoA14q+sNFlPNPoxMqc3mn2qRhhNLzjFJeLW6waZjmXFftYejCA/swfqvW0mWnHCGDD7j1u03Neckhw4bDxONrVpXYwzi7Pw2t8PmfyzleQTibnJtVbHmDpcCaKB3lzvYwmI2ureuF3Gdd91w1UZqHtvyoYSkdDU5P+2j51qnb0fP8bx++/m0L4L0+csSDea4bnEgfGTEm1YfFehJauVK0HAyo2fWEof6LeNRGQkO82sLjsP1GHSf/XHgun5YFgGbe0RA2W9HoRHWx8SxruJoxUc/OIU+vR0U881KikYd2UftLaFqHFMeygSAzjT4/XG5gAmXu3BBWmJGDKgmyFWeLA0F83N7YZY4Rvv9TfYmY7hM5JPixX+Dn7jhqdnxwH08Hg8XKzTjwNwQexzppPvvjOTJBkKz+GF9QcwecwAjdO5eHQ/SJKMmcVZkGVFo1tbt/UQ/IEw0lMTqAv3lRs/QenYy1DvDWi6DvEJp6REEY9OzwdiF9nQHMCyV/fjvluGUAuE8RoKZeMHa//mWFYrpLiTbBB5Dn997wsNXTlrUjaSEkWTY1YUxVQ4WbRylyrCGaeLZoVsYRgmRvWpaOK3Qy/rpiW7yaSxsLyASj22qKIQNltHh1rZ+MHIG5hhWGCSyv5vZwyHtzWE9e8cxh0TMjXeZzvHIsoy4DkBSYniOUUXo+rsqAgMcCx4AAqYGGqpox6f7rbWCoxKChLsdIHdzvQFaQWP6opCiwJaJrXLCiyoxURJUbD2rVrDfta+VYvbx5mDI7WYSEdLhyMSXVtwwmDwHAvvqXZTYTrRLmi0jfrA8FzXIfk+jDZ+499djmPRpNPdyRuYoU74DAOWZTSQBtFk0osEE582f1o+nvnffYYCBcuo3cjLX9+ncZObC8y7MW/qMNzwi74IhSVtLggEo0hNcuDptXtRNOJSeH1BSx+Z7BRx381DsOw1ox7OmzuOYMH0fHh9KoKaZRkNJeSwCXHFyFwEQhEku0QEgh2dUgLPYOr1g7D8tf0abdPKjZ8AgAaqYFlG4013JYjUAKWhOfj/2Xvz+KjKe3/8fZbZZ5JMVpYKKkqAAJWEJGaxtoLcVjaVeyXRSmINW1QQvRUSW1nUBLTW2npdsQa0gv3+bFUEe1FsVVABAS+yxQUQKpCQZJLMJDNz5iy/P848T86Zc07EBUHg83r5MszMWWbO5/k8n/X91nVRV00eYerwx2IyFj6tJ2EOdhk5jR5ZvUNt0BAkpPnsuu+55t3PUT5uyBmxnk5Ef62EwM1xHGvaWSZqzrN6/SeovakAdZrJ9/nT8vH6ewcAqB1uTjuHcFSkuPPLbi011ce0ZBcAICZJpsULKzSCiCCiIKevYY0sW6kS0yd5HTp4MfI9kjx2PF07VoW/UxQca+1Cn3Qv9uxvMdjZmsoCKADui0/3tXaE8edX9yAQjFBn/leTcnB57nl49rVdtCDzX2MGg2UZNXFeMRosw+omcmsqCzBt/FAwsoJ+8UK4VTD6XZJtn+6infgENGv3lu8YDjQeGPGcfsqOFNkURVEhSBkFUACGZTDxskEIRyTqk/RmXwH12fUzaXJQn7WggybUTk0ritqoQPyD9R8cxN03FeCF/91nWujwuGw4cjyEQGcEdhuL/xo7GN0REQuriiArMpx2HqFuAWPyB+LFNxp1iRTiSxTmZOkS7c0BFS509pSR6JPqweHmEL3HA0c6Mb9itAEyfUz+AMP3lGXAFuda/Sq44jNFvo0N/rZixlVMuCqJNAfCOL9vEuqqS8BzLMZdegHVh+aAGvf9atIw3D+7hNr8SFTETROH4+EXtgMwv0biVFKwO2Y6CTtn6ihs23sM6ckuxCQZs6aMhCCY+8ssC1oA1BZZ+mf40B2NoTssISZJGJM/0OD/L12xFffOKkZ7MErvVctlYsWTlAjjKMvq3nQO4uvryVfxBBLJ9LvQJ92NqVeqXFTaJpyte44hb2gfpCe7TPXj/L5JqK8uxVMv78Tm3U3IHZJlaOogPiNJ+jYHVCQjWZbhdPC4d2YxJFlBdyQGf7ID1/7sYkrtwLKALCmofWwjFlYVGuDmCbdQyY/74fbyUWhpVxvqUnx2wGRiRVbMC4mZfjfuvCHX4Fs0B8Lo7OrRR+1U90tvfYrby3KRluzEkZaQDvqzYvww3cQliTvOQS+fE60IMelrJWcjURHBzvBXf/CcfCP5Ku52rfQGh9jWGUFaikp71BESEBFEQz5NG79MueJi03woibUn/+Qiw7XM0M/mlqk2zmFnLTnOSJNbc0BtjCd+dv8ML/77l3ngeQayDFw3djB4jkX9LSUQRYVyuSeek0Dy11eXICKISPLYMPKidDy8ajvqqktQnxAfkmlJRen5HjUVBfRzJEcdDAu6BiRSoDzSEsKALB+1o8Tf+6rndU6+e/kqGiMSc6xar8ZOJBf35IIxlo1sPdQHJWjtiMDrsmPlut1oPBTQNWGyLIPMVJdl4zIAHG3pMvVHbrvuEoiSbIqo1BxQkTsYBshK91j6TBzLYOXavdQX1+aU//nhYVz7s4vQCQGBYBRLZhTjWQ3CBakLEeTGmsf0g2X3m/gK3zdllGURMDs7u62xsTE1/ncMQGJ2hAGgNDY22g0Hn4A0NjY2Z2dnfwSgHMDz8f/vIJx/vb33XQnHsZAA2iGu5U0aeVE6/vOKwejQwGVOGz8UXWF1YunmSSNQF99AWtsjNHAnCSaSuCD/JueufWwTLTSqDrKCFJ8dNp6BP8mBJTOLoSgKWFblWdN2oJEAkCS3eBtjWkghwdXLb3+GivE5mFeeC1GSVT43joXfojovKwqYBGgtq4QZScIT+JeCnL6ICCKmjR+Kux9/j55TUdRiUuKEH8MCsgxd0Hh7eS4NkrMH+DHxskGGyr7CKGe9c22GUV5bWQB/srGrfG7ZKEsnhmUZRATZtFg20wre06IrSlYUlI0bYigmOuwsbrxqKI7Hj7HxDG68aigYBeZFw2tGmnICzrja4n4sut5lGabnuXnScCiQTROgddWlJ+wYfl9yKhNdJ0ss9TeBSyORvHvz7iYcONKpPh8N52PjoQA6u4ycqGpgH6UwlVOuuBhpyU4ADJwODmPyB+Jf2w5jyhXmcBvBbgEOGwcZCliGwSN/7VlXd00bDSigiQ0zHfS57eiOxtAeFPDqO5/jzhtyoUANKniOhcfFI9nrAMOoPH9etx0dCVPbS+O8sLGYgkAwaihc/2rSMBxt7aLExMRxkhWAZdTrDOyXhEhENEyV1FQUYPUb++j9JvL6kWROpt8Fu50zwAemeM33EVFWE9ExQYKDY5Ge7ITf51AL8Dh79NfsOG0QY+cY3F1ZgPstYNgA1TdJ8eqLqX99sxETLxuEw00hlI0bjCZNQwOgJhxMO+k5BgurCuF2qC0ji2cUgYFaXHhzy0FcVXKh6XFOO2dZiOmT5kF3NKYjdi/MycJNE4eD49TuvMTf6fx+ycaprYYtuG92CZpau2C3qbo2Z+qP8eXxbhoUJnnsaHhtN6792cWIibKuWWja+GGw85xhkpEEv3YO4Hl1r/g+yLZPd5FkxRQOVJIVcF99+AkLCQ4DwQhtBvInOZDksaM9GDHw2oky8McXd2DJjB7uVCsfNDXJiadqxqq+pKSYNhuFugUsf1mdqiOJ4sKcLPxq4nCAAaIxkQZp91QVQpQUVIzPoYVkoKfQsWRGMZ3imjZ+KEXMIM0aghiFIKjrvz0o6PYkkhxePKMYUPQNVmTqt766RNfA5fc5wYI1QKYTCFWtvRclGaKkwGPjvlaC64cq39QGf1dCuIoTUTe0nLykC1iSZWSmusGxMDz3+Y9uQn11CWoe20SPufOGXOqrpCY7UH9LKSRJQSgswGnndDHhb28uhNvJ49c35iEWkym/TuOhAOUiIomHhVWF9LjEtfRlIp/rOnUygTQ+BYQI0lNcyEo1LxJFBQk+DQcnma6tmjwCF/ZPMuU0SoRx5DkGosSckfpqJt/WtyfHy7KC+2YV6zibkrx2Q1PxnKmjcPR4N1555zNMvGwQ1rz7OcbkDwQAFA7vi7Ub92N8qbkPwDCAIEo0nkps6gDU53pephcKVJu/YesXsPEsYiKDlvYIzaPcfPVwRKISmjVILFmpbuze34LmQBhfHu/Ghq1fqFzGmV40tXVhz/5W/KL4AkNhMDPVjbtNdOv2MiPErZbu5a4b88ByDI4c76LfkUykEBqXtGQXREnBHdfnwm5naZMVEdI4bfY7SArAcRxkOZ53+ZrP9pycWWK3cZh45ysn/Pk1D03GqcUeOvPlRJPt2qYqkrvtl+6FJCvY+elx5A3tg/ue6YHvW3ZbqaGoleJTESWSPXbTfGj/DDdqKwvgcnCGBq4brxoKj8tGcw2EZ5qgGvUGK06kORCGIEpY+NT7uG+2mmOORGUd2hApWMy6dgQ8zh6e4OwBfkwbPxRuh00HyX/H9Xm4bmw23tx62HJooH+mD4CCZ34zFsHuGHxuO+WeDwSj+POruwEAN141VBc/3nlDLjq6BChQ9xoAp9TfOx3lVOYGE3MZAOB32zDj6pG6QleLCQqANs9EfNn5j25E9gA/brxqKADjgMgd1+fhrmmjKbUUacyMCiIYhkVWqttU/zL9bvz2yfcsqdhESYYQk9A/Q22ar68uwaGmoA6By25nUTF+GDiOQdm4wVi9/hNaqLzj+lzI8Sr38pd3IRCMYEFFPm6ePAIHj3TSuhAAxCwGVsx4SL9P6e3ahZq/h3+bi2RnZ/8RwLUA+gB4Mzs7u7WxsTEHwCwAK7Kzs+8BEAAwTXNYb+99ayFB5Kr1+1A5IQfNgZ7pPb/PiV8UX4B7TKAuJpReSDl5WFZNLClQqDLiU2flAAAgAElEQVSrvFH56AhFdQknG8+gOyLi1zeONvAh1FQWIBwRsejpD3Rdk36fE4umFyHYLVCYmcoJw9TRU46BIKicAFqIDBJcvfTWp5h42SADIee6TQdQ8uN+loUTWZFx5w15lKuPfB9tMFFTWUCTSIlQGAsqCnTcPYoCw4QfMeCshpC28VAAobBA/20FsVdfXap7fmfjppBYHCEJpqW3lCLJa8ei6UW0w1JS1CDEqjgYE2W0BwXd+duDgvUxFhBFPMfAbmOp8xMRRNhtKl9FTNT3D8REBazLvIANxjxJYbexpjCKLjtrOslohTfNsYwlKbQsK5CU77cLozc5U3XcSn8NHTGWz0ntHCYBu5oIdWBhVSHdoIEefPHMVJfBTs2ZOgobtn6Bqy+/iPLXkXP53DZEBBGiJOOhv2zDoulFWLaiJyns9zkRFXp4xQpzsgw6OH+a6qAEuwTMuHY4BEHWNTTMLRuFDL8LLYEIGAZwO3nYONYUTqNvmgcKzCd36qpLkJoMLLu1BLIMHZfLHdfnweex4XhbGH/756eY9JNBOgd87ab9GJM/EDdPGo4vj4d0sJQkiCD3KkoSbpqYoysiWnHDaieqvu+upu9DTlR/tWK1llOTHaYwbHfekIdkjwMdXVF0hSXdlCsRlUOVgayATuBnD/Aj2ecw3bNFSdYVMeqe1dpS1WaaTQgSJAQze0qgtOaWjVId7VQXoAD3xB3vRBjHuoYtuN8Eqszvc6KrO0a7+Yh+vfTWp0jxqdxT3ZEYKicMh8uhrhOSgHfYOTjtnK4xgEhzIAxRktHZLYJhFLqnPbcuPn2V5oHDxoFTlB+0Tf26YuNZzLh2ODrj/As2nsGMa4fDxrOQhe/udyAFqdRkh6FJiDS1EVgid4YHUkyG3+fUNfeYFW3nTB2F3z2/DTOvHQEFaiBq1oTkcfEIBCP0eQ/I8qGzK4bfPmmEjTkeCOPxl3ZawsV2xIt6VZNHmPJXz54ykhZSVJspG84hSjLcDt60YJnIiVk2brChu5pAqJr51OQ3hHRm2l2tfBMb/F0Kx5qjbpBnSPZfh41F/YrttAjX23MnOqsoCpa/oudkI1P0gijh9rJcpCY7wXMMgt0xHGvtNkWlaTwUQCDYU4h22nk0vLZH17ChXYfkd/zjiztw78xihKOiLgauqVAhF82+g9vJ43BTULdHNB4KYPkrH2PR9CLT9aSd5K2tLMCTf99JY+Izwc/tTb6tb291/IxrRoBnWUiyAlGSsbCqCEJMxPF21QZWThimwtpzjAEC/65po8EwwH//Mk8H8X7H9XmICCK6Iz0+gJU/cKyti9rAmsoCCKKERU99oLuGjWNxvC1saGi7dERfvLPjCLbsPorKCcPR2RUFyzLY9H9HMOWKwQZ4ukdW78C9FkW4FJ8Db2w+qKIbAfhCA7t+4Egn7rwhF8leJ5x2HvPKcxGTJURFCb++cTRcDh4doagOsq+2sgAjL0rHm1sP665jxXEsSQp+89Smb/Rsz8k5OSenjxAf9oHbStGuQSYiTTjBrpiucTccFdE33YuucAz9Mxy49bofw2Hj8PTL6vS1GeJZ2bghuia1e2eqEIcsA7S0R7BkuZ5DHugZsshMdWN+xWh0hgSae0vy2vHU33bR75DpVznSrywYgFB3zNAc8ty6vRRC9Hh7BB6XiLllas574mWDTHnUf//CNtRVl2BhVSFsNhYLqwp1aAKBYASypKArKiDF44AkKRS9Sfs9Gg8FsObdz7F4RhGiMQlQoMuV1FYWIMltP6X+3ukmpzI3aHXtNJ8dYgLSxMq1ezH9muGYPWUkvG47fC47nn1Nz7fb1NaNTL+LNo6ZoXr9/oVtuO26S1A1eQTSkp1I8dkR6IyCZVkEOqNITzGfFDzSErKMIe+aNhqxmGzIuf3j/YOYNWUkkjw2fNTYjAvhp9P+5J7/+eFh/Gz0efhtnIqtbNxgilDw4huN+NXE4brYoDAnCxzLYNmtpegICbRek5gvOxViWQRsbGz8VPN347e5SGNj4xwAc0xe3wd9sfGE3vsuROHUIO7qyy8CQCY3bDTIT+RNIMU1r8uGhVWFSEt2QlEAhgV8bgb3ziyGrCiw8SxWr2/Ez0afhwUVBVi6Ygv+te0wJpReSLkBibJpSVYXTS+iSWhibJsDYXSEorRLlEj2AD9mXjsSdz+zyWDIGw8F4HPbTItoS1dspfw+ZtX5qCCifsVW3F9dopLepnrQ1NaFdZsO0IQbSY43tXbRCcrEZAhJ/s0tG4X/t+ET3DRxuI7Us8eAl+oWbqAzSv9tSbAb71Q/1UmAUylWxRFJViCKCu5t0MOhWXUt3/nLPNh51pQbKCaaH3PXtNGmxUGGYbBy7R6MyR8Ip10t9K1cuwezp/wY3ZGYIeDz+xzmRd5bSk3PL8kKbDbWUOAMR2W8s/2wDjLrzS0HMenyiywhsRiL6UGeY1TFOk3kTNXx3vRXuyFZwnBwKhzo/IrRiEQlU7gsAvVDpk0JnCK5FrHnBMrC7FzzynPjCWn99KvWRgOgRbC66hIEglFDk8d9s4rxmxU99s/vc6pNHYo6ibJ6/ScoGzfYQEpM4DQAxrrAIcqYtewtLKwqNEBz/v6Fbbh/dgn+9s89aA8K6AqLYJkIWBZI9jgxJn8AIoIIm41FitepmzBYUJEPBiq06Mq1e1E2brDh/GbcsGfDRNWJ6q9WrNZyXZxAO1FSvA5aqEiE9yRT8toGH6L308YPRbBLoDDhyV47kj0OOB0c7vrTu70WMawgnadfPQIX9E8ynSwgUFosw6CuYQtqKwtoMGu5h8entrTFY1Lw0E6ndYSiqKkcjdaOqA5W8e6bCvBfYwfrugIJXK+5vWDRHoygT5oHLocNd96QC1lWIdMURTnrCoDft0iSDAUwQNBrYZDIJL7dpkLEr9u0n+obSRKYJXRTfA4cbemCEJMM9qm+YQtuL8vV6XNTW9gA30b2AgKrZJXgJoT1VnqthV96ZLU6jQLoJ/bcTh7RWMxQsFxQUYBkn40mUiKCiH4WEDZQYOi4Jdesqy4B+90+vtNSvokN/i7FDHJ1XrnaFbzs1lKkJ6sFkfoV2+h9bvq/I6bPnWXVTvmoIIPjGB0nu1Y/6xpUXlePy4a2jgiiMdVZNeMDIjEY0VlAnahN8dlhizfrpXgd8HnsCAR70BIaDwVonGXGsfnAbaWYemW2oRlPlGSsXv+JabG+tSNsup68LjuW3VqK1CQnlr/ysY4j8Ezwc3uTb+vbWzaCVpciEIwauJjJs2VZIMnlAMMwhmnnYJeATR99iSsLB+qaOXmewfOv78WE0gupzr/0lpFHKrGYXN+gNkZo/d6oIEEQzJFY7p1ZjGnjh0JRoNvvF1TkoztihKdrDqjc8ma69e/mEP7+9n5s2nkU980q1kHc+n1O2Hge92gSeP3SveB5FkluO/Z/2WFYU3UNW7BkRjEON4V0jXIcZ7QD86flo+G1XWdc3HZOzsnZKGTqSZH0e6Lf56QcuNrCQiQqYemKD3T2d9veY6icMBwxScLNk4bTIhcAjMkfqPONCeJRXXUJDjcFDbZIy9EaExV89Ekzcgal63JsC6dfiorxw8Awapzj89jx1Ms7DddO9C/6Z3jR1NYNu43D8pd30YKMVWOcEJPxj/cPouzKIYYcn8PO4dnXduHnRecj2eOwzKkvf+VjXHflYNhtHGwci9oENJdeJ65P8RTVqZJTmRu0vnYpjrSEDI1gmz76EhNKByEmqlmhqqtHoGryCMREGV2RGCJRkU75JQ4DEWkOhMFzLOoa3sf9s4txrFXUrTuzScHaygI8/tJOeh8EmWJAHx8OHQsiHBEtIc3rG7ZgQUU+hpyfbihIPrJ6B4X2NBuEmjN1FHhNUZxlAbuNpyhF2sa+snFDYOeYUwp7f0LrJzs7OxnAXACXAPBq32tsbBx3Eu7rpArHsQgEe/iMCnOydNN7VkF+ZqrKr6Y1drWVBUjx2VG55E3UxieQdn7Wgje3Hkb2AP8JwaGoiQeVYNrj1OM7myUkysYNNvDzaA1qsDtmSqTZHFDh8Qpy+mLl2r1YMrMYgc4ITfaNyR+I5kAYbR0RCk9EEpTaDrhlt5bi+df3Yd71o0yvMaCPD3XVJXjwuW1oPBTAfxSdb/q5tngHOFnM2qlDaxhSqJ3OpzgJcCqlN3jPxERbfcMW1N9Satq1TKbizAIyq2MURbEoDuaZTvYpgOUEk2mCWFawZ38r7p9dAkVRwDAM/rXtMDJSXPhg51H8NO88NXHLMNi07Utcnnse9hwIYOgF6fR+9hwI4Bcl1pBYvNNmOj3I8ywURTltcMfPVB3vDWNf2xFjlmi7+6YCKFB5SJPcDixbscmgW/fOLMYXx4JY8+7nuPryiyx/R2LnJVlBd9joEJDOuMREg9n+sHl3E26ePAKhbgEPPveh7jzabnwCeZC4ThLtPjm2T5oH4WgMobC5PTze3tPlb27vBVx9+UWw2Vg8sPJDOgWm7fC/84Y8eF085TVI9tqp7SZidX4GzBkNO2cmJ6q/WultqrW3DrbsAX6aLCUdZGYNPo+sVgvGacku2nBDkqqkEN2b/jYHVLiYST8ZZEjsNbV1q0XFq0egvroULR1hdIQEWojJ9PdAz2j9Dqs9/EhLCDdPGq4rHvdJ85g61LWVBfjrm42673r/s/rkIvn+c8tGGZLQ88pzERVEnc82f1o+WB7I8Ltgw5mvr2aiAIhEJUPgfrL6EXuzweTvWEyCorBU/4LdMbW5h2PAcwzWbtyPkRdn6HwSwm1olaRIS3Yi2euAIEpI8jgMDR3a+yCwSqZwsTcVYNX/qtDJVnqd5HGgvrqEdkL7kxymE3tLZhSjvkGfiFm6Qk10aJ/HkpnFlj6wJJtDy8gyzooi4Dexwd+lkOmApdWliEkyjrSEKKxsbWUBGMa4Zxbk9DX450tXbFG5BBW1icJKj3+U6cW8cpWXLCpK8LpsaO2I0PcTP5/stVOOFiIvvfUp5pXn4p6n3qO2tt6kqSMQjIBhzddJTFQMnEZLV2xFXXVJHDKMx8KqInRHVegvwm1oVhxcuW43Jl42CO2hqAG2/0zwc3uTb+vbWx0vmhRvtbmBDL8bR1u6TOE8nXYeQy9Ix6KnPzCsq6rJI2C3cYjGRNqNn5bsRH11KSRZAcvC4DM2B3oaI4Ce5jmryVBJVpCe7KLNT+T1pSu2YmFVkel6b+0Im+qWFtaeFLnJvkHyJ2b+Rk1lgeE65DwdXVGUjRtMJx1rKwvASIouzjx4NAiWwVmnz+fknJyJop16StybE5uBmwNqI0Vi0W7Nu5/jurHZtLHhkTsu153HeuAB6JvmsdzfayoLEJMkZPg9uiZnv8+p4+clcVB7UNDlIrTn87lV+M+DR4NY/srHam44GKGQ9lY+b2tHWC1imiBWLJ5RhKsvvwj+JCcYCxqh8/smYW7ZKAiCjJr/2Wjp/7Cn2N873eRU5gZ7u3aK16HL2RXmZOEnuefRhkWyx9p4FkuWf6DTz7llo5CW5ISsmOdESI7BzEfQTgome+3wuuxwOlja2A70IFMsmVGM5a98bKlrZD26nWojsqkfHIf2JOiRif5WXXUJtQMLqwpNG/zvn12CrkgMgqRC3p6qPMSJxot/AfAfAN4F8FLCfz84IZVsv8+J2soCXH35RYhEJQzom4TaygKaDNCK2rloMwRAdQ1bIMRkFOZkwee2YfX6TzCvPJeOt27Y+oUlXrKWc6kjJOCPL+6A3cbrrr1l91EsqMinr2X6Xeibbt4hnOy1Y/60fFzYPwmpSU7T79AREuBz2xAIRnC0JYSaxzZh+SsfY+Jlg/DSW5/qOp6J4U88R0aKCzWVoykURuL7R1tCiAo9fIZkwi/xc4HOKFauVWGanqoZg2lX5WDvgVYsnlGMvukqRrb2e5MpE6AnCZB4ToJPfCYLKY4k/jYMA6rT9dUl6ni4zwmeZ2hwQz5Pil5WE0YAcMf1ebpj7rg+DzzH0uJgzWObUNewJf6cGVNjaHV+kvzWSqbfBYZhkDc0C3c/vgkz6jfg7sc3IW9oFtxOHnlD+yS83gcuhzo5sPyVj6kuTxs/FA5eNaqMJINXFDCSTI1sJCpi7cb9WFhVhCfmX4GFVUVYu3E/YqKE9u4Yah5Tr1Hz2Ca0d8fAcacmrXam6nii/hbmZOG+WcWQZQUKx9LfW8tt9FTNGCytLoXLyePQsSDaglHKN6qV5kAYDMMgLVmdKHI5eUAx1zVi3ziWgd3GmZ6rT5oHf/un2vVMzmG1PyiKgj4mTntHSKCft4I5TrT75JyBzijaQ1E8//o+w3qcV56L51/XJ6YTj3c7bXj57c8Q7FK7q8wCl4f+sg0Mw0KWFTz1949xuCmoc556+84sC9M1diaLlf3tzfm2Wss8yxjOtaCiAKvXf0ILxouXv4/5j27E8lc+xo1XDUVastNUV/tneMEy5klhVrNXW+lKS3sYDjuH2VNGoj6OBuCwcxQS5nfPbcOxti6Ikozlr3ysg/QgvkOyx0HPTYop2u82Z+oorF7/CQBGhdm9tRRVk0egpT1siixQ17CF8hZpv482uUi/I8PQbr8n5l+BqskjwLLA4uUf6M65bOVWtIeiKh/iWaCvZiJJ5s0/0kkIqDmOtfQVSWCX6XehpSOMts4Ivac3tx7GLQ++hVlLN6C1I4KCnL7ISnUj0+9C9gA/FlYVQpahS1Iknl8B1E7mh9/G4uXv09cTP0e6peeV5+rgQ59cMAb1cZj1qy+/iBYJtfuBumbzsXLdbp0PEo6KmHZVjkGnOyz4a7UJmuZAGM+u2YX50/JN7Qxv8XvyHHPK/JXvU76JDf6uRZJkcFBg41j0z/Di1zfm4XdzL0OS2w6WZWhijYhVsi892aVpBjXX46a2LtQ8tgm/eeI9iHF+4IggWu7LyR4HJEVG+bgh9H21uAfqB5j5IWXjBuum9xLPK1rwmnSEVMqKeX94B4uXvw8hJsHGMZhyxcUAQNfT43F/2+u2YdpVOWrR0SI+/KH7ub3Jt/XtrY833//P7+tDfXUJFFltytT6pEQigmjZPEwQBf786h4KeXfXn97Fzfe/gd88oSIVJfqMxK4SIfpvpVvtwShg4b/EJMngS9RWFuD51/fRKfEnFlyB2VNGGmDtSWMb+Tfx0c3WQH3DFktfvCMkICvVg4fm/gT11aXweWwUOIaRZHAsg+WvfIzj7ZGzTp/PyTk5E0U79ZS4N5vt52bNsmPyB+qm4HiO053Has//8ngQh5pCpu8leexwOjh4nDaDzTaL8R9etR1TrrjY1O4TOz2vPBcvvfUp9T0XVOQbGuPM8g9Wfk1nl4CaxzbhniffQ7BbQGFOluG6gAJZVig8udVvwXPGOLm2sgB2joHCsRAZRpc7OtPlVOYGra795fEg5v3hHaxavw9LZhTj4XmXY/rVI0wHU44Hummuel55roo4wDE42toFSZGxoEL/rLU5BsbCR1AnBbdg/qMbEQoLONbabZozi8RiqKsuQYbf3Wte8GhLyHK98HFaLCvd7wj1TDNaNdC3dUZw++/fRs1jGxE4hXnmE73qZQDGNjY2PtzY2Pik9r+TeXMnS7R8dqR48IfV2xEMCfiyOYiB8WJgosGxKuYFglFMv3oEkr0OHdzK//z6p7h50nB6Dq1olY3AdTQHwuiOxnQJhpIf98OLbzSqI6rVJaiaPAJtHeZOptdlx1/fbMT+Lzvxu+e3GRIIhAcrIoiorSxAht+Fx+f3OM6ki3XvgRb1/RSn4Rx3XJ+H4+3dEGJqItDMMU9LceHNLQfpQjZLmmghShYv34zWjggWL38fQ85Pw/oPDkCSFKT57LQAUF9dosM7Ph2SAKdKJEmO/zaleKp2LOqrS5Hms4NjGNw0MUdXELtpYg4kUcHq9ft0OrR6/T6IokyNmVYy/S4wALxuXpcQ9rp5sBxjMNALKqzXhqwolkY0UScI56BZp3E0JhumX5eu2AJBNIeWEWXrZCbHstj5WYuaYFz2Fm558C3s/KwFDBjcn7Bh3d+wBdIpAnE5U3Vcq7/P/PZKlI8bgt888R6mxwuvge4YWDsHjtMXclkOlLfp1398F18eN3eQA0GVZ49ssg+v2m5ajNiw9QvccX0eWI7RFS+052ppD+PNrYexcu1edb3VjIXbydNGD/K52soCiKKElnZjgmPD1i9oEd7KaUi0+2Q9uF08+mf4cMcNuchKUye6HrvrCiyZUYyX3/6MJjusbGzDa7swJn8gvG51MmBAVk8DSfYAP3XC7DYWW/ccw5ypo9Rurpv0epea7DwjdfGbiJX97a2gZOfMGzFsHGih++masbhvVjFioohAMGKZqE3xmuvqwaNBiq+f+F5EiNHrm+nK/Gn58LpsiAoSeI5FarITP8r0gedYjMkfiOfW7cV5WV5k+N3wJznovnB7WS76ZXjw6xtHY/H0IgTDAmri+wOBcVwyoxgPzrkMVZNHUD+DZYGoIMLGs1j+ysd4/vV9pgV0koA0fh/R8FqwO0a7/Q41hbD8lY+R5HGYnrNfuves1F0ive3X36WQTuqnXt5psMHawI4kFKyCLq/Ljpff/gxuJ49lt5Vi5rUj8fhLO9HU1mWZpFhQkY9n1+hh2Z5ds4vqJ/lcbWUBkrx2hCMieJ7Fwqoi3H79KAzISoICQFEUKLKCl9/+DFWTR6BywjAAwO1luXiqZgwWzyjCi2806uAMH1m9A16XzRTaxuo7aqEbAXWahGVUSOZEH5iDgrsT7Mm88lw8+fedpzSg/L7km9jg71qIbi94bCNm1G9A7WOb0NoRxZMv70Q4KuL19w7obL5VwU6b1DDT47llpHEinkRZsQWAAp/HjiSv3WDLaysLIMoylq34EF2RGLXVVZNHoD3YO9pN/wwf1rz7OVau3WvqM5n5N5l+F1o7Ijo43Fff+RyCKCPZq/K5DrvAD6edxx9W7cAtD76F23//NmKShClXXIzMVNdZ51t8W9/e7Pi7Kwsop7pWSHKQkWQ6QWymZyk+J/w+c9/C73Pg/3tL5ds280sEUTI0K9dUFiAz1W3Q/+df32fwn++4Pg8pPrtlc4PbwVOo8mW3luK+WcVwODhUThiGMfkD0RWO4eEXdiDJ49DB2hM/Py3ZiSfmj8HsKSOpDlutAUVRDGtqXnkuNmz9Aq0dYYSjImoe24ib73uTxiscx9JnsmHrF6Z5kTNZn8/JOTkTRTv19NJbn+pyX2b7udlriUU6ISbq7ANBQDPb883sdO1NBXC7eESiEo1xtNe0sms+t03NRZj4vwP6+LBh6yGaT9i8uwkMgKQ4okBiY9ztZT28w1aFO+LPNgfCqHt2C26eNNzgTzzz6i6kJ7t69X8SJ66JL5zms6M1KOia9s8G3xc4tblBs2vfcX0e7DYO9dUlGJM/EM++tgsOG4fWjoipLnrddl395fGXdsJh43FBvyS0d0bx4hs9+erbrruE5otnTxlJ+eK1QuJ/8neK14GMFBfSU5yoqy6hzcYNr+3B7b9/B7WPbQLLwrDuiL9QW1mAFK8DHpcx16euzUbMLRtl6dMTlA7AusivWx8NW04ZExWjnEDQn52d/T6A8sbGxoMn/Y6+OzkfwIHW1hDkhIKAwrE4lIC1DKgPpq66FKFuAW9vP4yxBefroIhyh2TpIOPIMYR8e/UbjRRz2e9zYua1I7B0xVYKwaYdz66NO+zNbWEdSeS9s4rBsgxkSUF7KAqf247qB97S3f/Y/PMwofRCA+7/mnc/x6SfDMLKtWon3Nj88/CfVwxGR1cUHSEBG7Z+gfJxQ5Dss0OWgZr/2Uh5CAkcUp90DyJRiVbvC3OycPOk4QhHRdh4Dt3RGDiGgSDKmP/oRozNPw9TrhiMzoRruF02SLIMG6eSlPMcC5ZlEIvJ+PJ4EKvXf6Lr1iPQqJl+F+qrS8Hjq3l6CFb3dwlFl5HhO13a9c6Hhf5yHIuumISmtm7K25CV6obLwWP+oxsN+nnf7BI89Pw2+pwJXNV//zIPLgeHlvaIgTsvPcWJP/31I4zJH0iP2bD1C8y4ZiRee/dzdW1oOPgmXDaIkv5qr01g7BLPn+l3oi0YxfH4VEdEEJHhVyG1pi36X8OP8VTNWMyof9Pk9TGYUb/B9PO8Yq4LdieP4x1RAxyox2mzuIb1uU62EB0HwwCK8pU6/kPRXwKxQWCKEvVm9pSR8PucusK/zLE6WOXsAX6DXSW8PG6nDUluFQd/8+4mysukkgo7wLJALKaAYdRp69HDstAVFk05z4htXjS9iCYYPtxzDOMuvcBg997efhh5Q/tQeOVkrx1+nwpDF45IcDp41D5mXCeEoyfJ44AkqxxaLMtg+csqV04i51/2AD9mXDtcRwbeP9OLptZuOB08vC4bOE6d7rNxDBQAtY9tor+3GRTSgop8vLvj38gdkoX0FBdsPAuGAVraw/C4bPi/T45jVHYWeI4Fx+KkQH/+UPTXzP56bJzl76FwLJ6KE8Pr7OnVI8BojuE4FgrHoD0oIBqTMP/RjYZzPfPbK3H0eJcpBNZ5WV6Db/Dfv8yD08HD57bh4JFOOO08ZEXtwHQ7bfC5bXj9vQMYekE67fiPyRLa44Tbx1q78NnhduQNzYrzQJbgy+NBeh6WYfDwqu2UX2dgvySIMRmBYBRCTILbxWPZCj1WfzQm4o3Nh3Bl4QCkJbsgy2qxRQvzAcT3r1nFOqL4BRUF8Lh4yp1FHHPCBUqKOooMgIHpvqTCmMkn7DdkZPhw/HjwK5Xmh6C/AMDYOFNfYdmtpVC+Q4IChWNRE7fZWm689GSV27WprVtHlF6Yk4XrxmbTzmnyvGOiCJ/bDo+NgwiGwstkD/CjcsIwnf71S/eiOdCNrDQPZtSp+zm5dkaKEw47p1u7fdM96OwS8Lvnt+n8gdXr92Hz7ibqAysAjhwPYfX6TxCIQ9k7HRxcdpvBRweAB+dcBrfDhpXrduvW/d4DLVEYjXUAACAASURBVCi95Ee670jgRrUwcsQvXv7Kx6iLc31odVX1DRgKz6uNI+qrS3R25UTlh6K/Wh9Ca1e0/sLJFq1uEyHPjPCObNj6BSaUXoi0ZBfsNhbBrhiFzqIFO0nGAxoI8ewBfpSNG4y+6R5wLIvfPa+HWQRUn1SURdh5HhzHQJIAUZRwPL5XEz8mEX68MCcLZeOGoD0YMY1/F1TkU35X7Xpq7Yhgxdo9SPHZDbyG88pzwbKAz+3Av5tD2LJbhe1PhHX2eeyU96UwJwvl44boYKNunjQcDBiw38K3+KHoL/D1ffvEYxWOgSgpkGWAZxmwnMoPmQg/rF0XnJ3HsbYuJHudILmfaEyCz2UHGIBlgY6gPl5bUJEPBQrdw+urS9Dw2h5dPDmwjw9/XrPL4N/MmjISsqRew+XkEOiM0pyIquNe2HgWgAJFAdZu3I+8oX0M95/ic0CBgqggQ5IUvLnlIPKG9qH+OfGPR16UbpqPSPE5IMQkyDIgyRKiMRkdoajpGlgyoxhOBwdRkhGLyWjpCOO1jfsx8bJBYBg9vQU5hthb1s6hKyzBYWehKEAoHMPxQBiDfpQERvzqZ3sa6S9wAjr8beVE/apvct6Jd75ywp9f89Dk0+7z3/R3OVm/6Qle+7TTXwDf+Pdg7RwOxGOmYHcMaSkOdMYngoPdUcgy6BSbmiO4FJ1dMfz+hR5fcvGMYgoFCvQ0CiT6hFcWng+WVfPNWmhlsxxr2ZVDIIiiavt5FjzH0j05MVcA9OQY7DYOe/a3onhkf3AsICtAWIhh2YoPaQ6WfP7OG3IRExVDLqOlvRtup123dyf6BNrcCZGnasbi4NFOXQ6y8VAAy+++UpcTIf5P/wxfr3kGK//rq3zf3tbH6ai/vfnA39R/+LZCri3LAMsxCAQjOj6+OVNHoW+6G5//u9M0v7doepGOk5i8vvTWUsRiMtriNGVaLt9lt5aC5xnYeA6yhp8zMf6/p+pSuOw8hJiEzm4BqUlOTK8z5nXrq0vw8tufqX4nw4BhACZeo3h2zS5s3t2ETL/K8xmOiJTnm9Qusgf48atJw+Cw8YY4ZNX6nljOLE9pvj7GgP8OmnC/rg6faOH4dQCvZ2dnPw3gmPaNxsbGF77OBU8H4QH0yzCH1BQliT7Qv7+9H7WVBTRpWnJJfwMZ9rzyXKxctxtTr8xGe1BAsFslsL7tuktoINYcCGPl2r2484bceMJLhXPhOIYuEKI8/3jvAPYcCFDlIp3OpFiXkeKEAmBVfLKLGGabjcWE0gvhsHM0Ub3zsxZc+7OLkJ6sHj/zmpFoD0VxrKVbJd+efikWP/0BLb7NLRsFnmVR39CzOAlJ7f2zS/C757epUJDVJRBiMhZWFYLnWN0GBwAHjnSi/pYSdIUlLIyfi3QLZPid6JfuRdm4wTSZkojhL8sKpBMoukiSTBMjkBScQm7N71UUjkGgLWrg8+E5c0hDG8/SggEA2HgGM64dDjvPQpQUKICOCF6B6hxc+7OL8dBfepyZO2/IA8MAYwsGojnQRT8/tmAg3HbWlGePYxns3t+i4/jbsvsofCP64bl1ezEmfyCcdiAmKnhu3V7MvGYkMv3m2N/mr7Pmr3MMrForhIiIzBSnJhHMwmlnERYk03MRHspTIUTHieNyJui4FmLDqmvNaecNRMeJ0yuNhwJYuXYv7p9dgrbOCFKTnBBiEoX/IzoIqHZs+Ssf465po9HWEcGDz39IExGjh/aBjWORnmJDfXUpxHhxIBSOYcoVF2PD1i8w8bJBUKDyYd5y3Y9xVcmFlOiXCCHzFkRJl+AihZj0FLXrf/60fEOSOxyN0SaSrnAM3VERHpcNVZNH4D/HDIbHadMl0jP8LnicPP76xifUWVlQkY/t+5pQkNMXC596X2cb3E4b/D4n7bSLiZKhm5tw+xxuCuIPq3bQRLfDzuG5dXsx7aocdISiSEt2gjmL7G2iWNlfd4bH0k5IsoLNu5sMXDE3Txquc8JYjoEgAW4nD5/HbmqPJFHGtr3HUDV5BM7LUpNoT7/8MQDg58Xng+cZnT1PS3ECsurc9s/w4plXexzc+2YV45lXd+kShySoIw1PWse2ORBWC+iiAqcdSPE6sXj5+7qist/nRMX4YUhPcYJlGdh4Nm7/1XglLIjgJBbl/5GNlvYI9n/ZGQ+CB5r6V4CCJTOKaTPTi2/sw9Qrs3HnDbmQZUCMBz6/mpSD9GR1ypyFgtZuAavW7zPyu1UW4LWNn+Pvb+8/JcWD00FsPGvgW62NczUImiLg1220Svy8Ft6t8VCAJhmeqhkDHgwcNo761wurCtEnzYNQOIa7bhwNr9uOoy0hPPm3nQgEI+qki42DrIGBViH3D2FhVRGcDhayrO4ToiSDZUB9Z6KbZk0niUmT5oAKWbOwqgj/OWYwvC67bs3UVhbA57ZBkGS0d0bRGeoyXaexmIwIGzMkSGorC+BwcFg0vQhcfH3YWKB83BAdTyZp7JtbNgoPPreNFriJrkqSDIlhDI0CzQE9N8jJaJY71aL1IYCeblqtv3CyxYobJSPFCZZRJwASbX72AD/unVmMts4I/D4nnn1tF9qDAuVCbw6EEQiqU3VdkRg6Q4IpzOKXx4NI9jrwt7casfOzFswtG4V/fngYl+f+CB6XTbc+/rXtMBZWFcHGM4iJChhWRnICdwvxH9ZtOoBflJyP+2YVIxBU7e0/3j+AXxRfgFlTRqI9GAHPMzquzrAg4t7lm+l55k/LN/C41jVswaLpRZh+zXD8vzc/oc2yiXEmSeCdDb7FN/XtrQrgPo8Nm3c3oT0o6DjbfR4bJFFWm5eiMYiSQnmDybH7Drbiwh/50dkVhSjJuL0sF/4kB2KiDJ/HBkGQqa/A8yxNapG9nonz4CX6N9PGi4gKEtXtwpwsLJ5RjFBYQKAzimfX7MLPi86nPHtzpo7C3gOtuDdOD3CstQuPv7ST+qKkwRkAjrR0Yc7UUQiF1URf7U0FqHt2Cw43hdRmpD4+zLxmJCIxFbqUXP+micPBK6CIT/o1UICYLMEBFnYbCxvHoq/Ng8rxOWjpCCM1TjOQmMiWZAUOjkUgoYBKJgsG9R/x3SrPOTkn5+SkCsexaA8KujjvjuvzkJnqRrBbwPxHNyF7gB9Vk0fAn+SA22GDw85CCkZx23WXwOng4XbYwLGKzs5s2PoFpl6ZrWs4rqX0PDIY6LnM1HjemGOdPWUkFi/fjMKcLEy/egTqqksgKwDPMbjj+jxdIbIH7SKGYRemYfHynvzAvPJc+H1OHWSyOpntoI2WWn75JTOK8exru3T5Z4+LR111KeS4j/nUyzt1BY5MvwuSLJsWhGRZ0cV9gWAEdhsHu42BLEiW++Kp5MU7HeRE/IeT5ftLkqxSQ0VisNs4WgAEehCL6qtL6VR8Ir+63WbkJPb7nOgMGfdPgh6UnuwCGAVNrWF4PTaaU+BYBh1dUcyaMhIpPjvagwKWLN+o82sLc7IMDZbB7hj1Ox+cc5maR5BBfSPyXR5Y+SEWTS8Cb2OxePlmeo7GQwHMf3QTnq5VEZxIIdHl4HSxXCAYgcPOYektpRBltWm6taNb991JjvtU8Fue6Fr5BYB2AP+V8LoC4AdXBJQkGTzPmQbuiYTZJEldNXkEHlipJo6JExgRRMiKQhXptusuQXdEHf1MSzaSS8dEhU6yEOM7t2wUWIZBRBARjYm4PPc8XJ53HprbwkhP4eB28lg4/VJK9KpNYmgN88KqIvz2yffppjSwjw+yAjS8tot2MyduPHdcn4f7ZpegtV3FYl65di9+NSnH0rCel+VF46EARElG9QNvxbtczEm+FQUGLODfv7CNblzaiYA/v7pHNxWoQKFQgOfEKKIFn09ddampTjMAIlHJkLQGVG4g7XMix9TfUkoLgOQaD/1lG5beUopgd8zgGLkcPIUcJQHS6vX7MOOakRjYN5kWTIhhh0XQWDVZMS0mOh2sLlFCjLvDovho4xmIFkVAjmNx3GT6MTXZYUhCq8VVBgzOvETaqRKt82ZFOE2aKbQOHW9S8A0EI2jrjKAjJCDF6zDwf9XHk083TcjBoaYQWIbB0ue3mk7C1cQd5VAopivSzZ+Wj40f/RvjSy8EAIQjIsIR0dTutXZEIMQk3cR4cyCM3z2/DfW3lKKtM4J/vHcQS2YUQ4Ga5CBJ7gUV+QiGBSxb8SFmTxmJux9/j66XSFREYU6Woct7ztRRaA8KaDwUoEU87bQksQ2zp4zElCsuRl3DFjy3bi/mlo0yvX8Ct0qcr0dW78Ci6UWY9JNB4Dh1nzpbybiJWNvfEkt8desmhp7f0mbn0BIUdFP4ibaNQKhUTR6Bux/fhHnluUjy2FA+bggCwQiCXQIeWNlT0Bibfx6SvRdSKGVi66ZfPQJHW7oQ7I5hTP5AXUF4TP5Aw95d17AFt113CdqDAkLdMeqDLLu1lPpHpAB441VD8YfVPd2xZMI0scNf2703Z+ooRAQJPMdgyUw1AdjaEcbLb3+GaVflYPFyYxCs7bAl+9ADz31IiyWkG8/ntmPxjGLaSbtq/T5MvGwQ9hwI0MLU91k8OB1EiMm0kYzs16vW78PMa0bSz3zdaSurz5sFYBzLUEjHB2+7DIFg1HAc6cYkcn/DFiytLqXFPdIU8dO887By3W6DbaypKMD8itHojPNtNwfMm06sOBtCYQHzH91osLOkoMFzPROwiYHunTfkwePiqT1NXEvEj59XnousNDdiggS/24al1aWIyeq0C8+z+HnR+brEd6KufpVdOR0m5k6GnA4JIKvf3m7j8cyru1A5Ybipv2K3s8j0uyHJMkUp8HnsurXY8NoeAMCCytGoqSjQTQ9qEyOLZxThza2H8cjqHdQeVk0eYVgf2qRfTWUBHDYOK9ft0SXyGJbBmPwB6AwJdOqLyKadR7F4RjE2/d8RXFVyIZau0DcZ+X0qT21zQOVcrZo8Qrd2mwNhBLsFiJKM2VN+jJhozi14tiTwvo1YF8DV+E/bbEEmIwBAAoOm1m6DPVq1fh/Kxw3R7aVzpo7C+g8O4vLc8zD/Txt1NtWf5EBTWzduu+4SuJw8Hlj5oU7niGT6XYCip3cguRJi/4gPQO7ljy/uwMKqIhw5HjJMs5D8R13DFmQP8GPiZYN0ev3fv8zD/dUlaOtQ44E/r1HX4KKnPqBrYeJlg3DPk+9h5EXpuKrkAkq1QtYAWAViTKHIR4HOCJ0QJ81R2sZt0qjBsYzpc/njiztw36xiNV48mUpxTs7JOflORQQM9DC/f0HNgTnjvKGNhwJ46a1PceNVQ/Hgc/pJqOUv7wIATBs/FK++8zkWVhUhFBbQERKwbtMBaneSPQ6EozH8Jp4jK8zJ0uW6rHhanXae2jRtXpk0BGnz1NGYiC+ORtAvw2vKFzh7ykh4XXY8WTMGR46H8Oya3ai6erjpdTu6orrcHSkMPrxqOwLBCH5bVYiyhIY2NYfH0UYSbeNyV0TNPWv9HzI005svcCIx9dksJ9v3J/vdr28cbRk/lY0bQvPCRNcFSUJrnNJMe1zZuMGm++fsKSOR7HXgrQ8PYdiFaYb8bJLXDp/Hjq7uGA4e6TT4N0tXbMXiGcUGffxwjzrP5vc50RWOIRCMGuo/5BzBbgE2njWNZSVZbaqqmjwCG7Z+gWnjhyEaE7FkZjEUWY3jPvmiDTzLWk4vEijXU+EjnBB4bmNjY5HFf8Un+wZPljBQTDkPZAUozMlCbWUB6qtLkORxoDAniyYPiINd89gmLF6+GSzD0MJbVqobWaluzK8YbSDPNMPRf3jVdnSFRTy8ajtsPIc/v7pHVZI21amPChL+/Ooe8BxLFb83TikAlBNHlBQsfOo9qrBj8gcauNb+/q9PkcgjasVXcrQlhGt+enH8PYae41hrl+nnhZh5kEd4I/w+JwLBCJ3GyR7g1yU5TxU+7g9BZNmcGJXjYMorJlkkrUVJsU6mSObPT5QVbN51BAurivDE/CuwsKoIm3cdgSgpaA/qOW3agwKgwJTXCrI5dwXLMnhn+2Hd+d/ZfhhRQYbHxWPRdPX1RdOL4HHxkBWY8x3GrJ0AqyBajCnw+xw6HkS/zwEOQKA7dlZij58M0dpGMwx4whdKHTpynAkP0p035NGJ6rZOc/xxjlUJh5e/8jHtkjezx/UNWwAZOhJvktT6RfEFYBgGFeOH4aG/bOuV1ykrzUP1sbayANkD/PE1peCBlR+iIKcvHl61HTFJRlaqB/PKR2HR9CK4nDy6wypBd990Lz3ujy/uAMsyuHnScNO1NOWKi+m/Rcl8PTvtKhwkoO4RZMI88f5J8ZWclzhApMsxK9Vt6ZirEFVnPkm3lf2Ve/GrTwTDX5D0jTObdzdh9fp9WFhVRG3bc+v2Uq6wuupSdSqTYbF7fwv6Z3h1BY3sAX5MvTIbMVFC1eQRVJ/qGrZAiKk367TzhiDTysfok+7B7eWjEI31nI+sA3KM2bpaumIrxhacb3j91Xc+x5ypozCvPBcxUYICBTaexz1PvofqB97Cn/76EcrHDQHHMZZBxuwpI/HEgjG468bRkGQZjYcC9DuOyR8IoKeTdv6jG1HXsAWbdzcZ1o10kuCuTlcRJbUAQXxZ8ruImuDZcp+0OqfF5xO5QIjecxyL1qCA/Uc6TI8jz49IcyCMmCTr+AWJviUWspsDKndaktuBPqk9XJNafgbCiZridWBhVSGyB/jptYgtJ+dK1BeWAQLBKI0Jnlu3l9r8ZbeVIsXrQFdYRN90L/w+p+F7kPXy8KrtIKAXkiRDgYLfPqHqf2t7GIuXb9Z1VCfq6lfZla/7DH8okhhfATD4CydbzH77BRX5iAgiNu9uwktvfUI51bMH+LGwqhD3ziqGIKg6fPBokOrwv5uClB+lrmELGg8FEAhG0NzWjVBYwH2zivHEgjGYW9YDI9QcCMNuY3HvzCIVkpOBbtpfuz4S/ZzmQHf8Hj9FR0hAIBgFFODltz+zLIp3dkVx7c8uNnBzP7K6Z22Q18x4XDtCQjzu6JnSTfzM9/n8fqhiFbPJsmJpC2x2DjIU9DXh3R2TP1BnI/w+J2KihJ8XX0BjdHKN+hVbcOBIB+Y/uhF/+utHiAqSQefItRdUFKA7GjO91/P7+rDstlJdgwN5j2NVv8Tvc9I8TG1lAfw+J/VhzfT6d89vw7+bgrp9vrMrSj+jPeaan16MpSu20j1w/qMb8Zsn3gMHDivW7kH1sn/i301BWgAkv1Nic9QfX9xB0RysngsD5gfdcHFOzsnZKFbrWZRk2DlQvl8r7vYpV1yMsnGD8cjqHWgPCuA4hsI6Hm4KUbvDMEB9QqPEi280YmFVEZbdWor0ZJdlrG527UdW70BBTl9dnlqWoePsTfxOfdO9WLluNxQF1OcMdEZ1uXDS0JfIXU0Kg9PGD0XV5BHgGRbhiIi5ZaN0ObnusIRnXt2FG68aimfuvhKLZxQhEpXgcdlUhDlNLBIIRr7SFziVvHg/BDlZvj/J80iygqrJIxCJGnnxCnOyYLdxkOOfuaBfErojMTy8ajs6glH87Z+fGrj2+pj4Js2BMLJSPXjxjUYUj+xvmsd22Xn8uymIuoYtvTZ0kvhsycxirF6/D6WX/IhCzwa7BQgxCT633TQWFCUVPvzmScPp+yS/3hVRzz0gy4ubJgxHRBDx0F+2Y9bSDVj49PtoDnRjyAVphmfxyOod+PWNeTqu91MhZ+16URRQomnSfbDm3c8x9cpsw8RcTWUBJEk27TqQFcUwUTKvPBe8l9VNFVl1cwzI8tLkHnGGiaMb7I6hbNxgtAd7HFnR4j5C3QL9e87UUdT5JvBx5Dpbdh9FQU5fZKQ4ISvQdZDMn5YPl5MzQGSQztN516uTi60dPef1uu2G7o45U9XPmN1nkseBsfnnmXJGxCQZy1/ehcZDAQNM2jnpEZ4z74BhwMDp4HRQcE4H12vXNMcxKMzJMnA5cCxr+jrPMrhs1I90HZgLKlS9ScQ9nls2CmDNnQ5JUUwn+ziWwZ4DAQy9IJ1ed8+BAK4qUfCP9w5ibMH5gAqBjX+8dxDjSy+0gNmT4XbyiMYUCvnpsDEQIqK1cyfL8Ng4DMjy6Sb+BA3+NPns2Tg98l0Jcd5IsmvNu5/jvlnFUAC0ByMQYjJ+NSkHfp8Ddo4BQaeTJBkpcXJoSQa+PB6EKMl46C874sUq86nC1g4VXqKmooAmD60KHVa6oSgqX0pqstrxTpIeZPqpbNxgCmXXHY7puoUJZw6gYGFVEQAZ52V5IUkK7ntG36H/2sb91I7OK+8h3+bjBTWzeyP7RabfBUlSTH+DiCDq/p2Z6jZAhiTCMvvcNpq8W/7Kx1h2a6kl792ZOnFiJlb2l+8FgliSZEpsbjVNLMk9jRdkf/W5beA5huoBoDrYHV0Clq7YipEXpeO/xg5G/rA+YBgGiqKHQEycwCZ+BssA6SkuNLy22zCxYraOCnOyEIxfU3u+f207HJ/iE+m60qIlEMgsG68v5Jl18tdUqJO4BErH67Kj4TWVZ8js9/b7HPA4bWjriECBCrNBRJuItlrr2nVztnWPcpz5/q6F0f6601a9JUHN9F7h1M7IeeW5Bt0gPmttZYGO6+5IS0gHeTcgy6srqiVeW5YV2G0c7eAkdnvNu5/j6ssv0vG5JE6mEltIzqWzs3JPwxwpBNY1bDFF3EiEsSMJHHqPigLO5De02s+0uvpVduV0mJg7GaL1IbT7zcnoprWCVCKTrFrozHd3qIgBmX4X3tx6GIebQipUtMNmmOYjttPGs/jzq7sN06SLpl+KjpCgg2WeM1VF8Mge4EfF+GEQRRX69vnX9yEQjGD+tHywDNAdFXHbdZcgK9Vt+vzJFEEiX2DlhOFQ/ZRCA297R0hAis/Rqy0ln/X7HFR3tXuPuiYBB/v9Pb8zTawmIFgWprYAAIIREU1t3chK9aBudgndL4PdMaTFfVoABp1I9BuI7gA9iSwynUcaIQZkeXG0tQvhaAwMzO/1y+MhpHidSPHZdb5ORBDBsixYFqbxJBvvK7PKp/TL8OKJBWPQ2qFSsGhttNY3sfEMqiaP0HEOqXZRxrU/uxgV43Ngt7EYeVE6CnL6wue2IdlrrvukyMdx5tQUp5JO4pyck3PyzaS3STNBUmjzOfFBtUJyu7ICGotpIZjJ/l/y435gGBhs0ebdTbj68otQ89gmNNxzpWGvJD5l5YRhlvuxloPb57Yj2B21/k4cg0k/GYSuiICFVYVw2nk47Bwqxg/Doqf19CrvbD+sux4pkrgcNgPvWcPanrj12p9djPJxQ+C08zjU1EnpoGpvKsCi6ZfqrkOLeb3AWZ5ITH02y8nw/c3yPL+5uVCHWERiIC0lzT1Vl4LnWFROGAa/z4nrrhyMv77xCeqqSyHEJHAcY0nt9O9mNea7aYI5SqEsgzZbJnkcpucgFBcsy0CKD6x0dkVRNm4wzsvyobMrhsdfMo8F75o2GrGYrEO/q4lTQsiyjNaOqC7fl4iM8cjqHbhvVollfMorp5Za54ccB34rsXMMyv9jCOqe1RvWJI/dAKe2ev0+VIwfZgoVKMuKAfrt4VXbKVTGoulFYKDippop59HWLgrdQV4jCYKX3voU867PxaFjQZrYczl5w33cfZOaPHtywRgoCvBsPHFmBh+3oCIfL77RiDH5A3X4zM2BHhiX8/sl0UJSsDtGoWc4Vi0yvb7poCFQIBBj+7/spMmTxKB2ztRRWLluN26aONyAu1vXsAVLZhTTTYtjWXBQzhl0E1GgYF55ri6BNa88FzzHwGnn0YmeTh2nnQdnkbTmOAYOO2vgrKmpLIDLYf46z7OGidKlK7ai/pZS0y6N+lvMIUo5lqFQLCQJ+eIbjZg95ce4aWKOrjhxx/V5sPEs8ob20SWN50wdBYYx/24OG4fjHVHD/WckO6DEzAvpBKIskWdSYswnUX7oibRTJVrnTUtqzHIMwjYeD/3FupBEno+DY+H3ORGNSfTZaAtzWhu9Iu6IZg/wY37FaMwt6ylamK0J80CegRCTcbQlhEy/i05/JCb4zPilCNzG/Ec3UD0s/49s1PyPfp+pj8PEbd7dpNtH/vTXj+Bz2y2dJJIsnjN1FP7+r08NtoFwAqb47Hh8/hUAgIbXdtNE+o8yvWhq69I1opDCoTZ5FxMVOCyG+04HjqbvS6zsrwKl1+/6VRy25PmaQdXWVhbA7bKhJRBGRqoLdz+2iUJaEd6GTL8LC6dfijuuz0M4GjPtTiUwXLICNLV1UbhMLU/lhq1fGGBIKycM1/FSaM+35t3PcfOkEaipLICiKKbJu8Tg03RCZcUW3F6WC4ZhIMQkLHxOvV57UDCs6wUVBXj65Y9pwbwmPnVARJuI7g1y+GxNPtt51lCsWlCRDzvPIiaqv8TXhdvpLTnNSLJB70mQqm1ss0pCr3n3c5SPG4LHX9oJoIdfkHQCWzXHNQe68ae/foQFFfkA1OTKmnc/x4xrRqLmfzYa/JV7ZxVDkhSsWLvbwGlC9GVBRT7+/q9PVV6YBH7XRK4zcl4tDL62wJjpd+mK19rf0Gw/M9PV3uzKmQqZZOVDfNfxwlc1t8QECQ6ORXqyE36fA4P6J8Fp5ygc8Zj8gUj1OXGsrUuXGCC287l1ezFn6igEghFaRCHFEIedx8OrPjDY3NuuuwQsy+ggl4lOkRiOQC0C5nGnouhtMGnK0EJCJhbFyboxOx9pMiLHRUUJ9beUoqU9jI6QQH2LTL8LPMtAklT429/N/QkiUbHXBN6ZyGn5baTXAriJLWDtnCmHccNrexAIRnDfrGL6TK2mWkihT5ufIO9rESaWv/IxZk8ZiSSPAxzHINlrN+QrtHC29beU4Fhrt+792soCpKe4Te3zfbOKUV9dAp/bnC/5i6NBqvvTrxmOTR99SW20bOKbaAucql1UHdx/N4cod9eLbzRi8+4mLKwq7LXI9302JpyTc3JOTq70tp6jsgb50gJl0QAAIABJREFUyiIHBYaBIisoGzfY1KYumVGMexIgmLW2iPib4agEluvheRclGQ47p9I/WMQ2LAtTuhNRlrGgokBHD7GgIh+KoqBPmhvHAxHDPqH1W+obtqCuugRHWrp0Qx8MGANCQOK+QZqJiX9Cvm/dsyrMv1nzylc1Fn9VTH02y8nw/c3yPK3tYbidPNXPJI+D5mkBtQjeEYrqmtkWVOTjl1cNRai7hwMwEQZXuyYy/Wrjpdn3+fJ4EP0zfJg2fihWrjM2082vGA1BkA2FOlGS0T9DbcY3Q7e4d2YxRElBW2fYUOOpb1BzFVmpbpoH0h5L9J68xp7GcRi3aNGiU3oDJ1FSANweDgtQEn5jjmPR1qVyoPznFYMxofQC/LzoAqzbdAB907145Z39us9XjM/B71/Yjv+fvTOPj6o+9//7nDNrZibJkIWEJWxCgJBAAgmQBFBQVEAQ6dVgFaIiAoqovb+ytL0uVUB7e63dbBEraC3gvVbEpVbBpbixCMpqQECgCAmQIZksk9nO74+Tc5gzc04EWy0Fnterr5rhnO9855zn+3yf77N8PnsOnuKmq/tw3WWXMLasG+4kK0kOKyvf2qO7vjEQZvTgLvxhzU6G9svmxXf2ktvVy4BemezYd4LGQFgzaCluGydONTN1bB7jyrsxtqwbH23/is8P+pBEgdGDu/B/b+9hypi+9O3WjidWbtXmMaa0K4W5GTjsFpoCIZoCIawWkex0F2nJDgb3y9a1mTcGwmytquF7I3vhSbKyZv3+hHmPKe3Kq+v3k39JOv/9x0848FU9FaN7MX54D0RBICorHQS/+d/PdONuqapheGEn/udPn3Co2s/JugBHahqYN7WY4YUdyeuezso3q/jsixMMG9Ax4Rk3BsKMG9adnz//CS//bT8f7zhKcV42SXYLcvwL/BbF5bI/+J19Wdtiqr8IAs//VdHdMaVd6dcjnb98eID8Hum8+PZeBvXJIslhIclh46V3v2BArwzyL8lg2xfHNd2778aBJLtshMJKwCt2rFfW76N/r0weeOqjBN25dGAntnx+nDsmFjCmtCuD87I58FU9Q/plGa6Da8q7M7hfNlurarTvnl9ZQrLLyq9e+Iz1nx5h3abDrP/0CEeON3LNsO48/IcNuu/d9sVxLi/J4ZFnNuo+37HvBJeX5DA4L4stMeMvqCzBZpVM5p+DBSjOy064x2WVDHVNkEQ+3nGUxoC+m+qKkhyE70g3XS47TU3BM7nu3NdfQJZlBFkmM81NS3OIMErHZY2viX1/r+NkXUCzKyOLE5+zLMsk2S24XDb690znqiFd6dEplS8O+5gypi8ThnfnipIuvLJ+P5t2K12iJ+sCNDaHKMzNRJZlRhR11unlvKnFOGwSJXmJ+upKslDnD7L8td1Mn5jPjn0nOFTtZ9iADjon4KohXQ3t6lVDurJu02FND0cV5xiuo8F5WazbdFi77/pRvRia3wF/UxC7TWJofged3s6dUozHZeXKoV1paApySadUwpEoIwd1ZtJll3DpwM6kuGy0BCOt+4RSab1k9Q5O1gVY/+kRvjh8isLcTN7b8vfTv3lqCVFZ1h1Kcrt4EUTR0CZHwHD9jyvvdmaY463yb6G/JvZ3YO/2/5A9ECSB/Esy6N3Va7i/XjqwM6caAjjsFrbtPUHluDweeUZvKzfvqmbCiB64ncZ+ybjybowo6oTDLvHUS4oO7P+qnoNH67np6j58/8pcstJctE9PomfnVK4aovw+SRJY/d4+w/EG9MrE4bAgiQJJDquhnb50YGfye6Rr/s+48m4JPkCnTA+jSjoTCkfJ8DrZ8vlxTtYFNF9Cnd+Ios48/8ZurftbXVND+3Vg3ebDmj1Pdlq4bFAOVqtIaUEHPt2jt/dZ6UlcXpxj2t0aK+eb/Q3Lgo4/9fT+2FnTYYsonNU++XXXS5JIVBSIoOypVovIh9uOMjQ/myH9stmx7wQ3Xd2HJ1/8LEF/5t5cTJJD4pX1+3X7cHqKnZuv7gvIlORl6fzrORVKkdAr6w+wtaqGOTcUMaKoI8V9s5FlmVVr9+rm3xgIM35YdySLSG5Ou4TfkZWexLABnVjzt334m4JUjutHJCozalAOo4fkMKo4B0EUWGWw7iouz2X88O6MGtSZlW8pfrB6yE312IgiEAUEQeTyks5cNaQrhb0yAJlx5d0ZX96dK0rOTFfP5p3Ey7+L/oLeh2hqaPlWzglRUdAq1AFDn0SdhwgIskxYBofdQo+Oqfzqha28sG4vuw/UMn1iPkdqGjTfZkxpVz7dc5y8Hu24bGBn3tp4kDc+Psj2L05Q1r8DTpOz5Y1XKvyvwwZ01PyG97b8nZuu7sMbHx9kTKnig+zYd4JRJTk6u6uui6y0JKJRWbPBd0wsMFx3P7qlhB6dUnnjowNUXNGb3QdOcM2wHjr/SEE6ELhySBfGD+tBJCpjtYhs3nWM9mkufrlqK4eqlULWH1WWkGQVkWUZWZZJ87oINLYgtP4dL2oS9oGnPmblW3u+9lz476S/qpzp3qKK6vteMaQL48q7c9nAzjhtFsTWf4uXCIJW7Ayn362qLzW+JmZN6s+WqhpGFHUyjQts/+IEcyoKWflmFSfrAoByDirMzeC9LUc025Kd7sJiFUBW4gWNzSEmjbyEIXnZ9OuhxAGqDvla7W2PhLltqarhsoGdDHX/6tJuLF6+iREDO1HSN4vP9h7X+cLvbTnM9n0n2bHvBAWXpNO/V3ve2XyIOyYqiUkj3+Smq/tQdbCW+ZUlLH9tJ8te203VwVqmjOnLn9/Zy6jiLqz/9AjHTjRxx3X5CTEc1Zaq72VkcQ7jyrt9I3t9DukvnIUOf1M5W90/m3FXvFl1xtffeGXvc+76b/pcvq1neobffc7pL/CNnkdb69lik+jeMYUnX/yMT/ccT7ALcyoK+f2ft1N1qJZhhZ1YtTbRlg0rPB0HVW3RHdflM2xAB64Z1p1oVGZ4UUde+dt+BuRmEghGePlv++jcPpkkh5WxZd3IaOekuI8+/nXv5CK8HoeuWF/170eXdCUQDHN5cReuKOlM765pvLJ+H+3bJeF22kzt4/pPj2iflfXvwIBemVxV2pXcLu1446MDDM3P5gUDf1rdN+6+Qdk3DlX7df6JugeNLe+GFD3tQ8myfEa+1zeRttbHuai/X2d/zX7P2fr+ZyJGcZ6rhnRFluEnv/+IdZsOM2xAB50PYeRbbq2q4aohXXXv98jxRk75A/zg+wO5prw7vbt6+dMbVfj8AeZUFLLl82quvzxX53vOqSjk+TeqKC3IZtGyTew5dEqLFYwr78b44T1w2iwJMeUd+04wtJ8SV5OBl95NjG1cU96dZa/tpG+3NEOfaNLInsigW9u5OV5uuroPOe3dFPTMoKa2CUkUuGpIF4r7Jsap/5F3YSZnq8MXZCNLbDY7ltx02oR8HXyEKipchlqde/OYPlqVvFl1mL8phM8fAEGgrH8Hnnt9N+OH91DIImUZAQFJEhBFEiqyF1SWMG5YDw4dq6fWH9Cq0aaOzdPmEds9+OTckYQiAnJUOXzmZCVrjmfsvNS/1c4rs3mvbQ1CPzZ7GKf8LbpKjHlTi8lON25/t0iiVjmjQuRZJIG5v35fd63RM870KryDF0InyT8qFmDy6N6JFUqSqIPlgdPQsnabHibUblMBqOSEbtG7byg05QSUZWOYFospFIqAxSLwwO1DEQWIyhCRo4QisiEcWdQUh92cByPNY2PRrHIN9tMmQbMJJ2UkGkWQ5bOCEbhY4fntSTQqJ1R7xcMPtdVxWec/XeGswhCoyV9VNw9XN2idgJNG9cRmkbBKIhZJ5LHZwwiGIgiCwLufHGZ4UScN5kPVS/Vvh82SULGfGgcR1JZdVaWtdRSNcQgyvU5sVommYAibVcIiibiSRN3clry0HYCZkwriKq1KeGHtHrZ9cYIHpw8liszcX71vuGepkKwLZ5VR1xBUigMiERYtN67eXjyrPOE9nK8dJ0Zian/5envQVleDCjkby2GmSo2vGV+9UqU5p6KQW8f3RTKBWg4GIxxt5eqNfx/pKU4sVoFwOKr4J62iVvGrtjwSlvF6HNpvNPNzPEk2nli5lVvH9yUckU2JteWozLufKFyvkghWi6QbLzfHy5SxfXRdjbEwiur8VN2Ph3+u8TWT6rFrHBoWZELBiAKjK8MLa6s0cnKvx47TKhIKKm/rQrThUdkM9vj0Wj1buJ22rjfqqPpRpQID5G8K8Yc1O9uEVopEo1gQEvzLnCwPzS1h7FY7v2itwFRtowqXpI5R39jC/N9+wKJZZbRLdhjq85etnSQP3D6ERbPKCamd5zaRRcs2M2lkT8aP6EG0lXM71i/+8zt7KevfwXBcm1VSxhIFpl2bz/dG9cJX38Inu4/hdnZO2P9eWb+Pa4b14JX1+7hxdG9SW6ufz1ZXL0Im/WPyTSCVIlGZSDiawC0cXxmvdib9/PkteD0OZk4qICvNRX1jUMebF69LsizrqvVV2HAVvjsWajYSjvLsa7sT1sV9Nxbhdp7upvImm0Adiko316jiLqx863Mmj+6N3S6xcFYZx30KBHssVPWTPxyJKAh8fuAkT728k8fvGa7ZXdUun43uXUgIA2crp/zBNrskVDE7U6lnww07q5k+MZ9pE/LxmtjFdskOZl8/QOtAUT9X+Ktd/H7eqNbkdggV+ih+bqvf+0K3b6trwGhuognqhc2q+L/PvraLm8b0YeGsMoXbPiKzduOXXDqws+bvu5NsWC0iV5d2o6E5hCwb+0tdsz08MrOMpS9v1+YXu15jOx2ffW03j8ws48SpZgLBMKkeG5Hgaat8sTPlolyU80fM1nM0gnZ2r/Ep0MMzJxXQMcPDkeN+Hfz7hOGXGNqyeG49r8eBy6GH1Lx3chGHqxuobwjyzubDXH95rg55YkFlCcd9jSyaVU5UbqVEEBR7aGTrTtQ1M/fX72t+pgpBeu2IS5A5bR9jIZq9yQ5yc7xaMbDa0ffIzDJy2ktUjsszjf+1S3bo6K7i/RPVZzGKE5yvUPbflXwbvr9RnCcWBaLGl0jL0xZVQ/znKgzuuk2HmHxlb37w/YFYJAGLRcTlsLKiNRannuNfWKtA1sfGh6sO+Xjx7b1MGtkTr8eufV/896elOLBYlGIlI90VBGXtZnidprGtcAz9jhGKzZyKQrzJdkTk7wS55JuIaZF+bm5uhzP533c52X+WxCtfbo5XC0C4nVZ+cttgjRD10bvKSXXbGZzXHkiEsVr55h7mVOgJsdWqYQXneQ9ZaS5O+YM0NodpDoRoCoT5ryUfcvvCtRw65tcSgOo8WkIRZFmmY4YHr8eO22Xl9mvztQUYK5leJ8dONmK1KPB4kUiUaFRm+xfHNajE+OtVnp54Im91UwDY9sUJwpFowgFs8fJNGidS/LiyLGOzCTw8o4w7rivgyRe38eVRf8K16zYdZO6U4oRntvJNfYWBYihO/60SkoYFAVkSkSS9+n7dv58vEolEyUh1sGhWOUvmj2LRrHIyUh04bCLDizrz4NKPmPHo2zy49COGF3XGIglYLfpnYbWIymENwRCqQOUEjCcFFkXBEPZTEgX+86aBunf6nzcNRBQFfrp0A7Mee5sZj77NrMfe5qdLNxCJyNw8pi9Wi9A6H4Gbx/TV2qZjRU0mGs1HEgWaw1FaQhGiUZmWUITmcFSD1osfR4V7iUSiCkSZLCO08ru09bzTU+y6552eYv+nGvALRXfjpa6xJcHGqKTaEJNIMpAw8EjMvaOKuyRA1T6xcisVo3uRm+Pl7ooBNAfCzP/N+0xftI55v3mfI8cb+PnzW/jRkx9Q0DMDAcURiSWp3rCzmlA4yi9XbWXe1GKNxPrxFVsQ4vT1xbf3JuwHcypO21X1M1EwXkdCa2hLtcdLVm9DEkQyvE4amkMIwNKXt2tzAxT4rVCEaRPyyc3xttrpjZT178DcKcXUN7bw6PLNhntWbo6X+6cN5tZr+iHLikO39OXtJNktLJxVphF7xyZlw63k5rE6KyDwwO1DEmz6+eism9nfr7MHaiJk/m8/YPqidcz/7Qf4mkLaWrcAqW4Hh2saTPdtVU/SU5IAY1sZlcHjsnHfjXp7PG9qMUtWb2PqA2+y5KXtzJuq34N/OGUQFknkVy98yu0L17Lizc95aHopv58/ipwsDz/4vn68+VNLtIBgitvBEyu3agU+8XMCgUsHnt6blqzexvwYUvdbx/clGIpw7+QiJZjpUca7/dp+mh/20xmleJPtpLrthuTdf69pYO6v3yfS6jTIkkhLVCY5ycbMSQV0zfaQnuLALgpaAvBCFTNfUoyztWezT7Z1vVEw/5FWIvf0FKdmUw9VG+u+CtWdnmJn8Z3l3HdjEQDHTjbx0NINHK5p0MZQbaMKlwQKp2WyS0kSp7jt2G1igp1W/d8aXzPLX9tFIBjB3xRshc5t0sY/dqLJ0C++4Ypc0lKdGkSpOu78yhKWrN7GjMXr+PGTH+BvVLq6l768nTFl3Q33v1HFXbT/f2TZRjOq0TOSs32HF+W0mK2TeJ8kdi+URJFgDEy5KrFBrwWVJWSkOjUfoOqQjweXbmDZqzuxSCJPrNzKz577xPBs+fSaHTp9eXzFFqaO7avBd6u+RqbXicUi6tbFi2/vpWJ0LwQBGppbuHdyEZleJykuxaaqvnVujpdMr5NwOMrcX7+v+UELl22koSlEKBxNsBVK4ETgvS2H6ds9nUfvKsdmtbBu00E8SVZEQYFROxv/tq1A4IUiRmcDs+RoOO4+0SZhsRifhVTbqJzdFb/y/9btSTib3zu5iGA4wq9e+JSnXtrBzEkFPDl3JLOvH8Dq974gEpH5wys7EITWwHhts+HcbmnlHlbHjYUJj5+bRRIM/WifvwWAiityiYRlFvz2A2YsXseDSz9iYJ8sXlm/j0kjeyoFSk4bT61WYhChcJQUt02L4+TmeDW/IhqFhqagYWFRitumK+Dz+QOIrdzLHdLdRCNcMGe1i3JRLooisfztgLZ/iyKEwjKV4/pq++jy13Yl+IQLKktYt+mgbsyK0b0S7ObjK7Ywr3IQKW4bFaNzEwqLFi7bSKf2Kax483Nk4FhtI0te2k4oLBvaVTXxGBtnUfx+EAWBR+8q55GZpVSO68u6TQfxN4Woa2jhnslFTBzRnblTijUfubY+wIxH3+b+JR9R19iS8Bvvu3Eg/mYlYagmAOP9k3bJDh6eUYrdJiXEvs7U97oo5vLP8P2/Ls7Tvl0S7dslMaeikMF57XE5LcyfeloXVOqdWMn0OrUEWvzn/qYQ/qYg/sYgf/lwPy2hKKFQBJ8/wLUjLgHgD2t28uPffUhZfyUFdbKuWRtLTcYtfXk70xet48hxkzOlpPADfrzjq4RYyILKEkRRIMVt56nV2xNyJfOmFiNKAi+9q+RRBue118GQwumY3qn6IP5AmLAgKAlsSTxnEoDQdifg31Go7NpacTJonPb/NhJbZWaUvV1wS4khXwokZrXV6rCH7ijFVx/Am+zgmVd2MHVsnlZlMW5Yd63rQ8VDVsdw2CxtZpHf2XyYq8u64rJbeXzFVkNunE92HyPV4+D+Zac7YOZXlvD6B/sNrlc4AdXuj4dnlNISimK3ijy9ZodmrOdNLTatIGxoDiV0Rt19QyFPr9nBbeP74fMH+PnzCqebEa/J+OE9+MuHB3T8F54kq64zAVoPJ8japtAWPvTXcXecT2JzWKg5FUjgu0t22bTP4DR28aI7y3nu9V2MKu6Cw6Y4Kc+9vos7JhaYvmOLRTDmBJSM+fGC4Sgup1XXbehyWk3Hj8oydQ2JPBUup9WQe1MUBW4e04fjrWMpScM+2G0ip2qDcRjnJWS1cyTwWs2vLMFuFTjb+K/VJlHXFKKmtkn7bZntkkh2WP4pweQLSXfjJRQ27tiMDZaZdVjFB4jMKo46ZXr4fzcPJBiKGiYJ1er8nz//iY4fRRXFMQlSdciHAJrdCkeiBMMRnZ75/AHsNonZ1w+gfbsk6huDOOz66un5lSX4m4OGc/V67CyZP4rq2iYt8Xbgq3oenlHKq+v3M6asm7Y+vB4HU8b20XU+xnZRdszwAFHCEYvhnrVoVhn1jSGNz1BdazeP6du68Qs6qFN1/qIIEsadPY/NLicYip7XHSdm9jcjxU4wYB6u/7quhkgkitUisW7TQUM+XZVHTK3oTPXYDG2cy2lBFAVEUdA6NpJddp59facW6FL///5pQxEEhR+wORDWYd9v2FnNga/qlQRah2QsFoF7KorwJts5drKR3/15m8YXJbdW9Bvt9/MrFb6+WAc59vuDoTCCIPDz5xM5rjxJNh57brNOP3+xeocGERLLWaVyB9isIr42uiQu7PRfqwjGnM3Ct3S+Ngvmh8JR/vDKDm0uahFFPEeUBYXbKp7nd95UJWFsxgf77Gu7tQ7xWD7hBZUlZHidzJxUQHaai0PVDZrdVPnRYq+/d3IRP5wyiMee3Wy6z9TWB5j76/cZnNeeh+4oxd8UJNVtT+gwWbx8E4taOVDA2J9SvyPDqyCURKIykiSBIIPMeWtbzzU5ExQII//NzI/wtlbGu5OsBA3QKkYVd9ECfTU+pcPgnooi0lIcGsymUbIiPdWBJAkseel0wG1ORSEOm6TtEaq/ELu25k4dxP+7eRBNgVCCL263SbQE9fuZ1+PAKoncv0Tvc7yyfh/XjriEZ17dwQ1X5LLsVWWvGZzXnukT86lvDPHAU+8n2GMj0XfLK8WI8R1k5yPCgJGYnQ1cDouh3VC7JCRJpDEUobkxTIrLzn03DtTxrKu2UR0vKsvMnTqIQEuEF9ZWcf+0oTQ0B6lrCLLs1V3MuC6f2dcPID1VKTr+xYqt2r57qqGFceXdNV/RzD4KIrrzYarHTksobLgP+ZsURIrY65PsFiKyrOOVii+eULsE5k0t5q8fH0hAuZlfWUKHdBcD+2QlxFGM9MzrsfPnd04Hre+dXMSpxoCOj/NHlSWkeGznvd97US7KRVHEqFN5cF57GppCOu4xdW9Mclp5eEYpkaiMLMPWqmpuuCKXA1/Va9caIax5PQ5O1QdZtHwj904uMrSr9Y0tlORl88JbVUy87BImX9kbh0005VeLvTfFbWPu1EFIgsiPnvyAGp+C+vLGR18m2M4FlSVs2nVM1xGojvPYs5tZfFe5jrcwEo3ikKwsmlVOIKjQkCx7dYfOP/mfP23B5w8wb2oJv289T8b6BhcRuP61YobgEh/nAXC3dzP5yt4sfGYjV5TksGhWOSfqFM73eycXaf6But9+8NmRBE51db3cNr4fT6/ZwfWX57Ls1R1UXNFb55+qupyd7ibT6+SPf/lc83HMGrXiY8o/e+4TfP4AD04vZdmrO1qREOy4nTaWvbqDDTurefSucjbsrOaUP6hD00hx2Xj1/f0aauL1l+fSYBLTs1pFfP4Wnlj5se4Zpp4j8d22koDONv7t31sEmR9OGYS/MUh2upv/+v2HOmdShd2K/Wzx8k0KlJWU2Drq8wc4dMyvOacbdlZz3WU9mTK2D+9sPqx0VbRiLcc7yGrrrBEh9xMrt3L/tKE8uPQjZl8/wJA8vrklRFn/jjr+MzX5M21C/mkILknAIokEw2GmTcjntvH9kCQRq0UJFlZ9WcuUMXncck0/LJLAO5sPc0nnVMODbE1tMz06JesWhRpAue6yniQn2bR7qg75tDnntHdz/FQzdpvEti9OsHbTYW1Bv/r+ftPE4vRr84G2A6gXEmxMS0g2TPY9PKPU+GAYkdmwszoheHDbBBnJhNQ4HDH+jkV3lhteL4oCD8bgO6ufm11vMekofHhGmSF80Q9uGkhdQzAhUOG0WxNIXRcvV+aZ0dq9p8KE2q1Cm0F6M4kgcMpvkLB0GAcx2hIjOMALSXfjxWoxhpHI8DpZNKuszQN1PDSBGRRnNCpT21qgYRZwVf9baq1AjncY0lIcLJpVht1m0Zz83Bwv067tR0aqk5/eoTj4J+uaeeolJUkxc1IBDy7dwOXFnTUbbJVElqzexqjiLoZzPVzTgDfZzk9+/5Fujj5/CxMv7clL7+7l2kt7KMFrg71LDYQsfXk7R477SXbbcNltPHpXOXUNQa0wxecPEAhGtQSgev8TK7cyc1IBx33QtUNywkFi3tRibFaRUCixS/yRVp21yPJ5DYVkZn8XzSpve70K6Oya+i5i4U1EEcYP78Gav+1j2oR8Ome6OVbbqO2vcLqi8+fPf8Li2eUabKFFEmgOhvl/v1yvva+Hppfy+Iot3Du5KMH+b9hZzW0T8pFlmQ4ZbgTQSOBVqfE1k53mIhqBF97aw6jiLloATBWV5D7T69Tt9yoE3P4jPrpkpySsPdVPUuFl4vV45qQCvoqDCI9N2j+xcisLZ5VxuNqvQdXOrywhGr1w7ekZSxReWb9Pp4+vrN/H9GsLvpVnZAYX/NWJBt0hK8Vto327JBbPKicc1QdVw0gJ627x8o2anY31dW0WhXfsvhuLsEgi83/7foI+zL5+AAAWi6jTPyNf/PEVW5h9/QCmTcinXYoxZJ4aFFGT57OvH0DEaQxdG4nKWOQoxMHiqmOpe5nLYWGRQVBp8ujeF0SR0L9avg7iNozS3ebzBzTbWeNr5uk1O1hwS4l27lPf3eOtQa9pE/JxO60J7z7FbdP9XXXIx4InP+AX943AZpGIRGVT/7v6ZDPTrs1n6tg8RFHgZF0zoUiUYCjMQ3eUIomCFugDRQ8fXb6ZhbPKWPDbDxLs7D0VRbSE9P5yxeheGiRTrN2YMiaPX67aqhUtTZuQzyl/kGuG9eDQMT9vfPSl7p4Vb36unetixSjoNL+yBFDW1YUWCDQ/Gxifq9TkaBilexkgHJZ55pWduuI1gHsnF3L8lAKjXV3bRLLLxgtv7dHssVpNX+Nr5lRrwWbBJenccEUu904uJBKFD7cdobSgI+4kayvy0V5TP/x0xv1fAAAgAElEQVTkqQChsKwVoj772i6mTcg33IemjMnDbhPJ9LqIyjJpKY7W4uK2E40pbhtpKQ6iMvTplp5gxxct28gjM8sS1sHi5cr5OTYov6CyBLtd4tZr+ml+SlSWdagaqt+r7kEXUgHnRbkoF6wIckLxwm3j+/Hj3yWexx+eUYrNIhKJyPz4d+/j9Ti4Z3IRy17d0RpbUGIUyCQUIlSM7qWd0c3sal1DEE+SlbL+HXj2tV1MGZPHktXbNfopQVD2gGdf36mdIdV701MU2MN5vzntHztsFg2JIn7fmTYh3zShqHRb2xMSjyv++jnTry0gIstMv7aAynERjp1s1EGmLl6+UTvXaWe1GN8rGgVRFBAEmbAsgEW8WAz3HYgZgotxnEfhHvZ6HBT1bq9Bz8JptEVPkpUMr5NAMEzf7mm8sLaKuVMHkeKyE20t+po5qYCTdS1aQdzs6wckxKnU+AAyPDi9lKZAiBS3jYemlyLG0aTENmqJgkLBVteoIAp4PQ5EAa4dcQn+phCBljA/e+70/q4iG1Ud8mnIW5leJ4vvLGdEUWc+2HaUkrxsHn12k7Y24tdnkt2qGzP2GZ4L8QjTJGBVVVXLdzmR71IkUSAcVngVjKor1O68WKnxNdPQHOQPa3YmBInVg3lsNboa2Fo4q4zG5pBpoFqtfDbj0pFERVGdDov2vSqnxL2Ti1j93hfcOr6f7l6VX6d9uyS6ZHs4eSrA25sPcXlJl4RqQG+yneWv7kqogJs2IZ+Vb+4xTMy9sn4f3TvqK/HU++oagqTFBUlUPh/VUVYNghokRJAZV94dIS5IqgY+bxvfT3se8c9HDaBeSPjR8VAEoCYwjBMqRolr9cBot4qG3SRmHXyRaKLzc/cNhSCYv5/5U4s51dByugLUbUc2uV6SBA2+KHau5klD88RnMByJwXOPnHUHoCrhSNTwuxfOKjPHUzYQs6pej8v4QHs+6m68pLjsCTbmgduHICIQjkZBVIoXjBy9+Cr9dZsOMm9qia4rdE5FIaFIFFeS1TQQHQ+LZJSEvnV8HvN/+wETR3Tn4Rml+JtCuJOsNDQH+eGv1uvWQqrHxozrCvjdn7eRm+OlJC+b+sYW/E0hcrI8WpDFqKs7FA4Tjep/a6xdvXRgZ557fTejiruACZ9KitvG3TcU8u4nh7lsUGceXf6hbn4q31RTS8jwfodN0bqjx5t4+W9f6J7FqreqmD6x4IKyt/FiZn8V3jJjkSQRX6NxlWhsV0MwpHA4qXwMDYEQqR6HrpNU9TNqfM20BCI4HBIna5tJT3Hy06Ub8Hoc2jurrQ/wwO1DqK5tMqlcDeoObbE8fOo1kSjU1TVTOa4foYgxzF0gFNb2EXW/v3dyEUtWb+OaYT2IRo35rbweu6nvk53u5vE/bUn4PDZpH43K2KwSleP6avydt8X5Q6ffz+kuCTNexgtFLJLA+OE9DLh9BSLfQnTdrKPqyRe3AegOWUvmj8LSypkQe8g0W3dZaS4G57XX4GbjdfnW8XmG91kkkZ/8/iMG57XXVaTGJ2Jir1+47CMevavM8AwQHxRJS3ESMdF7SRKQZcV7MKrYfmX9PuZOKU6AfozllTtXDpHnuxjxAhn5crFd+ArPWgGP3qVUTH91okErVFD35qtKuybokddjN7TTVkkB3BFF4yKl6tomnli5lQWVJYovXtvM7gMnGFHUWeMcvHdyoaldNPq8XbKDiBzV5pPpddKpvceQQzwYCmt7hmqj1WT6/KnFhvcYKa9R0EktsLlt/IXXaWX2bhDkNrskIlEZh82CwyZhs1q4dXye1tUX24khikKC/3rKr6BevPvJYR6cXkp9YwtpKQ7mTy2mqSWsJdCMOqxV3Y73bRdUlvDq+/spycvWfscpf5DGQCiB52re1GINtUD9++1NB7licFfTOAoodjXZZWflm1VMvLSnqR03e6Yy8MObB5HssnHkeIO2N82pKNSCmYtmlbXpM6vB8ou2+aJclPNY5NNFdN5kO0l2q45TT5UaXzONgTA//t2HLLqzTDuXiSJ4kmzIMlohr1HBS1baaX54I7QL1Ve87rJL6Nzew7UjLsFqEfiPy3vx2LNK4iG2sy++yKGxJYRV1J+//E0hU9vZNTuZR2aVsXT19oSEooBSxGQUw711fJTaugAZXqeSuFm6IWFsb7ISC/IkKc9SjftYJBFfINHXulgM9+3L2cR5wq3ns2kT8hOSYur5LtOroK/kZCXz0NINFFySjoCgFaGp+31Ullt5/xykpzoN55CV5kKUBJ5+eTsVo3tzqqGFx57dbJiM8/kD1NYpjQBPr9nB+OE9mHFdPhFZgTIfVdxFy0fMqShEFAT8TSE27jxquOaOnmzUCrVVHnuj9TlvajER2SxedG7Eys5oDrm5uSIwDRgBpBPjvldVVY3+dqb27Uk0gpYMM3ImVQzbeAfT7bRx6/g82rdLYtGd5dQ3BjWDddXQrrpDnhqkEwRw2i3aePGK4vMHcNglkuyJVaGZXiWIUDFaMeixwb1AMExWehJXDe1KtLU61OtxcNPVvcnwJoEMT63ernOkV71VlZDImDmpgOsu66lLAqqHuFSPDXeSlYWzygiFopyoa9YM7zubD5smQ73JvRICG/MrS7BaBC2rvvTl7SyoLEGSQIgohzpZEg0Ti18e9Wv3JgRTWgOoZgH+8xE2xizZZ7UkQgDMm1qM3SoaBg4kUSAYirJr/wkemVmGLMsIgsDGnUcZmt/B9HmadRAYXW+ziNSGorouuvtuHGjagWiRBC1orSYN27dLwmYVdfqvdtJIJgTyynv/5zzvaNTYuYtG2yBVNZBvWtV7PosoCrpKe5tVpM4fNISOinf04qv0bVaJYCjCg7cPRWithFfhAhfOKqOhOchD00upa2yhriHIuk0HGT+8hwaLNH9qiWkSuq4hyOXFnSkf0Emr9lPviU2c/HKV0r19qiFAqseWEPxSYcLiO6Y8STaeWLlVg8NQKwJj7WqPjgXaWBt2VmsY/Eb7lIr3H5+8/uWqrQqXXRvdiIFgmFBYxpNkNewgvnZEk+keeSHorHmxhQhhY6NjtPbVKlEdtJyo6N/GnUeZeGlPJBEEQeCx2cOorm2iriGoI1k/VtuIw2bh2dd2c9/3i/B6HIbw5jlZycyfWqKDfr1lXD+eaYXBUG3qmr/to2J0Lx5cusEURtEINstmkWgOhhJgxNTOkHlTixOKTeZNLWb7F8fJvyTD8HkKYAgRHpu0P3EqQGNzWLdeb7/WuCJPEgUkhAsWejlWLBaBVI89AZ7NYhGIBP/532fYUSWJhu/XIooYZSLN9npffQu3X5tv2M00p6IQt9NmeF84EtWCDqluG7OvH4DbacWdlNg5reqdGmj+xYqtGtyN22kzrLK2SAJ/+fCAYZFVJBrlx09+qAXTH5peSnMwjN0qYbWKjCvvjiQJhl2EahfMuXKIvBDFzJ6rCdpMr5NDx+rxehy8t+UwRb3b84PvF/HlUaVredLInoZnOqtV1J0PB+e1p2J0b80GD85rz81j+iTAJP7uz9s1f1JFAnhwein3L/kQr8fB7RP7oXLIJqyDsHF3oSAoBbHqd/mbQoRbuZHVa70eB6FwhCSnYkdffHuvxsWp6qnNamFRHAy76ofEi3nQKXreIwwYidm5FhnTDlX1PlFplkhI0r2yfh/XX55LSzDCL1ZuSdDBKWP78KMnP6QkL5v7lyg2akFlCW6nVedPGnFwq118oUiEhbPKkGX4e40fURK4bFDnhDNoY3OIdzYrycaWUBiXw0p9Y5BRxV20ZOTi5UpA8e+tXMlmAbcFlSVs+fwYlw7szLOv76SylYPQ6IxpeH6WRDwuG3abRMcMt5Y49Te1aNebJR9jeQMv2uaLclH+/aWtYkELMHl0b1a8+TnXDOvB/63bw5QxeYa24bivGa/HoUM8yfQqKC3/tUTfOagWvFSOjSADvvrTtkeNGcycVECHDDcHj/oVezu2L8FgVJdImVNRqCETrHxzD1PG9tGSFmkpDjxJVp5eo0Ae3j9tsBY/njSyJ95ku2ExUqbXyZHjfpx2KzeP6aNLKN5340B+/vwW5lQUGsZwBcBmE/E3hjjVEDCNW/wshvpBPZd9na91seDi25OziauLrdeqfp9Z0vq513fzg+8rzVcTL+2p+SdwGnXxoemlICi5j2MnG03PfRleJ6OKu+ByWPnx7z7QvjcefnRORSHJbitvfnyAUcVdtNxHksOSEKObU1HIsld3keqxUTmuH8FQmIWzyojKEI3KNAaCeJw2rXvwZH3AMKanngtvMfFDzpVYmfTAAw987UW//vWvfw5UAm8ANwHLgMuBv86ePfvtb296/5CkAvc0NweR455zGFj51h4AamqbmD4xnx37TtAYUAKb48q7MbSgA1urarTP7r6hkP9dV0VBzwwFWlMUOHaykUef3czWz48zclAOeT3SKMnLQpZlinpnUt6/A6keB0+t3s6UMX3Zse8Eh6r9pLiszJzUn3Hl3bi8JIfV7+7jzY8PJcxjTkUhf/nwACV5Wbywdi8n6wKs//QI6zYd5tiJJobmZxMMRQlHZa4YnENuFy+/XPUpq9buYeOuY0wZ05cjNQ0cqvaztaqG743sxfpPj2jPoTEQ5qohXUn1OAi0hNn/VT2gKGhZ/2wG9Mrkgac+ZsWbe9i06xiXF+eQ1z2NcFTGbrOQ6rYxtqw7o4o7M3JQDhaLwOC8LFwOBbv/6qHdGFPWjd5dvSx7dRd7Dvn4z+8PZNyw7vTuonz2yvr9FOdlk2S3IAKD8zvwyefVuue+8s0qduw7yR3X6Z/PgsoSXFYJWZaxiALFedlsiXlnsf9+puJy2R/8B/XunyWm+muzWSjqnanTz3lTixEFgdXv7WPGdf0ZP6wbwws788r6ffTq0o7X3t+v+/wvHx6gT7c0BAEkSYHZWvnWHjbsOMqVQ7riTXbQp1u7BH30JjvISnPx5IufsWb9fqoO1jJlTF9S3DbK+3dgUJ/2XDWkK+X9OzCuvBuSJPLTpzdoBrAxEGbbF8cZVZxDQc8Mtn1xXBv/vhsHkuyyceJUgF+98Clr1u9n94Fainq3J8lhpXvHFN333nGd4tAU5iY+C5fTivxPCuqKFpGPth+lMQZKNNPrZPTgLhA9c92KcNruqNIYCHNNeXeG9Gtbd10uO01NXx+d/XfQ31hxuew0NLQgyDIiEEXg/hhY2cZAmC1VNYwszkEwGEiWZQRZxiqJ1DUGeXDpx7ywbi8bdx5jcF422/YqNnfCiO4EWqL89A8f8/LfFP2ZcV0BFovA8AEd6d21HZntnGz9vIYJwy/RvYt5U0uw2ySKemcm6PLWqhqKcjMpzM3UbO2wAR1Y/tpuZkzqryPybgyEqfE1MWtSf7ZU1XCo2k/VwVpK8rI0Lh/19/7w5kGU9e9ASV4WoiBQWtABkNladZyTdUrg3Gjvuu/GgTS3hCjMzSQrzcWne05fr85hRFEnCi7JYM36fdwyLo/tX+jXeJLTyh//8jm9u3rZfaA2Qe/79Ujn4+1HufN7AxhW2JGSvlkEQ2FmTep/1vbWQB/Oef01s7/JSTaiYWObY7b2xw/roVQZtIpFFBgxqDNdspN55JkNrFqr6HJx3yySHBb+509bOFTt197V829U0aNTKh9u+4p+3dPo270dv/nfz/Tr5/MaeuWkYrWKFPfJ4nujejJ6cBcCoQhds1MSbHmHDDfjyruR3yODR57ZkLAW7508kE27jmm/fe6UYqLRKC6HjUAwzFOrd9C3WxqD87IYnJfNga/qGTagI9v2Hmfq2L6a37N240F6dWlHMBRhRFHnhOeJAOUFHXVrcU6F4g9IoqB0PkgC6alO9h46xck6xRm/urQrA/tk6cabX1mCx2EhJMMDZ2FfVDnf7G9EFti86xh9uqXhtFtIcdv5ZHc12enus9rTzkZUWy0CgiwjSAJ9u6cl+BgpbhuyweHIZhUZ2Ff/XlXINkkU6N8zg8F52dTUNnGyLkCnTA9D8jvwp7/u1vxv9b57Jxdht0uan1Fd28iIok4EQ1EeXHp6j5g+MZ/G5iB3TCzA7bTQu2saoXCE97b+naLemYTCUV5YW8U1w3ok+koeB6IoUn2ygRuv7MM15d24cmiX1kR/C3nd06mpbeKzL06wcdcxendpx7zfvM+GHUcZ2DuTtGQHG3YeM7S/VQdruaKkbZ39JvLvor+xcqZr858pZvZ8TGlXtn9xgrtvKORPb1Tx1saDXDviEgRB4FRDC0te2s6haj9jSruyZv1+3ZnuvS1HuGpIV15YW8X3RvZiTGlXhuRn63yOI8cbOVnXzMhBObiSrDjtFgKhCN06pFBT28Shaj/XXdqD3l3TSHXbWLV2L3dMLCAcifJ/b+9h+sR8huZn63x0q0WgJC9bt67mVBQSkWVWv/MFBT0z+NkfN/PGxwcZOagzL727D0Djsf/N/37Gqrf2aD75lUO6sHHnUfp0S2fz7mOU5GWx+r19Cc/qmvLuuJNsuncnSCIf70j0tc9U1883/W3rXBuJRHX2NNbvsogCNruVh5bq97od+07ww5sH4XZasNsktlYd5+YxfTQfYPeBWkYNymFYYUeSXTbtXdfUNnHZoE68sHav9h2qDquSm+NVOlCe28SOfSfJyfLgclrxOO047BKPPLMxYS4lfbN4es1OWoJhenRK5aGnP+ald/dptlf1qceUdmXFX6s0f/dQtZ/G5iD3Th7IyEGduXpoVxx2ifbt3KxaW8Wo4i4kOS2U99f7D/OnlrB240EmXtozIZbw5oYvWfO3A3TrmMKiZZu0PWDkoM6MKunMpl0KzHN8HEL1SVQ/+2z01UAXzhX9hbPQ4W8q35btdrnsrHiz6oyvv/HK3ufc9d/0ufwr9sOY7z7n9Bc46+ehdvo/8NTHrHxrDx/vOKrFKeVWW5tkt1DYO0tLBP7vuqoEP1ONX8ZDzDcGwgwr7MjLf9uv+97GQJhx5d14fMVWCnqm43ZaGdArQxtTEgWuGNwFl9NCqttOYa9MrBaRB5d+jNfj4I6JBYwo6kRLKEJR70ze3nyYk3UBjtQ0cNcNA0h123HaJX705IfsOXQKgGMnmpgzeQB53dN48sXPWP3ePqprG5k5qX9CDPxPb1TxzieHGT24KyV5WfzHqJ6MKOqMvynIti9O8NXxBm4b309nc+++oZCVb1UxrLAjvvqWVujSjny6Rx9jef6NXdqcYs9lkahs6mutWb+fceXd2izGlySRqCgQQfEvnHYrzc3G+nAu6u+ZxNC+rfV+NnF1ySrSt3saLaEIuw/Ucqjaz5GaBm66ug/jyrsxenBXnlq9HZ8/wBUlXfh4x1FGDerMqhifAlrXwLDuNAaCpCU7eWr1DmZOKkiIU6V67Pz1oy956uUdXDqwk+arpKc4uWxgZy4t6sQ1w7pT0DOdZ1/bzV8/PsiVQ7rhSbKyZv1+LfcRW8ik+iW3X9uP/r0yqK1rRpahtj6AIMCa9/bRub2HRcs2aTGT0vwODC/qyKd7jmsxvSH9smkOhunTNY1kl40h+Vn46gNMHZvHuPJujC3rhsMiEv0WkoBnq8NnWqx0PTCsqqrqQG5u7oKqqqpHc3NzXwV+ddYzPAckNrsdW12R1c7F4ZoGLJLE8la8erXVOxSJMP3aAla8+TnbvjjBY7OH0aGVyFWtTLh9Yj/CEfjVC5/qqn5P+YNahjgjVcGrj6/aePa13boscrLLRn1jC98b1SuBN0uF+4wd4+EZpYZdH2q1RI1PgTmKFbXr4+iJBq67rCeHqxuoGN2L7HQ3FkngqdV6np6FrbxzgVBEq/aIr1SN/T0Vo3vpuBUBDnxVzz0VRbp28FgM6C5ZyVp1o1o1q1ZYP/vabiUjb1CZ0xZ3x/kmzS1hXv/ggMJ/I0IkCi+9u5fJV/bWuBZVyfQ6uenqPlw2KBEqy2oRiUTlBOzvX65SoC7f2Xw44TuuvzzXsBNw1qT+tMR1/M2vLMFhN4cJXbvxYML4FaNztS5d9dr/+dMnLJxVrlUyxXat3DGxgPVb/64bZ+3GL7mmvMc3gn0zugdZ5ke3lGiH2Eyvkx/dUoIkn11VsllVjSi2XdV7Ick3hZkMA4+YVIstfXk7yIIBd+QmZk4qoL4hSIrbTjgik9nORUsozD0VRXiT7Rw72agRVj90hzH0rMNm4fEVW5g2IZ91mw6S7LJTOa6vBuUce4/KwzZzUgHuJBupbjv//cdPdF0kNb5mwhGZ9u2SOOVv0XUtxXYdVh3y8cr6fSycVcZxXzOiKOByWnho6QbD66GVm6UuQGY7J+OH9yDD62ThrHKi0ajGI6RyGia7bQldLCrc06UDO2tVjKpjmOaxEfqmmLv/RmJmf6+/vJc5HGgbaz/WiChcU1JChf3CZRtZfKfC/6dypa18s4qqQz6t4u6V9fu49RpjKEyHzcJjz25m0axyZGTCYRmLKBra/vunDeXI8QYdJExujleDKLVaRB6eqcCcO2wWjUQ706tA+d5yTV4C7HhDc4inXt7Jy3/bz8xJBXzw2VdMvjIXf6PSlef1OBQfLM3FiVPNpLjtiKLyrOO5jKZd248Ul02rZFUTkZIkKIf0KKyM461a2cpBdSHD2MaKKEKfbmkatJtWSCQKfFe7jgp9m8D/+/0iw3cRCkZI99g0nt9wROaDz47Qt3saDxtAc1aM7qXZ/FjOwbQUByfrmjW4pNwcL9cM68Hhan8CF/gvV23lgduH8sTKrTqI3J/cNhh/Y4i0FCdTx+Yhy1EeuH0ooihw3Nek8RjHVn4/MquMr443GsKIVh3y6WBus9q5QIAfVZZo+1psJ8+FxIt2LoqZPW+XonRVxZ5b0lKcPPDUR3g9Dq0y2qyjSBBOQ3kp3EGJEJ4bdlZTcUULMiTAyH6y+xhRGY2fXq3MBgV+MWTgo/ubwvxhzS5mXz+AtBSlQtlqFfnTG8pZ9+axfbSuV4ftNKqNGY/9ojvLubq0G/6mUCucpHHlv2gQuTODDb5Qdf2bnmsjkSgIguFeJ0chGokgSSIVo3sZcj/NnFRARqpT1yEiim1zcKv6YIhGUFliyDdskRQlUHl1zPz3cCSqi9V0zHAjyyBKAvWNLQSCYeV8eG2+Vtnv9TiYfl0/Xdes3SZqMHWx+47HZaNPt3SKerdPiKM88sxGFs8q16GVqJy1FlEkEArr4NovZH29KBflfBBz1KYyLDFcwCDr+PNUPzOey92Iw1TlG4vfF8MRhWP4qZd2ML+ymIbmID+dUYoAnDgV4HcvKvEIlQ6qcmyeqc19ZGYpz762m1SPjcZWBJR4CqyqQz6amsNa3BrQECjU2EIstCcodlcU42EcS2huCWG3SwmQoACn6oMan/zgvPY8OL2UhuYg7TwOEDDlzm6LxuXrOqqMYNt/fOtgUhyWCzK+drZyNv6HEJHxeuz4m4IaLY+K+jenQvF7ff6AQjthVWDto7IxXYJFEnh0+WYW3VlGxeheOGwSD04fCoAoCNhtEv7mIAU9MyjJy9b8UnUdxMam7r6hEDhNlaOuu0AwjGwSD2if5uK4r0nnK8+pKOT6y3O1jkP12sdXbOGeiiKNJqgpEMJqFbXzZabXyQPTh3Djlb11MeRzBYHoTOMerqqqqgOt/92cm5vrrKqq2pmbmzvo25rYtynxBw2V1P0XrQf9n909LAEGLTfHy33fL2JUcQ4ledmcamghKQbms+qQj+aA3pDW+JT2bpULb+GyjSyoLNG1S6sHJ/WapS9vZ+6UYgItYcIRmdq6AO3TnDoor4rRvXSOqtfjIBIxVmb1AJjpdZLiPt3irSq13Sbx1Es7+OGUgcycVJCAu6xCcqjj+fwtNAVCWvBkypg8GpqDGil41SEfT6xUnHczbsX01gUQC+sYicoKnBogRKJIksi6TQe1wKO/KcS6TQcVbg4TWBgj7o7zUSRRMEz23XqNOb+fEafdojvLTbn/ZBnDxKHNKhpyCYWjsva96hgqtMHgvPaMKu6ie4+iKBiOL8vGSUNZlo15RYBLi/Tj/KiyBKsEJ/1nB/tmxtnnTbKS6vzHk3RtBjguEN39OvmmsL5mwf0Ut02BHQoY8991SHdzoq6Z3/9ZqVBSA773TC7SoJBUUWGXjZzRGl8zme2c3HCFcUFEbBIOWSbZZddw040g8VT8/PiDSOxeMTivPbeN70coHCUQDGOzSloC0Oj62CDy+OE9kOOKURTIZokffH8gogjVtU28s/lLpk3Ip1Omm+rWQ41R8O9CguUws7+TR+ea6ujZBDfNuM9C4Sg/ieGPmFNRyOHqBi04VjE6sWBInVtUlpk2IZ9QOMKh6gY27jzK90b1MvyephbFRt82vp/OsY7Hug+FIwk2v7q2KSGR8sTKrcy+foCWSOyQ4eZ7I3tRfbJZOxTW+Jo1HZ05qYDqk00sf20X90wuSoCXuX/a4ASibXUtqTDjp/xBHUQowG3j+11QsOFtSSRCQqJ58fJNLJpV/p2tYRX6Nh562ehdqMU5zSElAe60igRFmZHFOcz/zfsJwWM1oax+Hss5+LO7h+kC0qo9M+IHr/E1a/NU5/cfl/ci0BLRdFe1nU2BgGbrM71OfPUBLXlS1xA09MNiA91RWeH5SnHbiMqw7GWlAnbRrDIFelwUQJCZfm3+BVskdK6IhcQErVLscBpCFtRkl6DZuNhiUCO+9Wde2cENV+RqxY7hiLHPYbNaEmCU1OI9dU9Xi0MCQaWrrmJ0Lw0iSb1n0bKNLJxVhs8f4Ce//0gL5q165XPGD+/BxMsuoa4hiM0iMffX75Ob49USmUaBzRpfM+G4fWre1GLunzaEB1u70tT1YpMSLc2FVMx5pvJNzwbxSTuI4yMV0AqZY6XGpyR7n16zg/+aNpi6hiBPrNxKwSXpOrqJdZsO6s6batHQtAn5ponF2OJfNRAHmHJQqf67y2nRbKPXY0eSBKJRqG8IEgrLpLotjCruQvxr60AAACAASURBVCh0Gq522oR8Hl2+OeH3PzS9lMdXbNH2g0yvU7PBZoV+kaiMJCucz9HWQjeVa95llS7q60W5KOeRmMUTolF0/HT3Txuss12qn5mb42XmpAJSPTYWVJbQLtmRYIvXbTqY4APMm1pCczDEf940kP/+4ye8teEgg/pm8VUrR2ns/WrhsSAKbRZzTBnbh6y0JOb/RvEL/E2hhJhckiNxL9+ws5rbxvfT+QzQarcDERY+E19UrXxfcyDMuk0HdfHz+6cN1uLX6tgHvqpn5qQC2nnsYJIMUu2pka90JsVwRsnch/+w4YKJVfwz5Ez9j0gkissq4U53K7GBWeVEW7sFa+ubqRzXt7UQR8JXHyDJYSUqRw1j1sFwhDkVhdQ3hBIScQ67REMAXA4b7iQbSXYrEGXulGLqG1sMC5vV/T3ZZefP7+zVOgktJvESUcAwZr7QhBM41WPXirPDkaiWAFT/vfpkYlzkXImZnWkSsCo3N3dgVVXVJ8AWYEFubm4dcPTbm9q3J7EHDQQBZFnjggI9DjOc7rz7SQwX1NwpxfzlwwM642SzSoYKkpXm0sYzc3Y7ZnhYMn8UobDMS+/u5XB1AzeP6cMTqxQD/OhdZVpFW4rbrpvbzWP6cKzWGDdXrZZYcIuysBbfWU5LMKJxZqldH7LcNu6yOl5dg8KDqH5vPN9AbOWLUbXr4Lz2NDWHdBXScyoKOX5KWWRqlYYoCVSM7p1gHGySQOhCzZC0illAORiWSY2plJdEEVGCUMik+6ENLkVRFAyN4KN3lZt0CBoHlKOybPgerVbRNDFpNh8j475oVjmpBkGDYEQ2reQyM7ptVX8J/4Qk3cUAx9fLN60EN9NjlWvvpqt7G/57ja+Jn/z+I904Pn+AhuZggj63BMOmGOeZXicep435v30/Qadjk3BzKgr5+fNbSPXYeHB6KTarkMDjed+NA7FYBMJh42RQxww3v7hvBMgk8BMaVVu3b+fi0bvKSXbZefPjA0we3ZumQFjbW9TrFrV2ettFZS2o63PtpsPaHujzB0yDfxdKN9U30dGzWftm3GdfnWgw1S2fP4DVIhGVZe67caCuE++HUwYRCkUT9ty21sxVQ7sSkeVWJINAgu1dvHyToUNsVvjjsFsSEokPTR9qeG12upvH/7SltctxD3OnFGtdAplep2ngUtXLhcs2ck9FEQue/ED3u8wOlBdi5b5Zovm7WsOSJBJB4Kd3lPLViQZWvrlH40ONfxdtFeeEMS4a6pjpwWKi3w1NQR3niao3Zt1ZFovIY7OHEQxFCEdkAsEwi/+oT6AuatU5NQE4b2oJr3+wn/+4vBdzf/0+AL/94UjDuXbOdPOTaYNpaNT7xXffUEgkKitFcegfyoWkq+eiRCJRUjw2HV+eyj8cu98vqCyhzoADJxiOkp6q2GFRELSK+c7t3bidVk7WBahrCLL7wAmtqjpWL4LhiOn6jQ1IPvf6bqaM7YPXY0cURcN7whFZxwknE2VUcRcskojDasFiFWhujpDp1fOeGAU2jfapxcs3Mfv6ATx0Rym++oCuM9vs2V4siPvHxSxR3RwM8+xru9iws5qFM8tM4wZqEPiJlUpX3aUDO7PqrSqto9rrsbP3kK+127NF41418w87pLt1RcgLKktAgCXzR5kmu91OGy+9u5dx5d11tnHe1GJWvVWlQwLIbOfUFfuZzaOusUXjPY714Wt8zabBaLENjvmL+npRLsr5JW3FxWJjRCvf3MO9k4sSrvX5A3hT7Ewe3VtDOplTUagrnr9mWA8ki8CiO8uJRKLIMpyoa2bzrmOMKsnRfAu7TaBjhsfQlqW4bQSCoTaLOR5fsUV3Vtu48yg3XJGrizk8PKPU8Pf6/C0J827L/3DYLCxevomHZ5TqOAOzTebXId2tnTfaKpBXz85nWwx3Efnlu5VIJEpGOxfHj/sBkACrTcLrceDztxAKy/zv2j1MGdMXBLBZJNZt3M/904ZikQQkSeC19/fz0nv7uX/aYNNEnK++hf+KKzRzOiQ8ScZ6luJWkvFOu8T0awuQkXlq9XY8SbaE+NucikJCJrG3iEkjQHVtI1lpbkKRMFntXAn3msVFzgU9PNPvvw+02PkPgKcANzDz25jUdyGq45aR4eH4cT8ComaEXnx7r87wxXfe1fhOV54jwLQJ+bRv58TltBob0voWHplZhizLptV5J041s+DJDzQjK8RloqNRtCq6BZUl2hixEBxGRNkel41Fd5Zz4lQTKS6lgi4QiiQkZcw6CVUI0djqi6uGdjXsBonPuK/bdChhA7llXL8EMly1U6DGp1RpPDa7nGAEk86yf33m/F8tRklsC0pg4b//+Imue/LFt/cyd8og4+BWa0t//DuaU6Hon1nA4OqyrtT4GjWIlavLuiJJJhUVomDaIWg0PhhDbwrIOgJ79bdFozIROfEQFjGBwmnL6H4XDsPFA2PbcraJUrVDBMHYiVRh3Mz0/NnXdmtjZXoVOK57JxfR0JQI1XGqoYU3PvqS2dcPID3VybGTSmeczx9QuqYbWowd3Qw3S+ZfzpHjft7ZfFhbn8dONtAlOxkBWDirnHAkikUSqK5t4n+e3coPvj/QcE3JMvgbg4ld58uNq63/XtPAwmUbyfQ6eWRmGa9/sJ+rhnYznKsgCIRRuh4fnlGqQS4q3fJ2Fs8qJ4qxI3ShdFOZ2d8zgeg6k7Vvk0iojltQWcKTL27TXafq1qN3lVPXoECOz7gunySnhZ/eUYoMHD3RYIhQ8MTKrTw6u9ywwlJNwA3Oa8/UsX0NndoaXzPBUDRBDwLBsKFuJNmtCd17R00Iv60WUSvIWrvpMIerG5g5qYAOGW7lECiZw8OoY6elOBic114LEhodKC/kQgyz/VqSBKUC4Fv+bqOkXqrHhhCRE95FbHGO2k3aEooQxobF5HeEw1FsDinBJ543tYRQOEw0eroCVU3+mRHZL3lpG+OH99CSPA/PMO4WSUt1sGhWGf6mEKve+pxRxV045T9dUHiyrtlwrsdqG+mY4eanK/Rd3GqRk/rMzhba/KJ8u6LwR25I+LxjhoenFlyOJAhYJYigBCBU7qBY/ZpTUciyV3dRdchHbo6XMWXddIU9d99QyPqth3ng9qFIksBXxxt47vXd3H1DobFPH1dAoiKzzKko1OAd4++prVO6cQfntefW8f2QozL1liB/WLNTS8y/t+WwtleoEE8/nDKIeycXaZ0C6vr6/Z8T9ymbVeLQMb9WUJqb40VG4OiJRiRJwiZxQUCJf5fSVqJ62oR8NuysZvlruwyDvGphW7g1LhDb3ad2eGR6ndw/baiGmKF2iZr5AP6mIA/PKMXnb6GuIciKNz9n4qU9CYWjBEMRw2T3L1dtpWJ0r4TizMXLN2m/QY3HLJxVxuFWvuQan3lRR11DkE6Zit/kdtr45aqt2vqTLILhHoBw/vu156p4kp047P/qUOlFuZDErFgQQR8jqjrk4//e3mN4bSR0uhC9xtfMs6+dhjJWqY5mXJePPxzU3Tt3SjHPvb47oZPOyJZ5PXaaAmGND93oTFTjayYUc1YryctOQAF5es2OhGTI3TcU8oc1OwFa5+3hy6P1bfof6vf5m0K6WF2tyfyskkgkouz7bZ3L1LPz2RbDXUR++e4lGpWRJVF7jwAWUcTrcZCW4qRHx3yO1TZhs0pYXCIvvack/X7z/0ZqCBJgnjgLR2R+9sfNCf7A7OsH8P/Z+/b4KMqz7WuOe8om2SQkoOWk4oIB2gQSJIknwLSKAprvk6CVBA2RxAMeXoWAbwGFBPWrVtuqBbQBrURbPKDgWwTqCZQg8BYIENSCoEAgYZPsZk+zM/P9MTuTmZ2ZEBTktNfv50+SbHYnu8/cz/3c93VfV4TX1yRkYvPzdduUfLbhP82YfGMmKBIgSRI1lQXgeAHHPH4A5uum1aApPrMkBwxNYsX6vTjY5MMjd+hJAWY50dmwDru7s+5ubGz0AEBjY+NuAAUA4Ha7k0/Xhf3ciC0OqXXfAeOGQlICi6PHA8rB5pZrLtFtBlWluaAoAr4Ah5raekwvzjIsRosQled94c1tOlkKOaF1Oa1w2GglYZbZbkc9AY3OvSvRiufe2CrJLVXm4/d/6zyoPX73CDxRngeSlJqL/1i/F/m/vMhwkaYmWZUi4/uffYviwoHgBUFpGqq9grx+Dukp0uaxbHWD4jn1YHE2CEK6EQjSuLkkewO4nFa0esMIccZME0GIbgQXOGKb2DwAiqaQ7NT6PiY7WdMGCEkS4AUBFpaK8U2gIHbBiAyGeN2ItsVlLEUKk2YiL4jGMqEEAZuVlvx1CEAQAZomQJEkJo8dpPsbGIaAYOCJ+2M2/3jCcHagu82S2GLyiMwMzJ+WBwIESBKa6W6SIFD7wS6Nv5iFpTQybw9MzMLv/7YFADB57CBdUSI5Qc/su2tcptKAKRo1wHD9HDrmQ5+eTtAUiaJRA3CkpQO1H+xSEpJVG/ZhdE4f1H4gyR/SFBlNdgTjxPz9nSgZm2l4X13cI0HT/JALOvLPeV7AO5/8Bzfk9TdpMIqoeknr9Vd+yxBQJAGBByKCAJYhdQzzC22ayij+nipwYR6pSRYlBvIC4A9xhrKxFEkok0YA4A9FQHEk5r+xCS6nFcWFlyMjxW64Vo40+/HuJ99g/rQ8CCJw4IjWg3d0Tl/MXfyl4i0Vu1Za2gK6gllGil23D8yYnAOrRT+FsuHfhwwPz1/u0H5flmv3BcJ466O9qCj6paFXmrzO013SNEr5hKG4e5xgeqC8kIkYFEno4tvMEilXFU5zE/BEE/exkMk5svqEer3NKx9puBaWrmrAxOvd2LL7iM7b22m3wBvgwDIU5k4dCQvbSQB8bfVuzJ06EoFQBFaWRpiLYHROX6z89FsUjRqA6tp609xIFIGqFzunTydccxleXdmgSDu9/uEe3ZSuvG7vGmcczwVBBNvFJGS8EXjmYJ4vAulRNrSsWuKyMyifMLRLpQDJw9LYF63NF4KVpcDQUs4S5oxVCUCIuu8/Nnk4AsEIOgKcLp+YMTkHwXAEi2aNgc8f1qjdyGuzurZekpLmBU1DafE7O3FFfxeqK/MRiQhd7lNJDotSUOz0tP9cc1ZIu0A8hX9OmDWqZZuQxgMeLFu1GzX3FiASEXCo2achtsnEBbOpOkp1nldPnhqRi0IcrzS4Zew71I750/Kw/7BEkHuwOBupSVbNdahlndWv/Yv0BLj7uNB4wKMUBxOj8qHP123DivVfG+bP73/2LUbn9EV1bT2euq9AyXeKRg3A901e/M8X+3We92ZTq3GcflgtNG5+5L2T+p33fz/+NF1NHBcCzMiCEYPcb/s3zfjtDQN1jw3FqG00HvBg3pJNeHnmaGWq2UjWWx4wUTcB69bs1eXrs0pzwTIUHn95o+EQiJrM0dwWwGOTh8PbEUYvg3i6qaEJZROG4JE7hsHltChNSjk2zluyCYuqOq/bLP+QX6+lLYgV679WasMRXtBJgs8qzQWF03v+MmrmPn7XiAuqVvFzgqJIfHekHfNf3aTU5GKV4GTv6rEFlwDovJ+omN6AGYmHIo37MalJNoXwFlsnVnu6f7L1IG7I66+QkdZt/g6TCgeiI8gpZOkxOb11ucPMkhwQACK8gPtv+xVoioQr0QqGJrDonR3Y1NCEEZkZiPCC7hqSEyw6wtzZUjPrbhPwOwCJBt//D4CUU3c5Zxbq4pBa9100YZ6nJlkR5nglEd21z4PRuX01DRVeEBAICop/SGwxWmbnld50hfLc8uPUr7li/deYUTIcwRCvyHNUFA1FD1cnu1PWo053SRr3MrsNIvDQpGxlemr+K5uUib2y8UMUtn3swn1oUjbaOkJISrDAaWdx97jB8HiDeHWlxB4ckZmhY7bOnXolGJrEhGsuQzAcQTDMwxfgkJTA4uIeCabMbZnFL7P+zAqPXclyXOigaQJ3jcsEzwMkASQlWHDXuEyEOQHLVu3WrblHfjsMFEng72v3YnROX1hZKOPa5bcMVZIGeS07HVKD0XhEuwC7/tOsTLwSBIH6hsO4cohxc5k2kXtlaBK+IAeKIJXHhzgeNGksH7owypTXvRc4edm3uFTcuYXYYrKsM98p39pZ3PX6OZ3/1C3XXIKaKNGDIgksfneHkijMfmkjRmRmSAUuXsThZh9efnsHKv7PUMwpGwlfIAyCgKYBYzZJ8vGWg0hK6K8kGEYFtmA4guLCy7Hmy30Yd82lmFM2AqmJVtA0qSQcanPuKTcPNryvfjjmw6TCgSi/ZYiuqSPFTxJzykaAF0TMK89D7Qc7NdNSr6zcqSvOP31/geKxpo7zC1XvXXwy5dRAnvgJhnhUPr1e+b7ai0kdL9XTRe4+LqQkWtHe0enRO2/JJlMWqSz5te9QO+ZOHanz3pOly83Wtdx0Kxs/BP16OUGS0gTfP9bt1ew1b61tRMnYTM01uPu4cN3w3li+Zo9GXkwQRfzy8nQQBDR5lCiKeOujvZh4vRuAqEhACwIgQsQrK3cqUozyPde70Gn43sanqSS25uFjXo10+J79LUhOYE/8y12gO+/xyU7cy80WI/WJOYu+wNP3F2i89+SYJxeY1ZNVD03KBptAIsHKKqxTdx8Xpt4yGHOnjoTXHwZNkwi0RzQStA9MzILDKl1dc1vA8KDZ0qa/vzzeIEiSUIrbdiuN6soCHPP4NfFcNqvX57snaJr+pE8rjp+CrvLFWPC8YKpOISkFjO5SjcUf5BSiXNn4IbBZGdRFpRnVzYqSsZl4/7Nvle+nJtlwvD2o5B4jMjPwRHkeKIoARUpKIC1tQfC8gDc/atSobQTDEUweOwizX9qIpAQWS97didKbrlAKGSMyM3B1dm+tr3BJjiEZkGE7CVlGyjqyOkh8PZ9amDWq5fM2IEnXQRRhpQj0zUjEw7dn41CzDx9vOYgb8/tjVmkuPF7jSQ5ekCdSrErBNxiOwGqhNPKvr62WahxG67ulLYgl7+2I+vSwsLIUMlIcCsGuuTWgyx2KCy8HAeDBSdlYsX4vtn/TDJoksOjtnZg8dhAWVEiNaU4Q8MQ9eWjzhRQi881XXaoUq1MSrQoZtU9GAo61BvB/x1yuePrEz4BxxHFhwogsSFOk4Z5P8JJsu/qxZmobbb6gcp7yhzjTPV8NjzeIQIjDI3dkIzXJBkGQSOntfsm25KhHGgIxUyn6eMtBjM7pg5dWbDetrR5p7gBJEojwIpISWBSNGqD4G0vNF1LJe4+1BrFu83eGrycrB8QS9mZPycUz91+FEMfrzgZdSf7/lDOaUTM3LdmOlhbfj37OOMwRAZQGICARiWOV4F54cxvmledh1osbND7Dci6h7nkYkXhIwnxARSY1PXlPHgBpXT3z2ldKL+S3NwxED5cdPxzrtKB4YGIWlq/Zg/FXX6aQhK4d1hufbftesr2iCNAUgU07D2Pxew2a13ywOBsZKXbcPW4wpk4YApoi8dgfP9Pl0f5QRKnBJyWwSEuygYZe9eZMoLtNQF1u7na7HQDO/F9wmqAuZrAUYait/8xrW+DxBjFjcg627mlC/i8vxtzFX+gW5/xpnXrMRsXo2KQ83WVDmOM1nWOPNwinjcVTSzcqQX/ekk0YkZlhyLp7bfXuTsblSxt0P3PaGbicVvTp6VQkjP711UE8OU26eQ4d8ykyNZ1/Rx4yUux45I5sWC007h43WMPsk3V/taOyuZqN5GkDCTJZli/d1en3Y1Z4jMtydA1/UC/16nLShmuOIghYWNKwGUdTBCIRUTPx9/Dtw0CasDAEUcQVl6RhtmqtVZXmwsYaTwhSZjKh9xaA4wQ8uXSThoHBM8YFkoggmBZdTlb2LS4Vd3bhRAVl82IyAIKACCDVyUZlG4G5U69E03E/rCwNUQQSExhUvfg5ysYPwbrN3+Hmqy7V6NjfNsYNb0cY4Yh0/C+96QowFIVlqxtw81WX4kiMnGHjAQ/e/+xbpXF4zONXJgTNGP7VtfVITbLCZqFgYSg4HSyaWgK6SdvYWNzmCxomSHIi/sgdw3BxjwSlkLJu83e488ZBaPUFNc89Y3IOiq93w+lgQRBSIzV2upsX9EXouYu/RE1lPmhRvGCnqU411Aeh2IOavLbUTZtF725HqzeMxyYPRzgswJVowZGWDk1y+9rq3ahbo5eriZ0QZRlS19iQfdPUPlC90xNw5HiHprm85L0dklxnWgKWvLsTU27O1Ew7TS/OAk0TmikodSFYLS+2oCIfxzwdGvlS9c/qGw7jysEXKTGBJQGRIvGbkf0w4ZrL4PVz+HjLQVw3XFucnjv1SnCcoJtevVCnqViGxEXpTmUySd6XWYZU4t3JorsH+e5O3MvxX5YmlqWG1DjqkWRpAS0hQ/6ZKELjX7y2fj/GX3MZwpygubf+Z+N+3DbGDQtDIRIRDKXu55SNBACs/PRblIy9QtOkTnZasGzVLuVvmVWai0SH5M8MQgREgKWkhovHG9SYxAPAus3f6bwv5eJzKO5rclbipKXLTdb9d4e9ph7qchxOsDFYtnqXQrp0Oa2Gyhi8KGDCNZcp58bqinyFgAqoiFL35uNwS4eO9MkwpKYBMrMkFyMyM5CUYIHHG0TtB7tQUTQUvdISwNAkqv6snWysWboZj9yRrWlO1q3Zg3smDFXeJ/mxakjr2TiXj+PkERs7ZVn3dJcNc6ZeiTZvGDWV+QiGI8hIsWvWrZUi0f+iRLicVkX14q5xVxiqHK2t34/HJg9HKMxr1tLDtw9DSyigafaarW85rj9fJ0ngB8IR2C2MEs/VBCijdT+zJAe/yeuHJo8fHm8Qs1/aqJkalxUR+vR0YvzVl2HpKkmFQ1Zguv3XAzX2Ew9Nyla8OiXyKwMYlB3ipKI44riwcDJ7vhFJ6JE7hiE1yQpfgMOcspFgaOOcQO1ZLZ/Xvtp1BFdl/UJzrplZkqMo/zQe8OC///IFRmRmYPKNmXjo9mwwlHROHJ3TV8kJjGqr04uz8K+vDuLG/EuUGrb8uu9/9i0mFQ7ElzsPod9FSXjynjzQNIF0V6cqUnHh5XhwkuQzH4rw+PWV/XW2Twv+Wm9aMzidRLfYZi5JxqlGpwu8oLVtSkqwGOZ67R2Sdc7azQeRkWJHdWU+KIrQ1Io93iAS7AyevCcPrSoSz9QJQ7okYXq8QXx3xIsl7+2Q/AO9QUMVGbkGItfiUpOsePX9nZh8Y6ZS45OndOXzmFpla2ZJLiwsqTlDzyrNhctpVQayZMiKA0ve2xGdRD07GoDACZqAbrf7a0jpj83tdu+N+XE6gJWn68LOJIyKGZ2TDyJ+OObFslWdRbC31jZiUuFAeKOsDDWOegIgyc7OtVEArirNRd2aPQCgLOhQJIIIL2Be+UjQFAmCkOyHYj3RNjU0YdKvByqSR4l2Fq++L7HijYw1X3hzGx4szoYgipg8dpDGXPPh24eBZUi0+cJIdFiUm6e48HLJwJUiIUQ3PgLSAUP99xaNGqB7vYVL65Vit1ywUW+iNEmCpIBH7siWNlSa0hUekxJYJNhYLFvdEJfl6AJcRDRtrBk1VAkSCAR5fLXriGaCT2YNyQVb+bmefWMLFt5bgKnjM5Gb2Usz8UeTBD7delBXcLvpqktx6Kh+4sBhNTFK5UVdw2Th0s2oqSzoVuFQjR8j+xaXijs70J2CsllR7YdjXsxbsknzOxABb0TQNdeGXpaGSy5ORJ+egyGKIp4oz0MgxOFYaxAURSDBxoCiCLT5wvhky0H8+sr+mHLzYBxvC6JPz0RUleSiRiXRMalwIFiGwuJ3/1dpbphJKTntkodsspMFz4vgBcBuYTB3mVYe5Pk6KWbLE9UupwXeQBirN+zTsa3lPSnRwWomYGaV5sJhZfDEEq3v1FPLNuPJe/JAEgQivIDqinwkOBi0tEqPYWjJ886sCE1RZLz4cYqgPggZ5QmTCgeChghCFBERBKVhy3GdSgNGye2S93bAYWNQNn4IfpGegCZVE0/e3wVBRFqSDTX35oPnRTS3BvHJ1u+VRjMgrQWGIaNkH62M7murd+ORO4ah/JYhSEmy4LE7h8NuZaJ7OgGeF5CSaMWT0/JAwLyBL4pilGg0DBFeAEkQSq7T3hHGFZekKUn3iMwM3D1uMAgRuLhHglLoNMp7mo77NY2XU3nIPBcRCgumXr0/9v3o7kG+OxP3RvF//rQ8QwlxKR813gsIApqDXFVpLmgSEChCeS5XogUJNhavrNyBVm8YD03KMlybNEVgTtkIZKTY4bTSsGQ4JY9KkgBFAeUThqJsnChJlPOSbAxFEqBFKT6GQSpFk9h7e9zVl+LDjftQUTQUPVMdsDAUKFE6KJr6N8Zlys84TiZfZClCt+5nT8mVGg12C0gShpJBNisNhhfwm5H94LDSmFM2Ev4QB0EQ8ER5Hto6QkhLsqG5zY8/vflvAJAY+kk20LRehlmKs4QuRj63fCsqiobqzm/zp+XhrbV7UVE0FBkpDnj9IUmmmheUiXM57zjqkSwb1AUQACgbJ4IShaiyDmWynkmAj2fcPxVmubMs697mC2vyhdmluSCtNCKQfHxEAISK+HXUE8CMP23AiMwMzCkbifaOELx+Dp9uPYixBZdAEEQ8vkxb8H32jS2YPy0P88pHKsSkdZu/0xFCY8lIrkQrfjjqhd3CYE7ZCNSt2avUAmSf49/9ZWPMGpX8gF7/cI8SV2XS1PxpeQBBSHK1PI9kpwUP3Z6NYx4/lq3ajeLCy3V5wXPLt2J6seRt2DPVgaaWAC7qYdeMAp6uyZU44ojj7EZ393yeF5CWZFFqXyRJwBcIQxBFJNpY8IKUK8p+waNz+irn+68PeJR93mFlQNMkxuT200mHLly6GfOn5WnIyxOuuQy+QAjJTisEiCibMAR8REt6k2ur/Xo5QRAEQlwE+b+8SJEblZ//hTe3Ye7UkbBZaew71I4eLgdIIghRBDLSpOaNIAIsLe0dZRvL2QAAIABJREFUx9uDCIYi6JVmLOFsRlw7WXWQOM5OsIzWtslMhajN1+nhdMUlqTjY5IWVpUHTJJ4ozwMIgKFIMAyBP//935h8YyYAabKwrSMEq0VrYWW1UFj09k6F2L7oHUnViCAIzC2/EhAJzYCWvLbVRHyaJlE2fghEUcTonL46Imh1bT3mlI3ErdcNgMtpgd1K4+uDrRqVxeraekXeX/33piXZsKhqNFiGBEGQCIUjZ03t7ET3132QpgDfBnC/6vsigKbGxsZ/n64LO5MwKmbIkw+AqNPYl/Xlzcasm477Nbq0cnIaCEVgtzJ486NG3FRwCUrGZoIkCXj9YVgZGk8t7WRkzim7ElxEUCS71CwNb4fKFI0Abr3uMky45jIkm3ThU5Ks8Ac5XbPl2Te2KAt4RGYGFlTmw+fndEm77A0YCGrNLrsqdsvvBUUSMZsoD4Hv3FApltK8V0ve26F4DEpF0LgshxnM5IR4QdRIBMnSQdNuHQqSJPDN963o2ytJ+dk337fi2mG9TZ/LaOLPwpLI/9XFOOrpUAJz/q8uBkUR6NUjQcOWkI1UzQpbRq8LArpp3Nmy9FKckXneoTsFZaNisjxVHPs7AFD9V+3zrfz0W/z2hkFoOu5XJJaLCy9Hr1QHUhItSEpgIYqAwAMOK4Mb8vprGNWzSnPhsNOaZCQiCPB2hDRThWamwMFwBFUlOTjmCSoN96fuKzBc/6lJVl2j52CTD4ebfbqpknSXJM8R+97Nn5Zn+NyCKGLmnzsbKxOvd2uapWq2ofo19h+W2Fbx4sepgfogFHtQ08mnqCQS5aIxoE9ukxJYPDAxC0daOrDkvR1wOa2488ZBCsEnllUvH0jlz7rpuB/zK/LhD3QWvJ66L1/jDSVPn/KCgEXv7MC9t/0SNgsDmpLk5j74TDL+lu/P9BQ72r0hkwa+T2ngyxOwMms/NcmKx/74GY56JAnHm6+6VNfoLr9liOE+aGYyfqEeMvkYvxLgp78f3T3Id4dNbRT/P9y4z1C1gKUICLxo6FMaK29cE90PGIbExOvdhpPUB5p8xhNbUXbp7NJcCIz0N3mCerKgPyjo8pQkJ6tMHx71aP27e7gkf83ROX0U6Vu5AQiYN01BSFOw8Xzn7AdFkTjuDWvkj1MSreAiPBb8tXNfn1EyHPff9iuwDAWX04JPtn6Pb75vRfktQ8AyFGpi1us/v9yHX1/ZH7wgoM3XqSZDEATmLP4Cc8pGGq5lzsRz3crSuu+JkHyPrh3WG2//62tcO6y3Ju7K942s9hIMa01F012SVJMIaa2SFAzVQVgKiodiHD8eXeXOPC8qU2/yzxZEc0P1Z2qUK25qaMKt1w1A1YsbpBg0JRcEIcm8msX9PyzfpkjEgZB8uuVmclOMosCIzAz4A5yOqLdslZRfiADafCHD16IpEo0HPNiy+wjmT8tT/H5eWbkTxYUDURfNaeRJv9c/3IPGAx7DvMDltMJhZXR5kTrHjUs0xxHHhYEfO/FLUSTa/ZyiPhQMR5CYwCISEWBhCFAk0OoNo+E/zZhUOFCT380sycE7H3+NtZsPAoA0QWdC6AmGeUXyOMzxsFpozd46vTgLgiBq8gC5tlpRNBQWhsbSVbvwyB3Zhs9PEMDLK/6Nide78eZHjUoclWNzspPV5eVmNQMz4lp31UHiOLsh8Fq7qLo1e3VTezMm5+Dz//0es0pz0SPZCoIgND+X88n/umMYRE7E7YUDlcm8dZu/w5SbByMQ5NAz1QGCIHC8LYhgiMdd4zKR6LDg8//9HkWjBiApgQVJSoTXsEm+KxPxnXZGUbWYUzZCsUKJfTxDE0hJtMLKUmhu1apqPTRJGq7qkWxDdUU+RIiSb6DTApYCBBDwxNjqnA21M7KrHzY2Nv6zsbHxfwD8Ivpv+b8152sDEOi6mCEHKzVivXPkn8sLetmq3Vi2ajcqiobipcdGYXROX7y1di+ajkvMd68/LHWsF3+BaQvX4ZnXvkKrLwSX06q89lGPX5d0vvDmNtw9bjDsNhpL3tuBR1/4DP/98kZwERG1H+zCwaM+3bWmu2w43OyD0268yOVD4KaGJnzf5DXU85V1fkWImr9XLnbHvp4sA2Lml6FGSOVf98wDV2FO2Ug4rDSmThhyxm+Wsx2kwdqUN9JxV1+KJe/tQNWLG7DkvR0Yd/WlIAgCNC0Vw9Q/m3i923Cdy4d5owmCYFhAKMzjpRXbUfXiBry0YjtCYR4QYTjZRxCEVBBW3SvTi7NAUcavSwBgGBIVRZKsUEXRUDAMCZIi4PFzqHpxA8pr1qHqxQ3w+DlQVJehLY6zHF3FYOUxqmLyoqrRqK7M10xoq3/H6PlG5/RFc2tAaQDeeeMgvLRiO6Y9tR5Pv/YVjrcH0dTiR9WLn2Nq9Vo8/vJG3HzVpXD3cSmHflLlXclFRCx+Ryo6y033msp8sAyFh28fplnrs0pzkWBn4Qtwmolb2RtKjXSXDYeafbo4XFx4OdJT7Jg9JVf33HVrtIP78u8aPbe6YTg6p6/h/Xr3uMG6fW3F+q+V90Fb9ovjxyA25soHNYokQPCCZu+TGwOpSVbD+8SVKMnJJNhYvLZ6t8KS93iDeG21lIs8eucw3TRIdW09Ruf0VZ5r7eaD2H+oXZN7vLpyF1iGwnPLt6K6tl6RHq39oAHltw5GJCJg3pIvUF6zDrNe3IBhg3oq98zzddtw7HgALqfVMP7L61Z+bNGoAcq/1fewkT9cdW09IOrfR8A8N6EuUGkYebpMjXSXDRT1498Ps5zB6D3meUHyUBFF3doGjOP/oP5phrlHmJcaZsmqvaCmMh9OB6MUIcbk9MafHx2FJ8pHAiDARfSKAy+8Ka23+obDmFmSo1mbM0tyUN9wWCmaR2BcCG467lcagPL3FtTWY9+hduw/7FWeU5aLeW75VpAgkJYkeYz3yXDCwVBa2WvVPre4agzmT8vD8jV7cPf8tfF85xxBBMCC2npsamhCdW09Xl3ZAJ4XMXfxl5q18tTSr9ArzQF/kMPv/7YVf/unVHT74LP/wOW0oKJoKJ554CrMnToSF6c7cE12b/xu0UaU16zDkvd24M4bB2Hy2E7ZozAX0Z1JpxdnoTnqJauGWQOPJiWG9GurdyM3s5ehVG7RqAHKZGNiAqt7vadf+0pZqwIvIs0pSeUumjUGNZUFSHOy4MLxDuCpQFe5s9nPPN6Q5jMVReNc0Wln8dKMUXiwOBsdAQ6L3tmuSNfFPvZIS4eyf1fX1sNuofH66t2Yt2QTnq/bBoamNIoCU24arIunz9dtw6O/HYaKoqH4x7q9SEowfi25xnBDXn88/vJGzPjT56iO3m81qpzmqEea9CsaNQCAcV5QXHi5YYNPfWd053wSRxxxnNtgWOpH15dEioDHG9LUxIIhHl5/WMkfF9TWo4fLoYs3C5duRm5mLwCd8c1hZQxjn91KI8Lz8Ac5ZKTYdTny83XbQJKEYW26bs1epCZb8dCkLNP6YXOrZNmwcOlmTRyVz2dGvm9GNYOq0lxQFAGRInXvn3yeja1jXIgEzXMZkRhyqdqjT85be7isuDq7N5a8twPHWoOGfY3iwstBkgBJEUhyWqT6v5PFpMKB+N1fNuKhP3yKOYu+gKc9CBEiUpOsSHJYsObLfRjUPxVL3tuBGX/6HPsPtaOmtt60rhYMR3Rk0bo1e5HkMM4zKIqEPyANysRe93PLtyLM8SivWYc/1G0FALy6sgGPv7wRLd4weBAnzCvOBLp7cmxzu91Vbrd7l9vtbov+v8rtdp+X92hXxQyjYJXo0HvnvDxztHJwajzgQeMBD+Yt2YRWXwjVtfVYu/kgqmvrcaw1gCk3DdYdrOQAK8OMyS6IUCYG5e/JhzLJWDPXMPBHIoJpMn2i15Qn/kiCUP7emsp89M5w4qFJ2ZrXmzE5Bz2SraiuzO9WE4+hScW/7tEXPsO9z6xHzdLNkoRYvAHYJRjKuLEGQGms1lTmo2z8ECxbtRvhiACOE4ybdCQMkwbA5PDDi7qC8vN12xDhjScOwhxveE28KBr+DSRJYO7iLzFvySZUvbgB85ZswtzFXyLMGzMyz3RgjeOnobsFZU0xGVCKCrG/Y0bekGOcUVOh3Rc2nLKS4/JRTwARXoCVpZWGSLKTRe8MJyYVDlQa68/XbQNNE3iwOBuLqkZLZJAV2/HoC5+BprTsPiMiycwS46Zez1QHlq3ahWA4ggUV+VhUNQbVlflIdloM3wdAHx+qYp7bbJqbIAilwK7e1+Sfx4sfPx0ncxCSGwPJJkUxh5XB9OJOaSxZgWBO2UiUTRiMdJfDtJAVa0ofy4qTE/vqynw8dV+Bsh42NTSh3Rc2ba7IXxMEIAidZJ+XZ4zCE/fkGTbwZRUBeY3Jf6vZOpWnzmLfx4wUO2bHD5kKKBKGja6f0hQ9lQf5rsh2aqhjj3ovoAFAlJ5jTE5v3JjfH/OWfIFpT61H1YufIxIxzkucdga5mb3w5keNmtzkzY8alaJMVwV1s5zZytKGsf3xu0aAgthlQ1T9t5Ek8PjLG5XmZjzfOTcQu1aKRg1AW4fxVFOEl9Rm1LHwnU/+g3BEgN3KwGln0XS8A983+QwLKKlJnYz6Y61BDSFJjrMyKUS9Fh+alA2ng9XFBJCS72vjAY9p3O3XKxEPFmcjwc5i0ds7UTZ+CF58bBQqioYqcV29VrkwD4Ln0SvVAYLn4w3AU4gu6xekMfkjHDOC2dwW0OWKM0ty4fEG8Yfl2zDrpQ0gCQKt3jBohtTFfbnOoN6/Pd6QUkRW10rknNgbMLZTEUTpfli7+SD+sW4vZkzW71uX/kLyqgKMlWTk61B/ne6yoUe0OK1+vp6p5lJ23XmP44gjjnMfFEUiwAk/ur7ECzCsiSUlWDX544nsQmaW5KDfRYlgGdKwJieIwBNLNmHekk2ImKiBqWu1L88YpZzZPN4gIAKHWzpQt6ZRFwsfmJiF1z/co7mm2Gs0u36vn9Pk0HVr9mDvgTbDRmosobumm/XiOM4uGO2LHm8QNEUiyWEBy5CIqGyrzNbORWkJsDAkWrxhPPbHz5R7xazxRoDAc8u3YkxuP00dTz6PGZ29ZpXmgmUoiKKomVhtPODBP9bv1eU0VaW5oEgCC2rruzznyf9Wk5ira+t1DVL5cWe6dtbd83kNgGsBzATwHYC+AGYBSAHw6Gm5sjOILj1LeAGpTlYjObHmy32KvInM3p9XnqdId8qQO8/uPi4UjRoAZ7SIZ5b8qgOumaSc/Fij32084AEXiRhKd5EUofOfUEvpdfWaMuvO6+cURnO6y4aKoqGoW7NX8TVp7wgjGI5InoYgYOiuHYMkh+WEfjFxGCMiiHDYGI08ocMmrSG5sSoj3WUDRRAQTPy+IhFjCdHyCUMN14SZjKcgwvjxFIlkp7bYnOxkIQqdDUv5dZet2m0qVXA6ZM3iOPMwisFzp14JAgQiBGEoy0EDmDv1So38RkaKXVkHsc/nclrwwzFflOGsT0a6IkEA0jrmeRHvfvINaioLIIgiOgIcZr24AS6nFRVFQyUvVZqEP8jheFsIm3cdxtXZvZUmXWyMVTdrKBI40ORDIMQZNvUONvmwqaEJxde7cdTjVw4cIzIzdHJbMybnICJIEfSJe/IgCCJa2gLwxzy3HNt19ytBADwPiiIN97W4bMdPx8mYzsuPJ2jS0O+VYUhYLVqm/c1XXao0BYHOhk3sZ5nstGBO2QjlHkpWmdTL8HiDiPAiZvzpc801deeeCYYjYGi7sifNKs0FQxOGa1wmJclrTP5bTddpVG7c6H0EQ3X7vT3fwfPAZ9u+13v4Flz6o+XMTnb9dgWj+O8yWIdGsUf2a1q+Rmp0pCRadX4Qh5qNJT+9fsl3dVNDk+ZgCAATrrlM+5rQ5zZd5cxGEr9pyXa0tvohUmT37vm4d8o5iVi5K6edUdjJsWuF50XD7x89LhUTZB/XjBS7acFPluJasf5r3Hlj52TgnLIRinzja6t3Y07ZSPgCYbT5wqj9YBcAoKJoKC7u4QRBAKs3/AfZAzMUSSezuPvDMS8YmgIgKnG9pjJfZ50RX6unH13VL0QKOnmu6cVZoGMmwFd++i1Kxl6hke78y9vbldxBjmlFowbgu0PtsFtpwzqDev9u84U1BCO5VjJ36kjMW7LJNB+hSFLjBRsMR/BgcTaSnRZQJAFvICypKYlAgp01jb/qr3u4bJhTNhLvfPw1xub315w3m1sDJ9xnuuNrG0cccZy7iACaCWkZ3dnDKIpE0IRoJgoiKEZqgKnrqbHxxpVoxYPF2bCyFPxBDhaGNqzJTbl5sPK7JGksqynnn7IEqFyznV6cBZYl0ScjEb1GJ4CmCCyoyIcoAj8c82rIvkZxVB3fY1+zpU1bcwSkHFpuisRKJ5+Mv3IcZydoAI/fNQLzX92k7IuzS3MR4iKK6oXa8sZs7TMUiRAnKvL5giCid4YTLqdW+eioJ4CeKQ4c9fjh8QbRHkOsk59fffZKSmCRmmSFxxvC83XbDG3ctn/TjOJCt+R5KYggSRKrPv8WvxnZX2lwnyjPUNc9jnoCpvfmma6ddTcXLwYwrLGx8Vj063+73e4vAWzFedQElLWfQ4KIZCeLhZUFiAiC7mDOhXlYKBJpSVa4nBZcenEiWIrAwsoCcLyAQ80+rFiv18KdPSUXNhutM84EjIOoLM2S7rLB6WB1TbsZk3PA0PqFNSIzA4kOC566rwApiVawDKfTa/772r042ORD2fgh6J2eAI83BJYlNUXDjBQ7HrljGH7/ty2aAuP7n32LmSU5ePOjRuWxsh5u2YTBSHKw+HDjPgwb1FNTnOyO/i1JEqeskHShQRRFLP+nZDBsZSV5wuX/3IOKol8aHvwoigAE47VHRiVEY3/HwpKYM/VKHFU1WtJT7LCwxh5/DEVgZkmuYjgsM0qtLGns78OQxg1L0sxD0NxbMN6UOHcRW1BmGRJt3jDmLv68y3jCcYJGp3t2aS4QlVeLjSssRSAjxY7pxVmGxdsTkSCqSnMBCLip4BJ0hDiwFKUUBY56Aoq32YPF2fAFODjtDAb1T8OnWw+i5t4C8LwAhiExa0qu4lcoN2uWrW7Ab0b2Q3VtPUZkZmBmSY7Gv+qxycMRCEbw1H0FSLCzqPuoUblOuXg9p2wk2jtC8Po5bN3ThJwreuq017/adURTzFi3+TtdA3F2aS4oSEl5vPhxenGyByFRhOHB8Dcj+0nSMPcWgOdFkCSw+N0dmumSdZu/032WD03K1vnyVJXk6HKPmSU5aPMFTe8Zl9OqEJ2C4QgEUVT2EJfTApYllT1pxfqvUX7rYMM9atmq3cpapUgCDE3giXvyQJKE7p5Qr0Oz9zF+yJTAMiRG5/aB2sN3dG4fsAwJjv/x78ypOsibxevY9fr4XSN0sUct09nqDRsSiOrW7DWMY04Ho0wQmsX9WVFZIwr6WJgRlWdeoIrnanKdXIipqcwHEd23PH5Odx1meXLcO+XcBA2tp3UwHMG6zd/pCBwzJkteQEbEjtdW71YIpFaW1jVHvH4O6zZ/hx+OeTHx+oEApFxA9qAnCAIkCTx8+zA8+8YWaaJ7dYNiByC/FstQIEhgybuSNQABiWD4RHkeaFp/D8rX5vEG8eS0PGWv6IqoEV+rpw9dkTEiAmFIsnz49mzls0p32TCpcCCcVhrWjESIBOBKtGpqA/JnXnrTFaj9YBem3jJYkQhXx71/fXUQc8pGoGeqA572EFKTrJrXmV6chZaoNK3M1o+tGbAGZ8WZJTn4aNN+3Jh/Cf6+di+mThgCggCsDGnoN1m3Zo/m2p95bYviYZn/y4s0580RmRknzHFPJeEljjjiOPvAC6IpUedEe1gEMCWa0TSpISYvX7MHj00eDm9HWMnFnQ4Wf31/J24b4wbDULAwNBa9u10hcqrjkvoc1hKd4DY7R1WV5iLMRVBTmY9gOIIeUXKGuv7wwMQsfLzlIG4quEQT82eW5OLNjzrjqNoTMDbmyn+XGuomSZwMdH6C5wX07Zmo2RcpisBjf/xcuQ/U95TZnk9BRISAbr3La07dmD541Kc8D0lCITB7/RzqGw4r94N89ppZkoO6NY042ORT+hlG1yAIwFtrG7F280E8dV8Bhl/RU5HRX7H+6xMOUcU2yWmD8+vZUDsjRPHEybjb7T4EILOxsdGj+l4KgIbGxsZep/H6fgr6AdjX0uKD0MW4ZY8eThw75lXYwz/VtFFtIssyJARe0smlSRIkBYQ5ET8c86JuzV40HvDA3ceF8lsHIxjiNYH7v347DKlJVnAREYebfahbsxfJThZ3jRsMADh0zIcN/z6EG/L7aX53RGaGvrlSkoPEBAs4jocIgOMFzH+ls1P/5D15uGfhOs2EotfPoUeyFS+/vQMlY69AarIVPC8iFI6g1RfCxekJoEgSza0BtPnCWLH+ayWpfvr+AvA8UPXi57oNUF386OrzOFPo0cN5tuh59MNJrF8AIFkaPxzz6RKAX/RIQHO7JG+oNihOS7SBF0Ucbw/qEhBXohXL/2cPbrl2gDIp8M7HX2PyTVfgeFtQkzTMmpKL9GQrvj/Wgf/3+hbNGk532fH6h7t1zzOpcKDh+lh4bwHaO/TmqWlJFjS3hXTfT3WyaDkLzVZPNbp7X5zL67criBSJqhc3dBlPuvOYWDAshbAgAqLEGlKvoxklw0GCQKsvpJkstFhoiIIIhibx6Auf4agnAHcfFx6clIWKp9brXqOmMh9VL25Qvnb3cWHarUNRE22M33LNJbghr78yWb5u83coLhyIMBcBSZJwWBlYrRQEXkSEF9HmC0IQoElA5KKMusnz1H0FyqTWrNJcwwm++dPysHnXEVw5+CLwUcILSxGSz5ZJcePHGqV3B+fr+j1dMMtbkp0sKED5HN9auxc35vfXNM1mluSApklYGQq8IOJISwdYhtJI2ADSOnnkjmwkOqzwBcLwtIewe18zxhZcgvYOTkPwmDv1SgBQWHbqQlyyk4UgiFi/+SCuGdYbkQiPpuN+JCdYYLcyIEhCmjglAE97ECGOB0kQ8Po57N7XjGuye6O6th4upxXFhZfjFxlOkJCm2UkCp7UId77FX9rKwOcP66amE+wsIkFO9/izBbGxJy3ZjpYWn+YxEYJAec065esls8dglsG+8PT9V4HnBV0cM7unnHYGB5qk3N3jDSr5B8dDIf/Jefrd4waDgDSxHlSxYGPzE9rK4L+e/7Tbe9apOqeY4VxZv2qc6RhsBKNrktYugeY2SUacJAi8+8k3GJ3TF0kJLBIdFqxYvxdrNx/EiMwMlE8YCl8gjAQbiw8+/xbZAzOQlix5rdWt2Ysr+rtwdXZvXXNk9YZ92P5Ns0ICEkUgLdkGURTx7BtbMXnsIKQl2xQWvgjpPKmOA1YrDY4T8OzftmpyCncfFx67czh4QYAgAi1tAU1R5uWZo/GPdXuRm9kLl/4iEd6Ortfqqf7s4uu3a5jlyAsrCyBCm/MBnQQFl9OKkrFXIM1lA0QRr6zciU0NTZhTNgIvrdiOoZelYWKhGwIvQhBF0DQJhiLgaQ8reW5nbmJBiOPR0hqE08Hg9Q93Y1LhQM3e3jPVgeZWSfKeIGAYv+dPy8OHG/dh+BU98a+vDiL/lxfhorQEsDQJgiQkmbBo41sQACFqGSBfu0xwYxhSF59TnWyXOfDpwlm0foGTWMM/Ft1Z+z16OHHzI++d1PO+//vxJ/U7Z+Pjf2xMOJP74dm4fgH8qPdDpEgseneHYePtRPlWhCDw+79t1Uzhq2OLLH9NUSREikBrTCOuqjQX/iCHlZ9+i7IJQ8BHBEx7ar2uRntp7yTQBIHj3hBqovGz/NbBSq1PFIH0FBs83hB8/jAuTk8AQRAQBWnSj6ZI/PGt/9WRNu1WGq5EG/YfaldeKzXZojxvhBdAkgRoikRasg1f7jiEHi6H8lj1ec2oRtGdWvDpQlf3x9m4fs/2GkQsYq8n9jzm7uPS3BcjMjMw5abBIEiAIUmJcM4LECnKsEZcUTRUIdir15Rs+/DmR41KTp3ksIBhCUCUFIIIgkC7P6RYqI3IzMCUmwcjHOFBkyQYmsT3Rzv7M/JrVlfm45nXtgCAcu1KTpRsBUEQCHERPLGks68iNwXls6IrOhVIMBSCochpyytOdg13txH/DoB33W737wAcgCQHOgfA2yd3eWcv1OxhoFP7WR5Z7m7hU82EFqKB3sZSmkbFiMwMPDgpWymofbhhP+64YSCevCcPvCDCH+TgclrARUQwlBRoH749G4IItHqD+HLHYRRe2Q/FhW5Feq5s/BC4Ei1IcVo1N47LaYU/FEGNqvj30KTsqAQIidQkq2ICLkt7AtLXZeOHwOMNwm6lkWClEQwLoCkCiQ4LLAyBcEREcoIF/mBnt1vq4gPhuEzjzw4KIpKdFo0caLLTArMQIwCgCAKRiKiZ/Hj49mGgCQI35PfTTArckN8PEU5QkhUgep/8tR419xYYSpESJIHt3zRj7eaDyuumu2y4bYzx+ojwIhr+0xyVJBBBEATqGw7jysG9FOYlCAKI+v5wYT7OyLwAoJZBUyfCIgCaIpViblcxxyiGh3lRKYq4+7gUuYAeyRJj73h7UHNvPPrb4bBbafAAIrygMHsaD3hwpKXDkPknT3TLKC68HHUf7dGwsT/cuA9jcvsBAO4aNxiRCI8wB1AkqUjZyfdmcoIVv1u0UXMPvvCmJGugjt9q+TwzP61AKILMS9JAQwQhigAvguO7npiKy3acPTCVvuRFHPdzWFBbj7LxQ7D9m2YA0nQoRUXldGkCh451wJpsQ+0HDRid0xcX97AZrhOX04ZXVu5QimdVpblo6wjhrY/24v7bfoW0JBsYhpTuRUHE83VfatZnTW09qivzcfR4AEMHpGH/oXasWP81Jo8dBIIg8N9/2aiZPLVbaTz92lfK9+ZPy8PjL2+Ey2nVHaxnl+YiyckixEkNnHgt04pTAAAgAElEQVT8PzF4QYTHG9LEtunFWbBZmRP/8hlEbOwhDTyYYqfl2jpCuqnRmSU5UnE4LGjimLxHOB2MJPEsSFO0sWxWdx+XkhtTJIlX39+pkQ/dd6hdylV4Ho4uZGg5E8koszw5PoFy7oLnBfAEoRBz1HlMapIVi9/tjK/FhQNBECKmP/sJ/vzotbgq6xea9fvAxCyIYqe3CtDp5102fgjWbj4If4jDc8u34oGJWXhl5Q7cPW4wPN4gZr+0UXn9h2/PhgARfTISwQtSkeTjLQdx1a8uhiBqPZbdfVyYPHaQcr6Ur0NGusuGw80+5Gb2UqZd1WtVJsKq43QcPy/MlBzkwps6FooUqVG2mPXSBoXkWz5hCMrGDQHDkJg9JRfH24OYHdOoq67Ixx/qtJ7a1bX1qCgaiqQEC5au2oUHJv4SJWMzYbNSGjnRPyzfJinCVOZDEI0tTwiCQM4VvbD+qwO4dlhvXU6Q4GBQ9WftNcnN9bvHCZpmZ2w85cI8CAAWlTpUPLeII44LBzSASYUDFUnCpAQWLqcFNoY8oYctRUr2BrIEodxcS1Y1AAEploggdTW1mui5rdUbRktrEIEQZ1ijrbm3ACIhwmGjMXfqSJAEQEb913heREtbEE3H/SAJAlxExCvv7cRNBZeAZUiwDAWaIg3PVLNKc8HSWusPtby3pgYjivh02yEdWeimgkuU+E2g01f4bJmAiuPnQex5TLa8mTt1JNp8klLVc8u3wuMNSmcmIGqPYHw2ykhxSLml04q2jiBKb7oCXj8Hm4XCmx816pr2VSW5ePnt7Uh2spg6YQhSEq2orsxHmBPQ0hbAc290Et0WzxqDlBjVg4cmZaPpuF8joy/HgwQbi99HiXLuPi5FPlTOdR+5I1t3RuuR4sCxoPesqZ11Nw9/BMATAP4GoCeAwwDqAPzuNF3Xz46uCsgWA/atXHgKc3q5UDUYlkKIFxHieJSNH4L6hsO4dlhvzFm0UVN8AQhEeBG8KDEs5MK0/PPmtkBUpz8Tu/Z5MGxQTzA0qSTo8sbwzANXaf6OolEDdOa0zy3fioqiobBZaDAMiXf/9Q1mTM7BU8u00lpSISQfFoZEMCxEJ0VIWBjCcCpLnj5o8Ybh8eqlwuJSMKcXXJhHYlTCRf6sWAoIcDy27j6Ka4f1VhprH285iGuye4OhCTz7xhbN+nj2jS1YeF8BgiFeVyTk7Sb3CS9i7abvMCa3X3Tiz4K1m/Zj/DWXGR86KRMZT4rAoP6pmP1S5/qfWZIDiiLARw9mMtNELc0Sb0qc35ATCbOE1RVtCBrHHBIMBcOJUaeDURqAclLb5gsjLdkKzqDh/czrX6GmskBhsKU6WSyoyEeEF9DeEdJJBFSV5kIQBY0EUu8Mpy5ReWBiFqwWEj8cC+PVlQ0AgAcmZmHeki909+b8afmG96DstyJPZNEUifnTJOnESMTYZyjBxoKOFoDiODeha4ywFMICEFTlHLLcxdrNB6X8ZUqu0ux22GiFiT+nbKThOhEEEVNuGowpN2eCoSh4A2EsWrETk8cOgs3CoHZVg8K+czkthuvzmCeAP9RtxazSXNQ3HEDjAQ/CHK/LTxbU1mNhZYGmMCdE87Oy8UM0xt/y49XswPNxEvxUg+dF3fv+fN02VFcWgDzD1/ZTEVvo/nzbD7ghv79SJBFEgKYJECp2rczI9pioCoS4zgNpLJNVjt+t3rBymFQ38rrKTxj65OXM4/nO2YdYgpEZc1tdEFH7qS+8t0DVnJDy9nDUGxAgsXDpJh3pZ+7UkYZx1mlnkO6yIcnB4un7r0KrL4SbCi4BFeMf6/EGQdMEjrQEdOohHSEOoZCAJ+7JU5Roigsv18UMmXy05L0dCiv7rnGZnUW+6FqVztD6eys5OX4W/DlxMiSCrmoiAKTJQV5AaqIFNoveC5ggjJt3VpbGwqWb8didwxHiBLz50W5MuWkweqY6cKSlAyvWfw2PN4jpxVloOu5HmOMNYyRJEJj10gbMKs01zAkW3lugO3tOKhyoIbx1JRN+uqeu44gjjrMXcqwsnzBERxA4EdQ5qLzHzyrNBWGQ0wkmcdZpZ1A0agCefWMLXE6rTrJwenEW2n0h1H3UiPIJQ7D/qFchFV/cww6KokAQgM8fUZTaAMmX79WVuzC9+FcACBQXXq6Ln9W19XhyWp5GWlRt9RCb/6plGjvJQp01vNmluago0pIv4jH0woAR8WhS4UCEwhF4/ZxmbYIAPFH1CCOvvnSXDa3eEJau2oUpN2fi2Tc6a23zykdidE5f3VquWVqPBRX56AhwiqLAX2aO1vjEy89NEMDHWw4qZGmaIvCvrw7im+9bFclbWVZ0erF0P8rX7vEGpTxCFAGeh8CfG2e0LpuAbrd7UmNj4/LGxsYggMei/52X6Mprw2hKsDuFJ4oidYXnGZNz8NbaRs1zPV+3DY/cMQwz/vS5TrZN/vmDxdm4+apL0XS8Qwna8k2iHuV2WBnN3+G0M4YbTM8UB/xhDhCAmwouAQhomM80AD4igGEpHGsLaSRn5k/LM52a5KPvldGmFWd/nH7IDEYp+PDgeMBmZTBsUIausWazUAiEeMP1EYkYFwlrKgtMm3cjBl+kNC3kqSVARKqTRU1lgaYxKRp4Os0syQFDk8r35NdduHSz1Hj5ed7COM5CyImExxs0TFhrKvMNk40HJmZh0bvbcfe4wSYxqwAjMjMMJT8cNuPYKQgiqOjXXJgHRVGYvUha92NyeisJBEOR+ODzb7Frn0fDBgSMfdzkYpr8mrEmx/Lrm+1VTjuLRVVjwDKEzj9xRslwXYNSisfxBuD5BIal0OwNa/Zr2eOhbPwQ9OuVCEre38M8aAChkKCwXQFB5+8wsyQH/1i/F9u/kSa0UxKtAKSktyMQwcpPv9XcP9UV+Ybr0+vnlPvu/tt+he3fNOOitATj/UcQYSGhFOvIKGnELJ+xsrTybyPT+Ti0MCs8CKJ4zjcB9YVuEove3a7zTiufMERR+fD4OXi8nVPfMikkxPGIgAXLdMbcolEDdHuQ0SR2dwhvSQ7LWekTEUf3YdQsePyuEUiy0rq91XQSS1RPYkl5O02RmFWai2A4YnivkiZ5QDAciU7/7cSt1w0AS5Ow22iEQjxsFhpzykYizEVwrDUIT3tIl+ev/PRbTLzerUxxyWxqq4UyvI5+vZyYUzYS73wsNW/Skmy6vMJMaef/Tb/6lH0OcXQP3SURGOWZIzIzDCVeEx2s7rFmntqJDgsempSNZKcVqz6XcoffqUjRM0tywUUioCgS/7NxP64b3htVJbkaWdHpxVngBbHLnCDyE6emT6QOFUcccZzf+LGEq+6SLSiKREQwJuh6/ZwS2456ApqpQleiFc+9IU1PlY0fgqbjASx5bwdcTismjx0ELiJi3pLOmKr27fVGc127lQEgmp7BRFE6J0wvzgIZlVU2q8E8X7dNqYcbkYUWyDWaGPJFHOc/1PeCIEjkIbUkt3ptQiSUPdfMd8/pYPDYncM0suZHPQEcaekwVbwSRREebxAupxVHPQE0m3hntneEMWxQT8xb8oUiTX511i8wOqcPWJrQqFoEuYhmYvBcPbedaBLwLwCW/xwXcqZhdjijIUlB/JjCk1ES+dQySa5FLR0kF5YB86ZdWrINFCXJw4XCvHKTPDZ5OEJhrSfgzJJcxafHLBGnaRJOmgV4QaPLTAEA37mQwzx0kjMer3FxWmYIGm1aPVw2MIizP84EwhHBuLF2bwFI0nh6iiQJw8+YIGHYvKMpAilJFh3bXp4MNfLyS7AzuscHQ8YFj7iM7IUNOZGwW/WMY3l9EKIYTTYK0NwmeZXKeuG3XjfAtKF397jBePxlrbym3CA0vjeg2ekjUdkCdx8Xrh3WW9MIf2BiFnbt65TwcPdx4bHJwwwnATme1xAnzGI3RRGYPSUXC/6qLYoEwhxSEyXv1gWx+87Sr/DIHdkaWROWIRHhRUVONY5zH0b7tXpaozrqw6BOVCOCgFZvGADQ3sGBZUnMKx8JipQkZN75+OtOOWcRUWN7EbNKcxHieA37zt3HBZYldQn2Q5OyUfvBLuWaMlLsqCgaajoJ9cMxL1xOa6d3GjoPoGYHZhnx/eLEoCnjBgJNEjDVDz+HoC7eRAQBmxqaNDk3ANw9brD0c0h5+kOTspU1bCQ5O3fqlZi7+EvTHF09id3dAyFJEnF5z3McRue8+a9uMmwWnNQkVvSxERjfq22+oOasJzdQAiFOYeWXjM1ER5BDmOMVHxQ531i3+TtMuSlTt5ZH5/TVnRdqlppPie8/7FWY0bded5mmAaiekCwbP0TD+j7qCYCLCPGGylkKGsDcqVdqfGP79HRqJDblXPnp+/VTd04Hi4dvH6YozchnxWWrG5QCoBEpemF0rb3z8de4dlhvPF8n+e9UFA1Fz1QHPO0hWCwk3lrbiOnFWeZ5Mkn8pKnpE9kLxBFHHHGYoTuxJwLJo9Roym/Zqt0oLrzcUDmgbPwQZR912hkIoogZJcMRDPGG6iovvLlNkVuubziM+dPywEUEUARhegY7cMSrTPjXrtqFxgMe/OHha5CR4jCMixf1SMBT9xXAaTduxMTj5oUL+V4gKRJVL+qtbCqKhsLltEJQSYA2HvBAEEWNTLjssVddqVfDqluzF4/ckd1ljio3HJet2o2ptwzW2Fe5nBZ4vCHF789McYyITvp1ZfNwLuFE9+TPkp+73e79AILR/wBgRmNj4z/dbveVkBqRNgD7Afy2sbHx6Om4hq4OZ2bShScqPJklkXKxQP1c8oSIWUJLkgBEyfdNZoA2HvAgEIzgj2/9r/J4udCxoCIfx9uDiPCCrpv+wMQsLHlvB24vHAiLvdMDxsgzK2Sgy9vmC5tLGAGGm1ZNZf45eYOcazD8DHkT3xleAMtQhowIsyKhKAKfbftemnYiAV4A1tZLsp+BQERpPsiFM9rOmrIpLQQBiiHACyKY6LVGCPOJ3HOOYhHHKUVXsVieuoj13ZFhFrNIEhAEY9kiEGK3pjRkxvSJJkRkmYxQWDB8XHVlvjIRmJTAIj3FZhi7eUFAgp3B/bf9CqlJUsO+pS2ADzfsR3Gh23TKx+W0IcVp0zGx4hJH5w/MdPSTElglrgsxcZRhSEweO8hwD5jxpw3K45Q8BOoCNau8BiDJjz+97CvFp1iefhVEUWO0LYjAvCWb8MrjYwynd2Vm4MLKAogUiZAgItkpNa9jHy8fmNXXGZcd7xoioIstD03Khkj8TEn/z4iuVD7Ai0qe7vVzpnFcLVFrJjudlmTDoqrRJ30gjMt7nts42WbByXzePC8oE4GxeUhHkMNHm/6DeeUjARE4crwDf3l7uybOkiQBp53F7/6iL7zMnTrScJrQjE0d5iK6YqUcq496pGmAhZUF4HnpLzKakJQfL3sDMTSJSCS+4s9WcJygsYSYVZqrsOllSGtDgNPBaNQtFr+zEwBQc28BwhwPUQSWrmpQahRHPeakaF8gjNzMXspaO+oJKKpLNZUFYBgCt425HCxDggBxWqapT7RvxBFHHHH8FPCCiE0NTWj1hpXYGeEFXNTDgUfuyAbLkJg1JVexJVHvoUBnHTopgYU/INWCZTKbGkc9AVyc7gRLE7gmu7dCek53SdYhsWQN9b6uJpEePR5QXjc2Lh497ofTzqLpeEc8bsZhCLNc+eIeTlhIqSmuXjskQSg+lGoIBtOzHm8QNEPqhlSM1nJ1bT3+vnYvSsZmgiIl1S6SgqJWZGQ7Ejvodb6c207UBKTcbvd16KIu0NjYuP4UXcv/aWxs3Cl/4Xa7SQCvAyhtbGz83O12Pw5gIYC7TtHr6WD2oRpNCXan8GSWRLqcFuX7crMkycliUdVosAyJ2aW5mmbK9OIsPPPaFni8QcwqzcUnWw8qhzGaInU31aaGJky5KVNjQB87Rt54wIN9h9qVRW2mf28k87Fu83eGSTdLEeB44Ml78nAo6iMhX/O5OCZ7rsHsM3QafIbSRBEJkiSQlmzVTORRFCCIouGBnyCA4Vf01Ew7TS/OgiDop48W1NZj/rQ80wIJIYq6+41lKZ0cXVVpLlgK4OIL6IJHVxPbipeHQdw1i1k0gIhJnIaIbrH25WsKccbSujLpQ5bJePTO4YaP8/o53HrdZUhNkprtAg/UNxzWyYaWTxgCABryh9xgnPXiBlMtdSrawIllYilyqgYEgnhj8NwCRRo3yZ12FoBo6EkhCjCUfl5Qma/JU+T7RYZcoE5LtmJO2QhYWRquRCtcTqvGwB4AnrqvQLmW6cVZaGkLaO6x6sp8HIveA3KRGAA4XsB//2Wj5hokeenzT5bj54QoAu9+8o0mtrz7yTconzD0TF/aKceJ9gx5v1ix/ms8MDFL8dpW46gngIgg+ZlYKLOis95r6mRgROCKx9+zH6e7WWBEUKWoTtmkg00+TL1lMFiG0sTBh28fhpa2AFITrYbruc0XwruffKNby0kOS/Sc2mkzEQxHEI7weG31blQUDcXFPRKw/7BXE6vle0TeI4wmJNUFxVmluUhyWNAS5BDH2YcIoDvTLV+zB9OLs9DmCyl+Ph5vEBRJgBAJjZw9EM2jISIYisDK0rppbDNSdKLDovw89vHNbQFYGEoirkX9uU7HNHV3zhpxxBFHHD8Wcu6gPi/JQxO0KEII80hOYFFRNBQJdhYJNha1H+xUSDQPTMzC+599i7vHDYbHG4LLaUWiw4Kn7itAmy+sTN7LKh8RXtTtyXMXf4nqynxUFA1Fr7QEHDii39eTEljMKs3F8jV70OoNG9YG3//sW1QUDUWyk43HzTgMYZ4rGxPezIaimluDypobndNXUbf64t+H0O+iJDx5Tx4IAoY5quyZPalwIOxWCgQvgud5ECCV3oyZ2osgQLECOl9woiagBcArMG8CigAuOaVX1IlhAIKNjY3ySMfLkKYBT1sT0Ayxh7DuFp5oQNfQm1Wai827jmhk2WwMCS7qzyOEeSQrrwX8cMyrSLsAwPI1ezD5xkz4QxzmlI2EhTWZjFFNzJiNkavZqmb698/cf5WuKVNcOBBpSRZN0s1ShKHsY7KTjd5k8WLG6UZXvhtGEp4sTYLjBbT7ODzzeqdU0KO/HY4eLtrQt+yeCUPhclp0Y9S8ybShmW+JWYGEC/NIM/AQ7I4ZcxznP7ojp2VmRKxuHqh/z4xpL//8RGwf9VSU0VrPSLFjyewxEKKSWGGON3xcspNFmxeKebHcAK9bs0cztSdv2uprVuvwy8VsIz9WM2lrQQA8QT2BID4heG6BpaDbr2eV5sJhpQFeMJWdM14TIhZWFijND7PCms/PaaYFZpbkgABwrDWoFAmdduneC4YjsLAU/r52r/Yeo0hlKk1GusuGQ80+Yzaeyj/rfJHl+DlBUwRuu/5ytPskGViGlr6mDCZFz3WcaM9Q7xevrd6N6cVZhvFZlpSJbUSfijVnRuCKx9+zH0b5xuN3jTilRa/YPIRAZ87SeMCDv6/di/JbhmD+tDxEeBGt3hASHZJ8epgTDNez189hU0MTym8ZKslEE9IxXxBE1Nybj2OeoE7KcdqtQ9DqC4GmSMOGjzqvN2N99+vlVDycSfJ8mzs+fxD7+bn7uHDzVZdi7mItAdTltERzUlFX75g9JRcMTSIxgQXE7pGiH5iYhRXr9+KWawcYPr7NF8aS93acNCv/ZEkWJyPdG0ccccRxsugO0YDgRbicVlTX1is+ZXfdPBgMTUKEiPIJQ8BSBKhkyQ9QTdB/aFI2SBJISrDiSIsfNhNfX483BEBq0hjt62lJNrAUMKlwoJIny/LMza0BvP/Zt5j0/9m79zgp6jtf+J+q6ttcmqG5Jq4BEoXGRdgMyoAw6q5Eco6KYDiPDGbFUYEgrhB1NwqyEfJwM4lxNQkaxYi6Cbj7sPH+7OFEz0ZBA66a4w06LjFionJthp5L36rq/NFdNV3dVT3dPX2p7v68X6+8gjM93dXd3/rVt36X72/ORL2vl+0mmRko3tNjx2xR1J3XteFn//YOvjS6GVd/zY97nnjD8FzNjU7E4yokyTyWfUOSVYqanFBS+pVlWUGDSzLddsQ/xoeOOROgAlAlsabiWVBV65mKfr//dCAQGFLqg0iWA+1CYrBxD4A1AGYDuCEQCFye8rheAGcGAoGTOTztOAAfFftYNYqioqsnglhcgdspQlaAuKzA6RDR0uTWb24URcWp7giiMRmiKODhX71jmA03yteAH666CD6vJ+M1jgZ7ceOG/6X/t9leJbcumgqXSzTs97Dm+jaMHOrBia4INvx8X8bqxdRyMdprp7+W5tG1l2KY14NgKIy4osIhCvB5PXA4RMPjgqEw/v7+VzJOOKv3ZmN2uSsdhzzj1+o7fHjN1/Av/yuAq/56vF7C81f/8SEWfX0iBAB3/nRPxve25eZ2dPfFDPGz9obpGPuFRHOgxb4W7109EdPv/0ffvggnT0dMn4cdACVhlw91HErY/prJtU0e6G9zebzVc3z8+WlDrK9fdgFiccXws1sXTYXTKeL7T/yn4ZxoaXbjHx7IPIe23NwOFTC9tmjHrCgqlm76tf53/jE+LLhkPMadMQQel6T/nVU7veXmdtN2oALtd93Gb7HE48qA1+tUVjFx04IpOOvMoVm/f6u/1VZ7rOpoRaM7sUIwFlcgColVLA5JgLfRGMvp586a69vw4P/XX95O8+jaSzHK11jox1NqVRG/J0NhnOwKZ6y4H9biwbDqyteKIv3akZ6zpJcxLHa7aKP8uSri126KkT8M9jW9DS6E+qIZeflP//V3pnsQayWXf7jqIrQ0uQ3t791LpusTOzRau75p+35s/+4cnO6JZs3rC4npInyOjN8iSf/+1nS2mXaq/WDlRRg2JPF9Zvv+zK7xKxe24j/e/AQLL/Xj5OmwYfXK9EmjsejrE01L4QUOB/PKA8xeO9t9aCXO5yS7xC9goxiee/szeT3+uXvn5fU3dnx8lWL85kFRVIR6I4jEEvfwLqeEoc2ZbU0u7dHJ02HT/oObFkzRSylre7Cm90Fr1/V/uu1iCAA2PrbftJ1MPQ6HJEISgUis7G1kKdnpDYyDzeO3EPleW1MfLyAxcew7P361v0StRY76xLqv4/ipPtPSoFrea5aHxuMKunoiOHk6gi2PJwbe07dLsXkfdl4HZZd9Oi8MBAKf+P1+N4B/AvATAL8qxhOfONENRbEe6Bw50otjx0IFP79DEnH8VHjAGbwSgLgqmJbDCEfiOBY2OYa0/a/M9iq5b8db2HjTLPxg5UWIROP9+8D1xtDicQy4elGNyYn3b7HXFlQVwWBP4r0CgAL9v1PFBcF0honle7Mw2O9jsEaO9Fbstc3kFb9W+6UJAt75r+P49RufGH6+aI6KuMV+aHFFNcSPFlcnTnTrjxMAxOMyToRjkCxWU8lRecDnyVelY6QScn3PVR2/g5BtJUU8HMu55FRqTBciPdYFCLj74dcz2uxbrv6qPpPO7ZQgqSoi0bj5uSgrcKiq5XFpGy6nnvuBw0F9tnTq+7c6T1WYz9rPt/0erHqN31LIdr1OZRYTWrJ8+zenZnz/qe/Z6rqvldO4f+fb+HbHVDTHFdz14N6Ma0NqjpR+7kiSoOcrGi0nKfdnXnPtr0PSBwCBxHe2eft+bLm53bbxbKbY558AIBo35ixmJWWK1S5qx1+s/LkYx2MnA7W/gL3aYC1/EL2esh2T9prB5DU+NYeJC4K+59AtV38VI4Y24PMTPXpHiHYPePxUrz5AAgAel8OyXR/la4AsKwPm9Za5hnbPCeN3V4zVsIzf4kn//qz2ioxE4xnHb5VH98dMosqR1q5ePuvLiMUVvVNvlK8Bcy88C0ObE1Vhjnf1oas7apiIkU8eoEqiIb6PBvuw4ef79KoC6e+7Uquy7Ra/QG4xXKhcYt+On0k5FNomVLI9set3Zdf21aydQVy2bGey9U9Y5ZAel0P/9z1PvIH1y2bio09PZ9zrjfI1oNHtQINTHLC/TgAgx2XIAxyTHWU7P+wYv9XWB5Hr8eQbN9rIlpDMS6y239Fy1HAkjp/927tZ897049TOyWAojH9//Y9YMm8yxnzBm7GntlXukItSf1/5xvBAg4BlGeYMBAKfJP8/4vf7twJ4FsD9AMZqj/H7/SMAKDmuAiwbqxKMqaUqNPnuHZG+dNYqCYcKDBuSvOlMKYVhLJGRvWzWgPumDFBKg5toV57Vd+iSrL9b1eJ7EwUBiWq/uRmoBEAtbKBK9pVPO1xK6WWJrBLzkUMb8NmJHqiqCklNlNCQLAbxHWKi7Ecu+xIOVIff6jyNq+abfbP9rn2yrGCo16WXeNb25dP2+sn2/Vtd90O9icT+aLAPw1s8hhl7VudmtpJ32WKa8mdVqk9WVNvseVDJPfK0WJRyKHtYDMyfqZi0c0dEIo4Ch4P4x5+9rpc1uv2b50ESoZ9TclqeEuqNmcZjOBrPuVR6vmXB7JLDUULm92edn0LO7YqsxYxbEuFLVhgCgFPdEb3TLX3/awcAt1MyDBDmmwdku96ld4IxDomo1AbbzmTmx9nvxbTX6O6L4tsdUzG8xYNPj3cbBkYa3RKi4Tj768i2Btp+R89RRRHBUFjPexdcMh43XDkJI1oa4ID5FmXaOenzevSqi7cumppz7lCNsr6HQCBQ8mFxv9/fBMARCAS6/H6/AKADwO8AvAmgwe/3tyf3BVwO4F9LfTz5yie5zHej6VyTcNG60lfG81k17tlu2HKZGcdNtCvP6juMRWXL79bhcmBVR6thqfOqjlY4JQFBkz0es82GzGVfBqJSyKcdLierzl2HQ8SY0d4BJ2Lc1dmGcCyOdY/8Nut5mE+Hm9l5mnVfxNJ9PGQTEoChXk9GeUiXJCCWJQDMYlabWQr0x7pZBYSBzk3uLVE6gmA+6C/YpJfTLnvklSuvZf5MxZJ67vi8HkN+HwyF4fN64BaTg3/a36TlKQEf8IsAACAASURBVLte/jDjvqCQPd7zuSewaw5Xz1K/P8lkz79VHa0Ix+Jockp5tctme/9cM2ei4blTB5sHmwfkM8mCcUhEpTaYdsYsP163dIZp+/zECwf0vxvla0B3bxQ+rwdNHgljRg/B7d+cCkkU4ZKAaDhegndKVFyyrMDlkrC6s83QZ7Gmsw0+rzux5xVUw77Z2n7uVgOAQP85eTTYhydfPIAl8ybDN8RT0xM07ZDTjAawy+/3S0j0RX0AYEUgEFD8fv+1AH7m9/s9AP4I4G8rd5jm8kouC0hm05Nws44CCIn6/ZIkZn2ugWZWW92w5TJjhR129mD1HVrfjKto9Dj1VSDhaByNHidUWH/njgrO0Ccyk94OazPegcpu5GvVueuEsRMOMLahEARATWxu/J0f78na9qb+fT6D8KnXAxXAcK+L7Xedisoqdu4+aJiJv3P3QSybP1lfEaXFSmqukRqzigKoUPHos+/pJbvu6myDJBa+ypQTS0rDIQmmk38ckgDFBh9yIbOkS7FyMNe8drCvzfyZCmEadxD0c+dosA9PvHAANy2YgjNHeSEKMI2r9DwlMVjoxpYV7YgrSv9zR0vXOHA1bPkU0l7JsoKWtIoBT7yQWEVSyCq51Gu7EpUxtNGJH666CJGInNhrR1ARVxMT1AabB+QzyYJxSETFlt7muqTC2xmz/HjdI7/F929pH3ALKG0ij3HFn5x1sidRqRR672TWZ7FD67NI/r0vmVOEI/Gcnjv12h84HMSm7fsxfdLovCdoVrKKTb4qPggYCAT+AKDV4nevAZhc3iPKTyGr+wpNZq063Pa9f2TAmdKDmVmd64wVdthVH0FW4XYal5K6nSJiccX0OxcEAcGe/FYIEpVaajtstpFvpWI0385drQ3V6oZHFfNyooOdlZzteiCoKtvvOiMrKva9fyRjxd6NV54L9wC5gxazLklET0zBf7tgHOZffDbC0TicThESuMrJbgRZhc/rNkz+8XndEGzSyZnvLOlSrhwcKK8t1mszf6Z8mMXdXZ1taE7ux6oJHA5i/bZ9eHTtpRCS+/iks85T5LLFI1fDlsdg2qtoTMH6bfsyfl6MVXKyrGD40EZ81NVV9HY8nzyccUhExWR1rV63dEZGlZ9c2hmr/DgaU+BQVX3RCABDju9yinmt5CcqpcGOS1j1WWi5iCwrGDmsKbGveg45rNm1f9GciXlNkLdLFZtcVXwQsNqVewav1lEgSiJWbzVuVpltpvRg6k9zZlztkmUFTU4JY0Z7jXuEWdQXV1Xul0D2k9oOqwDWbN1rmxgdTOduqdpe7ntCqbLFWa6xEgf0G9rU59i8YhZXOdlM6nVfW3Vsp+8k33avku0Z21KqBLO427h9P9YtvcD03HE6RMTj1tlHpQehuRq2POzcF9DVEylZW5prfDMOqdKiMRkjR+a3G1M4EkfodN/AD6Sys7pWb1nRXlA7k0s7nO1+jHkp2YHdcpFsW2rlmhtX2/0gBwGLoCI3TwIMy2B3vfwhAoeDljPyBlN/mjPjaptp/DpEfGfx+Qj1RPVZRN4mF2TFfIUg90ugStPiWBZKs3qumHItF1Cqtpf7ntS+fEpSZIuzSK6VALI8TlBVrnKymfRVx3b6TvKusFGG9szqfGJbSpVgFnc+rweSJGD9sgvw+Yke7Nz9ewRDYazpbENLkxsnwrEKHW1uKj0QWQ/s3BdgVYFmMG1poaVPGYdUKS6nhLm3P5PX3zx37zyESnQ8NDhWbW5c6V+5l087k0s7nPqa/jE+LLhkPLyNia1+HANsHUVUDnbORQpVbfeDdjwmGoAkiQj2xLDtmXf14F+5sBXPvXrIchR8MKPmnBlXfyRRQDyu4sFd7+gxdts158HllLgqlGzN7iuX8ykXUKq21+6fEQ1OviUpssWZJIk5xQpjiool33av1LGX7XxSUfiel0SFMtsHefHl5+AfH3pNj9E7r2tDLB7HUK8rsc8a1T079wU4HbnlGrmqttJcRFR7ip2f5tIOa6/p83pw7WXn4IGnKr89ClEqu+UixcgXqq0fRBz4IWQ3ZstNH3jqbSy7ajIECIgLAlRJhCT1f73aqPkoXwOA/k1icx0FlmUFgpyYtSIk9wGi2qXIwI9++aYhxn70yzehKCrWLZ2Bu5dMx+YVs3D3kulYt3QGZxOQbQy2rSs1q3IBcYvHD7btlSQRqiQargt2/4xocPKNMcA6znKNFbPHrVs6wzInocrS2oWjwV5bfjf5tHulbs+ynU9sS6kS0uOuY84EfR9k/xgflsybjFhcxvCWBkiVPVSykUr0BZjloGZamtxFbUsLyYOIiIqpFDniQO2w9podcyboA4CAsQ3MtV0mKoVS5CLpMa0ouQ+8FSNfqLb7QbseF2VhVQYm1GM9gs3VfJSPuEXZTxUqYjHFsELwrs42wMluBrIHu7d15SwXkG1mk50/IxqcYsZY+vnkcTugxuQBV626nCK6QlGse2QPZ6DaTK2tkCh1mz9QqVu2pVRu6TEPQB8ANJv5P8TbUOEjJjsod36cz7VGFIWiHlu1leYiotpTiT4J7TUbPQ7TNhACEMzSZ0xUasU+L8xyjbU3TEeLx5HTcxYjX7B7/2M6DvtXIW25aaqOORMGHMHmaj7KlVmMjfI1AKqAjSYbHHNmJdmJnds6q3NLKkG5rmwzm+z8GdHgFDvGUmPF5/VYxkrq42RZzbhWcBa+PdTiColStmcDnU9sS6kSUuNOi9EFl4w3nfnf1ROp8NGSXZSzvSp35YtU5cy1iYisVCJHlGUla19erd0DUPUp5nlhlmts+Pm+nGO6WPlCNd0PchDQhN2XSJstNz1jRLPlCDZRvqyWNCsWKwQZZ1SLSnEtKGe5gGwzm6h22aEkRbliz+75mh2xXciPHc4nomy0GG1pdpme27F4cTsi2O5SLgq91hQjvthuE1E9y7cvT1HA6zrZVra8YLD3tfWYL9TyeytINZRJMl1u6pCqajNKsjfLJc0w3/TUIYqALFfugImKrFTXgnKWC6i2TYqpOOxQkqIcsVcN+ZodOUSR1/E82OF8IspGi9E4XKbnttMhIh4vzrnNdpdyVUgeUKz4YrttP94hDfC4+7seR470VvBoiGqb1gb+cNVFCEfiehsYB0zbZRUqVm99jdd1sp2B8oLB9jnUY77AIf401VImKX256dDm4m6oTWS2pFmUgFUdrYY4W9XRCpFbAlKNKeW1oFzlAupxZhMlVLokRTlir1ryNbvhdTx/lT6fiAYiywocUE3b3ZYmd9Feh+0u5aqQPKCY8cV22148bgfm3v5Mzv8josGRZQU+r8fQBlq1y48++x6v62RLA+UFZjG99obpefU51Fu+wL7ANNW6kXSxN9QmMhONKXjihQNYMm8yvI1OhHpjeOKFA7j9m1NtfX4Q5atarwWp6nFmE9lDOWKvFs7RSuB1nKg2WbW7YhH3QWO7S7kqJA9gfBERlY5ZuwwB2Pf+EcPj2O6SXQyUF5jF9IihjThxorsyB1wFeF6nqebyabKsQEDyS5VVsKgTFZskCgiGwti0fb/+s2o5P4jyUc3XglS8LlCllDr2auUcLTdex4lqF9tdspN845HxRURUWuntsiqZbxPAdpfsIJe8ID2mizn5rRaxHGgalk8jssbzg+oFY53I3niOFoafGxEViu0HlRLji4iovNjukp0xPouPn10alk8jssbzg+oFY53I3niOFib1c4MgAKrKz42IcsJ2l0qJ8UVEVF5sd8nOGJ/Fx0FAEyyfRmSN5wfVC8Y6kb3xHC2M9rmNHOnFsWMhfm5ElDO2u1RKjC8iovJiu0t2xvgsLg4CEhEREREREREREVWBaEzGyJFeAND/P5twJI7Q6b4BH0dERLWJg4BEREREREREREREVcDllDD39mdyfvxz985DqITHQ0RE9iZW+gCIiIiIiIiIiIiIiIiIqLhqeSWgBACiKAz4wFweUw34PopiHIA/AYhX8iBQh/GbD75nS+PA+K0Jdfq5jAPj1/b4ni2NQ5XFbz6Ps6NqPnbAdsc/DozfouAx5abIxzQOjN+qVuefxTjYI36BHGO4qdkDj7uWuxJrVwnOtXGwWfwCdd+m6Pg5JGT5HMbBZvFbjX0QPJ7sSnw845BHDAuqqpbyYCqpHcCrlT4IqkpfBvDHCh8D45cKxfilasb4pWrG+KVqxvilasb4pWpmh/gFGMNUGMYvVTPGL1W7nGO4lgcB3QCmAfgMgFzhY6HqYoeZIIxfKhTjl6oZ45eqGeOXqhnjl6oZ45eqmR3iF2AMU2EYv1TNGL9U7bgSkIiIiIiIiIiIiIiIiKheiZU+ACIiIiIiIiIiIiIiIiIqLg4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1RgOAhIRERERERERERERERHVGA4CEhEREREREREREREREdUYDgISERERERERERERERER1ZhaHgR0ABiX/H+iasP4pWrG+KVqxvilasb4pWrG+KVqxvilascYpmrG+KVqxvilkqvl4DoTwEcnTnRDUVTLB/l8jQgGe8t3VCXC91EcI0d6hYq9uFFdxW8++J6tMX5rQ71+Loxf++N7tlZt8QtU9/dZzccO2O/4Gb/FwWPKTbGPifFb3er9s7BR/AJ5xHChquX7rpbjBCp7rHaM33hcrprvrpSqKYZLKdvnYMf4rbY+CB5PdqU+nnxjuJZXAubE4ZAqfQhFwfdRn+rx8+J7rh21+r4Gi59LdajH74nvubZU83ur5mMHqv/47cCOnyGPKTd2PKZy42fQj59FfamW77tajhOormMtB34eCfwcEmrtc7Db++HxZGe346n7QUAiIiIiIiIiIiIiIiKiWsNBQCIiIiIiIiIiIiIiIqIaw0FAIiIiIiIiIiIiIiIiohrjKPcL+v3+uwGsAzA5EAi85/f7ZwD4GYAGAH8E8LeBQOBo8rGWvyMiIiIiIiIiIiIiIiIic2VdCej3+6cCmAHg4+R/iwD+GcDNgUBgAoBXAGwZ6HdEREREREREREREREREZK1sKwH9fr8bwE8BLALwH8kfnwcgHAgE9iT/+yEkVvzdMMDvyKYkSUQcgKyokEQBDgCyrFT6sKiCGBNUzxj/RAk8F+xD+y6OBnsBSeR3QUSm2G5TMTCOqJgYT0SAd0gDPO6Bu/NHjvQCAMKROEKn+0p9WERlw2tBYQRVVcvyQn6//x4AhwOBwE/9fv8fAVwBwA/ghkAgcHnK43oBnAngb6x+FwgETubwkuMAfFS0N0ADUhQVH39+Ght+vg9Hg30Y5WvA2humY+wXhkAUhUofXq7scqDjUAPxWyMxUU3s8qGOQw3E72Ax/vNmlw9lHBi/RVUn54Jd3sg4ZInfOvkuKH92+fLHge2vLVRZW2GXAxoHxq9BlcVRpdjpgxgHG8cw48mW7PTBj4ON47fY5t7+TM6Pfe7eeSU8kqrG+K1CvBYY5PWGy7IS0O/3XwDgfAB3luP1Up040Q1FsR7oHDnSi2PHQmU8otKww/tQJVE/CQHgaLAPG36+D5tXzIKQ44h8pd+HNlPGLqo9fosRE+ns/p5LIdf3zPi1l0Ljv9Y/FyuMX/sr9D2X4lpQLrXW/lbzd5Gq2s8/ux1/tcRvKrt9hkBtHVMp24pif06MX/vKJY7q5bOwYrf4BXKL4UIN5vsuZw5TTXFZyWO1Y/wCqJrvrlCFfO61/plYyXZ+2DF+q60PohLHk+1aMGpYU119PvnGcLn2BLwYwDkAPkquAjwTwP8EcDaAsdqD/H7/CABKcqXf4Sy/IxuSFVU/CTVHg32QS5RAkv0xJqieMf6JEngu2Ae/CyLKBdsKKgbGERUT44mIiHgtKFxZBgEDgcCWQCBwRiAQGBcIBMYB+BOArwP4AYAGv9/fnnzocgD/mvz3m1l+V3ckSYQqiYgLAlRJhCSVa/w2d5IoYJSvwfCzUb4GSPW3HLem5ROLjAmqV5IkQhJFxj/ZRiXzCF4L7IPfhblqyLOp/rDdpmpX6Thi215bKh1PdpEe16VatUlEZEeVvBZUe15R0aMNBAIKgGsBPOj3+z9EYsXgnQP9rt5Ikohgbwyrt+7Fss0vYfXWvQj2xmwXbA4Aazrb9JNxlK8BazrbylNzlsoi31hkTFA90s6Th59+BysXtjL+qeIqnUfwWmAf/C4yVfr8IDJT6bhkW0HFUMk4qvQ5RMXHdsk8rj/+/DTjmojqRqWuBbWQV1TkeplcDaj9+zUAky0eZ/m7aiJJIuJILFmVRAEOAHIeNcvjADZt32+od7tp+/5E7fOSHHFhZFmBr9GJzStmFfxeqTQGG4OafGORMUH1KPU8ORWKYsm8yWhpdmFESwMcUAuO/2Kdx1R/BpNHpMZdMBSGJIl5xx2vBfaR+l1AEABVHdR3UQvtUrXk2VRfihmXhZynbLepUKnxpgIY7nVVJI7YttceO7ZL5c6DzOJa3xexZK9KRGQfsqwkc4t2yIoCSRThkoBYVC7p69ZCXlFPk2YqQhsp1gJFG6H2NTpzTg6y1bu12xcoywoEJANLVlHaU5ByUYwY1BQSi4wJqjep50ngcBCbtu8HADy8ejYEtbByLcU8j6n+FJpHFPX6wWuBbWjfhbZReaHfRa20S9WUZ1P9KFZcKopa8HnKdpvyle26IKhqWeOIbXttslO7VIk8iHFNRPVOkkScCEVN295SqoX2t6A1i36//0y/3//FYh9MLbIaKY7n8RysfU6DUYwY1DAWiQZWivOkmOcx1Z9CY5JxR9nUSnwwtyE7KlZcdvVEauI8pepgp+sC23YqtUrEO+OaiOpdpXKNWmh/cxoE9Pv92/1+/wXJf38TwCEAH/n9/mtLeXC1INtIca7sUvu82jfArFeyosLn9WBNZxs2r5iVmCHh9eQVgxq7xCKRHWltpKKo2LB8JqZPGg2gOOdJMa4lVL8KbbvtFnfMQ4pD+xyPBnsH9TnaLT4KxdyGiq0YbVWx4jIWV2riPKXqUOnrQuq5J0DAuqUz2LaTrth5ZCXi3ezasPaG6YxrIqop2drrSuUatXDPmOux/ncA30r++x8AzAHQBeApAE+W4LhqhjZSnBqg+kix3B+g6bXEXZKAqKxWvJZ+6vHVQsmneuRyilh8+Tm4f+fb+ne3qqMVLqcIJUvNZKv69pWovUxkd1obuWP3QcyeNhYtzS7ceOW5uGnBFMjy4PbcArJfSyQIVb8nF5VWPnuoSJIIGQLiyTZ++qTR2Pf+Ef33ZjlMOTAPKQ5JEtETk3HkZC88LgfC0ThGD2tEk1PK+3PMNce1OzvuMUTVq1htVa5xOdB+VE6HmPd5Wgt7fVJpDBQbhfR9FLrfsNmxpZ97d3W24fu3tCMaUxjLdW6wbbPTJSEqw9AHospq2fMgs2vDiKGNOHGiuySvR7UhGpMxcqQ358eHI3GETvcN/ECqa5IkIhgKIy4IRb3GprfX0yeNxo1XngtBECAmx0ss294SqoV7xlwHAd2BQCDi9/u/AGB0IBD4DQCwJOjAtJHi9GTDAej1y80SktWdbdi5+yD2vX+korX0NbWwAWa9UmToA4BA4ru7f+fb2LKi3fJvrJLk4V6XZe3lamr4iIotDmDH7oOYe+FZeOCpt4t+flhdS1ySwHOScpLLHiqSJOJUbwwbU+LpzuumAYAhH0nNYcqFeUiRSCKCJ3vx4K53DBODmkY2A3m2GbnkuNXCTnsMUXUrZls1UFzm0qnd0uTO6zzlhAuykktsFNr3UYwYMzv3NibPPUeF+lDIPgbTNjtdEo6Hotic1l83siW/9rVY0q8NYhWVoqPKcDklzL39mZwf/9y98xAq4fFQ9eu/lu8ter6Y2l77x/gw98KzsPah1wwTfNYtnYF1j/w2o+0ttWq/Z8z1M3rX7/ffBmAcgBcBfQCQ000GkMtIsVlCsnn7fiyZNxn73j9ii46uWtgAs17FFfMyQHFFsfzurJPkdnbCEpmQFRWzp43VBwCB4p4fVteSqKzynKSiiQP6ACCQiKctj7+BdUsvwI1XTobHLUGNyRXpCGYeUhwxWTGdGLRpRXveG4XXwmxIomIrZ1uVS6e2KAp5naeccEFWcomNQvs+ihFjzBMom8HER1SGPgCo/d3mZN8I8yAiqkelzBdT2+sFl4zP6GPbuH0/tqxoZ9tbgFzv95cAaAcwGsDa5M8uRKIcKA1AlhUIsgKHqkKQlYzAtEpIvI1Ow3/nUt+2VPvl1MIGmPVqoO/OLGask2TuK0JkRhIFtDS7Cj4/Us9DrSxSOrNrSaX3XqHaYhVPXd0RCEBiP9kKJdfMQ4pDsfiOlQLbjIFyXKJ6U862yqrNVhQYcgoAOZ+nzCvISq6xUWjfx2BjzPrc4x7CtSqfvq/BtM3WfSAK8yAiqkvZruWDHZdIba+9jU7LRS1se/OX0zcRSPhGIBBYGAgEPkv+7F8CgcCtpT28+mCVkIR6Y4b/zjZoo/082BvD6q17sWzzS1i9dS+CvbFBJb3aa0Go/g0w61W2zUutYsYhipY3UdMnjcaazjZsXjELazrbMH3SaHbCUt1zAPB53QXdXKafh39//ysI9sbgdEl6Ww9JguiSMtt9DoxQgbTru+oQoUoSZEGAZNH2h6NxqFALHigqhlrYiNsOHJL5d+yQaqvNKNWkOKKBlLOtssoBBBE43hXGHz8L4af/+ruc7wclSYQkirjn79qxprMN/jE+/TmZV9Bgc06tXRazDNYNps02O/dWLmzFw0+/M+g+EbKffPu+rNpmlyQMmC9Y5ceDjVkiompllRM4xMGPS6S216HemOXrFHzsdXyfmPP9iN/vvxZAB4BRgUBgmt/vn5X8969KdnR1wqx2vrYnIAB9E0xFBVwuB06FIob9etZ0tmHIEKXoy3HNNuPcsHwmBAgQRXC5bZXIVpZFlUTs2H0QS+ZNhrfRiVBvDDt2H8TN/89fYXVnW0bde49LRMeciRk/d0kCYhbFkM020Y5Fq61yMlU7SRIRB0pWLkCWFTS4JNN9ISAkZuRrr5l+LKokZLTdO3YfxKI5Ew3PtaqjFU+8cADBUFivtw7Uzp5cVD7a9T19H8vpk0ZntP2rOlrR0uxGMBRGo8c8bRzo/CrG+cfSk8Xhcoqm13eXU0Q0Xv2thiSJkCEgLCv49Hg3du7+vaHNZLxQqZWjrdLaVG2SZvo95CNPv6vv47pyYSt27D6IZfMnw5GlLTbbp23lwlY89+ohLJozkXkF6X0WO3YfxOxpY9HS7ILP6856H6hJjS+f14NVHa16aWotbh9++h09bu/qbEOL14VoTMn5HOo/99pxvKsPXd1RPPniAQQOB/HRp6dZ0rbGaPuxp/djLJs/2fR7Nmubs+2tnsolISN3WtPZhuf3HMKvfvMH7p1KRHXHag9gUTLu57fgkvGIxGTE4YJDEnNqI1PbawjAXde3YeNjxv6JcCyOJqeUd5uby77Epe47rKScBgH9fv93AcwD8GMA/5T88REA9wPgIOAgaQG+ZUU74ooKUUx00nzrqilYNl9FV09U3wTz7iXT8eCudzIG+jbeNAuiiKLWwU8fVNz3/pH+BFpWeCNYRSw3LxWA+Refjft2vKU3gLcumopYXMXOtKR65+6DWDZ/ikU9fPObKqtNtEd4XRwIpLLJ5UJfDLGorCcrigoIAtDdF8OhP53GS298jEVzJmK412V+s+n1GNrv2dPGZgwM3r/zbSyZNxmbtu/vn+DBgREqgHZ9XzJvsqHG/r73jwAANt/cjmhMhtMh4kRXH3781O8SAynXt8HX4MwY4Mt2fln93tvkBNT8JhRV+0bcdhCNKabX929dNaXShzZoVoMYT754oCJ7mtXyDSRlV8q2ynKSpiBAEKAPAAKJ3OGBpxK5AwQg2GPdVptNJn3gqbexeUU7HFCLPrGDqo8sKxjudWVMUrursw1DB8ipU+PraLAPT7xwADctmILRw5oAAI+/8L4hbjdu34+bFkzB+m378srbZVmBLAi44yd7DD/n3oA1SIBhIpt2zc92oU9vm6MQLSfRp4pFZYxscWPD8pkIhiLo6o7qE+k++CiIwOFg4v5sxSwIKRM/iYhqlTaO8cNVFyEciev5YCSm6AOA1152jqGNzqcPTmuvAWBosxs3LZgCj8uBUG9Mnxi/ZUV7XsecyF8zJ+Cn3ieWq++wUnJd83gjgMsCgcB2AFotqEMAvlKKg6ol+SwzPd0bxdqH9mLJxl/j7+9/Fad7ojhysg9bHn9DD1CPy2E60HfydBhQM5fjJko1FrbMlXtC1A6rOBQg6AOAQOL7vW/HW5BlFfveP4JN2/dj9da92LR9P/a9fyTrnoBmr2G1iTbH/6icrFZJx0vwWrKswAGgpy+GNVv34ts/+g22PfMu5l54FnbsPoiobH4sHXMmGJ7Han9Bba9Yn9cDTPSy7QAAIABJREFUFUBcEBBH4maW9dDrQzHKV2jXd7Ma+/vePwJZVvH5iR6s2boXd/xkLwKHg4lYfaz/vNGOI4bs55fV+XfoT6eLUrac8hO3uL7H5erP7awGMVYubE3sZ1nG/LUUJfqpdgymHTebpLn2odcgiQJOdIX1gRTN0WAfWppdgGre6aG11dn2Azeb+MHYrk8xkzx24/b9iEPIGgPp8RU4HMT6bfvQ1R1BV3fENG49Lof+73zydpbKrxOqYJjIpl3zoQo5t7H59HdFYgrWPvQa7vjJHj13euCpt7HgkvH63x0L9uXcJtZzOToiqg2yrMDn9Rj6obRr8IJLxme00YX2wUViMtZv26ffu2p9E3FFHbAN1dpaWRAQUVSc6o5kbffL2XdYCbleaVwATiX/rV0RmwD0Fv2Iakg+N0lWgTbU6zYEqFU93K7uKBRFNdQ5nz5pNDrmTMTqrXsKukljAl0bssVhXDZPfLPt1ZBP3edsm2gTlUu5JzRYdUTPnjbW8pw4Y0SzYY8Kq/0FQ70x+Mf4sPjyc7CGHXB1p1idr9r13brGvoAzRjRnnfShHcex5Kx+s8cB1uefNgBZS0l1NRBFmH7nYg3kdlax1t0XxeLLz4HLWb42stZvIKlwg23HreI8FlcQjcmm57fP64aSZSIfkPt9H2O7vsUt4uh4V1/WOLaKr1BvzDIXCfXGDK+Ra97OPYTrg1WbJgjIuY3Np78rWz6r/V2oN5ZTm8jJFERUq7RrsNWk9kL64Kz6p1VVzdqGpra1Sze/hLUPvYZGjzNru1/ri6FyvcrsBnCP3++XUn72XQAvFv+Qakc+N0lWgZaemOx6+UPced20jA2vX3rjY4gi9LJwD6+ebVq6MZ+bNCbQtSFbHFp1BkqS1cbZ5j9Prfuc+hrZNtEmKpdyT2iwas9bml2W54RTEvW2+4erLkKDU8w411Z1tGLXyx+iY84EfR8V7bnZAVcfitX5ql3fX3rjY6xc2JrRpktQ4ZSs2m/BcBxWnXfa+ZWt4097D7WSVFcDhyRiVUdrRtvikKp/ENAq1rq6o7h/59tQyliFoNZvIKlwg23HreL80+PdcDnFzDb9+jY0OMUsE/wS536u932M7fpmFUdd3dGscWwWXysXJvLaXS9/aHpd2vXyh4bXyDVvT91L6OHVs7F5xayaKeNF/aw7hXNvY/Pp78qWz6bGs/aa2dpETqYgolqlXYNHtDQUrQ/OIQmmecLxruxtqFlbu/3593DH4mmW7X6tL4bKdTzn2wB+gcRqwAa/3x8E8FsA15TqwGpBtpuk9A9eC7TUx4/yNcDtEvG9ZTPR1ZOoPf7SGx+judFpqEf+3KuH8M3/dg5ckoCorOr7M0DI/fVNj597TdUEWVHh83oM+//sevlDyIoKl1PM2Jh9VUcrBMD0u0/d8yz151rd51TaTLz0TbRXJwcTB9pAPp22/8jRYC/AWvuUB6tNix1ASfYUs2rPfV63PpC+Y/dBzJ42Fi3NLvi8bjglIBZNlBL1eT04diwEb5MTt1z9VQxvaYAkCRAgYPk3JqOpIbOEYz5tO1WvfPKKrM+TvL4vm5/YJ2rzinYoyTZdlBJtukMUsG7pDKx75Lf6ebP2humJNj/lOHa9/CFWLmw11Pu/K+X8Mjv/tH3agJSkugbKUVYDRVHgdkn6vgrhaBxulwSlSjrws+UC2WItUTJGKVsbaXUdMIt17q9WXwbbjmeL89uumYqfP/celsybrOcXI4c2IhjsgUMSDX83fdJo3HjluRAEQJUkRBUFQxpd+P4t7YjGFMtYzCe2qfZoHXGp946p7axVHGv7CW5e0Q5ZUSCKAl7Y8wcEDgf1HHnLinbEFQVHTvbC7ZIQDIUBIKe8Pb0dBQAhWaKfewjXJqv7u2yrnjMmNeTQ36XFlqKo2LB8Jh599j3se/8IRvkacMfiaRjS5MJNC6boea22AkYSRUhp+6nqr1ukfJ6IyI5kWcnIOwfTByfIKnxeN77dMRVDve5kn4WAp3YH9MeYTr4QkNEPvu/9I+i41K/nyiNaGgx7X5e777DccrrGBAKBIIDL/H7/GABjAXwSCAT+WMoDq7Ri3JDnc5NkFmjrls5AV3cUGx8zBl+DQ4QiqxjR4oHP68ay+ZMxbEgDPv78dEagTp802lBjP9+btFJubE/l4XKKWHz5ORkDfS6nCEFWMdLXgHVLL4AoAIoKOBwCBFm1/O7Nfi4lV4ykx7qqqhiRcsMniWJiADDPTQFrfXNWKq1yT2hwAFi3dAaOnOzVO9lHD2tEg1NELCpjuNeFRXMmDhjPkihAFAWse+R1w7nb0uxmB1ydKmbna+pm2wDgkkQEe6OGuLyrs83QITxiaCNOnOg2tPmBw0E8+eIB3LRgCkYPa8KRkz1wppRdTD3/FAVQoeLRZ99D4HBQ74RWFBUiJ3eUhaoCe3/3Z3ytbRwkEZAVN369/4+4ov0rsPv8xoFygf5Ya8fxrj50dUfx5IsH9E7mcraRud5AMr+pP4Ntx2VZwVCvSx/ID/XG8OSLBxAMheGURCybP9mQ6zgcov53WlsMAQj1xPDos+9h7oVnGSZxrOlsg7fJ2b8BSJpa7xyh7LSOuHVLL0CoN5pzOytJIk6Eohlxc8WFX4HTIUGNyZBlGZIk4sf/8jvDBFZRBIY0uRCRFUgmuQLb0fpkdX8XB4o2Cccqtr511RSoKgAh8XfDvB4M9bpM21OzOORkCiKqdcXsg5NlBV6PA7G4Yugbu3XRVHxypNuYgyRJkohgTwzbnnnXMGnpuVcP4dipMLY9824yfzVO1qj1xVA51eTz+/2/BYBAIHA4EAi8qg0A+v3+PSU8toopVo1us/IC65bOgAAhY/NKs7IVHqdDHwAE+pe4RrUBGlnRN+AM9UVNSwrceOW5JiUdBW5CXKPMNphWZGSUDkwti9XXF8e6R17H8ntexrpHXkdfX/6FKLKV0ohFZQiynIxVecABQLP3wJIZNFjpbWb6DV6hbWLq34ouCZAkxAUgGlPw4K53sHrrXjy46x3EYgqU5E1dVFZzimerc1cSBZZqrlOlLNNt1s5u3L4fsqzCnUyoj3f1QZVEuCRjDAZDYTgdEu7f+TbWb9uHdY/81hDP2vknqQrcooBl8yfj0bVfw6I5E7H2odewNCXXcrokffNuVZKgOkTmKkXkkgRcfN6XsH5b4rq/ftvruPi8L8FVBeVAc8kFZFmBAyrcTgnbnnlXvyksdxuZazk65jf1pxjteGIgxoP7dryFTdv3IxgK6+X5HYCe6wCJ9lnLbxJ/qwDJcnmzp43VO6yB/vg79KfTlve/Wmx//5Z2bLvra9iwfCaGNLoG+7GQzVjlxrKsoMkpodEj5dXOWrV1UBMVMABAlUR9tdVQrwubtu/H07/5L7icDtz50z2GfhmnSxrwudmOVr9s92hWA3i5trG59PlZxZaa7NdwqIAsq2j0OLBs/hQ89+qhopchJSKqVtn64FLl0h8Xk5ExPnLfjrfwt/99omkbGgewY/dBLJk3GZtXzMKSeZPx3KuHcOOV5+KsM4dkLRWe63FXo1yvM3+Z58+rmtXFfvOKWRmzpLPNHkofQXY5RXSFolj3yB7LGcypK6zigmBaJgBCIkk2lGmMm5c9CPXGsGH5TAgQIIqA2ymiNyLrpURfeuNjLJoz0RD8LEtUnaxmqmUrHaiKwEaTTl+zWE99HbP4GF7CFX/eJpY/rFelbo8GM3tY+9sduw/iyovOQqPbic2P78eSeZP1GUdA5nllXQIGgCAgGApDkkRELMrZRGLmZXkzZpmyLa85pZqZJkkiYgBuXTRVL5UROBzE0WAfFAUIhjPPkREt7sRxqEAspiAai2PBJeP1v81WEkxAIo8xy7U2LJ+JtQ+9ljFjLz1XocLEFWBT+gSzx/bjnpvbK3xkA8u1fFalZ3BqbW8k+dpuUUjk+SaPTX1P/jE+LLhkPLyNTqhI7N/IeK89hcZn6jVdBTDc68KWFe2IyQo+Pd6NB3e9g2AojHVLZ8DjdEAVVAR7Yti0fW9GfqOVdPYNcZueU97GRN69Y/dBLJs/BbIgZBznKZNVXWyj7SufnDA1v00tXd/gkhCLyom/k823jrB6zmztt6Koprn4squmACqweuse01xBPx6WVqxJ2e7RAGS9f8utxKdg3ud3czsgSTh6slePrdTrc6g3Bgjmx7dyYStOhaIIHA7qz1loGVIionqQa39c3KJvbPSwJmxe0Z7Z/ywgY3X2yoWtkBwioKj926mZ5LiFvIdq6XfLmhf5/f6Hk/90p/xb82UAB0tyVBWm5JhI5hKsqQN7sqxmDLhYDS4C5mUCpk8ajVBP5msOaXKZlhQ40RXGPU+8gc0rZsEB4HhXxPC331l8PnrDcTR6nJCSs/vNSnVwkND+rAev201jQxQFKKp5rCsqICGTJInoickZZQ69Hodl3GjHlh4vZnGU73tgyYzaVo7yPvlM+kgnQ8CO3Qcx98KzEI3J+qo9rfMslXYNcUsiVJiXgPnzsRDWb9unv8+hXvN2XUp2KGvXFglC4lxKSWCA7DfHVL3yLdNtdc3Wfg4ByY7izP2lgqEwRNG8k2TD8plocGaW99IG7RyiCMjWR2fVaRcMRQwdLk6HiMWXTcITL76PZfMn275kpd3FZPN9gmNV0FmaT/msQs+TxAi1ACW5Z1W+OW6+1y3tPfm8Hlx72Tk5lRGj6pXPAHHq4xVFRVxRDXtRrelsw5BGF/7xZ68ZBpKDoQju3/lb0wlJm7bvx/dvuRASVNy76iK0NLtMt44I9cbgH+PD3AvP0gdgUmNyMLkTlV++7VJqfputTbLaIsI058jSfnf1REzj6aYFU9Dc6LLMFeB1w+WS4JCtyz/qOTL7LapOtnYGlr9rT1SSQCIuBVXNiE0t743EZNPYisUVfDfZrt69ZDqmTxptOBemTxqNcV88F0py4qbP68HRYB+OBvvwwFNvY8m8yYlVrsjeX8Ftd4iIBu6P09puEYnxkNnTxur3sC+98TEUVcXarXv1xSPahPq4KmRUu3jgqbexftkF2P78++iYMxGbi9BXVm0lyQeqrXQi+b/Uf58AcBzA8wCuKt2hVYYkiVABfWm+Jr2+LJB/6Ylss9TMmJUJuPHKc01f87MTPbjtmvMMj125sBW7Xv5Qf4304/V5PYhEZfzTzrewbPOvsXrrXpwIRQFB1ctypL+nYpVKpeKzGryGoGLlwtaM2ICgQkzekKXSBggTJdmk5JJsKfnfAoKhiKHMYTAUQUwxPxdkCKbx4nRJpj+HAPNBSUVlyYw6VI7yPumrMNZ0tuHWRVOhAlnbNUkSEZMVvZSWx+XQnyfUGzM9rxxiYhD9eFcv7rzOGM+rOlqxc/fvDe9TkQcuFWPVJgtO81VWLI1UX6ziQ2uDH376XYQjSkasPPDU2+iYMwFrOtsAwXqwLipnnqMPPPU2ls6fDFlVs5bxlCyuP13dUfjH+HDtZedg2zPv4o6f7MH6ba9j7oVngb3Lg+d0Clh8eeKzXb11L7Y98y4WX34OnA7753FW5bO0ChmF5qLaefLw0+/is+O9WL11D5ZufgkPP/0uIoqaLE2b2/Pne93S3lPHnAmmZRnZZteOfO+hUh+/dPNLWPvQa5h74Vnwj/HB5/UkynymzYpecMn4rBOSEn8Xweqte3D7/a9gzda9WHjpREyfNBqA8f5xwSXjLWJSyDphluwnn3YpPb/N9jfp5bus7u+cLgmSZF3K3qqiUXOjC80NLstcIdQbQ29Yhgrz+0SXZH4fyn6L6pCt78zqd8e7+ky/69T29NCfTmPT9v3o6o6axtZnx7vh83oSEzKb3bjxynP1c0GbHLH2odewdNOv8eCud3DtZefAP8anH8OXRjXDP8ZXtByFiKiWWeWUimJsu7t6Ilh4qd9wD7vwUj96wlE9RwlHFPz0X3+HYG/McsGLIAj4xt+M1wcAtZ9rOW6+bXW1lSTP2oceCARWA4k9AQOBwDPlOaTKigN49Nn3sHJha8bMt9QNzxOl2vIrPZHvBsBmZQKsTpBGtwOyqmbdpDs9WUq9UdSeZ9P2/fjesplYetW5eORX7+nlwLT3lMvMT64UrAxRFE3jC6qA5149ZJj1/9yrh7Bs/mR9gDBjibQo4HgoYpgZsbqzDT6vG8++YnyuZ185hBvnTTY/F1Tzvc82r2jPc9Vif8kZCAKgqoyrOlCO8j6FrsKIA/j0eDdamhMzlLWBv6PBPux6+UPza4hTQPBkBPfvfBtTzh6BdUsvgEMSoaoqfvTLt/TSMdr7jCvZS8VkK2WzYflMfWZqqT47sr9sq6u1Wf7dfVHT8+wvRjbj0Wffw+xpY03b5a7uKHxe81JyJ7rCuOMne/TYH+51JcptpMQxkBj8SJ81t2P3QdPO5weeehubV7RDYonEQVEU871GN9/cbvsx1tS8GEJiBVX6yqhCZl1q58mSeZNNO/qyXRPSc16ryUzZyuP6Gp1o9DhKfr2jysp39ZzZ4x946m3ccvVXIQiCvuIktX1OHfhLzUs0HXMmZHR6bHl8P9YvuwD/Y/YENDe4sP35xP2flt+k0jrZfV43K3RUkXzy6fT8Nv1vFAUQk3v3pa9O3bB8ZtYy3z6vBzctmIIzRjTDKYmQoEKWFTg9TtN4anQ7sf3593DH4mm454k3DPeqz716CJ1XnIu7H0600dMnjU5sgSIIEATAIQmQwRWr1Sxr3xnMV392dUcBZH7Xqe2p1k6a3a+tvq4NL+z9g+Ge8N5VF+mvY5Wfaqv/Rvka8PnJHty0YAq8TU48/Kt3s+Yo7DcjonqT3u6JFlWyRFFAHP39yb19cfz4X36XlsO+gVuu/qr+3919Ucy98Cy9nL3Z857sCmNYi8cyx3U7pbzuJ2XFvMqOXe/hcj2mY36/f6bZLwKBwGtFPJ6KkxUV+94/Am+jC3cvuQCSCMgK0NQgQU7Wl9VGo4OhsGWwqhD6a8wmg9uVnAGX3uGVOriYcTxpZQJESdQ7rLW65OFoHM1NLty1da/eka2Vf5k+aTRuvPJcKIoKSRQNJV+sStZ19UTQG45hwSXj9WRGu6kb6CbCainscK8r7/3hKE+Ciu8sPh+hnqheqtPb5IIkAdd8faK+ieooXwPuuj4581KFxQDhlIxOgs3JEkLzLz4b9+14S3+uWxdNhcMiSRct9rWULeo5ayv+zM4Rqj/5TpwohLYKIxgKm854tprgIALY+38+xYJLJmCUr8FwIxk4HMRzrx7SOyMkUYCkJtrPaEzGP1x7vt7RNv/is6GoKjrmTIDH5dCThmAonLH63PDZJNtaq1I2wVAEHXMmYP22fVk/O9581g6z79J6slL/LP/0TmQgESvHT4X1fCi9XdY64KyS69ROmB27D2LRnImGv1+3dAY8LgeaPA5sXtEOCCqgAi5JwKI5Ey3j+lR3BJIo2La8RjWwnm2pmpYBtxs9L/Y4sfqnmftEpZeOGahtS90P0zfEo0+esFoFlX5NMMt5zcorZrtuaeV5OahS2/Kd2GT1+OEtDVj3yOt6J/bq66bhVHcEzY0uDG126/Fn1sF9xshm0+eURAHbnn4PQ70uLJs/BTdemdjf26p9/7f//WHe97RUOXmVUlZU7Nz9e3x70VTTv1GhYvXW/skRty6aqu+BppXzTqXlpFrfhcflwKfHu/HlM4ZAjiba5JYmd0Y8rb6uDW6XCG+jC4IAbFg+E6oKHO/qw7OvHMLVX/Nj+/Pv6a+37/0j+OjT07hpwRRDaX2zCXHayizmvvam3aNZ9Quk/+47i89HXziOzStmZXTCpran2gSJwOEgnnzxAJbMm4yWZheGt3igKMCsvzrDcP0/0dXf52fVf3bmqGbc83ftGNLkxq6Xf493/us4blowRc8FzHIIp0sacBueVLxnIyI7S22jXE4RipzYxy99O5LU7aVEERg1rBF3XteGLY/3t4V3LJ4GCCpUFfp13CGJpu2vx+3Ams42tDQnqgc88eL7mD1tLCCo+gRjbX/jIU1u9Eai+Ox4t2WOu+2Zd/OaLORyilh8+Tn6JFutypfLKUKx4RhIrn3ru9L+exgSRZmOAzijqEdUYZIoYPqk0fjr876E9dteN70gazOJfF5Pxs3Vqo5W/ODJNxEMhbGmsw2RWDwxY04Ehg1pQFOD09DhZb5Jcfa9e9YtnZHc7yFtxYrXY0hmRg71QBAEwyzm1Z1tABKJcjgatwx8b6MTQ5sl3PN3szC8pQGyAjgkCS7Jqua+iLiiQM2yKsXN2fslJYkC4nEVD+56R/++b7vmPDgkEU6HiJsWTNEHB50OEaIkwAkBV186AaeTHbZOR+K/FdViNoOs6gOAQOL7vW/HW/j+LReariiEYB4vjiydXWYrnwDub1aPst38FetymssqDLckJkvhGm/UVnW04oM/HMOG5TMRDEUQlxV8u2Mqhrd4cKIrjHt/8RaCoTBWd7ZhZIsbwa6I4fy887pEsnKiq8/Qnt92zXn4wvAGyDIQURQcPxXG4y98oF9XEquq+leuWLXjY7/g1X9ntaI923nFm037Sv9urPbzHdbixt1LpmNosxsupwO9kRi6e6NwSKI+y9+so/i2a87DiKEe3HfrxfC4HHjr4OdYv2wmesMxeFwOxGQZ119xLl7+z8NY1dGKZ185ZEiud738e/1YZ08bm1GKXNuzKj3uYlE5ud+U9T7H+SbmZJS1M7iKTm+r8nFam51LzmDWBq7qaMUTLxzIurerlpdYrezasHwmPvr0dF7XrXJc76i8zNrpgQZijH9jnis7pP4Jdl8a3QwIQlpuMQ1A4l5Pm5AEQYCqqHBYPOefj3Vj8eXnwOd1wyUBUQgQxMyYvPO6aXhx70fY9/4RLPr6xMRkJwgQxcx7WrIPs/blrs42SJKAqCIY+xzExD5nu17+fcYKvDWdbXj02fcMbd59O97CLVd/Ff/4s9f18orp8TW8pQE3XDkJ9/7izf7nuj6RA8diCk51RzDc68KWFe2IyQo+Pd6Nh/7tHQz1ujL27Fl9XRuWzJ+McCRumGyhHY/H5dD/re0rmDohbvqk0Qj18J6yGphVxUptZ1J/53JKOBrs1VeJjPI14O//9jy4nBKiMdkwGT594ua2Z97FXde3IRqX8fjzH6DzikmGGN718oe4ddFU3LfjLdMV1qN8DThyskcffF65sBWfHOmGx+XQ97fW+lO05FWSRPTFMsvxp+5rmN4XyL4QIrKr1DbK5/VkDIpp7ZUqJSpjPbjrHf1xd/x4j6FSgCgK2PZM/ypq7d7Mqv31Nrrwgyf/05CretwSoAIjhnoyJiOvvq4Ne//Pp6b910++eCDrBL3U96vl6w6LKjtbVrSX9kMvUE6DgIFA4Iup/+33+50A1gP4tBQHVUkOADdeea4+cAZkztzRZhIdDfbpA25njmrGkZM9eOKFA3pJNy3x3Ln791h8+Tm468G9eXdGaLPl46qKSExBTzgGb4PLtIznuqUXoKs7og/YdMyZoN8Uao/bvH0/Nt/cnpzhmbkyUZvdP++is+F2SeiNxLFma/9x33V9G9YtnYF1jxg7757fcwi/+s0fcM/ftVvOABzR4hlUpx07pLNTZOBHv3zT8H3/6JdvYvPN7Xj8hQ8we9pYeFxALK7i8Rc+wLeumoIGlwBJEA2dB2s62yxnM1jVVY7GFdMVhd+aPwXrls7QZ3qEo3GMHtYISRSwqqM14/lFCZCjmZtkq5L5/mabV8yCg3FRswa6+cvVQG1HtlUYDlFEsDeKYCic0Z4++8ohLLzUn1Eu7t9f/wi/+s0f9OfZbFECd8vjiXb7h/+ced5+b9lMfPdh40zr7c9/oHcwa7OtzQZwVi5sxZsHPsdZf9GCDctnQhQFOCQBgqwa3ne20mQO3mzallmukK0E17+//kfMvfAsbH68vyNv3bIZejm39JnQQ5pc6AnHDNf+lQtbsed3f8LUiaMNE6RWLmzFB384gYWX+rHlcWOpLgBom/RFjBltXHliVYpcz7FkBQ5JNM1Pck3MyZpodf0VhWoaA4TTYT2ZKNeyi2aPu3/n27hpwRTLG83UARurlVoChLyvW1bXO4ArVqqRWTt9V6f5PZQ20Ot0SeiLKQiGIujqjuLAR8exurPNMABy2zXnQUoZTLzqr8frbTLQXxrp7iUX4Bt/Mx7eRpc+IWlVRytGDWu07PQIhsLYcnO7YULJ9EmjsX7ZTHT3RRGOxBGLy/jG34zHrL86Az19MWx6LHleyQpk8F7NrtLbF4coIhyL4zs/3pOR4wH9A4afHOnWJ7d9erwbTY1O04G34S2JfdVeeuNj3HndtIx84ERXL+79hXES6abH9htW7d2VHBT8x639fTBrUuJf+7vNjyf+7sxRXtM2OtQbMxzbGSOaDRPitBKiqZNdg6EwhnpdnHFhQ+lVsWSL36mqmnE/9cN/ftMQY6mT4bUJEoqq4liwD+FoHBsfS8ToFe1fyYgtlysxoXposzsjxrUOau11H3gqkUeoKnDtZefguVf7J8rJsooGl4SorCLUGzPNIY539RlK6icmx7G0LRHZV2obtWTeZMt7fVVW9d+lPu5osE9vq9NXUd+/821859rzMcSkasCazjY89pxxctKWx9/AhuUzE1u4mUy20PKIJ188gLuXXIDuPvPt1CQIlgu0UnN8qzGQuKLYsr+ioGMKBAIxv9//XQCfAPhJcQ+psmRZgWBZwjBZ8jJlFnXgcBCbtu/HPX/Xbphlpv2Nx+XQO7zSk02f1w0V/UGlSsZVdFPOHpFITE71wulwYMvjiVH1b3e0mh5fqDeK1Vv3JpbPXnc+vA3mtfxluf8G81iwR+9Q7uqO4rlXD+HqSydgaLMHsqxknLwbH9uPLTe3G/5G21fog4+CljMA47KSeK9ps5pyxdlPA7MqsSkrKjou9eNUdwRAYrVfx6V+qAD6ouYz0Lbc3G6699+yq8xLv0mCkDHDYk1X8WnDAAAgAElEQVRnooxLqFcxDDLe1dmGqCTjiRcOGJ7/iRcO4PZvToU7j5J2igIEw4yLWpbt5i8Xua52g2C+CkOUBOzYfRD/Y/aEjBicPW2sfhMI9J8/dy+5AB98FNQnhCTOw8zz0+f1wOUUceuiqfrkDW0f1q6eiOF579vxlr7fhNb2mg3gNDe48Ov9f8RFU7+E1Vv7O3fWLZ0Bj9OBeEobnG1fW4jmq7q5/2vlmXUEZCvBpZX9TH38uod/izXXT9NjXpsJvXJhK06eDmfkLOFoHBdP/RLWPrRXfx6f14NYXMZfn/clfZKT9vzPvZoo1XXPE29k3bMq9VgVNdEJHpcT+wz5vG5subkdkagMQRRwoivxN+kDMZSfaEzB//7PTwwl73/1Hx/i6kv9Fb9RyadNMSsfpw2o5Lpnt9Ug3l+M9MKRQwl/q1WVoggIspL3dSv9egfmvlUrtWqM1o6eDIXxlTNaTAeIJUnMWM29cmErXnnrE2y4aRYURYWSLK8U6o3he9+aic+OdxtWBQLQV51IIhCXFTgkAZ1X/CXisoIGtwOikNgn/Hvfmong6TBCvTG90wMAwlHZcH3RSiyu6miFIAj6QI42OcnnTZTPk8B7NTtKbVNVJNoWQVWhQtUHo4G0HC9jQoKI1VsTs/Rv/6Z5iVCXU8TdS6ZjpK8Br7/zGTbeNAsnT4f1TrXOK/7StK0dPaxJL934y/95EMvmTzacMy3N5vsONze64HAIGRNNhzS78PC/vWc4NqdDxPdvuVDPJUQBWN3ZBo/Lge3PD35PWaocLb4VRYUaN7+ep64M3bx9P763bCaun3suPjvejXt/8RaGel248cpzAQBL5k3Grpc/xG/e+hO+962Z6OpO3G+1NDtxz+P/qZe0HTnUo+/rLorAD558M2Nf9zNGNEOSBDzy9LuYe+FZGfvED/W60GixF6bZvob5lpMmIiqn1DYqWzUV7d9mj9Ny2C8Ob8L/+60LIIoCREFAqDeGEUM9+PRYL0aPaNTHIqIxGd5GJ+ZffDZmTxtr6EsLhhJbnH1heJPFvV4zbrhyEk51h+F0iPp2alp/tdsp4nhXxDSnTe+LsRoDsWt/xWCuGRfm82C/3/80gC8jUWyoG8AtgUDgd36/fwKAxwEMB3ACwOJAIPBh8m8sf1dK4gB1881KagxpMt8gPdQbg7fRqe/Vl54A/OatT3DOl0egpdkFn9eNKWePwK/f+AT+MT4snOPH0ZO9iMZkPLjrLf05Pj/ZkzVh8Hk9CEdknO42r3P752PdhnIF//9rH2HuhWfB5/Vg+YIpON0dxeqf7sGti6aanjAqgD8f69aTqlOhqL6v0P73PzOtzx6Pq4ZVBbkm2lpyF1HU5MCpR58pwNlPRqJV2SBRQG8kbhiIW9XRimFDPIjEFdOynyrUjIR15cJWOCQBt11znr7iUJuV7JAEyKpiKDkqqwpisoqNaZ3VG5OrooKhMDZt3592rCJO9Ub1v9Ea4RaveWk4MYeBCqpv1jMn2wGHiGBKSaDpk0Yn9hwB8Omxbjy46x0EQ2F8Z/H58Hk9mTE4rCHj3AkcDqK7L4prLzsnbTaR8fz0j/Fh8eXnZKy20mbja+255miwD74hbr2t3/Xyh3qZJm0A547F0xCTZVzRfpY+AAhYl18canFeSaJQ8P6v7EApPbPvxir5bG5wweUwr5/vcjgQjcexbukFEAXgsxM9emedVc4y5ewRaJv0RfiGuA37WqZfRxrckl5CLH21qlUpcgD487Eewwq11Z1t2Ln7oKEciM/rZonEQXA7RfzN+caS96s6WuF2iPr+TJWQb5siWpQPz2d/PevSqADi8oAr0fMp4Zk+wKkoA98UcuZ/9ZIV1bId9TU6IaiqYYDY7Lt+4Km3saqjFd29MX0iaHqVjg3LZ+ox7B/j019Pe6xhS4jr2nCqO4wFl4zHZ8e7DdUNgP682ux6MbylAd/9mbFKzn073sJNC6ZAFAVATryHHbsPGq4FO3YnBnYYr+WX3qZOnzQaN155LgRBgID+PXY0qTle6oSEeHIS25J5k/Hos+9lrCS987pp+lYot11zHv7yK8Mhywru+Mke/blzLaEoScZKNHcvmW76dy1NLhw92QuXw2G4v12dzG21x61c2ApRBE6FIvqk5fR7W20/Q7at1UOSRMgQEE6Wjt25+/fomDMh4z6rY84EtDQnJgxp92gqVNz9s9f1NnPuhWcZ2snvLD4fsqzq7V1q/vvX533JED+rr2tDbySGYChsOL5EH2AUKmA6EU+7D93+fOb5tKazDT3hWMa+hvns60lEVG6pbVRcViz6pUX930eDfYbcIDWHTb0/3f78BxjqdWGkbyKeeeW/sPiySVi/7XX4vB50XvGXWJ3Sl6ZVztL60s4c1Yzg6ciAYyJ3L52Bb3dMhSAgsXWWU0QkblWuOXNShlllLjtv6ZDTIKDf7/8QQOrVpRGJfQFX5fFa1wUCga7k880D8HMAUwE8BOCngUDgn/1+/98C+BmAS5J/k+13JTPQTb0sKxjqdWHTilk4FuyDoqro7otmbGaplQZYcMl4dMyZkJEA7Nh9UJ8pn5pIf3KkGwsuGQ8luVRWG4xbMm+yfmNnVcoFgGHlodmehU+8cEAfZXc6RFw26yuJvRziChRZxMbH9usn5fRJozF72lj9Zu7AR8cR6okaEm7ttb/yF0MwvMWD37z1CdYv+7/snXtgFPXV/j8zs7dcNskCId5ARTEil0ogQZKoFZC2CIjyFoIWEpW7IqJVLtoKiIC2am37qkWqgFWx/aGiYN8X73cFwb4CYsRLhYokkGySTbLXmfn9MTuTncwMoIKo7PkHkuzOzM6eOd/zPec5z1NKU4uGnorFFP6weovtA9R+oqQ22AqS6KgDp59L7/Cn0U8pJqi2fiGIgi1H8eLp5XhcIleM6Glp6gkIFn/94xPvs/TqcgI5HqNorKggSYAId6x8zxJcF08vsy0m2OmMzEtqU9g1DZdeXW77eoQ0Ki5tBzanZtb+xrAh/Kv/XUe8p+qHFHYNEI3JyIpqotAb0LMAVEyoIZ1OWT/uxIt7s3ztVmaNK0KSMK0RFUPPsDyXOn1Mnt/Ha1t2G9drbGSzPNw+rYzn3vyM6l1BRAFTsW3ZU1up3hVk2dzBps/sSL/o8Fy5AA6y2UwXp4+cHVQbWFG5deIAVm/42EAev7jpC1v6zFXPbWfMkEJDB0U3vfiWm+3jyZd3MnZoIR63RDCkTYa0z1m0RnKEMUPO4Mt9zSx/ehvBUITZE4rJy/Ey5dLeJmqkBZMHGu9NnVbtepwfUbCCSWZPKKa+KWzx0yVJ3ct3t9cYa9fS6eXI8vcxpf5hmKyotpP+Uy7pc1Sv65vEFKdJcRcYEyLZmR6yfG68bhEBQSseJht530aHT38e8/weTb9HUZBEEY8E8XYi8HYNzluuHECuz3VA0EQa+f/DNUkUbPd+Tj7t9F13ys3gN8li9MSLe1ue3X++9bnhwxMu6kEsLjNrXBE5WV5WPbfdHE+T9Ef+LI9RGG+/ZtQ1hm3XfsXh+o7rmKXp3AOCgG2TJZ0UHB1Ljal2zQ69JqDnEU4NBb2w509SgTaEYiYfFMA4xt2PbWbhlFIURTX50ZqXdjK7sj9NzTEDLJofyCAeV4wGzR+feJ8lV5eb8oDVGz620FfPrSwhFI7RGklw15otlpxh4eRSRp1/eps0xSV9DA1tu72tzrKRjq1H3w6FDcBuPb12bF9e2bzb8BU7wMSscUU8/eoneFyS4QOjB3W3+ERqnUv/nS67M//Bty0xdeHkUkMvMPXZisZlWsIJQ3871TRfU2yfp+xMt0Wn2OuWEFFNTFwvbvqCcUPP/N4WmdOWtrQdW5a6p7KTnphTWYwqqAiqwPzJ5zB/2TuseWmn8Tq7eHzvam2NBlidBPI0h2PUBsPMGHO2EXf119/z+BauqyhCUVU279jLqSdo/YlFU0v56zPbLBqDkARE1bdS0CGL/9Q2s+alnQRDEW6bUmoClejarirgcZvBptW7gjz7+qcp+8HvN0PWoeY517T7uQXYUV1dXXeoJ9IbgEnLBZTCwsLOaI3AC5O/fxz4c2FhYT7alsH2b9XV1fsO9bzfxNrz5nvcIooMUUUrwhk0PcDTr37CiHNP43ePvGcSs3S7RCKxRFJYeyfXjbNSeA4uPtloAEIbf+38SQNpicSNDaHeIc/Pa0PaJ2RF03ARBDoHMln29AdGAq6P1dYG2zQL/Zlu8gOZvPzeLgATUrRi6Bkc3ykbr0sCoW08d+P2ryw6P/OqSnh8w0e2hetoTDE+z4efBxk9qHtywtFnm/wcjD4mJ9Nji4rVk/U0+qmdqbB5x14TxdcLG/9N50A3+/uvqiiKvY7goqn2zTtVgP0NEYuW0An52bYThYqKpZH84qYvEAX7ycFo3J7SNCGrxjOJIICqbdISKgdsVKTt2DZJElGxb2Y1NsccqQr0KWdoa6AtnDzQRGGbk+U1UEiplIlTRvfhy5pmZo0rIj+QwZKryxBFgf0NEbIy3CyeVobkEojG7H29oEMWK9dvZ9Ko3pzT+wQSslZYTm2YzK0qYc/+FvY1RExNTP2ztZ86dPqctfWtPP3qJyyaWoqAoIFBcNZkM4Fh0sXpI2JO62FHv8dCFacnsMFQhHFDz6RjshmhN7h1YFBTS5QrR/bi5wNPYfWGjwmGIqap0/mTBiLLquHf+Xk+Mnxuw7dTp/705Hn2hGJEARqao/gzPRZa3L11LZbkePnardw6cSCiCzrk+Ljh8n4AZGd4AAVBcNn6lD+pUaT//H3l1/+hmNOkv8rRrdUfrpiiTwhISbSp3rDWQRrjhp5Jnt+DLENM0UB9S6eXk0hu2jySQExWUQQBVYCaurCBDC3okEmWWwI0kJrdZInd9KJdg3PRQ+8eFDSRRv7/cM0FnNAp+5B92um7FlL2ZSfmZ1I1vJcBsnxx0xeMOPc0fF6JRVPLaI3ETfl56pSTfm49xxhcfDL5eT5un1aGoqqgwv7GMC+/t9sCKJw9oRiXZH99waYoBR0yET0SsoylgPPs658yeVQfExX597Uo8mOz1JjqVFxL1UubV1WixT/MGqSgFfaCoQidAxnG1BxoPqAX6PQimSBoBbJbJ53DqqQmfecO2rR/Koj417/qRzyhkJvt4bpxRbzxr/8gy+Z1oHpXkFXrd3Db1FJkWcUlCXjcEjXJgp3tXhXVmASYP+kc4gnFyCUOlGN8m9iapsf/9naobABOU9MTL+6t+cqUUhDgNw+8ZdmjTb6kN+Fo3ADG2fmEz2Ofi0qSYFvraGyJ4naLzBhzNvmBTHbtDbFq/Q6m/VcflCS1/X03DSIaS7CvIWIUmHXGgvbP07TRfSzP6e9mnGvZA+h7g/ago7SlLW1pOxrWvofy9xc+1urSkoBLElj/xmc89epnRvy64fIiFAVEERZPL3MEm+lrtD5VrcuMdMxtmyDUG3Sh1jgFHbVJ7POL2uRK9HNOvLg3iqJy92NbqN4VtJ0+1GskchLMZMfqMX/SOSba8zZQhmph+vg+2iHtq6urq//3cJyssLBwOTAUrc7wc6AL8GV1dbWcPI9cWFi4J/l74QB/O6JNQGhDF3slkaDNohvIdJPhkZg0qjd1jRGDQ1xPpJdMLyPLLbF4ehmJhALJQrTOJe7PdNMhx2c0yFKdVxIFOuR4qanTzrfmpZ3cNKE/Ktapk6df+4TJl/Rm3NAz+XxPE7VBM9WWnljoSUW/HgUU9ehs8Jrb0dToiVFJz+Nt9a50VL5utUFNP0UU4ZarBiAJokaN0BTloWe2c+P4frYbRxXVSJrt0N+LppY6BoLv+4jt0TCPJHBekZnia25ViePG3SUKJGSVPqd34pKfdjdpA0kO70HFdoJg2uifWBB3Myv6kuGVLI3kOZXFgNPkYLl901AUMA8ja/ZtUPxp+3Gbvpl8fMNHjpPTowd1t/XzSCxh/KxvEGUFg8K2sGuAWZcVceP4/qbmyICeBVQMPZM//f1fxrlmjSvC53Vx5yOavw/oWcAVw3shilimuToHMvhPbTPvbq9hzJBCGptjnHyc35gCgDak8+LpZURjCYMSNPW5k1WFm6tKjKlaJ/rFUGvciOWTR/VBVhQQBVzJSZkDUeGli9NHxg5EX7t4xUZTvFZUuOWqEmIxBUVRiMkqCBgUXHaJ7byqEjIz3NTUtRjHD7VqYtg6hVYkJpOd5bHE9NSCsq71t3ztVi0nagf2Wb3hY0tsnlNZzKrntrc1Eiv7k5PpRVFVBCSjwGjnp6k/p33s25pgCxgafu5pR/WqDkdMkSSRhnDcYLRIjff6xtGOhUPP6wHqQxolud0kwcyKvmTmZyHLquNkid2k1zdtcKZznB+uybKCW5JsfdrjFpFl1bbR0v67drtEY/8YT6gsXvGWybefff1TJgzrya59TSZQUHvgpH7umvoWRpx7GifkZxk6g3UNEV56bxdlPzmB0YO60xKOc/v0MhpCUbJ8blau344/02PJN+ZUFpOT5aGxRdMc15stuunTZ6n6xKnPWtoObN+2sZQaU50aYCfm+1k2d7ABgNAbDTpI+IRO2bglkU65XvxZbouPpjIMtc835laWUHnRWcx/8B0jX0j1zzUv7aTyop40NkfZW9dM2U9OIBiyTqIGQxG++CrExu1fcfH5p5kkSeyer711Ldw4XmO1EQT4Ym+TNs2qaiwKPo/LaODo7AffJram6fEPjx0qG4DTeurPdBMMRbR1Ndmws8uBH9/wEVeN7MXne5psaWpVB4Cxzysxa1wRjSkgjAkX9SAhKzz58qdcOaIXCND1OD9TLu2NxyWa9oPXju1rvMfrkVj3+qfMqSzmieerGVx8siEN9OqW/zCvqsTUaIzLzrR06UHrtKUtbd8X03soHo9kkZ64dmxfPvw8aPQn9PxUZ7zqWpBzwDqAPlW95qWdGsuWKDCgZ4EBxtTzlkRCJcPj5qFntxnH0hmNMn1uXJLIlSPPQlGwZc344xPvc/u0MkQRbbJPVfmyNmTUOtpkdsxryw8JlCGo6sE31oWFhblo1J9nA9mpf6uurh76dU9aWFg4HhgH/AZYVV1d3TPlbx8Cv0JrAtr+rbq6esshnOYU4POve23tLRiK8Ot7X7M4493XnUd9U5RFD71rKTRU7wry11supHMgk8bmCM2tCSLxBJIo0NQSsxQUXn5vt4VjfE5lCbk5bvbVRXjqlZ1UDe/JrcveNjURI7EEXQr81NS3clyHTD79sgl/phtFVREFwURLkIr6Xzy9jIm3v8C8qhLbKZLbp5Xxn9oQx3fMYuodL1nuyR3XlJt4/jsHMlgwuZQV67ZZENEzK/py8vF+6hoilqLMs69/ytW/PJt4QuGqRc9bzvPXWy5k7n+/Ybm+JVeX43aJ5GZ5k82hw2rfl1zqFA7gv4qi0tgSJZ5QjHvR2BK19dU7Z5xLQ3OUYFPEmLoL5PjIyfIgSSJ1DWFLky4/L4NdNSGLrx7fKYs9+1osDZUTO2cz+0+v235Xdt/h4unlTLzd+p2vnP8z6hsjLEnZSM2tKqFjro+GkPl5u+XKAZx8XA6A5V4cAb/4odj35YOfwmGIv9/GUmO3DrLIzfbQKS+DZU99wLvbaxhS3IXRg84woepHnX86brfIP174mMHFJ9OlczZ761v4ZHcD/XocxxPP22uK6E1Fu5h6XUURzeE4+Xk+FBVL006f5kqN0wsml3LrsreYNa6Iufe9afl89980CAWVSCxBIqHiz/TgcYvU1LfyzGufMmPM2cgKRKIJGpojeNwu03OVSiHdfpOsP1sHeo4UReWLvU22z+S3eP5+EP5rF38PV8ypDbbarofL5g7h7y9UM6zsVFO8nltVwmtbdhvIupuvKOGx/9U09JzW+BljzuZPf/8XsycU88a//kOPUzuxcftXDCvrZtDVLp5WZqLx1t+bWlB+YPYgdtU08+KmL/j5wFMMCl39tUuuLkNRIJFQcLtFHnx6q9F01jUx21M1+bM8LFz+jomloL4xwsr1Gqr/MPjYkbTvy0WdwgH8t74pTF1jxLLud8z10SEn47u7ynZ2OGJKfVOEG/9ozYN0v9U1duyei99dex6yrLCvQZukzfBKRgEv9XVLri5HEgWqFm5gyfQy2/is7wFSY4VdLrT06nI65mYcNNZ+RznOD8J/f0hm59MLJg8knlBMv5t3RQmdcn1k+TyEwjHiCW0aP5aQaWqJEonKSX14q4afPq2dSCi2vqjv2VLX/Ty/h3FDz2wH0ijhiefb9FdvuLwfLpdATqYXt0sgFleIxmV8HheKqhXZ9SnZ3GyvMV2Y+mw5rUG/u/Y8OuT4Dvft/lH57+GIh6nHaN+EA+27+P3M8wj4te9Cz5tTmyepzUCfR0KUBD7Z3cDxHbPY1xAmO8PNkpWbHI+vTxq2j5VO2j8et0Q0Jpubick8Z1h5N2rrW020/HaMReve+IyfDTyF3z3yHrdNKeXux7Zw9ZifkEioFtmWQI4Xr9tlaCS6XOLX/q6cakWp9/YQ7Pviv/AdxuDU9Q3gzlXvAZimOs48JQBAPK4giiAIAjfZ1BwWTi5FURVcLglRgN01IceY2fW4bFAFIvEEsqya9mVLrynnq/1mferZlf2RBNFCQfrs659y6QXdURTVQgfq80ose3KbCeipPyeLppby769C7Au20LNbpwPG4usv60fHXB+TFr9guX96rvE9sGPSf78PNuKGtYf82mfvuvhrv/4YsbT/HsS+7l7EaT82Y8zZ/OYvbwOwZHoZK9Z9aNGybl9/XrV+B2edGmD4uaexP7lH65jnxZ/pRZFVfrvsLVvQx4FqXddf1o+Hn91uqr/psRrgwXlD2N8Qtu2nONX7vuaaf7jta/nwoTLsPAoEgP8HtH7dK2pv1dXVjxQWFi4D/gOcWFhYKCUn/STgBGA32gdx+tshW11dM4pi3+iUJBHBLRGJJhwRdgnBXiA9EmvbwOm/0xGXy9duBVWlvr7FQCT3Ob0TFUMLaY0kjKnB6l1B7l39vi3H+NKVG7l9ehnHd8xk3NAzaWqJOSKannlNQ4GmOmNh1wDTRvcxuG11xy7sqiVSd1xTTm6211YUXFFU7l/zgTFq297BA36v8fvOAY0mZsW6bQwv72ZLNbL06nKyM92m6TH9eq4amTgA+tsBAa2qJCJx6iJt0wGHy/Lz/Yf9mN/G7PzXCXGY5bOnr1BUFXe7TY3bJWoI04RimfZcunITS6aXm6gPQ61xVq3fwU3j+9vqKSyeXm6PcpdVB5pQ1XbiT04oRqNCP8aSpCZg++fNoNJKPrMCkEjIR8Qvjrbl5/vZty90SK/7PtmB4i8c+uf6JpYau1OpVv56yxDGDT0TgJ/268Kty94yxbJ/vqXlXe0nRa6/rB8ZPomJF/c2qAXAHPudkNaBHC9/WL3FFgmt6XOWsbsmZDQA9ZhaGwzbolQ7BzJQgVhcoUOOj3hcewb+7+NaepzaiStH9iIaV3CJgjFFeNd15zJ/kkbJIMsqT76srUHzqkosz3T7Z8vJcn0uy6RgXV3zN/7Ofgj+e8QR31IblWtq89rjFhl7YaHF95as2MiCyQPpcWon8vN8uF0SVyWpP/Oyvabj6LH2uE5ZBPw+7li1yWjUnXpCjunYqRR0uulIa9B8cFdNM8vXbuXasX05oXOWgbDXtH4yWff6Z5xX1IUlKzYya1yRiUHATqfynse3sHh6GbdNKSUuKyxc/o7pHuf6vYiy8q187JvYjy3+qi7Jft2/uvyIxeNDta8TU+y+l4QgOvqtjiZ10ueJRBNGvNSbNXav298QxuuWuG/2BbglibtmnkddY8TI6zsHMow9QEtcpqa+1ShkL2k3Gfvs659yflEXI34caPLnSOc4PxT/TbUjmUN8U0u9JkkSDc1IBBVUbY9+6zLznm/xwxu5bUoprZEEGW4RISGTUFX21Yf5w+otBPw+ZlZYZSVqg2Fysz0Em7RJPLtcoVNuhtH81oshE4b1NNDZ+nGWrtzIjDFnG/qrdz26mcXTy2gOx0HFtObdPr2MvXWtlknxVzabqUQP9KwpinpYY/mPzX9VSTzovudQTI+pkiRYYtC8qhJEVOMa9LxZn3B2qjvkZGvTnwlZweMWuX1aGapqP52VnenhtikD6ZDrM7FfONGTLpxSyvKnt6RImWiUuBeVd0OWVVPeoOcUOo2YCjSEIow4rxvZGW5mVvSlrjFCnt+Dzy1xy1/fspzvpvH9mX7Hy4eUyznFZ8daUTTBvsihxafvm//CofnwN7X8fD/19S2WfHp2ZX9iMcUovA7oWaBJK6S85vrL+nHThP7cueo9U/x5eN02bX8nQKbXzfEpdMypeXB+IAO3SySRUFGRaIrFmHdFCZleF6qqAdfa10BawwkTKCh175fn99IQilrqe7dNKTXiYfWuoJGL1AY1INbytVtZOKWU37Zje1m60qyFffdjm1lydbltfBdUjOf3aK6H30f/Bb53+cHhtu/ivv9Y7uGBno/vo/8ezRpaezuU2kf763FaFzvlZVDYNWBM4afmAgG/D0VRWTBpIG63aDBT/Xp8P1rCcZY99YExNe3P8PDq5t2c2/ckU95iF6PBSlV/92ObWTi5lHse32JizdCnEhVVA9rrvZJDqfcdaM0/0t/X1/XhQ20CngscV11dHT7oK22ssLAwGwhUV1fvTv48AqgHaoF/oU0F/i357/u65l9hYaHj376ttTlzG0/szVeUkJvtJRaXkUQRjwTI9puqeFy2/fI75vqYP+kcBASiikp9U4QLS7rSr0cB8+5705Ss6I0wSbJ/SFRF5cv6Fta+9gkThvXkunF92VvXYnLGxzd8xMSLe6OqKgunlPLwsxotXTAUIeD3sXK9Rr1V2DXAbVMG0ikvg901IUMXyE4UfM/+ZmqD2qjtDZf3465HN5vuUaZXMoo0//4qpOkChWJ0ysuw/RyappXgoCQcvNYAACAASURBVF0lONIdCSk6cGmO/TY7EF2ck/5eqCVu0mG4/rJ+ZPnczhRVqmpQH+qmU6k4NRrtzu2SBFtEh9ctMn7YWewLapgCt0tg/LCzSMj2x0+k9cfS9jXNCVyACoFMN9NG/4TP9zQya1yR0ZzWKQ6zMlw0tURNf7v7sc2adppqr+Wn+70TNVHq5q/9exVF5cT8bG4c3w9FhdZIG03nmpd22tKZrly/nUt+2p3G5ii19RE65vrofXq+SfR4XlWJMW0eiyncsbKNkmFOZTEXlZ3qqH1hq1nUrgACIMiKoZP7wyBA+HZ2qHRF39T09TBVayzg93HFiJ7kORRUJVEwtKGWpCLiryjhkvO70a/HcZYi3g2/6kdNXQuRqMyC5e8wa1yR6dhOvqz//qYJ/ZFllfmTBuKSBCKRhGmNmVdVwi/KuvFlbYg+p3ciJ8vLHdeU09gcY81LOx2fhX3JZuDMir6mXEdf49RjfP0/HCbLii04R5aP/nqq08h805giivY5eySWMFD7V43sZZuv6Lkv2Ota6sfSp8bHDClk/qp3THFZ1xx0AaokEG7RqKUFQSQWjzJjzNm4JJFQa9ygANPjhytNKfejMkkSaYnLBJPT+Xo8bx9rQfO3+qYI9zy+xfjOEwIEcrxGDKxrtNIkdg5k0DHXR4bPRabXZawdqdRyrbG4UVDXp6+aw7EDFmf0gnVdY4SErBCLy9w4vj+ZXjexeAIBLCAOvTiia9HnZnvokOOzveY9+5vJ8B3taPP9tsOlkarHVBmR17bsZsHkUoP94vENHzFu6JltIIRk3qyvzzPGnG1Ld3zD5f1wuyQ+2PkV5WefxKrntlI1vFcSKGxmLAr4vcx7pK1Zo9cdnBrEogDXju1LLJ6goTlKXWOY1kiCE/Ozbfeg726v4coRvUwAjpkVfREEgVhcxp/lYdroPkQcdLizMtyGzx8olztQEVQlrU//Tcwun25qjpmm9wYXn2wBB9/92GZmjDmbWycOpDkcMzSw9VrWhGE9Db1q3SdTm9lOE6Qet4SKiiJDnt9jqoHccY092Dk328Oefc2GHFBqfU+P6akT2HounJ3hIeD3aXu4oBWsl5/nM50HMMk86H4eiSfIckvpHCFtaUvbEbNvUvtwiaJjTaxi6BnkBzJoao7TIacNsGw3rScrCgWBTB7/X60u8uzrnzK4+GQAzi86ieawVpfIz7Pua6t3BcnN9jhqDaqqyvhhPXhl825OPs7PPdedhwqmtSE1puu1PCeZHZcogvzDqIYdKufBh0DBtzhPFvCPwsLCrcnG3ixgRHV1tQpMBWYUFhZ+DMxI/qzbgf72rczOmW9/eCOf72lk8pIXmXvfGzRFEkQSCWZW9NWKx2AsuvuTm7FU06fkYnGFOfe9weQlL3D/mg84v+gkC+r6j0+8b+hRiYJge6wv9zWT3yGDEeeexqrntrO7pplMn5vrxhUxpLiLobVw8/1vMnnJi/z2L28xbuiZ/PeNP2Xixb3Z9OFexl5YyICeBYwf1oM//f1fTLvjJe5f8wHjh/Ug4Pdx7+r3qRh6hnHOuZUlrN7wMQBdCrLJ83uYP2kgD8wexPxJA8nwuUgoKh5JMBp7+xoiVAw9wyiYtP8ckigahc3U+2hw76doT/31lgtZMr3M2JDIsqIVmVUVIfnzsW5OG0O3W6Bi6JksX7uVufe9yfK1W6kYeiayonL3Y5stCbSsqLhEe99ziQLXjjX7/bVj+yI6vN7rEplw0Vm4XdpS4HYJTLjoLDxu0VIouHf1+8iySmNzlPvXfMDc+97k/jUf0NgcNbQI2x/f6RmRjjIlnCSJqJJIQhBQJW26Mm2Hz77u/dVfr7pEQOC2qaXcOnEAhV0DdA5kGACNhAANoTb/W752K1XDz2JmRV+6nZhDwO81jul2CUy6pBcBvw8B2NdgH/v1QvKcymLTc5MaU/UGSvv3frmvmclLXmTefW/SGo4bUyu6CYLGSX7HNeVGke3d7TU89cpOULU4fMO9r3HLA28x4tzTKOwaMBK0WFxm9KAzjCIgtE3+eNwux2daEgXT/UeSaInLzL3vzeQa+SbB1vgx5/MHKswdluMn18PJo/oYifDoQd2TMRvb7yohq4ZYtilBf3gjw8tPsy3i1dS1kJAVRFFgxpizUVTVdOw1L+205D7zqko47aQcTXdSVrnr0c1Mv/MlbnngLYKhqEGBoZ/j33uauH/NBwwr68aq57Yz+89vsHztVsYP62ForrT/LKHWOAG/j1hcZmZFX+ZVlRj+rCTp6yVJRPRIKCm+aeeH6fhsbx63xORLe5nW68mX9sLj/uHfH5ckWvx2bmUJp56Qy/EdMzVEqAhjL7TmSp/sbmBeVQlLppcxr6qEN/9vD3MrSyx50JqXdjK4+GT+/kI1Ey/uzZLpZUy8uDfPvv4pUy7pQ06mh6iiAgLxuMasMf3Ol7jr0S0IgsCKdR+yeMVG3t1ek6I5qzpushMOnzVt31/TADMCNUnqwtT47JQHBHJ8hmZlAgFZ1o5zxzVl/GXuYE7Iz7Lso+ZUFvPye7sJtcSYd9+bbPpwL+OS+4CHntnOl/uacUuaPv2AngUG4rqxOWZ7DXvrWhg9qLvxc0JWyPC6uX/NB9z4x9dZsPxtWiIJmsNxx4J49a4gy9duJZ5QeOL5asvzeO3Yvqze8LFB/5c2e5MOkJt9E5MVlR6nduLWZW8x+89vGDEoNcboe/VILMGAngWO4N6cLA9PPF/N0HNO5Y5Vmxhe3o0V67Zx04T+TLiohxFb71/zAfsbIqbc4N7V7zPrsiJysjyWzzegZwHxhEpzOIbP6+aDnftQFOha4MfjlhAc9oLtARz3rn6fTK+L4ztl8+a/viQWV/hqf/NBfT41l2ufP6iS4BifD1TjSJuz2eXT7YGJToAxlyTS1BI1fFlnu9JrZs2tcUKtMa4d25eKoWeY8uDBxSdb6nKLV2g1wGBTlHVvfMr4YT1YPK2MJdPLuHXiAIMFK9X0ul/nQAb/feMgbhzfn3hCZsJFPYx8Vq/5XTnyLMZeWMiC5W8z+89vsGD520y5tA+5WV6jTpeakygqBntX50AGqqqS69ca2nrOsWr9DuY/+A5xtMnhIzW1mba0pe3YM9MaCBaaywPVPiRJJBJP2O6hVm/4mK7H5ZBIqPxh9RZ21Whrsx07wN2PbSYWl9nXEGZw8ck8+7oGqFu+diuz//wGtzzwFh63yLwrSlDBFEPHD+vBgJ4F+DM9BJKAtFTrHMjA7RaJJ2TGDDmDPfubaY0mHHs2+nsCOT48bk0ftn1/SJQO190/8nao+ck/gX8WFhY+COxN/UN1dfVjB3tzdXV1DXCOw98+AgZ83b99W3PqCGdneoz/N4ZiBg2LrrkQ8Hv53SObAWynMwTRuokPhqKOm6Vrx/bluTc/s0zC6bR0l17Q3XD41HPNnlBMJJbgmdc+NXW9H9/wEVeM6GV0v2vqWw9IX7d4xUaO75jFkullRGIJsrM0UWXQ6PBC4ShNzTGD4isn24M/00trOE5Hv8dAnVYN78kfHn/fck/mVZXgkSAeU0xTfR63iCJDVFGMwpwgK8ao7A+jh350zGnCKZ5Qbak0F00tcyxae1xawaz9pJ4gCjz7utm3nn39UyZf0odZ44pM/MizxhWhApGYuVQViSXI8tkn7wlFtW0O3jnjXNvrcUuCPTWsdkMc6bOOpKWF4I+sfd37q78+dYIq9X0dcr0EG6PMf/ANW1rOex7fwnUVRSgKBJMNwlQfvHLkWeytb8Gf5bGN16IAV43sRUKRNRFhRUFRoCUaM2Kq3VSfjorWr2Pxio3cNL6/aRrsvQ/3clF5N3KzPGRneOhSkE31rqAxReIU22uDYXwebarR7jlsDsd46JntlmdOi9sCdaGY6XPaT2cdW6L0zvTVhwfx3TZtqRjUQnoR5KlXdjKnstiiCfjkyzsZXNzVIc7bo9875WWwYt12Y2IkL9vLbVMH8psH3k7mLRFys70smlqKqoIgCqCqyLJKx5wMHl63zRK/U/UCdbSc1nA2Uxv98Qkt1s+pLDHp8+h0cnac/s++/imiIKRM15gFuW+uKiEvJTak47OzCUAkKlti3I/hOXaJkOf3Mm10HyNvdbtF/vbPHXzwyX5N6B2RJ57/yJTfvLZFo5RJfbY0vSiNynF/Y9g0bdA5CdBr76eiCJ/vacLncZGb7eWpV3Y6xme9SKjHj8M1+ZO2o2uKohJsjRv6eamxEJzzgHse22Jok4TCMTZt38tP+3UhJ8vLnn3NrN7wMWedGmDR1FKCIW2S64nnqxkzpJC/v1BNbTBMaZ8TWbD8bVsax9kTio3pK7tr0Pedg4u7Gv7skkSWrtxomhyOxBJkejNt18HcLC/L5g4mnlB56pWdvLBpN7trmrltSin1TRGDkjQYiiTp+OQDUuAey+bEkuOCb7RHlkTBcfpOjzGyrNDR7yHP7+Wqkb34cl+z7ffscUlcMbwXkXiCGWPO5oT8LCYM64nHLXLzKnO94e7HNltyg8ZmjUo0NffUp7N0/60YegY/G3gq9Y0RRElgf0OYf7z4sW2d4f41H1g+UyyuMP/Bt7kt+bys3mB975zKEv7y5AdUDT/L+GySKCAh2OcPNhIqsqIiqGnmom9idvm0PmGhT5TmZntNNLLQBhjT/6+/Xy8i67RwEy/uzY7P9zOsrJuJ2cWpsejzuHji+WomjepNQlaJxsMGc9b8Sefw61/14/d/22zyiU0f7uXk43PNGpaVJdw0oT8PPrXNOHbH3AyDEUz/3dKVG7nh8iKuGtmLWx4wU4LqzDTL125lZkVfXJKmy5qqva2bzqBxy5UDyPW50n6XtrSl7VuZ3R7ajj3QqfaRAOY/+A4zK/oa+7HU/C8ak41j6/mo22Uv56C/NzfbYwt4Xrj8XZZcXW7SXdf3W4umlrKvIczL7+225ryV/S1MeXMqzeu8PqHdtSCbWycOwJ/l4f+9+DElPY+nY66P26eV0dwaY19DhFXrd/Dry/vRvg+o57i1wVaQxO9NbnCo+8pfAA3AL9v9XgUO2gT8PproMKKa6XUbP+f522hYFq/YyLyqEppbtYJubTBsojzJzvCw6rntVF7U0+LAOuKy/bk65WbQ1BKlx6md8Pvdpo3d31+oZsS5pxGNy7YOf8eqTSyaWsovh5xBqCUGaGjuXw45g0gsQeVFZzHv/jf54JP9/NfgM2wfKn3aRBQ1aiJ/ppt99WHmTzqH+Q++g9stEGmwFoo65AhG8VebWOgNgkAwFDHuSSoNSDzZHNIpSbySSLBdcVkvzqXt4Oa0MZRl+2KvY9Fa0BJKO+2/G37Vz5au0yUKeDyiqcDm8WhNXLuiohNFiigItnRk8YSCzyuZju/zShoCJbnBQtCK0Xrw0jV39NcXdMj8TqgxjjQt4LFuX+f+6qj7xSs22nKC61SCOo3KgbT7VNW+Qb14ehkPPbOZYCjCHTPKmTa6D3l+H9kZbgQBEgkVj0ekuTHO/GVvGM/BDZe3aVdU7wry7OufsmhqKZG4TKbXRTAUZfSg7gZwozYYJp5Q+MeLHzNpVG/Wv/EZ5/Y9yUQnPaeyGMCxoJOq22a3UdZ/bmyOUb0ryKr1O5g2ug8n5vuRRC3GxGTVcv/tGj1OBeofa2HvcBfmUs0u6b52bF8SssKAngWU9Dwer1tk6TXlKIqKooAgQqg15kjfKTrE/6aWqKWJMaeyhFuuGkCGx4WqqqgqeL0S+xvCRKKyRf+pIRQzNgOpfqefQ/e91L/pCXUsLhNPaAXETrkZ7K1vMcS27Tj9F00txSNBTIaa+lYTXVRtMMzt7WJDOj47W1x2inHlh0wP8n21hKKiqCoFHbIQBVBULwlFYXeNNilS3xShQ67P4vsLJpca+rDQdk+WXl2ORwKvWzKAI50DGWRnuFn8sNm/dCrQ1DzI6TlJbW4bgKYjDDBI23djjS1RIxdxuwRTs7c2GKZ6V5BHnmtbc7/cF+Ll93abKBRzs7MoPus4A8BpAE0FTMVi0JrOOshCEjUfs8uD7li1iVsnDqRzIMO4hvZ72JHnncZxnTJZMHkgG975NxeVd9NidJ42MbVi3YcEQxHmVZUwt7LYRD99/WX9aInGWPbkNqOZubummWAogiBgAg/OqyohN8tLQ1xOgzUcLJUl53DkUS4wppacYowkiQb4a25yGnr2hGKTPvacyhLWvfEpw8/tRktEZd0bnx2U6taf6TZpHHfKzQBUHt+gTVN3LchGVnBsYM+rKiGQ42Vw8cm8snm3ae/oTwEvp36musY2faHG5phtjSIcjRu6RKm5nFP+MG10H1MTJvXefVsq62PR7PJpf5aHuVUltEbiFkDwqvU7DCmbl9/bzaD+XVk4pZSv9msgCX1PpO/xNm7/imFlp1riqM58URs0a2/nZHn45ZAzbOV75j/4DoumlnHb1FJAa2A++PRW2xrdkqS+amqx3Gn4QFEwJgbb/61rQTbTRvch4PciyKpjPUd//6P/s4PJo/ogC8KPat91LJk/JwOfNw37StvRNbs18N7V7xtr4MFqHzqocdX6HYwf1sOU/82pLEYU26TQ9HxUZ6Czi2+aRFmRcS1g1nlVVdUWpNPYEuPe1e8bIGM93/iqrgV/hscCvli6sm2dt6MnnVtVwqifns7C5WYpiDUv7SQYiiCKgumGOAGSO/o9xGNHN0s4pChTXV098EhfyHdugmo7yReLt00zSaJg0g3JzfYajqSL/C5fu5U5lSWsem47I849zVaz4cVNX1iSnLlVJSx7+gP8mR7GXFiIHFf56zPbGHneaXQ9zk/lRT1pCEXJyXQTc9AfRBCIxqyNl5w8L5k+Nw/MGUxjcwQBZ42U31w1gNZI3FTcmHdFCUuuLkeRrZoPejFcL/4KqooAuD2SITiuI5znVpUgiNoDkJqEHKg4l7aDW+rGMLUhlkCw1wSUsJ2uEyUBBI33PtXy/B48LpH6xoiNjqCLO1a+Z/GlxdPLHYuKds+Z2y3aagW6XSLLntzG6EHd8XkgnlBZ9uQ2bri8Hy5V22ClTouKHolgvXVqKzM/69tX5A/2PaRR+0fUDvX+6gtsNBknnRp8qRNRTg2TppYoee2SCP398YRibOhkWeX4/CwiEdm0uVw0tdRCI3DXo5p2hV5s65jrozkcoyWcYNFf37VsNIMhTYNncPHJKIrKkJJTWLD87XZJyiZDi80pYUpF9l84oKtlDdLPB1oCtmD5uyybOxghWbyQHQSd2zd67ArUP+YpLKf4ezg+l93a+Mcn3md2ZX9DvyTg91li59yqEl7bYkW56bo47eP/nMoSWsIx/vT3f1mS30VTSw2fHtCzgEmjels0WvTrSm0I6zmF/v9U/+ocyCAny8uQ4i78tF8Xy3qw5uWdXNC/C8FQxPH5FQSBeExGFoQD6lhKkohHEojKqgn5rTfYETTapB9bc/rrmOqg7auqP4xGk9sjEZPhq/0tSJKkAdaicnKaDm5b/q6luHft2L6sem47jc0xOub6LEU7p2nphKxCou2ZVxRQUWmxoUM82GQ2aM9C50AmS6aXI0kCk0f1NtYzAYHbppSyJ1nQ1JsthwNgkLbvzuJxLdfQKJXPZmZFX5557VNTfNZ1210ugdUbPrYUGhZNLbX1JX3/lWq1QY1ZBjAoo53iqK6NmbqHTf358z1NLJpaiiDCxeefTkMoaqwTqXF98QptrVg8vYxYXMHtEqlvCrPsye0AyQaoyKxxRSgoJi15Pe6KopAGaxzEDmdjSZYVMjzSAUFM+vcR8PvI8rm5oH8X/v6CRunaKTcDQdBYAYaVd0NVMKaV2lPdts9JRRGLj8+s6Msvyk4x9nx6A8cJyKdPRenFvJKex5Ob7UFVBeZdUWKAMlIntEYP6s7euhZe3PSF4ed6jeLasdpzOa+qBH+WFt/1fMAp/z2hU7bx+Q4nAOxYNVlW8Ge5Tdp+Dz61jV/94kxLfnrv6ve5bWopqgI+r8jw8m4WP871e0x7oJKex9tSu11XUcScyhKeeN7KHNOe8SR1DVfRmKzkhIqsaDT8um5rqtUGw+QHMplXVcKOz/fzi9JTkRXVcaIxw6s4gvhSzYVVF1CPyToV6tz73jDdkx/DvutYMp/XxYgb1h7y65+96+IjeDVpO1bNqQZ3Yr6fZXMHW/bPkiRq9StBwCVq+/A7rimnsTnGK5t3M2PM2eTnZeByidQ1htkXNOcK1buCrHpuuyU/SZ0+dLtFA8hkBxaym1QMNkVNcXz52q0snl5G50AWLZGE7Wc8PrnO24GSl6zYyHUVRRamvJkVfWmNxkEw76OdctxFU0vxtuuPfNd27NaqVWzpDn8+8BQgqXPmEU3CwbdOHGA/7Zajjac+8twOuhRkm0S3X9z0BSPPO411b3zGtNF9OKFTNm6XyF+e0hqAw8pO5cvaEAUdM5k4qjfNLXF+myJuffMVJc7IPUGwbbwsmlqKKAhs2rGXbifm8dCz24zkV6fYOKFTNpIk0hyOWZ3z4Y1GwdqpKKIXf92SiIxAVFbIznCzYPJAmsNxgk1RXtuymwsHnJJ8nYSEhpT7pppKP9bJkm9i+sYwtSHmy3RTMfRMgxJULw67JZHcbI9pui4326PdQ1GwfQ9gqyPoRC3qiHBTVUdaUTvfXXJ1uW1TUmqHrNAt4TjRUHbEJxqONC3gsW6Hen/1BXbixb0tiHvz+0QjccjKcFmoCG+deA5NLTH2ONAfCQgGbXIskcAlSodM/eySRJav/RezxhXhkgT8mV4WP2zdmM6fNBBRALdLIhSOEU+oBrK//TElSeCfb31ugC9SN33+LG2y/NUt/+GC/l2461GN1nra6D4c3ykbl6QhWPVEye7eOgk6pzZ6nAogP/bCnl38PSzHdYijuVleA5k88eLelpi3ZMVGFifv7fxJA2mJxGlujeHP8iDLCh63xILJA5P0ayqNLRE8bsn2XLoP6wWFxuYY2Zn2uUDHXE0fQAeJ5Ad8LJs3BFQN1FS9K2gUKVY9t52q4b0s01Z6Yr5q/Q4WTy9DllVbv9NrIZIoOApy19S3su6Nzyxrml4kyfN7CLX8OJvTX8ecpkNFUYCjcBu+Tm7n9kg0RRLUJqf/RRE65GTQEknQEIrQ9Ti/4b9Vw8+yTB8hqCiqNaY6MXa4JAG3KBGPyQiABHh8LiRR5IHZg5AVDNpDp5xZb9B0Dmi0tdpEq4r+EUUb6uV5VSXk+T0aKOMY8s0fgwlCm96p1+OioIPElSN6IYgCCyeXany8Kjy8bhtXjexl0as6UC4Ri7cVi1Ob3B1zfVxyfjdao3HmVZUQDEVs/TnD60IUYOHkUkQR/v1VyKC41c8hCAKtrXG+2tdyQPBHMBQlz+/lyZd38l+Dz0BRYOKoXrgk0RR/bxrfH1TI9Lks+7w0mO67tXhMPvB0oaCBRnOz21gxAn4fqgq/SalNzKksJjMp93AwqttZ44rokJNhkSXRpwoqhp7B6g0fG/o6Tg1s/ff6xHVqvLzh8n7cPr2M+sYIjc0xHt/wEeOGnkmu30M8oTL2wkKeeF6bOuyY6yM320MsITN5VB+taKdiLmg6rJFuSUxTfh5uU6E5HGP2n98wfuWS7Gnh6hsjPP3qJ0y+pI/tHiNVSuFA9HIBv5e4ojD5kj4WCjknant9j7RnX4sJVLdgcqmtr+zaG2L52q3MqypBEgX2NYT5n7f/zYSLehgTjXpueuXIs2zB2vsawsbUzZLpZQiyYugCFnTIoibJoFG9K8htUwY6suC4jnKxOW1pS9sPy5zWQI9b06tWFJWEKIJLRBIFGppj3J4yQZ06uT1rXBEej8hvl73dlhdO6M8Nl/fjrkfb6JXHXngmef6kDEnyGoSUYZVwROb5d//N7AnFNLVELfGu/aRiKhi5NhimS+dsZlf253ePaKxeCx1id31jxADa264fOV7+sLptb6mvNZmCCwGBhCAgiSIeCcJxe5Y+WVaJou1/j1Ye4ZhjFxYW1ldXV3dI/j+ORv2ZagKgVldXeyxv/gGYCyxJ5M1XlJCT7eGBOYORZZWG5pgJQbR6w8fGIq0jyeZUFtPYHGP52q0E/D5+XnoKe+ua8XlcBoXi0698wgubdvPCpt0GwrMhFOOqkb35cl+ILgV+mlvj1NTZUFw9vJEbx/e30HHMqypBwX7zBFDXGKZnt074M92MPO80Xn5vNzeO749bEk2feeGUUsdk26koUt8YYW5VCRleiWBzjIaQWZtn1rgiNm7/ip/268L8B982XXOHXC9K3B7xdCCx8x/zZMnhskhMsdUEXHJ1OY88t4PBxScb03WPPLeDqZf2AXB8j51fOBUPnSaSXKLAyPNOsyS2zrQYKhMuOova+jYa0gkXnYXbLZCwqbQfiF7jSDcBjyQtYNoO/f7qRSS9+PDs659aihDzqkrwugXmTzqHYDJe6U0xHZihAguWv0PA77O8f25VCf/7zuc89epnRgy3K9I5xcz85HtiCZmb/vQGCyYPtPXbUKu2EdaTii++2s85vU4w0FT6RFPnQAaJhMpTr37Gnv0tLJxciorK3jqtaBcMRZh3RQlDBnRl9p+0DW5tsG0zuXRGOWMvLOTzPU2m9U8QQJUkBEFFReX6y/oZYAD9NbnZHhMKDNoE6UVRBEHTjUsX9g5u7ZsfXsm+8Zoa55wKZHWNER56ZrsB8umU56OmLmyaNtUplC7o3yU5kWRPEQuatsqzr39K1fBeqKp9Yy4328N9Nw1CFAVaI3FaIwlu++u7BPw+Zlb05dILupt01C69oLvpGKlc+xVDz0CSBFqjCVvmBK9bJCYruICCDpkW35xZ0RevR2J4eTfLmvbHJ95PUu9lW6g/fkzN6a9jdtq+R+MmfJ3cTpJE4gqIgnahL27axQX9u5imsedVlTCgZwHDy7sZnw/avuvrKorwZ1jzlRc3fWHR25xZ0ZeG5iiCIBDwe5BlFa9bZF9j1NTkmFNZuMj7ugAAIABJREFUbLCF2D0nedle7rimnE65GXgkLA0/u6kvwy/T+e0PzkRR4KYJ/YnGZJY99YEh26CD8PxZHh58apvReLtiRK9DziVCrTHmVBbz+vv/Yeg5pxqA0ydf3knF0DNZveEjGkIxrhx5lm3+dO9qbeJvXlUJbpdg0kbWzyGKAktXbjogtaO+VmT6XPyi9FQDvHrrxAGWfeydj7zHdRVF1NS3mmik8vKc6e3SYLojZ07ThZIkEmyJ45IEGpujxsS93WTe0pWbjAJae6rbVzbvNgpooijw7rav6Jhrz7Dh87jomOvjypE9aYnGmFNZQmOzfQNbpxe3m7i+69HNFqrOz/c0Gb8b0LOAK0b0ItQao6klitejAaJSqftTKbqc9h86mDlN+fntTVFUVEkEwUpT6wTmLOiQSeVFZxGLy46SIv948WOuHNFLYztS7Zmwdtc2s3ztVhZNda6Bpb4+EtPy0tr6sAWEt2LdNkuNTi8+62v5tNF98LglfjnkDP7xwsfcOL4fDaEoDz6tUSfnZHn5w+PvW6RZJo7qZZxH3z/puoA6VV0wFKGwa4BOeRm2n2V/YxivW0rXy9KWtrQdstmtgfMnnUNjKGaZRM70uSwT16lginse38K00X3MeeGq91g6o5yFk0tpTOaxr7+/m4vKu9EaSZjOO3tCMeN+diaZPonzirqwesNHtvJrAb+PLp21ScWErPLkyztNU4F761vweVzGNTy8bpstS9bK9R9SNfws8h3qJHvrWiw1hoWTS4lEZeYva6MJnVtVQm6Wx/4Y9S2mfPhoxOcD1eMGpPy/15G+kO/adEqv3888j0g00VbQlFXckpCcxjBTTunaSYunl6MoCoIg8Mrm3XzynwbmVZUQjSds6Tkv6N+FFzbtNgpeqgo3ju9PLJHg/jUfGOOpThsuURBY9tRWJl7cm0COlyyfG5dLoyOwcyxBEHjoGU23QU88fl56Clk+N7cuM9PKfbXfOvUyoGcBOVleXC6RBZNLWbFuG+9urzEcVVYVVm/4iMmX9KHWRpvnnse3cOvEgQaFnf65o3GZeFzl/z6utSCeDE0UB/umkyXH0vSgLCu2SbEsKzSEYqbXNoRixGUN/ehUrL/k/G4MKTkFSdQohl7Y+G9ckpVaTiu+irYbJrdbxJ/lMSacFBUSiuLYTNSnPFItEkuQ5XPbCquCc/NR4sh+94dbryNtZnO6v9Cezk/zAZ1TfPSg7mRnulkyvTzZmAKPJLC/MUprJGH4bm1QE3yvGHoGJ+ZnIyTpf2qDYdO0dyDHx8PPbmNw8ck89epn1AbDhnZrqu8Vdg2Qm+22fQ60GCXw4sZdzBhzNm6XfbNHb8DUBjW085ghhSZqF73JOX5YD6LxhNGA8XokHljzf7y7vcY43uKHN3JbEuSRypseao3T1BzjL09uNU2052Z7aQkniMQSZPrcbHjnc8rOPpEZY87GJYnGBLGSLJAgq+CgYafrIKULe87m1PyYXdnfoFzWk24ppTnoVBzR9R1TfTocjZtojZ557VMmDOtJU0sURVWNc6UyBLgkkWXzhiAnKWlXrNvGxFG9bWmdm8Nxrrv7VQMNrU/51QbDNDZHWbHuQ0YP6k7V8LMItcZJyOYplvZUHr/+VT86BzKRFVVjNBAFXJLI/Wv+j6tG9jKoM/w+F5k+t0lsXEcczp9k32A/Md+P4ACcOtaa05IokJ3lNq3LsqogCcJhHwQ8WA7mlNstnV5uivMem2k5Ox2/xSs2aqAIB8rTDrk+WzmAsReeyXNvfsbEi3uTn+fD43bRGo2TneGGpH5yQlYBwdJkXrpyE7dPK+OJ56stzdVf/6of+xvD3Hz/WyybN4S4IvD4ho9MeVrUgfJfUbTJw2Mpj/0hmf69pAJgUMElCcRiipFrNIRijB7UneM7+di1N2RqAL67vYZJo/ocUlP6hsv70SHXhwCcX9TF8H09Hq/e8JHRIJn95zcZ0LOA26aUIogCoiDgkgQDUe3PdLNi3YfcNKG/qUF5Yn42qBpIICfLvqkdiSWYW1lMOCrjdWuasfo640TVHMjx0hBq+3nxio38fuZ5aTDdEbJDjRmpr1OTutoLp5Ty8LPbqBrei84B+8m8gN+H2yWyYPJAgk1RfnPVAAMAdEF/KwBYcgCK5mZ7AQxWAUWF3Gw3cytLWJLC1DFrXBEr1n1ovNapoVjYNcCvfnEmHXMzkvmDQGHXAO9urzGaggUdM/G6XewPaw1OHVzXnqKro9/DkunlyIpioPqPtobPj8UkSeSLvU0sekjzmUmjepmosO1kdGZW9CUSS9AQiiFJ2EqKKKqKP9ODJAnIiorXbaW/nVNZYuTG0iEwnsytLCEn200kmkAQzDWTwq4BLr2gO3l+r+Yrqsq/9zRZpqsLOmSxcv12fj7wFAYXn0xdY4SA38sNv+qHomiyOsFQxJg+1M+dneGhsGuAYCiCSxRRUSGFVlTfq558nJ89NvU8fW+wfO3WbwR2S+ceaUvbsWl2NTgBgfkPmien//jE+9w+zZ4lTgdT6Otz+78n4gq/Teaxek1gd03I6CvotauErJCXnYGsqHTM9TJpVG9Ai28Bv4/Rg7oTyPHiz/Dw4NqtRs9iZkWbLvVNE/qjqpCT6eGGy4uQFZVINEFOtofrKooQBA18osvyRGIJ6hrDVlByZQkPPPmB5bOoqJZG6JIVG7lzxrmOFKf6644WGNmx7lFdXb0z5f/V383lfLcmywr5HbLYFwkZiC6Pz0UirgKatsyAngWmwmowFCGRULjn8S3JgtM5nF90EgDZmW6DsgvaOuG3TyvjnuvOQ1FpJ65dTJ/TOxl84k7FPX+mm2n/1YeWcBxZVo3k+pLzu1mo4OZWlfDK5t2ApslwfMcsvqprMRB57R/S1Rs+NjnngJ4FjL2w0Gjg6Qn8uJ+dSW19mMc3aPzp/kwPqqpyfMcsI4kGjEKz1yMS8PtsOXvnVpXgcglcV1FEp7wMJJGDJhbfhDLmWJse9Lgl26TY6fdulza9Y+dzXpfIeUVdTH4wN7mRyw9kmIqHLpdANKZYCluPJxvFkWiC3/+tbWLj17/qR06mx3YSQXKJRKLWRjrgKKzanh//5qoS3BLUhY78d58Wgj+y1v7+2jWd5k86h5uvKOH2h7XNk9sl4HVLKKqKz63piMXQJqBTgRbtmxC3ThxgQjPr094TL+7Nu9trGHX+6cZ16VTPqTTLEy7qYaHd1HU1g6EIsyv7c15RF5Yk9VbaN9NTaQtAQzvr6wW0JVtLrymnriHC7/72nukZ+eWQM2gIxUwbTwS44xpNxyr1WZs9oRjA2HAWdg1w4/h+NIdjJGSFaEzmZwNPpb5REzmee9+bAKz47YWokmQURZAEW92iGWPOdgR6pJ8RzZyaH7p+pD/TjShCPKGwcv0Hhq9pOlP2vmPXWEv1qxHnnmaK6Tdc3o/Zlf0REU0FN72Rm5vt4d3tNQwv78a6Nz6z0DpfOaIXy28eQiyuIIqYRLkVVbWsO9df1o/5k85h/oPv2HLtr3lpp4WhYW5VCf5MD8FQlE65PlySSF0oRjQum1D/ujkDTMAJNHKsNacFoKk5ZskJcjIOL7HHoeRgsqLagpdUVHbVhIzmxEmd/ZbnxUnHLxSOkecwleeSBHbtDfE/b//bdM54IsEHn+xnd00z44f1YEm7iUC9yew0OaAoKi9s2s3ummaT8LzP6yIvW+KOa8pJJBRcPsmiQaRPL6buNfSJLIljK4/9oZjbIxGOKwRDUWJxGY9bJCfLS7ApSiDHS8e8tlio5xPzqkpsJ+90Cs/U73jEuafx3JufJyeY/aiqiqwo3HzfmwZwtP26e+vEgSZd+4ZQjHA0YYmnl15wOrnZPvL8HuJxxZRvz60qYfWGj3h3ew0DehZYGpHzqkoQJYHWcMJCh/TIczsOQMcu4PG08XPUBjWdZSENpjvsdqh73/avu+OaciOW6QCga8f2tdBvF3YNMOGiHhbtsZkVfemYm2FMhUJbXnPb1FLL9P6cymJWrt9uFOx0kJvO/pIqYaH7ziXndzMmUdv7mKpioYCeWdGXa8b8hLWvfsoLm3ZzUmc/LZG4aQLwpgn9CUcSuCQRVQVV0uKuHUVzIFnUTDdGvp0lgEf/ZwczK/qS4XWbpBk0KmwvAjgCveoaw7aagXMqixlWdqpRi9O/30VTS5EVlWBTlHA0jkvS9Eq9HtES4+ZWltAhz8uyuYMRRQFBENhb10Isru1eUoFsV47saaKzm1NZzIubvrBILdTUtzBmSCG52RqjgCAItEbj1NZrz0dh14BFomLWuCKaWiJcV9EXSRJIqAq33P+WybdXrd/B8rVbuW1KKas3fGwBN82pLOEvT35w0HqZnR1rNbS0pS1tZmtfg0s4aOWqDhPXgRwf86pKeHHTF5YBjwE9CxBFwQBeZmd6aGqJ0Skvw7F/cNOE/iQSKnc/tpmA38fsyv5EorKlHqLXwu5d/T4Lp5RS1xhGEgRaYwkTrfm1Y/uy+vlqxl54Jk88/5Gpeej1SDz41DZuHN+PhVNKCTZFCLXGaY3GCYYips/SOZBhDBK0B93LigYo0hnEcrO93PPYFtMa8U3i8+GwQzpfYWFhNjAN6Atkp/6turp65BG4rqNiHp/LQvOj66OlJqkPr9NErNe8tNOglqsNhrn/pkH2xQFVJcPntiTGS1duYsHkUkBrxDhx6f/1GW0Kxe0SuH9NW9Gsx6mdeG3Lbm6dONA0rXVO7xMoP/skmlqi7Kpp5sVNXzD2wjPxuK2Ip2AoQkskbtKKat/I1PW29ILx53uaWDil1JRkzRpXhNstcucqc2FaUVRbUc35kwaiqCqSBEJCOWhh+JtQxvzYdanam6LY6+Mtvbrc8fdulzUBnlNZjKyojjSh9Y1R00bu+sv60THXx7vba0xFLICrLlaNBqB+nN//bTNLry7H4xEtm7xEQrG91sXTy3nVxteHl3cjz6aAEJPVY+q7P1bM7pme/+A73DnjXG64vAi3y2XZTHbI9RJPqAayXS+26nSHeiFYUVXLJkwvbHUOZNAh18dtUwbikkREUaOT1hvfJx/nNxKL2mDbhGFBhyxjrWhqjhnFttpgmFXrdxi6DqoKq57bbkoK2utL6YmFoqhGA1C/BzoP+uhB3Y043TmQwRdfhZLrhnla+45Vm5gx5mzCUZn8PB8qMO++N41mZmpxb05lCYVdA5x1aoBgKGbRH0xt/OjHd0kiK9Z9yOLpZSjpQomtOQFbXJLImpc+YvSg7pzUOZua+hYaQjED8Zub7SGQ49M481XtOCvXbzco3tqvt7qGE2D5212PbmbB5IEWhgC9kZufl0nnQAZ/++dHtmAeWVH4zV/eSfGVYp5783Ne2LQbRVEtjcOnXtnJpRd0N6g5239+O5qvJUlNE1lRAAHRLbJ4xZuGBmjqMQb0LEAAE6I8GIpwcwrTQHrqxFlLd8n08sO6Ph5KDuZxi5Zm8ZzKEkN/YMU6jdXCjkLZiTKxuTVGLC7bshYIaOC3SZf0ItSiTV7nZrvplPSFYChiqzOh58CCcAA9xaS5XQKKqgGzWsMJEyXvoqmltro9CyaXmuiZrx3bF0GAhHps5bE/BJMka4Pg+sv6Ud8UwSWJCAhkeF2mYrE2CZjJ4ullNDbHqGuMGJrxGV4Xy5/eyuLpZewLhg00cvWuILtrmpl6aR8amiPGOu5ECd0cjuGSRAq7BqjeFaRi6Bm28XTa6D489MwWZo0r4uF120wxWp8mTM3n508aiCBAU0uMaDxBx8wMw6f14+rrzJqXdlr2FLPGFREMRQj4tRzq1S3/oewnJ2gTlBo6AyFNsXjYTJUEQ4dHB1XYxYz28VmPp6HWmAEAagjFmHBRD1NuXDH0DMv6oVMeBpsitr4JkJ3p4rqKIvL8XjxukQef3mr4mO5DC6eUoigqq5770LSfHNCzgBvHa1NT+xs0VH9qvUHXwp773066g4UM6t8VWVZZ/HDbZw74fURjstFQ0o+V6/c4Tqg3tdo3B9P57dcwQQOlxeKyoy91LfATT6j4UrBJtcGwBihw0LTOynDzm3aU73eueo8FkweyYt12CwBn0dRSQysyP8+Hz+tCAOJxLd9c/8ZnnNv3JBqaIpx6Ui6qgvEsjB7U3WgA6ufSaXLbr+X6dIkO4Lj+sn5kZ7rIz9MmYIOhCOFo3MjxO+VmEGyOmHz8+sv6mZg9tNqI9kwLaM98KotNJJYgHI0bEhJfF+x2rNXQ0pa2tB3YnGrx+xvClv7FzIq+3PPYFvL8Hq4aqRFK3nfTIBKKgksUyfRJNIQ0QP2Ic0+zAC8By9oQajHX0VrDCQsYJDUXHT2oO6IAnQOZhFpjluPpr126ciOLp5dx1cjeqKjsbwjz4FMaVXMsrlBT32KaTrRjRqpr1AapRp1/ugmIpMvoCEB+XgaCiG0T8WiAkQ+16fh3wA+sBcIHee0P1qJx+8bHrRMHMur8000bs1Hnn87oQd0NhyrsGgDBvhO+Z18z2Zke24SlqSVKIKdNg+qR53YYDbnG5igPPbPdOJ/+Ht3y83xk9jjOhOy/dmxfOub6TA26a8f25YnntaksO6SR8v/Z+/b4KMp7/Weue8lukg2XgC0BihAghBogG0hAKyCtglzEA8FLEjWEiyKivwrBKqBIwNZaPB4viOViK9BTvCDYHhS8AZYg0ArIrYqCAgmBTbKb7O7szszvj9n3zczODOIp6ink+/n0U9nMzs7uvPN9v5fn+zyqCqfI41RdCD9q57W8Tj0/em1Ao/rS/05WfL9L1+7FAhtqLo5l8PQ6rehkZ3oaApFjTBNf31S8u9wE5+2+b/w8+nuxmEIDYFIEWPf2Ydw1Otf2t3vtvaOmwm6FBZ1Re58LrA1qRFZUSnmnP37hVOuRclVVMcyfhdpAE20aDvNnaZQfkmyaxpPP87mX4r2/XMxujUsxGQ2hGJa/YdSAWrP5ECZel21qcgOa/0xOCOdXDETV3YMhxWScPttEE7dZk/ohFJYMhYKH7vTTwEavgWc1jTW7JB8pLmPh7vDxABYs34mq6UVYufFT3H5DL0PiqNfI0J/TjjbaKfJwO7XUTJ94lo3qbXl8O58bp+pCcIg8as41UUqF5CBp8SotIe+U6bUEiCTrsGhFJA0pxYIBw2r3DQlqx9ZCiWZ2wXRcVmyn+UiD96n7robLKYBlNH200pG9cexkI2UV0BvZv62YAGoDYdvXeY7Fn7e2MAXQ2KRNCliWgSCwVG+SvIcUQE7UhOBNEU3P170T8yDyLGYv32mYvCWW3Pgm543JCqYu3kKbj32vbGsCTRXkZKJ4RE8Dym9OqR+xeByC0DKBku4VE81pJGijtaDbSDF8aTesZRuqTEVVwV3Mz7mAGEyRzYne4lXVtFhG1v7ps02m9WJFmUgAadv//jWG+bMMQKM0j4j6pigA0AkoAnyofEYDQdxXnGd5zVmZHswt82u6rkkUit4UEREpTidkyPfR9NGM381KS7Y2EEZzJGaatK0Y2/e8v+EPKSh/OZtVcfS3r2iaZA89t0PLT+7wY37FQKza+CluHNINb374GW4c0g2P6RrCs0vy8Zcdx3BdgdZ0Kx+Ta9CxBIDiET1QtcrIYnA+Sujlb+yjz06HNim2scLh4wFIsmzpo1OcLVHyzgM1uGNUDs4mGpxpKU5bzV+vW0AgGEGaR6RrOS4rJoDonNJ8rHv7sEFmorWJcnGM41gEgpJhupP40OT8J9m3kD0VUJHucaK9T6PZf+i5HRie30nT+eNZwGb/6NAmxdJPkzqI28kjs40bUkxGLKaYQKO1gTACjRE8tWaPAc2fneXDjUO6mYDHM4vzwDIMgs0xeFNExOKK7Xo/Ewjjd2v34NEkMIlVzEsoUe3y6dbGyEUwlTlvPuNxi2hokujEs35SlOcZW/1dnmUtz8dAA5klA3ACwShtdpeN6m0qRA/zZ2FL9XFcX9gVzdEY0j1OOJ0qnfa3+qxgWLIEdAAtmt6/fWU35k8ehBQXb5jqCwQjCSC2gv9+54ip1qIHepI6Dq9qsQCJ1QmLDTnn/xbsdrnV0Fqt1Vrt/GZF3z6zWNPQAzQGwk7tPTh9ronSXd44pBt+9XxLXv5gyQCEpBh4zolAMIJRg39iCbx8LGkPzs7yITPDGNPynLW/96U6THUUO9A68clxWYVTZNEcUZCZ4cb/u60/VFUFwzL4UXsPBZUePh7Amx9+hoVTC8EwDL6qDeLltw4i3avFvUSjnpz/8RUtdTLSFKy6uwh19RHKKjZpRM8fBIx8oX68CED7w4cPR7/Li/mhTVasA8hQWKJ0aEBLkZMsHFKgXbnxgGUn/N2PT2D80B6WAYsUk6EoKp1IIcj/p17ZA0ALUNM8IjwuEfVJYtmiwNOAhVzr0+v2GjRxyGvlY3IhxWR4XBxF1decazYlZwunFlpeJxHkJv8m2lX638mK79dO+4oUHhWlpeikJS8RxBkGPMuiKRbH/BdbpgzmTx6IxdMHI64oF1Sou5QF5wWRgyQDp+qawHEcRA6AYt2EtvsdGJaBLKuWE3x2TT2OZSyLBhzH4Je3DTBQFP7ytgG2OhB2zcHz3bOGkGSiCfXY0Jddyvf+cjWOY6GCwZJ7BqMhJFEdD+2+spYNhGH5nU0c3YtX7cL8yYPgEDiT/5y/7G94tGIQfrdmL+6dmIc7R+egISRBUVX86W1jQvbKXw9h8thcxOMKTtS2aDFY0RwuWb0L88oH2TZ9iJ4hmQxkWQZ/2XGM0j3rz2lXACSUecsfGo6ac81YvUlLPMnxpMlHEKI8x5gKRQ7RGl37o3ZeKDYJ4RVtPfR69In6Q2V+RJJ8+KVS7LPyv99WK0bkGBMV912j+0BRVJw622RA/JI9fNHKahTkZAJgKLMA8bWPJ4pQVmsjI9Vp64t5G02UYHMMn/yzDtcVZLVMIHodcDg4nDrTDIFvCb719Bc8z+ChO/2IxRUD4p58j3nlgwBo01jJoKQ0Gw0qOeGzawNhrE1M39bVa02rGROugtspIN3joPRk5FjSTHryj3tQNX0w4rKCkzVBOiFIKKXtaL/+3depndntjyzL4GKKAl7IPhy3ibtJfE3W/trNRzB/8kDUnGumzbfMDDdSXAIen1aEc41aQrVy46folOlB8YjsxGRLCgAFqSmOhL6wiJKRvfD6+/80xNw+b4smldU1g2Eg8AzcTh7BppjBd95/S3+kunn88rb+mKtLAK300eymF91OwSAXcO/EPIBRbX/DL04FsfyNfZf8Wv2/aHbFUZID1QbCWLSiGvcV90PJDTlYsPwjlI/JtYwL7ivuR/Op+lDUNL1KGnnB5hgKcjIxLL8z2qU7Mbsk37ReXn7rIGoDYXTp6EX5mFyEo3HLteMQtaxL4FjLyXHio8nxHMfC7eBxpj6CV989itKROZbn9aVqVOgAQ4v3c8v8JqT24lW7KM16axPl4ppVg/rpddo0XHL+k+xbDh8PYPfB0xg1pBsiOoravle2xc1De6ChKYq4rKBduss6pwQDj1s07etEg03kOQAqTp9two/be23jjuSYxyqmfmrNHsyYcBUeekFruj82pZCewyo2jsW1Z/ZUUpPSbqpWVa2lMlgWlse3Nka+nSmJfd8un3E7BApwB1rW8cKphfjbvlMYkvdjEwDo/lv6A0zLfSNxaZpH1HS1M1yme0f24/FDuxsAGKQQPX/yIPyisCvUxGNDKEEFnsGZ+rDltYeaJWR4nSZAh76Wpn13CQ6Bw5IZgxGLKagsy4csq5DiMgSBNU2UJAM0SCzFgUEcgDdFwOK7B0OWtbiB5YAHbu33vwa2tdZRWq3VWk1vep1ARQEYlsGvX/6YghxILEeA4cnsRGTyXh/jEpaXZBpNnm/xP8PzO2H80B4AWvRQ9fUtq/3j1y8bGbPOB1rX4hegPiRhzf8cMtS5C3IycefoPsjMcCf6JypUVUUwLCV0s1Mwa1IeOI61rZPpc4PkpiCpQ/wQesMXGrPsBNANwKff4bV8r0amzGoDzWA4DiwHsLJ1AKkXR2/vc2F26QA0h+NI9zgwr7wAvC6Rqg9KhnH+J17+GOOHdsfKjftNGmgzi/PgdHBwiCzVwfF5nai4qQ9KR/aGL9WB02eb8PsNB+g0yuzSAXSCKiLFLRdbUyRGEclt0lwaUohjEsWWKA2uBZ6hDUDy3pc27DcF8EQjgvwelWV+fLDnhOGBjUhxsKzhUtDe50JNoNmSYi+c0BhgWQCynnt8u+H30RdC57/4N1RNLwKvqhdEGXOpCs4LIoe6JFq+yjI/MrwOS/orMLAcXQYA3qYwzLDW72EYM6Xc0+v24rf3XQ23izeg7t0uHiLP4Fd3FYBnWaohGFcUgLVpWHJaAflcQ4SeJyPNCYY1TwsQmtCkZad9L8CyYEjufavY9b+XWWkTkGZT6cjeYBjA53UYggOgZbIoObgQeNYS9OHzOsHzLO4cnQOOY+gk9lP3XW0KCspG9dGmiXgWB4/V0edFX1TQf65DZC33AJ7TSl+BYAQCz2HVpgMYlt8Zr73/OU7WNVFKTXJOK9pospf85g+7EQhGKJUCOd6KNz2ZWoYU/6wbRQxUGz01gWdpQMiyDMCoqBibC45j8GDSpNilUOyz879tv0UQR+jkCJ1s+wwXoMKAltNr+Y0f2h2dO3rx2/uuRlqKA3UNYUp3cfh4AL/+w8d44FaNljl5SinVI+K3r+xBychelvsDL7CmfZI8WzOL8/D7DZ/S50kD4wzCa+8dRdmoPrS5rEfc0Wamal0sa45qRZBAMILUFAHzygeB5xicrAtBkmWTblBlmR+vvadpDpOJAIK0I+vY6eBQ1xA+bzOpriGM2c9sM/y2ixJUo5cbsp+xiQmYi/yFLyQGO18TGmi5h+leEVHJqF82a1I/rNr0KYpH9ITIs1j+xj74vE5cO6CTYWpEmzw6SHXOysfk4o5ROTh1tglL1+6lovGxmILTgBUDAAAgAElEQVTVb1mD+Z56RdMBf3RKIV2f5Pp++8puzJhwFZwOY9PPKkHdsutLy99k89+OmSYBp43vC0U20tume0WUjeqDUFjLNdZsPoSKsbmX7Fr9v2h2xVE9WLI2EE5QaEYNfkhvPq8THdu5EYspWDZ3OEilmUxcfV0bRCgc06amM9wGvdSCnEw8Pq1Ii1sZBmcbwvQ6OFZ7FhZOLTL404KcTNwxqg8YFlhyz2BbMB7RbiF+Yfkb+zD2mispbZOqKpZ7xp+3HIE/pyMAlTYp7ZosvlSH4d+tTZSLY/pYUR9/tvO5IAocYmAokDYZiDR5TA7ye3cAlwDppnudeGLGENQHo3hkmRabzCsvwEsb9ltoj+XjbGMYLMNAYRVU3T0YsZiMU2eb8MKrmi52ZZkfUUnTfF84tei8uti1gTDSPBrI044hoG26CwU5mRh9dTcwLIP/fvuw6ZxzSvPB8yz+60//ANACPlr3tkZ7S+o4+ryhvU/T96kszTdMhs29ww+es96vWhsj386IdrNVPnPvxDxIMev6VrA5hs4d0xCJynjhVW3q2ZfqgNshQBBYBBqjeKS8AC+/dfCCtHe37PqSaqRbf55E48bKMj9e2rCfTjDPLh1gAj/PKc2HN0VEIBjBI+UFeHT5Tsv13d7XMrk9bXxfrN18xIJFwCjjQED+5P1zy/wQOcYexCb9axTLl2oNrdVardX+90Z0AsVEHZWA5Uk9Qq8hnBz/WU3enz7bhIKcTJO/riz1U19+fWFXzFtm1EN99+MTSPMIlj7K6bCeELQDrVeW+dEUiVGAGrkO0nysD0bp1N6NQ7ppoP2b+yLYJBmAKBcySJXcFPwh6w0XGnOXAHg9Ozt7OwDDyNDhw4efuOhX9R2bVUGZLCirItn6rUcwbXxfdGiTAreDRzAsoTEkoT4UhUPg0dZCAB4AXnr4OhSP6IGObT0ouSEHTgdrKXI8Y8JV2HOoBgunFqI5GofAs2gMNaM+qF3v5HF98OJr+/HUmj14fFoR7ivuB4bRUMZWiy0WUzB5XB9EJdkwLTD3Dj92HzyN2kAY67cexS9v728oOqzfehQ7D9TgrjG5mDa+L65o5wFUICzFcMeNfVA6MgdnGzQkfsW4XAzo3Wz4rfQBFnm4NnzwGW669kpTceMXg7oYggkr9KJeh4W89m0SRfkSFZyXZFjS1i6cWoTVmw4afuvVmw7il7cPMGifUaqpcX2hQrWc4IMK7D542qTB17FNN/i8TtO6iUoKFiQmfoi197nw6xlDIMdVLFz1kcGxixxriRblOAaqAkOhb26ZH6pNQVlV7ZMuQvdFzvNQmR8QuFax639Ds0M3PzFjCBqCUcx/cZvBZxPfSjQArZLBdK9x6ogAJ/TFY3Iu/dQ1aUToA5I5pX58uPcEysfkok2a07I50t6n0SBoWmsuHK8J4d2PT6B4RDaWPzQcAIO4rGDy2FwEglFkZ/k0Kq4b+4DXFR31U4PERzMsgyf/sBuARsfAcyzdywLBCFLdDixZZaQo+O0ruzGvfBAam6L0OZZicdMeWFnmR1xV8Jftx0zI28oyPwQWiEmKNtGtywwl5dKk5LXzv99GT02/nnceqMHcMj+dnCDnfHrdXswszoOqasALQluo//1JUeHw8QB8Xm3aT1FUg9+rLPWjZGQvvPvxCfyisIsBqOF0cGgKR+F2ClqzWdUoMuOKiopxuTh5pgllo3rT9XH4eEDTcLshB9FYHLNL8tHYFKVrnDwbv3p+h6VmX3ufC5HEdMrM4jz8+uXddCJPFDj88S8HUTyiJx6tKAQYbVKF4YCbru2Om4f1AMsweGnDPtM6fmxKIX3urIJvUnDR/7YzJlyFh1/4yJYB4t99nZ7XbPb3K9p2u6gfYxeDAS30qyLHmBq/ycWyiBTHXaP70CY50DINMq98EFa/dQB3jOpjS1tMErv6oGRqIs8p9YNlgdQUEXOe0fYRPZjP6xaxdO1eAJpvtaPC4zkWoeaWKb/sLB9SXDwqS/2o0sU5o6/uho3bPqfUuoLAQWSB6wo6o+ZcMwBtyuCuMX3QEJLw+IqW9z50hx8sy2Desh3weZ0oHtEDZaNyAIYB10q1/L2ZHSUSoT8CjIm/3g+RtTM8vxOKR2SjvlHC2rcPmanJJw+EL9UJqFo8TCg+yfvrgxJqA80mYE9qigBJljG3TFvX6R4HFkweBI5jEI3JtJnT3ufCoxXWBYvUFJEyLpD95djJRjxwa388+UfN39aHwlg0vQhnG7QJ3Pd2n8Cw/CwKcirIycSCikKwNoA/j0uk2oVWTZRWoN6Fmf534lmNqtMu/rSiYW3jFVE1vQgcx6A+JOGlDftROrI3ACAuK4jFFazZfIjeP6fIU/pEPeWrQ+SMsWGpH2vfPkQbLtlZPtQHI+iQkYLyMblgWdB8lUxj62kT2/tc8LpFPPvgULA2OqynzzahfEwuQs0SVEXFyKKu2LT9GGXU+Ko2lJC36EO1uQPBCHiewYTh2YZJWn3ecO/EPKx4cz/uHN3HEC/FZQVvvP9PytChzydEjoGEy4dS/F81HsCv7izAwt/vxHu7T9BJzpN1Ibz81kEUj7BmzzrbEMHyN/ahavpgBIIRrN96FLff0ItOfRA/WD42Fw8l4gDSDI/GZEwem4sr2qagV9e2SPOISPc6wHMaK5LV5+njxqqV1YYJ5j+9fQQV4/pi4dQizX8xKpa9to8+X/ff0h8LpxZBVVUoKrBy437q7/ST206RR8nIXuA5hmpichxjrbfOs1j+0HDwHAMOWj4SjckGUODFKipfqjW0Vmu1VvvX7HzA/FSPiIfu8OPxFdWmuNfrFkw15O3/OGmZ31Wt0tg0kik2fV4nGDD4j2E9cLIuhLd3HtfqYW094DgWMVm29eccx+KxKRqNpwoVPMugfGwulr++D6UjcwyNy+wsn6n5SL5j8Yge8LgEU66pDVIZ62Tnyw3I+36oesOFfubDAHoAqAOQpXv93xL2dL6G0+pNWnH1R+28YFkGobCEYflZtAh22/W9EIsZi2xWiVRBTiYaknj5F1QMMoyhEhMFDgN6d8D7e77C0PwsSjewcuOndKqjZGQvPPTcDgBA+wyt0ReKxCxR8163gBM1QROKaNEKTfhyuL8LAAWh5pgl3zrLALG4dmsZBljzPy1Jw+ySfHjdIuKyig0fGBtLazZr9Hg3XdsdDSEJb374GSaN6AlBYPHkH1umX+ZPHginyEOWFcShcfpGbUZo9VqE/xu0HUEsXEqC87KiWDbi2ITYKGmaAtpvJgosSkf2NhSYSkf2hkNgoapAmlfE/MmD6KQez2t6T1YafILI4o4bcwxr7v5b+tsWUmOySgtg5LWqVdWounsw1r19KEmL8BCmjOtrPZVx92BLh25HXxYHqH4kOc/jicAYAJ3A0a/dVjT992t6+t9vSi5s9S5lxXSfiVj6iZog1m89gjtG9aGFL3LMopVacKFP5otH9LCcNr2vuB+aozH6zGV18FJwBTmOiArH4hoqf2ZxHqSYbJqafWL1x7ivuB+O14SwfutRlIzshRdf32eifZlZnIfJ4/rgv985guOng2if4TQEFqTB+cGer9C5YxqyMj247fqecDl5A71zZZkfzZEYGpsky9+PUF2Tz0xPdUI614QZE64Cz7EINsfwwZ4T+PnArvDndEQsrmDh1CKwrCZEr6gqJFm11Pq7VKlk7PzvtwKoJK1nu2mJNmkuutbKx+Tailovf2OfVmhQGPzmD7tN/nba+L74Wf9O+OuOL1D00yvQJt2l6QmyDBpDEuYnJvDJFB/Ps2gMGTUw752Yh90HTyOkC/wJTQb5PD1tlxXCe05pPtqka5RxhK4WQML/9sVdo/uA0xYXgs0SwioMxbaZxXn4j+E9qFYQ+Y6KquL3r5unE0hMo28qkfeQKQLOZhLt332dns84nsWQvB8b9KTnlOaD41nI8YsbJSXHYLBIHgk4wung4XGJhmIZoZILR60nA0JhrbHndnH4cXuNtnheuTat+s6uEwC0pDGrgxczi/Mo9WdtIEx99/zJgxCOxOnrejAfiRlIQd22uS3F0S4RD7323lHcOKQblq7VmvdE5/tcQwSrNmmTte/sOoH2PhfVxY7FjaClhVML8cr/GOOUV/7nEMZcfaVlgb8VyPT9WXJxlOdYRKQ4AsEIANApkeZwHE4HjwUVhdj8t2OUCcDndeKGoq40TyPxwqxJ/RBsjqH6wCk0NsUQjrbQzl4Iovq3r+zGnNJ8NISi6JTpNfhq4j/1a3/Fxv2YW+bHms3aVBShfBZFFnFZoUVlcn6f15GIDRh8cbIBHdumwCFwWP7GPsyYcJWB/m7ngRocO9mImcV5lsCixqYInXypTDRREqlvK1DvAs3qd5pdOgCzJvVDRIqb4k9rGtbB2m+vAItWVGNmcR4CwaiJKp7suaSod/h4AOu3ahplXTp6LQt4j04pxLD8zqg+cAo/69/J6K/u8GPazX1Rey6M7f/4Gv17ZRqenzml+Xj13aMYWdQVsqqawBRkT79zdA6d1JpZnIefD+qCXz79IaqmF9HrUxQVHpeAB0v6I56gSpz7krF4t3TtXjw6pRDHTwdpM/Kma7ubqMM0aupDmFc+CKGwBJ/XAbeDQ11DtHW9fguTZQWdO6TiiRmDUR+U8PALOyjt7J2jcxCXFbqXEt+UmuLA+q1HUBsIg+Os8yyf14lwNAZVcaB8TC6+PNWAfj0zDQ1fwm5F6lqPVhRixUZz/KgBkFXMLfNTX/jj9h48dd/VSHGJaArHUPlfRgBqfbClaUgAanFZBcOqqBjbF3eMkiErwGvvtchZxGUFvlQnGkJRA3CfFI/1jfEvTjZi+Rv7MH/yQMRiLflvMiiQ5CP/KpjiUqyhtVqrtdq/ZnbAfG1SWYXXyWtABMbINsCyMEw8Ez8HG1YKhgGkuGwAHCXXuYjfCwQjlOXwrx99YenPGUYFyzIIS3GcrmvGll1fYsq4vhRwrwfsjR/ane4b+u9YPiYXV7TzIBZXMGPCVWiTptWizzaEsXrTQXhTRAoeIjX2QDCC7Cwfikf0QIc2KairDyeB4FhwUL/3eOFCa1a3A+h9+PDhr77Li/m+zK6g7HULOHw8gAXLd2JZ5XDMTejLZGf5UHFTH4wa/BMcO9mA59Z/YigCnmuM4OG7CgyC71aFZyKYnazN1D5Do+wUeNYQUJCFTYLT9j5NG0eP5HykvAALpxYiEIwiI9WJ5W/sw83DelhqkdQGwjgT0NDTs0vy8ad3DtPvN35odwg8i4qxfRGWzM1BkgAsWb0Lj1YUgudZS204KS6jISQhzaNRFm3+2zGMHPwTLNIhiZrCMcPkztwyP1Ldom1hhfx3Kw2BZqLAWTpRUeAwu3QAGkNGKjgAiCZNxVWW+bX6pqLiTCIB0p/rx+08thp8VlRYdk06O35kOy3Cu0ZbH68oqi2lKdHnkhUFHMtC5IBw7DzTHZy1riFzsbnQWs3WrOh/z5c06xtKep0HRbGeEG0IScjMSMHPB3UxaHjo6ZF8qU7sPniaaoUxNkFIRpoTAs/QZ85OyF5RNK0Tj1vE6k0HacEtuVmUkebEgc/rMLM4D8FmiWoGJRckpo3vi7JRfbB+6xEMy89C9YFT1Nc3hCRs+/tXGJL3YxPiSF/kq1pZjQdu7Y+zDRHL51OPcl26VtPbmP1Mi/4t0bvV7zmzJvUzacla3btLlUrG3v+yUKQLC+BEgcW88gLKChCXFWuQA9Oydu0ahWkeETOL81DXEEa7dLPmSW1AQxo/tWYPpo3vC2+KiPpgBL/5w27DdEnyFJ/VZGLV9MEGKtKdB2rwi0Fd6LXrr5FMrJLGOcMA9cEIIhEZHdt40CnTg8PHA/RziZ4fKQDG4jIFD5FrIE358UO70yYNmQjQTye0SXMi3eNAY1MUJTfkYPVbB2ghRf+eu0b3gchdmuv0fBaLKZZaqXb02hfTrJLHJ1Z/jPIxuXj4hY9oolQ6Mgdf1Ybwwquf4PDxAP7rl0NtJ4r+fqQGKS7B0DCeU6pRfJ2oCaFkZC9DcU1fLKsNaJRfcVnBnaN7G/wfiUG/qbldWeZHilNALB5Hios3xP+1gTDVfigfk2tYh7UBjabWIXCGaZvaQBjN0bhlnNI2kZwmF/gvdQrb/2tGiqMOjkVcVeF28lRvnWUZhJpjBhDFQ3f44U0RsWDyIAgCS8E/Pq8TLoeQpJFSiHnLdhhijWRt36xMj6Wv97gE2uxJ9uHJ7Co7D9Sg5IZemHhdT6MERKkfmRlu3D3hp/ivP/2DFiqIDuWc0nwMzO2IOc9sg8/rxH3F/dDWZ733tElz4WxDmAKLIlIczZEYlq7di7llfswsztPYZcZqDAZxAFFFRSAYgc/rpN83GpMRh2gJOLpczcqXLln1MWYW5yEzI8W2zqH/d11DGKLAIcXJ0/uVDHJ7et1ePDa1EPG4CkChU37EP9nFxIFGbWpLX28gf1u0opqu0dkl+fhw71dJoNDDGJbfGW6ngIdf2IGZxXkGJqX3dp9A8YgeSE0RaZNm6dq9eHxakZZ7qqoJKEGaKneOzrG9Xn1cQeLj4fmdMO5n3cGxGv1/9QERjU1RCqC7HCnFL4axrDaBR347f05HQ91seH4nAwUy2QO7XpEKWVbRLt0FwJjfWYFj3t9zwnBvkif6GpqipunWYHMMYIBZT31gAJTVB6NQVBX1oagJaG/FXsUwGstC/14d8PQ6jS2JyElcX9gVKU4BTVEJZwLNlufTa0fppwfrgxJ+t3aP6TklzxTRCmwFU7Raq7XaxTa7PorAsxAZQJFVMGAQiyuIxuJ44Nb+SPc4wOv6HOQ9S9fuxYIKaymadj4XQmFjTyK5zqXXD+6U6QHDMCi5IQeAgnnlgyDFZThFHis37jcMNLXPcKFsVB+wrDa4dbYhjJnFGoPhrEn9wLLWNcE0jwhRYNGQBJSeWZyHipv6oD4YRSyuwilqQ1ubtn2OhVOL0BSOmYBMb374GW4c0g3LXv8Ek0b0/N5984Xm+8cBNH2XF/J9Giko600/nqmhwxk8NqUQi6YV4e4JP0WKQ8SildVwijxF4S5/Yx8qn92O363dA1lWsXBqEX597xAsml6EYNg8ebF28xE8Uj4QJSNb3vvc+k9QczYMRYEJwf/0ur0YP7Q7agNhqIqKOaX5WLFxv+GYR5fvBMsymP3MNtSHtEAm0BilnLxW37E2oAnSD8vvTIOm5W/sw+xntqHy2W1oCEnweZ2m6yD/bmiKAoq1NpzAcVi0shqzn9mGect2oFfXtmgISfj860bUB6MAQOmNyPsWrawGmyjEkWtu79PoG7tekYpllcNQNb2oNXBJmKKolhNLsqyAZVg8t/4TurZYhkU8rljS18VjCmKy9bnsXo/L1o6fYYDKpPtXWeanmoN6I1MWlq/bHM+yDKUve372UMwrH4TdB0+DYxnUBSVUPrsNFVVbUPnsNtQFNbFtu89VVcZy7Z6HWbTVLrJZFS8WraxG3OZ40lAqyMmk/ur3Gw7Q5one2vtcaI7EwHEMPC4Bqqq9pvd1lc9uxyMv7ECvrm2w7PVPMGXxFnx9Jmh5LgYAVIY+D6QYl3zcF6c0VL/HpaF+AsGIwdcvf2MfSkb2QkMogmv6/RjzX/wIs5/ZhpDFXkEaNxEpjnE/0wTre3Vti189vwOzn9mGRSur0atrW1Mhf+naFl9NXvN5HVSHSv983jsxD+u3HjUcG09QKBC77fqepmflqTV7ENRNFtrdO/20xKXkw+38r6Kc34FwHAuVY6HyLOoTLAFkXbhdvOn+zCnNR119hL5mt+68bhEOkcO7H5+gejXJx5B9PzMjBZKk0FhD37TTNxbsGo5EV2/5G/tw+w29kJ3lw9rNR6jvT77Gw8cDWP7GPrAM0BSO4ck/7sGUxVvwyLIduKGoa4Jv39zQWLxqF9I8Tstr8KU6NA3FxHd7pHwgeE7TpSSUXw8s/QD1oShm/e4DPL1uLyZe19O09tduPqIlKZJ8Sa7T85liQ2l5Pnrti2V2ySPRfyLF/7d3fgEAKBvVW0NwshoQKPk+rn7rAAr6dDTFOItX7cK4n3W3nPDWx7Sk2Lt07V60SXMZY5hSPzpletEmTWtGzC3zo2yUpkE7Y8JVeH72UMyYcBUUVcGvnt+Ou3/9Hv7wl4MG4InVdyRGPnvRymoMy+9s+JvAcZZxCsvYAwLkb/BBrXZxjYCZlr2+D1/VNtE49ERN0BTfPL6iGl+easS0J7Zi7rPbceOQbojLCopH9KANOHIs0RHU+9P1W4/iwZIBNKY4XhOy9PWEOt9ujSSzqwCs6fOrVlXjRE0Q8biCkpG9DPECebZkWUX5mFxMHd8XmW1clIYy+XqOnw7ioed24OEXPkLls9uxYPlOiAJH44Z0j1ObnmGAQHMMlc9uR0XVFjy3/hOUjeqNyeP6GPLTQHMMHPddQxX+PczOl7IMg69qrdeHnoaK+J+qldVUo80OuHmuIYIFyz+CFFfhdnEoHZlD/ZNdbJJcb0g+J1mjS1bvQq+ubbFoZTUqn92ORSursfNADXypDjAJINTqTQch8ByeWrMH67cexbUDOuG59Z9g2pKtNB7xeZ1QVRUzi/OgKKrJf5L4uCEkWV6vAXR8hx8Hj9VheH4n3FDUFQuWf4SpS7Rn94airhBFlp73fJTil6qReDbOMFA59ls/k4QJRlY0P5Kd5TP5LH9OR4Mf9XmdcDt55PykLfW1X59pWed24JgRA7siO8tHz5vsB8l6IAwAJC6vPWfce+8a3QeCwOLpdXttgfbJ/rWxSUKvrm1NlPnzlu3AA0s/wCPLdoAFi3SPw/J8P2rnxbLK4Sgfk0uBS9lZPvhSjcdnZ/k0wF2mBwunFkLkmG+dZ7daq7Vaq12I2dVwHSIHQIvl5jy7DVMWb8Gr7/4TqSkONEfjkGXrvTISjVvmd7XnNMDQN9W5vG6BgnunLt6CBcs/QiAoYfVbB+AUecxbtoMOnZCYo/ZcGPOW7UB9UMLUBMCobboLN117JdpnuKjESPJ39Hkd+KomZHldjSGJ1oJYloEUU/DpsQBqzjWZWPGeXrcXd97YBy+/penV/xC++UJ37ZegaQKOzc7OLtT/77u8uO/KSEFZv9hmFueh+sApLLmnCJNG9ERlYvH+bu0eiByHBl1SVjyih2Wg8fWZIKSYDI5jqDaI3gLBCHiWtaRwOd90YnufJujNQNOBmFvmR9X0Iswt86PvlW0BAE/OvBrpHk3/av3Wo/CmaNMBdkVfUpCwCprsHjBynoaQhJjNg6wvhJLPcDsFmsSdbYhYvk+KaQXj38y8mhbi0t0CFEkGr6pgZOWSLsp9G7OnRlStm302jTtZUaEoKi1ukTXl8zptE0FFsU70VQVI94qomj44cf8GI90rgudZzCnNNxW3BYE1rc+ZxXngWMbydZ5lcHW/TjQRW7D8I1zdrxMYWOtzyYpqesbpdIddwtbaBfzezG4N2yXNpKFUMbYv1Ue7/YZeWLXpgClwmDWpH9wuHg8/vwO/W7MXoYiE+2/pb+m3F69qKU6s3XzEcu399pU9qGsI0/eRSRD9cbNL8tEuXZv62/y3Y5hdkg8GjGWzSIopCASj9PXzFSScIk+bhMkJsl2Rr3NHLx6bMgjZWb5E45vFHTf2ARjg8WlFeO7BoXh8WhEEnjF95tmGMP1u2Vk+tD3PZNmF3DtZVsDIyiXlw7/t2gW0gkdTTMbxmiCikkIbHeS9S1Z9jHSvA9PG90XV9CKUj8nFW9uPweFo8ZPrtx41rc/KUj8CwQj+uuML/Kx/J5ypb7bd97U4gkVmG20iqWp6EdXMBIzrya6ol6yrN35odwSCEaQ4BTxy10B0+3GqZTOzQSeeTd6/eNUuTLwuG23SrJt9qk1ROdAYhdcl4tf3DsHCqUWIxRT855/+Tgs3t9/QC+Ou+QnSPJqu1fih3cGyquG3JdQhbCICvhTX6fmMtwPhsBd/biG5WMiz1o3qtmkuGvu1TXPg6n6dDAAKSVKw++BpPD6tCEvuGUzv484DNYjHrfd0gWfOOxGT3NyIxRWUj8nFknsGo2r6YDz/6id48fV9SPc6cN+kPAg8g5UbP8XStXvBsgyC4RgefuEjLHt1Px6bWogl9wxGxdi+4Gya8WkpDstnszYQRvsMlyEOi8uydQymwhbk913cv1azNkHkEAcDp8ihfEwu1VsHYFsgJvsmbeiyDDq0SaHFYXL/PS6R5nJkPz58PABJUmhMYRWHkIlwALYAKX2j496JeWiOxmyvdfGqXcjMcBsK0OTvYBgIPININI6opOAvO46ZrmdumR9bdn1puga3Q6DnCYUllIzsBQaMqWB9oYCjy9XsCnERKY71W4/i/lv6m/Zicj9IrEz8jyCwWDS9CKJwfiBR1cpqnDzThIZQSwxrtRat6g1W5yR/T/Z/4675CTK8TgAM5pUXAABlFrj/ln6WwI7iET3AcSw6tHEjM8Nt6/etrnfWpH7olOnFknsGa5rEUDEk78e4eWgPy9iFrGESY19O/piAH0jDvvLZ7d+qOU/e//+WfoCKqi00blOSYj69NtNjUwbhvkl5cIq8YWpen7fZ5USNTVHMuqUf5pb5aV6kb4ZfKEgy2BxDilM4b+M7mb3qrx99YQu2I+etWlUNl0MwnC87y4d55QWQZQUcy2DLri+p/x0/tDtlFiPHEoDr1CVb8avnd+BsAlhxuTWnW63VWu27N6s+ytwyP9JSHAbwwfD8Tigb1QeNTVGcCYQRClv7zVA4hpffOoj5kwcZ8jtBYC8IbBGR4glWiSP0mKfX7cWw/M4UVGd1DhJTfnGyEQ8s/QAPP78DDoFHXFZRc85cT5lTmo9gWALPWV+XNijmgMAz+P2GA5j/4ke4/YZecDqscwJFNfZMvm/ffKF0oA8m/v+5pNdVAFdcvMv5fkyWlYQY9mBKUel0sJgwvAdUFXg4iQqjoUUCRAIAACAASURBVClKC7Xrtx7FfZPybG/+U2v2oGr6YHS5ItVEMXXvxDw0RawTLjIxpf8bWdizJvXD6XNN4DnGQENWkJOJiddlU2FKoucTbI6hORJDm3QHFk4thCyrOG0hup2a4qCfn3w9yQ8YSSjJ+GrZqBzL643rNHRIx/ylDfspLZ7P67B8H8+ykGUZ7TJScCYSbOUeP4+xrPVaYW3oDG21uTgGPMug4qY+aEwUdwVe+7fAW79H4BmT6Omc0nxwHIMH//ND0/FPzBgCQWANmoOyqkBRVFr0JhNP6V4HYnGFisUTSo7Vmw7igdv6Wzb7Fk0fbNMQtRe05jjO+vdopQP93uzb6MVxOoooQGvAlI/JpYkUoXBJ84jwuESEwlEsWfUxfF4n7p2Yh1BYQkaqExxrpuY8fDxAfd3h4wGs3nQQj00phKyoqDnXRPUYiP+vDYQpzSHRjlVUFSvebKEZmFOaj9QU0bZZJAocbaYAWgGFaAWRZ0qjO3Bg5cb9GJbfmSat+t8s+d/kN/wyQdl1/y394U0RsOz1T7DzQA0KcjJRPKKnSWNt9SatIXLvxDy8t/sEbijqikXTi6AoKhRFm4zX0/bqE91vuneXotn6Xxt9UgCaDtq5ZpPOjp6SUIrJJs3gEzUhzCkbkLgfgENgsXj6YMRVFVBVcBwDhgUmXpeNc40ReN0ilq7dixkTrkLbdA0VRxpec8v8YDkGjUGJUmuQmGHC8Gw4RZ7eayvKQytdvTSPiHsn5uGlDfsweWwulr22D7dd38vg1xVVNexNekpeRVGRmmJNBS4KnEGzk6xXFRoVU1SS0Ygonnj5Y8O+QLSISVxEkpOsDl4se20ffU4vdcrP85kK1eRzZk3qBxW4qPRlVppV8ycPNMXGlWV+CAIDPqZ9ejSmQorJlHaWIPQXVBSisUnC7Ge2GT5HVmC5hmJxlU4KJP8tI9VpaG6QRFTgGaR5HFBUFZ0yPfhZ/072NP0VGg4yEIzgy1Pa9Nfvf3Ud2ASYyaCDVuqHy8nh0YpCmlOQzy7IyQRUGChvFk41a41rsTKDrhb5xeW8nr9vE0QOdUHJRD8bbI7hnV0nbPfmYHPM4P9IzlSQk2mifiW6VSTWuKKth05FAUa65S4dvairjyDdKyLYLCE7y0eny/VrRKM4ApZVDsfXZzTts/FDu9tea21AA/7paUXJ3xVFxV8/+gLD8juDZRmMGNgV2/7eQumoqCpS3ALKRuXgF4O6YO3mIwgEI5hdkg8p1lIobwhp+9HCqUXnbZzqX/s2+ruXsllRrj9U5keaV8QDt/aD08Hh8WlFiMcVsBwDUWDxH8M0qmWOZcByDDpletC7qw/nGqKoWlkNn9dpyvH0ez+5J/o1ro+Jr2jnwckzIVO9gQAgrM5ZkJMJkWcpME3gGQwv6GyIXWdN6odgWEKoWQLLWee6V7T1YM3/HEKwWULF2L626/rw8QDe/PAzPFpRqMUnLIOGkKZH2BCS8PsNB1A2qjeeWrMHC6cWWn4Wg5bC5+VGKW43YXah9Kd2elIzJlxl2DcjUtzSN+olagCA51gsnFpoG5s3JKYzlr+xj+ZWqzZ9Sv8+8bpspHlbdJxSUxyWFPJnGyLoekUqrQUmx1Bzy/zwuAUsuWcwGkIS1mw+hNFXd6PNTX3hWW+1gTAYFrj/lv747Su74fM6TZIDlWV+ABqFc5pHxO83HKAxuj09uLVMy+WSq7Vaq7Xad2PJutikxgpojaFZk/pBUVW4HNoUnj5Onl06AEtWtcjJzCzWpJ0CwQgYBjS/06bDv1kqbE6pH6kpAn798m6T3MKP23ts94V4AuyrjzOJ75w/eRA2fPAZ/mN4D4O+X3qqA7GYAriARdOKqMa7/roIbT6JcZ5etxfzyq3pTk+fbaLyJj+Eb76gOPrw4cMdv+sL+T6N41icDUqmIsTazYdw87Aepg26ISRhy64v6YZbVx9ONLiM2n6EYqk20Iyn1uzB4wnUeZeOqVplJRFsWi0EMoGRzGXeFIlh5UYtWLn/1n54WCe+PSy/Mw3U9Xo++vev2rwfXreI8QmxZfJdbhzSDZv/dgyjBnf7xgdsZnEe0j0OypU79porAQaGYsaWXV9i0oieeKf6C/q+uXf4wfMsiq/LhqICS1bvshSJn1mcB5ZjICkMAsEIOAvNh39V3PhSM6sCLWfTSOY4BnNK/QbNjzmlfog8a0thx3MsDUjJe+6/pT9YhsGHe7/CvPJB4Fit+PZO9RcYNaSbpf4ZoIIBUHOuiRaF2/lcYBiYGgkRKQ6XyCMQbNFloN/BpsFJJhPNQa7WVLYStLZL2EQOiH3HGVvrOtbsQvTiOI6FDAYRWcHJuhC2/+Mkbrq2O5bcMxhpHodBL8brFhI6gC44RBd+efsAeFwi5QAvyMnEpBE9TVqnb374mQERGghGoKpAsFnCguU7KTrfl+rAgopCer5AMAJR4CAIDGb/53ZD8kX0eOwa6RkJvbLnZw+lAvGvv/9PVN09GLG4Ap5jwCQaSmOvuRJxWcGDJQPw3+8cMTz3W3Z9aVusqQ1oE+YLpxZSofph+Z1NjfSla/di0fQinKgJ4r3dJ3B9UReEo/GkJn9L8kn8usizhoLOpVzwsDI7fVI7i8mKJXKd8NgTn5W8XgLBCFQZYGVFo22QAZZjwCkMYnEVdWfDEEUWDz2nrcF55QUIBCNUW2380O64c3QO2qQ58eLr+zAsv7OlBqBVoeHNDz/DgopCNEdiSPOIePH1faaiSEaqE6FmCcPyOyMuqxiW3xmPvbTT8FwGmyRkZabSmClZs+Xh8gKTL5hZnIf6kNbUfGxqIVRFpc/KdQWdcbYhkigcmwt0w/I7Wxaopo3vi0kjeqJiXC6g4rL1vQDAgEH1gVOmffzGId0u6udYFfvmv/g3zCnNN3123+7tkJnhRiyuUMr45Ga5CgXpHjOQ7J3qL0wN4zml+XjtPQ3Jn+wn55b5ISuKYT+YP3kgpCTt5LllfpNWn/65ZVltSqVNugsNwSienHm1xrCgqnj34xOG7/jae0fx80FdsPz1/RS1Tz7njhv7mHS4XtqwH5WlfoOGxENlfnCqClmyBzm12sU1q5hNks0MFItX7cK88kF4Z9cJOrWdnOe8+/EJ6v/6XtkWNw/tgaZIDJPH5lLQAjmfBnLT7i/PspBVBV/XGhvahG552vi+yMxIwdK1exEIRjCzOA+pbgfe2v55onGu5WnVB07h+sKuAFR0aONBulekE2P6WJ88c+19LvAcS/2zz+tE8YgeuKKtB8FmCf8xvIdBG3h2ST6WvbYPAFAyshce0gExKhOFmmBYgsjzmFdeAG+KiBdf0yQuWNa6kX85A46+yewKcbIkg4em/UpiAzKVeeOQbhQ4Q/xkWooDlc9uo/t2aoqIGROuQmaGG19bNPTIpKE+DgoEIxB4Dv/9zhFcO6ATAsEIPb6yzA8w2jS+xy3C6xKxYuN+Cr6oGJeL02ebTRr0o6/uhvqghBuHdDPoUs8pzTeA04iOLKBNSfE8i7qGZjxwa388+cfdhnOu3nQQBTmZKB+TC1lWUHOuiTaoyWRkIBihTXC7mo3Ac6iaPljLG3WU4peDPz4fG8aFFBWt3u/zOtGxbQpicQWPTS0EzzFQVeCu0X1ojEo+h+zB67cexe039KL3uCAn0xQHkDxvWH5nmvPMKc3HsPzOuHlYD3jdIla8uR/1QW0i+ak1e2gT7tjJRoNv4zgGiqLikfICOqWiB7x53ILBjwPAsZONuK+4H31W7AAiJ8+E8KN2Xjw6pRAcy2D5G/sM9ZS1mw+hfEwuxl5zJTJSnQgEIy2a2zb6sLKqWgKuRI75zmsdrdZqrXZpG9HFJjVWcCy+PN2Ihb/fSesRyXWPxat2YcaEq0yDHrMm5aF8TC7tr9QGwrjt+p5Y8eZ+U/5WWaoBnV6YMwwn6zTd+OIRPRAIRgwgu4gUR7A5it9v+NQyHm+X7kZ2lo/u98RqA2HEFQUThmfjT+9o2sS+VB4iz+LUmSbDee6/pT9WvHmAxt1pHgf+c93fTbmiFIub8jkSZ5eN6v2D1dEuSzCdVWGiamU1Zky4Ch6Xueu8ZdeXmHhdNta9fVhbuCki5k8eiEAwalgMc0rz8bv7r4HAcfB5nfiqRusGL5xWhNpzzZTGzgoh/PyrnwCASZR49aaDdEEzgKHwnZXpoYGQHRJoZnEeVBWGTvzcMj8EnkGvrm1xLrFwkxd1RpoTVdOL6AMaCEawaHoRJo/NBcMyOF3XZPrubjeP4f4u+PnALhB4DmBVfHmqEZkZKag51wSf1wmW0eiUysfkwpfqgNshQIrFEZVk/PaVPXRiQa/JY4Umv5zFjVVVxZsffmZYK29++BkqxuVaFqdlRaU0WqqqgmEYvLf7BK7p1wmCwCISlU3JV1xRseLNA4bPWPHmATxwW3/4czpiwfKP6PGzJvUzTam2oDsYvPzWQQzL7wynCMTiKl5+6yAqxvXFtr1fY7i/S6JI5sA71V9gzDVXWjpruwYnzzGmoL+yzA+HwECy8aSKrEJMCt5FgYVyEYsKVoUjAK3rOGGkePGbmVcjEo2bkubkZ55MPc9/sWXdzS4dAElSKBKzICcT7Xw9TQlgfVCybAw8vW4vFk4txEsb9gPQ1tMDt/YHywFet2iJQJ1b5kfxddk4Ux/B6k0Hcf+t/SyTL69bwMqN5sCjssyPWEzG/Bf/Rl97pLwADoGn3z0mK4iGZco3Tp6xyWNzEZXieHyaVmiQZRU7Pvkai6ZrSO/jNSETZVcgGMVt1/dEOCojq4PXppGuPZfFI7IhxRSK0CJ/X7yqGvPKB2HsNVciIsXh8zgAWblsCh7JZud/J4/ta4uCtqNXJtMgBITwUJkfjyc1MlSoYDgOosCgsTmGwLmoyT8SkWxCjbR07V5aIJ5b5seLr2sTcGOvuZJehyUt0UrtXt/y855IcQkIhWM42xDBtr9/heIRPQ1FkTmlfix/o2WybtH0IqR5RMtG3/zJA1FZ5kd9MGL4TJ/XiabmOF577yid5k3zOPDnLUcQbJYw8bpsQ/A/szgPbdOd2qS312lZoEvziJa/tccttqDVZeWyaVhbGc+zlF5b75t4nkU83vLL/KugFbtioYYO/cjgp1OcPGp007LkWJJIbdn1JSRJwaqNn5jinP69OuDjTzXNYI5jwHMMNm37HO/sOoF55QUtsXvieV2z+RCmjO+rxUQAoKqoq4/gd2v3mGLo8jG5hklo/XMbiyv460dfYOJ1PQ0C8Y+UF2C4v7Ph99V0qhTDBFeaR0TbNBcam826sDsP1KBiXC4em1oIBgDHshA5LX5RORbRxD1xsAzky3w9f1dml3u4ndbUPhyn7QCBYARpHhH3FfcDwwCqCqR6BBT99Aq8+eFnmFmcp1EoJ/KyJfdYM1qcbYhg9jPb6PrZ/o+TJkAfmeC/eVgPlI3qjWBzDBs++Ax3jc7Fa+9/jmBzDON+1h1pHge6dPTipQ37DdPQKW4B5xrCWhwhqzhVF6LT47Mm9UNTJIamSIxeczJYg+w9tQFNZ4UAoJKLP1WrqrFwaiEWrWjx5w/c2h9ASyxvNdUmCJc34OibLLkQZ/hdmJaagi/VidFXd8PStXsNgM2GUNS0b5N7+NKG/bhxSDdjQ69Um9omTYjHphTiXKNWRCPx54maEB6dUohAYwRxWYFDYLHizQMYlt8ZiqKC5xncdG13jL3mSgSbY5BtNOgfnVJoqxm8cGohjp1stJyaIo2f8rG5NM8jqP9pN/cFVNDmqL4Y99SaPbivWJtgIE3whqaoBYg2H2s2H8In/6wz5HC29+ESMz2TCym6pnlEcCwLDuo3xgjJTDDZWT6UjOxlYG+YU5qPt7Yfw4ThZmB+bcBazobs04umF+FsQwQNIQlvfqgB1wmYvjag0X4vWlmNeeUF+LWOSWL1poMUUNEUieGxqYUINcfgdgoUAEpqZNPG/xTHTjbQqdj1W4/iztE5ltfq8zrA8yyqpg8GGHNjTl8Mrnx2O56672rL6UeGAVZu/BR3js7Bo1MKcaouhLWbj+DO0b0xr7zAcC2BYARfnGzEwWN11LfLsqqBtgf/5KIyPrRaq7Xa5WskT4wBtAEI2NPiiwKHh1/4CEALgEdRgRQXD4fA4bEphThZF0JmmxTsPFCDkht64dEphWgIaWC2tW8fwthrrsSP2qcgMyMFD9zaD2fqw6gszUdzNG6IBWZN6gdA8+0P3NoPGala3na2IYzmaAwlI3vB6eCw7NX99Brb+1wQOQ5//MtB3HFjHwQaIxA4DjXnmkz5KQHbA4AUl9EQihpqcCRXTE91QFVBmcbONoRpnN3O50LV9KIfpI52WTYB7QoTbdJc2HPoNBZOLUQg2DLhVjyiJ9r5nLhrdB9Ny+lcGF1/lGrZ4S4fk0vHQN/bfQJzSv1Q5BaB6tpA2BBofFUbQnM0hkAwQosO5KFwOwXMuqWfgWouufBNggOfV7Skumub5jLRm5LCxqKV1Vhyz2AT/eKKNw+gfKxGK+p1Cxg/tDvWbz1KE9IX5gyz/O4LpxbSogehnkumP1NUFYFghCK4/rzlCIbld0aaR8F9k/ph/dYjJlqJf5V64lIzQWBNtH7aBAdjWZyeNv6n6P2TNoakZ2ZxHgSBtU2+Fk0fbDmRxzIMXn//n4bPeP39f6JiXF/L81RNH0wnkYjVBzVqjiF5xiLknFI/YnHFEkF/yy96Wk4zcixDEXJ6xFzF2FzwNgXMOECbMPrvdrHWk13hKN0rtq5jncmyYkv/m/zM66eegYTOQ0gybMhWk26koJEsok7+Ho7GUXJDDm4e1gM+r7ZJK4pGX1g+Jpc+M+R4AqwAgDtH54BnWUu6TIIqUhQ1QVPDIhSWkOYRMfs/txmaIA0hCUvX7jQkv+vePmz43KfW7MFjUwpRn3TszOI8MAyDU2ebLCm7GkISOmV6sHLjAZSN6mPZSJcVBVt2fYm26T0Ri1vrUDU2RfHUmj2UjlG5jAoeyWbnfwWBgWzzQ/Ccecqvvc+F9hluVE0fDEVRIMkqfGkOPHBrP6Qn9ALP1kfwwqu7ke4VcdfoPpZNkqVrW9Bmh48H8O7HJ1A1fTDiiQlaVVWplrAv1Umv43z6KZXPbsdLvxoOp8AjzSOiV9e2YBJIflJoeGv75/jFoC4oG5kDJkEt1iZNmxTR70NxWUGwWUK6xwmv20uLxgBw2/U96QQKeYba+1yYMeEqhKOy6ZlfunYvHri1P5au3YuZxXlwCJypoGJHOe52aN9XUQDu4iyFf1uLxRXrfXNcSyP7YoCv7GifT59tMvnpeeWD4BRb/I8e0ZmR6kTFuFxU/pfmj/UU0IQCFwA6d0zTGskpDgzo3QE/H9gFLMtg54Eag48GgLtGK2AYTb973duHcdfoPpZsBlZaVhEpjtkl+XCILEpuyMHqtw4Yvs+ZQJg+p+R78ByLNmlOul+QPGHZ65/gjhutffPx00GIAod3Pz6Bop9egaxML4KtQKLvzexzD2uaNYFj8WLlcIgih2gsjmhMoxmKxuJwOV3I6pCKG4d0gxSTDfGynm5cfz69Bivx87KiGPzwe7tP4NoBnegkKcm1HA4Wi6YVwZfqwOmzLdNOeiq9RSurE6wJDiiKinMNGsMBaSa+/v4/UTaqD1ZvOojiET0sY3yy95DXunRMpf+tt9pA2KCFXBsI48k/7sZ9xf3gdvLgAKS6RUrrx3MMmAQw73IFHP0rxnEsAk0xw8RxZakffa9si5/172QCt905ujee/KM2BeVycGif4UZ9UALDAI9OKYSSKFyluAW8pJtSUlSV1iOIBYIRHD8dpI0Wkm8RH1yQk4nSkTloCEUh8Aw4G3pPlmWQ1cGrUYHq6hq1gTAamiRMG98XnTK9pilaEvefa4iYKNbnlvkNsbL++EUrq5GR5sSftxyhyP6/bP8CY37WDfMnawATWVbx6rtH8c6uEwBwWeZwhMllzeZDlkDJb9qPHAJriNusfMviVbuwoKIQEUm29I1etwif15zX7TxQg5uH9UBDSILXLeAXg7pAUVXDJKvHJaJqehHSPMb3Hz4ewILlO/HkzKsRaIxC4Fmke7QpWf21vfbeUZSO7E3fJ/AMJo/rg6jNtYJhsO7tw5g0oifisoo0r4jHphSisVmCFJOhKCruHJ0Dr1tEdpYPDpFHVVLs+/Q6jbVFP/lIAHaxuIIn/2iUk3CIHNUK1zdX752YZ+B8b2UnarVWa7X/renzxFmTjKB4u6lnkqMTNoyGpijONoThdvEGmtC5ZX5NKgGsgSkF0Casq6YPxvQnttI9fU5pvmkfeWrNHjosFYurhjr47JJ8bPhAq1XogU5zy/zYfeg0BS1FpDicImfb1DzbEKHU4as3HTR814gUx/zJA9EYkkwMN+kJCmoB+MGAnJdlE9CuMCEKLHp2aWOgx5pdko9PP69Dfu8OBkqCZx8carkYSGHt6XV7MW18X8TicXBJxTYSaFRNL8KildUoyMnE7JJ8LFm9yxbVRpK25MJ3baBFA8eK6k6vIaG/Tl+qA/PKC+DzOlA8ogfWbj5iCJK8LpEipEhQkZHmRHaWj9KeJp+T0GcA9gX5BRWD8GDJAASbNIRWcgA5uyQfJ2pCkBUVDl1woteGIefjOAYyOMiKQlHSMbvxr0vJFIDnGZPOHs8xKL6up2HcuLLUD0WxbvQtvnuw7YSKoqqWE3miwFoi1Mj7rM5jNSEo8Cxt6JFjF6+qxhMzhuDaAZ1MCHqOZeBx84bvzPMMpLhi2WQEAwSarItlUUW1LPZdLJ0Ru8LRounWmiet+iZmSwZqWDUskjdku6aG1y3AnRBdT/b5LgePPyXoi0KJCRENlGCdYGoFEsE0BQ200GXOLsmHU2RRMS4XS1YbqTo9LuM1jh/a3RZMkjx9wjCwfI7nlObDmyKantdZk/rh9ff/iV8M6oLRV3dDY1PE1EifW+ZHTFYoHVn5mFzroC3ViRkTrgIASDFNV/N8/vaSTixt/K+tHiAAgbWmv41KccNU6PzJA6EooLTfegRwIBi1DUKJrmV2lg/XDuiE4zWNNE54fFoh9cF6JoLz6Va197kQiSp4et1eFI/ogU7tPWA4ICvTgbiioJ3PhfYZTridAliGBaCiMahpoJSPzTXtETOL8+ikP6HkOnw8gDZpLsvv0ybNhaDFdFRtIEz3rKVrtUneNZsPYdH0IpxJxCCCwFpOxEuxeKIgA4DlEFeUS29tfguz3Md1xaGLAb6yo31+bv0nhuNqAxoqMyLFE0mieZp0bpnf0EAGNJCFKLDo3dWH/r06mNbc2cYI0j1OwzonIDsVwNe1QXTK9KI+KEEUOMtYJSOt5f0kpvKkCFj+essUbLJGEXlOs7N8lt9j0s97ovZcmGpinWuIUPSrvlnz8lsHke4VMWF4Np2ySi5gX45F6O/L7ACjHAdLBgqNUl5BRmoKzp1rQlamF7KiwungcK4xCpHn8PS6vaZiyYVqsPpSHXCIHDjWQQESVpRLb374GSb9vCedbNWfT9/w8Hmd4FnWFG+v3PgpZWXZ9vevMHpIV/yonTWTQLJ+vKyoOH3WWodTr4VM3t823QW3g0VdQ9S2uX25Ao7+FbNkPFqlad0QRg3yOslP9H53ZnGeTe7GGHKuc40RW9rN9j4XrmhrpCrMzvJh7DVXGlg95t7hN4HpCnIyEWqOmaZeCXo+0BilQGa7dWnVXLdjCiCI/VN1IRSPyMaE4T3AsgwmXpdNC4dV04tQ+ex203svtxyOMLlUjO1rapB9034kiBzONEQpCCmrg9e2DtHYFIXP68T/u60/fvOHlvU1p9SPQDBiC64ja4PYknsG07/NLM7Da+8dhT+nowEUB7TEBl63gMamKDZ++LnlJOLoq7shEIyaGJRcDt5EXTe7JB/b/v4VRgzsTH8rcnyqR0Q8rmDpn4zMYILAWv4ecd1AAXnNDhg4Y8JV8Od0NB3/9DoNnA20smy1Wqu12r9m+jgjuaZQfeCUmcqzzE810/VsGMQn6tkOozEZk8fmIhyNW/pDKa6BLtZvPYoHSwbA7bSvAVoxCpCcCgCq7i5CPK5CTjT9ev+krSG+n1vmh6paU9aT3gfPs5q8WaIuxCV0mFUZmP/iNrMfvnuwtk+qPxy1/eUUt1ATbSgEZVmlRVugZZE8Pq0IsqwYbvzZhrDtYiDv/VE7DxSoUFVr3TKf14nf3X8NPC4BiqKiavpgyIpiy3++aGW1ZRHwfFR3dkFSWoq5yUdoP+eW+bFi4376Hp/XCSkmIx5XcP8t/cDz1ufUC7jrJ2/0iG6OZcCxLLI6eDEsv7PlQzltfF+IAotAkm6jXhtm3DU/QSAome5hW694yTcCVahoDEmm5Czd44DLxRloP2VVOT9/vx3NJsvA7RQMlJlup4B4XLEOKu+2RkazLNNC06XT/+k4pJvtNdk1LP+y/RiG+7sACXqlv2w/hjHXXGmZqDJgbAuYosBavkcUWDCyatm8+DZNDbvfW1G0xHZYfmfafNyy68tWfRMLSwZqWDUsSMH4fMcQn+xy8qYi25zSfLgcPIpHZENWVNQHIxh7zZUU1TyvvMB0vuIRPSya17uwaHoRbv1FLzhFDi9t2G/QXiPHkeRLf067xqXV9AnHsoYAqV26E6LAg+MYnAk0I83rwKLpRYjFFNQ1hPH6+/9E8Yie2LTtc9xQ9BMsXqUV/aaN74sObVJQVx8GGODe37xHCylWxchZk/rhz1uO/H/2rjQwqvJcP2edJTNJBkgQFFARBghBE8gAScAF5VbZ5VaCCwkawmIV0VYWWwEForbW2vbWiliBXgvaWlyQ9iJaq4IKircs6uCCgiKEJJNkJrOe5f448305Z845ARS9NuT9A5n1zDnfeb93ed7nwSVDe5moTa38bUdPLO38r9cl2r4nlZTR1SvSPZ5jWfAcg5/85vUTJvTEv0qyAlm2jiXYdJOxV74HRxtbkatDYCnrwQAAIABJREFUOCuKis1vfGpA7t9WUYzuXd2mAP2O64bCl+3EijmlUFVg2MB8pCQVPM9CVVWsfnYPvG4RPxzTH26H1gBkWUCS2hKBqvEFpj1CPzHy8EYNILV8zdv2gCxem5o6UYwFMLjuyoEAgGf/+THGlPSBLMNyIv4HI8/FHdcNBcMAx5tjBi3jjrI2T9pUtFscAr657g9grVnFcQxFXRLL97kQiSbhzRKxuLIEDpG3LFTfVlEMFSpcDsFQHF4xp9QUNz+88T0sqxkBkWexYk4pJFnF9n99iUHnd6UN8Yqx/SHJKu64rthSt5NMns6dOgRn53kgydq90Ngcw/jy8ymd3bsfHMWPrx+KpkgC8YSErrku5PtctjT95F7w9/ahavwgA7OHRjcp4OltQQQPhbCkKkDzErv9QlYAMIyBerzDgjC+Q7PzT7KsoluGP7cDxXAcg3hCo/f+yQ3DLIslwUOhtAbrSHAsA5Zh0NBivM75PheynAKONbbi729+hluuuQhdczQaTcuc8AlrVoRVa3fSxl3F2P4UNEheR3SCZVmFILC4ZGgvqKpq0GrRH5NeP37hjBKs3azp0FsVf97/tN70m1RVRTQhd7JknGaz899W66UuFIMkKagY25/6K0VRKcUxeQ0B3mTmT8tmjcC9s0uRSMnIcgoANBBpUzhhouy+/soBhsnBulAMq57YSek9yWfaacHNnToEAs/RBrndFC3Jr/R6ljdOHIRuOS7bdUxqDLdVFKE1kcLfd3yGH47pTycRlXQtR695TOUk0vd+hwbA6UyWFciM9VpqL0bQ66m+vf8YlaexAw3keBx4/Ln9WF4zEtG4BK9bwN92HETxgO7o0sVp8jOklqX/nG45LqxePEbz0yKLqZf1S+ukJrC8ZiSONrRi+7+OYOyIPoZm45J0wTrz2KwYtojfjCYk3HnDMHjdIhqa44gnJVxcfI5pLZMJlHs3vG14vDYtv2B1Phi0ga1JXa1H1yzLa5CX64Ki2oCzFRUcOlm2Oq3TOrJ9F3uRPs7IrB+VXdgTT70UpLEqyzJojsTBsqJljZaAFxiGMQEnrRi3WJbB8ppSrN28D7G4hHCrfSxgB/7J8YiQZZX65DElfdDnLK8lg2LtzWUmsP0d1w2FJCt4cP5oSJKCdS/up+DQRZUBuBxOxFM2TcyUjLWb97dbg/i2r+FJ5fJ+v/+tYDA4wuLxN4LBYLnVe77PlpRVvLb7ME24JFnFBwfrUXhBnuWFamyJmwLNDz9rNNGGThjVlwam+T4Xvjwewdl5Hjz+/D4smF5sSPTnVxRBVhXE4pJB+2l5zUjLYyBJW2bhG7BHtrXGJSiqYlq0iypL8Pjz+0w3H+EY92aJBsFtK30fEniRQspZXbPAsQz8vX0IHgrRyRsrRLfW7XfYHnfPbh4osjk4IUnsmuf2Ylz5+SYKkNo0TU9HD14kGwrP+24uR0NT3FSc7tkty7rRxzHgOdYSqc9xDGTF6Ghkxb6hqCiqKRhfVFkCh8BiVNE5GbSfJWBZxrIhRpxx5udLsorRGfShiysDUFTrc7Fijv3UHQOzXsnDG9/Dz28ZhVA0aToXXb0iGsLmx22dtk3hiOcYSxrBTpFus2VOkLy863MTcCPPp2kzEDrBDw7Wm3zyNZf7wTKAwHF44fUPDRSFgsDi0LEwXQtLq4cbGjB6fTXynWfZJFwNzXEIPIvHn//ApL2mf100IRnuE70/12tr6GnjCABi9bN7MGfqEMQTEp7958eYMKovpYwhzbqUJCMny4GeeVmYOX4wVKi4+tJ+WPeiRldXF4ph+Zq3ke9zYe7UIahr1I4xmdIQVVZ6Vauf3WMJ2LDztx09sbTzv6vmlYNt532ppKybaJCRUMwFFLtJv2RKxq82vIdcr7m4urRaowNa85yxkTDl4vOx6Z+fwuMSTFNfd84YphVxFBVLq0eCZQFR4KCqKg4fC9NppMVVAby2+zBWrd2Z9rklAMPQAJkCULwOOqUViaUsfwOJYepCMXTvkqWthzQiMHPPamiJWYp566djtBgrTMFHMycMRnMkDllRUDW+AEcbWulEy+LKAHKzRUSiKRM10oY0fXRHWJsna3ZsDoqqUqpUu33sVEErmZM8DMwxx6LKAGLpouuYkt5ISorl8XXNdUKWVVODUE8zSGzIBd2QSCpYtrpt0pasZ6u49J7ZpZbfCWj3ZWsshdp1bWwdeg3ARZUlBn3MH18/NH2PWZ/n3md50/S8DoMmEfGVc6cOwSVDe+HwsYghTrYDunx5PEz9+rJZI5BKKQZt0Y4EwvguLTMOGV7QHTdNHAxFUZFMP8+oKiDLhhhOUVQKhCF7aV0ohlBLgiKXM/345IsvQDSRMtAh6cGZi6sCEAUOPbpmYeLovmAZBsseexPzK4pMelAnmnYi68gungmFEwbKUrJnZB7zgunFYFlg9eIxSEkqHCJLC/uZOpwbt36ImyYOxp6P6+l9Mr+iCPXNMfCc9eTLmTZhdTqMFI2gqFhaPdzE8mPV1Mj3uaAC6KGb2rO7JmDM+dOyx97CbRXFcDl5w7TTrdOKsGX7p4Z1081m+p9hGIPuj55ZSP+6s7pk4VdpzWMAeHnX55RJSe/v9n9aj4orBiDXK9IYpSmcwOpnzZqyZP8h99rRxlZke0SMKzvfEOssmF6Mn940HOFWIwjsrqoAct0CWI45pVzx392+TowgK4qBiUeSFeR4HSbfQnUdJxUi1ytCVYE7Hn4Nv/7xJRhVdA597fCC7lgxpxThaArReApOB2fUsKwKYPWze6jPubt6OJIpBdlZGk3yH57fj1A4jvtvKcdnR1oM1LOr1u7EkpkB03qxY9hSFBX/9fS/KFD94uJeljR5/t4+TBjVF40tcet4X5JN30k0m5dWD8f2fx2hlL527C2HjkVsm6ssC0A+PUCvTuu0Tvv+2XcFxtbvAaR+RECTKpCmFGcMk//LZo1Alxynpe/pmuOyBIAurzGChOZXFOGXT2rsQgtnlMDrFvDgk7tNfnNJVQAet4BEUjH5wuEF3dE1xwklXRf+4eX98cD6d0z+mhxHY0sCPMdg1bwyKArQ0poAGODBJ62ZG+9bt5PqsVrFYkcbWukQl1V97Lu4hifr5wed4uPfb2OAoQPPwtLVbxouHGczNdccSRo63D6vE0MHdjfQhi6pCuCfuw8jeChkKFbdOLGAcpTPnToEuR4HRIFHNJECA01fTb/Yjza02nay830ueLNEU0MxJ8taA0fkOSxfs9MQcMWTEjxu0aSPUheKIRxNYvmat/HoojH086yQzMseewt3XFeMlfPKEGlNGegnSdKakrUgJiXJllMBq+aV2R63wLGQFOsi0Lk9vBRVfqYGL4piHYDaFacfuGWUZaGVYRikZAWqqhom/lRVhaoCf96m6TU6RSAlqfjztgOomTLEOqhMc97rk/6nXgqiZvIQk67Tfet24YFbRmHGuEGoa4wC0Dj1Z4wbBIdgfQ9yHGNCLNeu22nb7GsvOZFt1pYkq7b6L6fS1LCjQGNZmChyaztQc+R0mtUEicgx9G+WZbBxaxA/KD2X+lUVMPnkXe8fxZP/E8SsSQWGBuzS6uGoD8UMTb/MBkzwUAjrX/wAq+aVoyE9OWSHhm+OJLHmub2YX1GEMSV9TDQz5HU8x+KtvUfoZKzTwePH1w/Fm3uOYOyI89Lo1CT++o+PMH3sAANtXPBQCD8YeS4eeWYPqicVmvzqQxt247aKYhxLROF2CAa/rA9MSLOxZ54HdY1R+Hv7KD3Dw+niCvktjeE4xpT0Qe/uZkqnqZf106YgONaATurovtnO/yqK2m4TMNOsfJQVyIcEi1Mv60cpjmrnlSMlK/iqPoLGlrgJsb9qrYasP1LfClEw6ov4vE4kkjJ+ur7tXllUWYK1mzUE2/CC7rhtejEisSSawnFcWao1E+tCMTRFEpbUQ3OnDkHF2P5YvuZtWui2imHI/7+oi+DlXZ+jelIhundxY9mskWiNpxCJJqlIN7n/5k4dgrO6ZEEQWDz27F5TjBUKxzF36hBs3HoAM8YNNFCpkuLe7/+6B7dNL8K9jxtR16SI0lHW5slau8W7dG5ht4/xwDei5Gvz7eWob47B4xKxfst+2jx4aMNu3HLNRdaFagWWNLFW0yBXX9rPlEzWrtVQ9gPP62byn1/VW1MY5mQ50NASo/dQ9aTCdimc60Ix/OK/38Ud1w2FxyVaF+iOhrHmub2WFKd1IU1H7qENuzF36hBDnGw1qa2ffKgLWU8TdyQQxndp+jgEDBBuTZliDH1CThowdaEoQuE4fF6ngZaQ0CM99VIQPM/inppSNLcmIMkKumQ70RRJGKQPHt74HlbOLQPPMzhaHzXEL488swc+rxMsw5ho6brYxB/xpIQlVQFkubT7T4H1ZHlOlgNfHg8bCuIPrH8HK+aWGWL8tZvfR/BQCKsXj8HyNW/SgrTXLVjqcF59aT/UTB6C/xwTRySahEPk8NimfZh6Wb/TAjg4082qaET8Q65XRNX4wYglZKyYU4rHn99nAJmt3bzfoE1qBzhgbRoguV6Hyd/qNbkJfbogcLY55F2PtgF7l1QFLF8HhjE0ea653A+XU2PAaWnVdOCiiRRGFPbAhv8JouzCnnAIPOp0flGvKds1x4nHMqid/7hF08DM9KMPbdiNe2pKTf5/5dqduG9eORIppUMD4DLt68QIVrTbt187FOfkZxlAnC+8/gmmXTEADgeHmimFUBXgkTsvgyCwWPJ42zp5e/8xHDzSYpiunzt1CLp3yYJD4GgDENBrsBuZTkSRRXM4afCjZB24HDw2v/4pllaPBM9rmpCRmPW9oSigRd6B53WzpckjdTW7Bl6Wk0cyJWP5rJEQBC2r0K9RvW78iaikM2s/+utzuoBendZpnfb9su8KjJ25B5C49/Hn92F8+fkGdgFyHMfSdV/rGpn1dHkkpu3ZhO2ISIoAwP3rNTauUDhOQext/Q4BDMOgKaKBgWvTjFiV4wahS47TAHomdKR2sQ+hmc73ubBybhlcDoEOppDj/PVT2jRjLCFT4PPDG98zSKHo5R6qxg+yrY99F9ew3bqH3+9fnf6vQ/d/YucB+PA0Hcd3a2rbqCkpZgq8RmtFFonVZqqqKpbNGgmHwFnyoC+tHokrS8+DogAPbdiN4KEQTf6ynALWPLsPN1w10DC9ceu0InjdIgIFPShVV+bEi8ZFq+niPbZpHwCgelIh+pzlBcdpxXArZFsyPYJKjo/Y6sVjLBd4dpaI4QXdUd8co4GDHf2QogBfHAtbFgRXzStDMqXghdc/ROW4Asv3p1IKnvnHRyYE310zA+CgQm0nOOEBgGNx/4/KaYOWFAY5jtHunA5svM25YVlr55lMyVj/4geG5H39ix/gjuuGpptru0yfdf8toyw1gziOMTWhF0wvBhjVMum/aaJ1w01DiJg59T0uwZKq12HDkW+HauU4xjY5kVjrRqN988L6N9gVjq0aWDyAROrUPudMt8wJkpQM+rcKBns+rsfhYxFMvawfXE7BIBxMfN7ymlJ8/EUThg/ugcee3Yt7ZpciFk/B7RLQ2GxEYVpt/FqxQcXC374BQGt+2SVcPq8T7rReoF57Tb/+tr51EMMGnYUvj0eQl+uEwHPwZom4uLgXlq426sARfUK97yaNSju/3C3XifqmuKlhThLOZ175yDQBc+u0IrgdPH7/170mH1E1fpCGhtUVZOx0rkgxtKMnlnb+l2cZQD4xfQN5XlFUUzHOmyWa/J8+WAS0oseNExS63mvttEZlFTdOHAwmg7KpPR3KpnASE0b1NazFJVUBOuFvN6noFHnkpsW+n3nlI0vQCdEIWjijBF1yHMj3DTCIdC+pCuDcntloaklg6mX96L6+fM3bWL14DFQANVMK8Z9j+oNlAbdDwILpRRoVIhSb37WTin5nngfymhyP2GHW5skaz7OWk/s8z0KStPKd3T52OhCIsqyA51g4BA7rt+zHhFF9cfBIC/VrelCCfn08sVmjWs68/6ymQeySSZ5jLP3nxq0HLGNvQWCQ38VNX9+e9qzxbxEPb3zPdr8gexShxiVGGuZ1oRjOzvfCKbZNThLayBVzSsGAAcMCP//juzQZBuyniTvjjK9nJA5RObbdhNyqAXPrtCIoqoqXd31OQZFPvRTEjKsKsHzNmxpAc/JgZAmCYeJIL33Q2BKHxyUaKBTJNa6eVGiiVnx443uovbnMMifMzhIQbtXATHk+F5ojCdN9trR6BKLxlGVBXFFUA805kG7MQCuwk4K0HZilOZJEtltEtltEqCWBxzZpYA89baNVsbrTTs6sikYPb3wPtfPK0BRJmvb16kmFONrQatAmJYxA2/91xHIfP9YYPaX88/yzs9Gsm45bveRyy89lORga4HbNjWdeOaBpyXX34NCxCFZv2ksb0S2tCazetJdO/186rFc61y1Ggy7eDx4K0bj657eOwg9GnouZEwaDZYCntx1ol2aRYW1AuIqKqI12UUf1vV8nRlAsJD9++ad3cd/N5XAIHLxujVZ/TEkfbNn+Ka6+9AKEwglK1ZYJSCSfQfZfEjP++seXQASLyRdfgDElffDMKx9ZxogPbdhtqZNJqGd5VqNE1rMQ3TljmEkHc8H0YsQSKXpM+mnsZ175yFA3Ic/ZyS+EY0mTxibR4dTH62/vP2Zgbzm3hxeffRWmewcArH/xAzph6/M64BJYSlv9bQG9Oq3TOu3/174rMLYsK1TqJJWWLCH14KZwEguuNU/VOUUeaze/bzvt3F4DrnZemSFfIr+LYdoAD6RRt6iyBLGkBFlSaV3ujuuK4RB4S6kxIluyc/9XWF5TSkH5mUyPdaEYVFXTDtQfJ+kn5Xdx48jxCGUiWjC9GGs3v08ZFw8dDVMAM6k7WtUg7LRyT+c1PNHnNNj8XwWwH8DG03Qc36kp6aK+VTFzYeUwis7M9Tjwhxe0ppv+dQ/OH215YSKxJKLxFNxOATPGDQTLMFBVYNmsEWkqQHNH/NdPvYflNaWG4HzZrBFYObcMKUkrqDZF4njwyd2G71zz3F6snFsGRVFpMVzf/Y4lUmiNWSdiosBZUoTGEilUjR+MX23YDQBYObcMDGMvhKnX/dOfh9ZYCh63gOljB+BYo/VkY31zDNt2HTYcd57PBaeDQzKasqWpFC3oNghtxLQr/BpSq4M3AQUOlo0ynrOm2OQ4FqFw3NBMII0yWycjq5a6SnOnXghRZA2Tg6LIgrNprNlN18o2U4u188qpYLieRqhmsvUEIs8xuHPGMIRbk/R4vFkiZEW1TU4YnrV8D2ejj2j323iWBWTrUDmzgSUDtufiTCtAt2cny3/NQ/OrxxqjcIo81HaE5WdNLoSiaEHJxNF9wbEsmiIRAEbfZtW8uHVaERTF+DqGAe6dXQowQF1jlCZcS6uH0+ZbXShGp5h6dM2CCgZb3zqI0UXnAAyD51/7hNJ5EorjzH2BIJX1Ropr9rRwEVuKrxyPaDnZ/eun3sOyWSMtfYSUPvf6Ip2dzhUphnb0xNLO/wocoKB9+gY7eofZU4ZAUVU89uxeTBzdl/rXcDRlCBaBtDaIDo1vi1oLJ7Dkke0mbcv2mhgn0jCzK+6yLCCktdeI7srKeWVQFUALFxlUTx6MUEsCT28LYtbkQsuCOmmI6APzUDiOz77SJqfumhnAgc8bMfC8rgYmh8VVAZyd57Zd90uqAnCI1v7X53V0mLV5spZKKbaT+3qEodU+9nUt07cDgM8toGZyIcCA6hHm+7SpkLWb3zccn9sl0MQyM3mcMKov/rbjoCGWZBibZj3PIsfjMIHIQuE4srME3FZRDF+2RhX2yDN7EArHsaiyhNIzt6c9a/gejqFNu2WzRoLnGFOBri4Uw1ld2+ja9es+36dpY6ZSCp3cAqMCKgORAxRZhQQGN04sMPwOu3u0M874ZnaioopVA0bL70bihqsG4Y9b3kfV+AIDXXhdKAa3DZqYxAXNkSRYljEwumRnOTC8oLutL5dkFR63gKXVIxFNpBBqSeCplz7ED0aeCwBwCDwYMHhg/TvweZ245ZqL0C1Xmzi3miwnBfFwNGna+xZVluBvOw7SSfU/bvkAM8YNNMUAJE+bOWEwFLWtmZjvc2H62AHpQtLpBxycCab3rVbrISWrFCBBHiP7rcBz6NXdg0uG9sKvNhopxX3ZDtTeXA5ZUfFlXZhOHC+qDFBN1uEF3VE1fjBU1ZryKpE0Tsc1RxKWoNSq8YOw5rm9hgb4C69/QifGmyNJ+ng4msSMqwpozPLyrs/x5fGIoThYm6biqplSCJZlbf2iN92QfuKFfbhx4uAT0ixKkvX07JfHw/S+zNQu6si+l8QIjvQaTCiqiR3E8Pp2/Gg8KSGWlCDJCtY8p4ESjzVG8fc3P6OgZLvrot9/hxd0hyKrJur3LKc1QMZuuvWsrlngOIYygNE125pEtkekORphM7j60guwpCpgknQIHgpB0TEuEYaATPkFj0tEUyROKaHJceg1tclj+tyQsLfUzivHy7s+N4CCQuE4Dh0N08K4fork2wR6dVqnddr/n30XYGyOYyGDQTSlNf8SSQlNkQTO6e5Fvs+FXt094C3qqvGkZDu119Jqzu/0w1gsCxP9fSgcR0pSTXHFo3/di9uvLcbP1uyg8XZzJEWlUwBjvL1q7U74sh24ZKgRlL+oMoAt2z81xDX1TXHk+dr0ha36SYSJ6Nl/fozrrxyAnz36JpojbdOEJCa2qo9xHAtJsY41Tuc1bLcJGAwGFwNUE/C5r/slfr+/K4A/AugLIAngIwCzg8Hgcb/fPwLAowBcAD4DcH0wGKxLv8/2uW9iZHrIquB1/7p3cM/sUvzh+f2YNWUwJo7ui2TKSGnpFHnLC5PrdVB+cX0xa3FVAC4nb1ucbWlNGI5h2WNvYXlNKda9uB+TL74AXXPNUyULphejsSWGt/YaO9bP/vNjVIwdgI1bP0RTOGlZ1G5ojuMf7xw2vO+pl4KYdsUApGSZTtUlUwq27fzMhLBeOKMEqzdpdHFW5yHLJUDgOTidCnpneU1J410zA3A7Bfx+0Rg0NMfoeOzcqUPg8zppwZSgC2RF0QqLnKbnaJVor5xbhqdeCuKay/0dEnGnt6SsWjbKbv7hRZh2xQCanBEnlCWylkVrl8giZsGTTIrMky++wDTxJyuqIUAlr7/v5nJLxy0K1t/dHsKBIN6INYWTkG00B1mOgSSpBtTy7dcOBc8xkBOyZQGTZVnL9zhsjtUhsrbIVeUUqqIdvTnyTe1U+a9TKYVew8xGB9CGPCfIUq0wy+LBJ9+Fz+vErCmDDdc1FI7DIXK0CFzfpNFw9uruofQrE0b1Na0D8tmZyGGCSP3dnZfh4Y3aZPiQfnkmOk+7Ql6ORzRQweX7XMj2iFhcGcDGlz400FMTXdb6Jm0/sSt25HqtgRs8xxr0FTN/2w1XDYTbIWDFnDKwLCwp7EgxtKMnlnb+t2ZyIYD26Rvs6R3K8dizezFhVF/aIH72nx9jTEkf3DixADlZDvzllQP0uugbHJkoY/IaUWTh7+3Dxq0HDNfWrhgWjqbabRDm+1zo3tVt8mF3Vw+HJBkLLourAoCq0ilzUihkGQY3TRwMVbUuuhB9P33hWeA5Ojm18gntXGUyMdSu3YmVc8ssf1e3HBd4qICsWvpfPTL6TDFZsZncn/TtTCzY+fauXlHbm2UVHAuIHIO7qgJoDMdNoIRVc8soyCnLyWNp9UjEkxKynAJl3ti26zDyfZreae+zvJYxCQCDPoUeRLZ6016MKelDi+HE7lu3i2pSWIFFyP4AQOc7GdrgEAUWDc1xyykqnmMNjUfS+F46awSaIwmsfMLcSLl27AAIAotlj71leq57FzfuqgqYNAE744xvZvZFFU2+gIX1vtjSmoTIc7j60guo39Y3kjmbyaIcj4iFM7QG26XDepko9BZVliCekC2P6Ui6IULytQ8O1mPi6L5wOwWNcUZRqTZX8FAIsYRMQRV2k+U9u3kgihx+/8y/TOABAjwEQGlMH7hllIneb+LovmiOxJFMKVg1rwwMwwCq5nOMmrnfDHBwJpnet9o1SOxAcoR2eGn1SEMj2ud1avGwwOFwncZaoW+wxRIp3FZRjLwuLoRbjROGmZRX0YRR2y/UkrAEnJHpZ30DfPLFF4DjQJtCJJaoGDuAHi/R6WyNa1S3BAxRF9K0uhf+9g3c/6MyZHtEy+nyv7x8AHs+rset04rAc20sUVZx1YLpxdj0qj39YigcN2kXnQm+92Rzt/YKm5rG6VtUc/ee2aVgGaChOW7QJLeansvcf2+aOJjSNgNGsKPVd8sZQE/yuMCzSCRlSw3hRZUBOiGyfst+VI4bhETKrM09/T8GINyaRL7PjVmrtgEwMsqQBh75m9DD6Y3E4Ppj01M+kzW4+tk9qBg7AABM9LbkczKnSE4n0KvTOq3Tvh/2bdcb7Zgv/v7mZ5hyST+smFsKlmHQ3Gpmm9DLmukbYlve+BRXlZ2PDf/zIaUR97hErN2sMUYML+gOUeDpUBSJN9wOHg3NMcu4IlO/1W54idQ4spyCSaf9vnU7cU9NKcaVnQeHyINlGRwPRZFMSdRvW/WT7lunAa0mjOqL/C5uWodbveRycAwDhgFqJhda1sckAI8/v89S4/B0xhMnle8Hg8Hn/H7/uQCGAPBkPPenk/gIFcADwWDwVQDw+/0/B3Cf3++fBeC/AVQFg8E3/H7/TwHcB+BGv9/P2j13Ur+sHSM3RyIlWy4GRVFRMbY/RUnOrygyvC6ZkiyLbtFYin6GvphFClR8O5qDmcfQ0prAmJI+eGjDbtTeXI6zurpxz+xSqKomYBlLpODN0mhE9QH4whklyHJyNCkTRVYb05VkAAzCsSSyXALKLuxJ30fs4JEWLK0eieEF3TFz/GCA0XjNd394DMtrRoJlGXAsA45jkOsVIQisbZElHE2tNng3AAAgAElEQVQilVLwxNb9mDi6L+6dXQqG0eiL1ui4zedXFKHmaq0o+NimfQiF46idp50rK4Ftb5Z1kbK+KYY9H9fj2rEDOna0DdCppswCXs0UhTYAgTYnVHuz9XTd7ClDAKhYPnskeJbV1hbDQFK0oDCTZuihDbttNfgkxXpysGbKELy2+zDVQJMVYNvOzzB+VF/rggrHoObqwWhJ3xMCr/0tCixe3/GF5eeQ4jY5FkItYmeyolq+x+48zZpcaEOnWnxKBdOO3hz5pnYq/NcSgJVr2/ROPW7RUufkhdc/wfjy8/HP3V9gcVUAToFDXUhDBD22aR9mjBuIVfPK0NAcR3MkSemp8n0uVE8qRPBQCMFDIXjdAmomDzE1HzT6Y00z0G7SMxxNYupl/eB1C8jxOEyNP7vJkhyPA0lJztDrBF7c8SlumjgYPM/igVtGoSmcMPjJBdOLcXf1CPxxy/sYU9IHOR4R2VkOPPPKAZRd2NMWWfTEC/tNa3zB9CLMryiCJKtY9F9vmAo9emSUHp3UkRNLO/9bPbEQKtqfFmmPcphMOU29rB98XhHTxw4w7X/XXzkQKUlBUyRB6YiCh0JgWRimB0kRjqDbBIHByrllaGyJQ5IVU/xCiijjy8+3XB956Wsu8BwcIo/am8uhKCpUVUV9U9zQNCExz9ypQ1AXiuHykl6Yell/Cjh6elsQN00cbHOvpAznpXuXLKpTqT9XlnGbqtron6jUx+r1vaAyUBQFSVkFz7FnlB+2n3pv0wQ8nWbl2zds/dByjed6RXi9oimB7eZzouKKAQa6/sWVmtauXiOKFL1uv7bYMia5ccJgy+JgIikZprT0Vhdq06Qgk4Yr5pSioTkOX7YTf3lZ00+efPEFBrr1uVOH0GlCKwqxW6cVYcv2T3Fl6XngeRa9u2fjjuuH0gRx0WNvmI61elIhVuruL/1ztfPKtYa3wNE4g2dZsJxGR97ehEantW9WRZXFVQGqOWW3L0ppiqRuuU5wLEN9Lcmd7ArQXbKdWPPcXvzw8v7wukWqdQq0UcKtnFdmmMrSHwN53f3rd2HFnFI4HBxSKQUNzTEkUwpEgcOC6cX4yysHTioeSaS0XdxO6y8ab5tUn19RhNq1u5DrFXHTxMHI9Tgwc/xgOBwskkkF4WgSPMeia44Lx4+HO1R88F2b3rfa0Qva+XvSeNM3ou3o3slUk7+3D4qirWdZUk2a70QS5FhjFIqiwus26qLaMW7oGxXn9vDi3jmlaGiKQ1ZUSmkYaokjO8tBG4D+3j5MGNXXoNOpb8hJspLWY2eRk+VArpfBKqIrzjDYsv1TBAp6YNuuw9R/6s+RIBhZbwSBxeFjEfxxS5tWsaKCNnMAIBpP0cmuTPrFjmrtgdtkhqG5rsoxqG+MYXnNSBxtaKVaTEuqAgCjwud1Yupl/SAKHHiOAQMGLAv0ym+jANVPzxFK2C3bD9L9N9/nRlMkYbmHC7wZ6Du/ogg79nxpCTJmGOBIfcSSxeu+ddrvY1iganwBBL4NlKM/B6ShvaQqgN8tvBRfHGvFM6981LaGumaBAYMn0oVuO5BePCnR/8+vKEI4msTS6pGIxJKGKdmDR1pQO68cN03Spnf1zAOZeVqndVqndUz7tuuNdswX1ZMKaT1VkhTcv07ro+h9FZE1I3vo4boIXnhdAz8TsD3xtwTkUz2pECzDWNbg7p1Tihe3H6Q1P1L38nkdiCaM/tTtEGz964LpxeB5a+kpjmOggjEASDWAXQOWVo+EwFvToROg1ap5ZVgwvRihcBx5uS6AAThVux5W0QEB6hL9YpLDerMEyNLpy99Oqobt9/t/DGAlNA3AqO4pFcAJm4DBYLARwKu6h94CMBfAUADxYDD4Rvrx30Ob+LvxBM99IyM3hwTRcjE0NMfo1F5dKIYv6iKG1x1viiPHI1gW3QifvqJqmyzhiFVVFRzHmAKNJVUBbNhqlFYkjUGSmDWFE/C6RTQ2x9El24GvGiLYuPWApXD1/et3YeXcMjzzykcAgBnjBmLxOmPx9i8va5z3VgtWFFhMHzsAd2eMwq7dvN+Q6M6cUABVUeFyaIjsZErC8aY4Xnj9E9w0cTAcAofl6YCIJIxEzD7zBp47dQh4ThM/rp5UCFlRAdZOf6Pc9ga+q0rTE+zY4bY2yWaJZpPtqT3tUP9uB4dwawpL175pWJM8y2LIBd0w5ZJ+tOm26dWPbDX4eJax1BAEYCjskr85ljFNHt1+7VBNV8vCGAYmXv67ZgYgy9YFYUm2n2iwe48sK5ZTiIoCazrVrxFMd+TmyDe1U+EwlxXVEp1JkJd1jTEqLP/uB0dRdmFPdMl2IKWj8gkeCuGuR3bgkTsvo5p/+u8ldCv5PhfKLjobKlRNryFNQUDQxoqiomuOEw06LVW9v83r4qIFMpbRKHsluW0C1w7Z2hpPggWDfF8WookUemR78NCfdiPXKyKRknG8SdP7zPSpWrO+FNOu8Bv2mlunFeHVdw/TSUJ9g1AQNLpqp8hDUVW4HBxunFgAWQEYMFj1hFlnRj/tftcZgHYmZud/2bTvsm2uyGq70yT5PhfVqllSFTBRxGbSZd45Y1ga4KNAVVUs/O1207F63QL8vX1gwWLNc3upj/Z5nZg7dQh6dvOgLhTFlu0HMf0/BkDkzVPPS6oCYBjA6eBw1++MGn4btn5oappcXtILUy7pB4FnsOauy6GoKn76iLFI97cdB02xkL54Tc7LscZWA7WR/lxZnWOnQ5vk7eZzgWNgSnqIFl2o9eQnjjuicSyDu24MoLE5TgucXXK0JsW3cQasfDvRO7Va4927uKGirbHNsgDHsEhKskE3qjaN0Jw7dQjOzvMgJanY9KoW+zIMg4mjzZPb9c3mPaY5kqDND7smCKGNIxO6AChl2Z6P67Ft12HD6788HjZMzzyw/h08cMsoA+iEFOi27/kK980rByPLaVo1FZJNPEfyAgI01D8nKwqYdO5BKNpCUTOY7kxa66fL9EUVRdEYZUgDELDeF++cMQyyrBoavwsrh6F6UiE4nkHtzeUAzBPKi6sCYDkG/zmmPyRJRVPYuqidSilgoOKemlIwLAAV+OWfdht8Zl0ohlA4gejxFLb/6wiuKjvPgKReVFmClKTQKVtftgPLa0qxdnMboGpJVQBJSUYso6Di7+1Dxdj+8Hkd6JbjwmN3XY7GdJOxavwgSLKCUDgOr9uBY42tyPaIWP1XDex518wAfF7nd3X5OqzpfauhQXKWF4eOhvHyrkOYeHFf036rpx0WeI5eVztK8BVzSgHAkOfd/6Nyy3WpKECXbCeONrTiLy8fMDFuOB0cbrnmInTNcSEcTdD1QiQsvtRNsi6pCmB0UU/IsqoBkTmGTtza0dvPnToE3XJdiERTBtpZ/ZTigunFeP9gCIGCHvS9YNqoxrKzHFi/ZT8FZhEaSDKRKwocJFVBU0vCcOy5Hge8boEWXTt6AxCwz93qm2NY+Ns3kO/TZG6SUcVAOUvo0rxZAliWwcwJBfjln96l04DvvH8Uo4t74WiGrAyZnps7dQjNywkLAAHnkNeTGlyORwTLMHj/03oKJgYYyKqCwgvyKD16jkdEjseBv7x8AFcM74ONWw/gtunGQQDymbKiQJWBxpa4rRYv2a9Xrd2Je+eUwuMScNv0IoRaEsj1iognJEQTEmZNLsTkiy+AoqqWE6iKqqJ2XpmBPjeRlLH4d9tN3ykrChxpCmk9QOpMmErttE7rNM2+zXqjnc/3ZTtQPakQgEqn8Mi+TCi/CXtVj65ZABicf3Y2gD40HyIyYb27ewAw+NuOgwgU9KC6w8T/ksZYLCEhUNADr7572FT3unPGMCyuLEFTJAGnyEPgNQmpB9a/Y9iHcjwCNvxPEFMu6YcH54+GU+RpX+PlXZ8jJZmHbJ7eprEPLl/zZrs01SQmEgTW8L3t5WKkVqTXL873aXTOp9NOdpDlJwDKgsHgO9/0C9MTfnMBPA+gN4DPyXPBYLDe7/ezfr+/S3vPpZuKJ2Vdu3osH1cUFUfqI6aC1+3XDsUTL+zH7KsLaTCo35R9Xic8LgE+rxPNkTA2bn3fyL/dEscjz+zBgunFuLykF+WX1yd3984pRVOaImX/p/W4aeJgXH1pP4MAJelmkwYXyzKG4OnWaUVwiJzlTdjYEscNV2mahJkIfcItHomlbHh1FVNh5r51O6kIcV0ohudf+8SywEyO/fHn92HW5EI6pePLdsDtEOAQWUPDkBRynCIPp8jhhqsG0t9tJ/4MAD+9cThW/OHtthtpZgDdcpzwuh20ENtRzGr9NjTHLCcwrbiXSXHUsmjNMBotj0Uh7hfzR2PyJX1RF2qlRcLJl/SFQ2QNDjWelJDrcQAM8O4HRy0n9aw+h+cYeLMEA8rSmyWAYRnEE7KBqnN+RREUFXA5eCybNRIsAygqwHOM7XQtxzHI83ktz2ljS9zyPTzPmiiX5lcUweXkKHKRHOtZXbPQLdf9na63vDzr3/N9Njv/qzfyu0Jh6+vidPCmYlEoHLdEZ65aq+mApLIVjCnpg9ffO4xRRedQX/Xbn1xiQs4LAmuppdktx4X7f1QOSVagKGZ9CYI25jgGjS1xKApME6P/eOcwxpWdb1jPS2eNgEPgcO/sUhyp1wAdL7z+CZbXlCIaTyHX44ACFV8cC1Ok7PyKIkp3cNv0YhxtiODvb36GmRMGWzYmAQYpSTEUzElxRIFi8t+LqwL4+5ufwesWUTHWD1lW09S8CeR3cVn64rPzPPj5raMQiSYhihyys10Ix5JISQoEXkNe/7v741Pxv1pxymXan35643DqKxRFtXze6TBq4OZ4RMtzrmcYeGD9O6i9uRzHm6Lo0S3LNgCtGNufTk8RVFmOR4TXLYLlGKr/NPWyfli7eT/Gl5+P5TUjIfAsGppj2PzGpyi7sCd6dPNgec1IxBPa/r1hq9ZI1jdNLi/phavKzjOANRZVlmDIBd2wbddhmgyQYyD6y8mUDKeDQ256Aoyg+FJSG90d+SyHw4zmXlRZghff+BRD+uWha44L3X32vlkDdGy33PPyumTZroWO5H+bI3G4HDy6d8lK76cO8DwDnmOQ8y38Tqs9126Ne9wijjVGqc8kkykEAar3v8FDIcSSEpaveRu188rw0IbduHPGMHAMg1A4hlyvwxBj+LIdWLf5fcN3khibgDFeeP0T0/09v6IID/1pN51aSMkKdvzrS9oI17+eIFebW5MmerpYQkJLa9ISdCKrKvK7evD50Ras+MPbWFptTV1G7jcyGaB/LnOv/Lpr/ftiJxM/AN/uvakoKppbE0hJmp50F5eIw3VhxBKSCVhXF4qhZ56H6k3G4hI2v/EpjQkkWYEkKQADtESSFFwzvKC71mRhGLAM8OIbn2LTPz+lwEm7AsOxxtY22s/KYXA7BFr01b+uOZKEL9uBqZf1pwwwpJCSkhTk5WqU3/es0eVVVQFcd+VANDTFkO0VEY/L4DkGtTeX4VhDDC4HBxUwglpnBsBxLH6TQdf01398hLILe8LtEGguvfKJnfj5raP/Lf3qydq3tX71a5KHEXhEGiTLa0opSGHF429T7cc8nxtf1Udo/LqkKoDNb7T5PDtK8HBUm3C765HtdP14XKIhRuY5Nq33E8PC326nDQxRZNNsQNrnPfik1qi+vKQXxpWfjwefNO7lW7YfpN9LGpD6aT/SzLM71u5dssBzDO7d8LZl/WPV2p14aMNu3HFdMTwuB2rnlUFVgURSMsTqd1w3FBzHmAp3D9wyChzHoKGpTT+TxNFdsp3gefaUruf32U5mDdvlboTlqi4UM+zp5LH71u3EslkjoaRroJte/Qh1oRiqJxXSa7Vx64eYOLqvKW9bML0YgsAa4sMlVQEwLIOXd31O9/JMcPKSqgAisQQURdOmOq9nDuoao3SK/w/P70euV8SsyRogvXLcIERibTGu1aTs/IoiZHushwoICLouFAPLMkikJDSFgURKggoRv//rXm2fTscv5DuqJxWiV74HKoB1L+436UxKsoIu2S7L7yRxQG6uil/MH92hcrKvYx15j/murCOdw3+n33IqNbTv0ux8vscl4i8vH0CXnP5wOwT86vaLIXAckpIMt5PHkpklUBTG4MuXVAWQ38WFGeMGGuKIhpY4ZV376e93oHpSIYYXdDf59IUzSnB2nhtXX9oP4WjSUPf687YDmHaF37CvL64KYMWcMjS3Jqhe9k2TCk2DJqSvMe0KvyVz5JiSPlQqzQrMrwdaqVBpHAGcOBezqxWd7rrzyTYBkwD2nKbv/A2ACIDfAphymj7T1hoaIlAU87SOyrFYuvpNg9hvPCmha64TP75+KFrjKcOiWVg5DPf9qFxL3DI4cEkxQt/1teLZrwu10WQtX/M2DSb0we3CGSV443+/oI3AW6cVwSFwtOAFaHz9KUlGns9lKcTdHElizXN7sWJOqWWAfFY3N2JxyaSJ5nXz4DnGsqis5yMfU9LHRAHy66few9LqkZQWo2bKEFNDRR+Uvbzrc9r0iyclZGc5sFE3BmyX9AJAjpM3jTgnYyk0xIwTZ1/Hvm+bg9X6VTnWkuZq3tQLLafrHCJrSXUhCiziSXtK3OZI0tSM87hEpGTF8PiPrx8KgWMxutjoQBdXBeAUWRxtsP6cTf/4WDdp6MCmf3yEirED6Johx/LwRo2m5XhTzNSg693drDm5uCoAh8CisbEVEmAahec41qSZc1dVABzDWH73fTeXI5k0NibvqgqgqSn6naHp8/K8OH48fFKv+z6Znf8llpfnpddJUVQTpeeSqgDUlGz67RzHpqeYzGuXTFmQTZnQuO3c/xWSKQVPvRTUiiG5bnzVEEE0IZmaYosqSyAILLrmOKGqoIUP8h2koZbrdSKa0PxOjseBmimF6UaNguwsB665vL9Bm8LndaIpnDCs48WVAUQTKazdvA8VYwcY6MXI/kI0dpbNGgmGAXI9DkwY1Rd3P2pNg0SmUDL3KKIbSAoX5PfUrt2JW665CLleB441Rk3HR6igiOX7XAiF4/C4HJAkBUcbWjX9z/8yToqd6sTJv8P6tfO/NZML0dAQsdyfGhoi9P3653mWRTwlYcFDr9HpvLO6agFhewUFQFtL4dYkfvP0/1La8swJviyXtm/rj5Xs648uGoPWWJIWV0SBNUwKVoztj3O6e3FV2Xm2gB+PW8Ajf9lDC7tTLulninnuW7cLS6tH0kkp0uSEyuDu1W331eUlvSyb04sqS+By8KhviuGpl4KYPnYAsty8AXCy6dWPsG3XYVxVdj4EnkF9U9SW+kRirGk74gkJx+PWPraj+V/eKSASTZl8nlPkT+p3nqqxIm9an3q9U2L5PhfcDgGS1DatbzftQWi2XGmNbhJ7y7KChKzi+dc+QcUVfuPv5llUjB1g0mwSBJYK1Wv6qm6smlcGRVHx5fGIgeJx1dqduOO6YlxZeh4A7d4SeAa188oBRkW4NWVLT3e0odUwja7/3QwD1DdFadKn15vIjKHvSh9zZgE0c6881bX+77J+9Xay9+bXMSvdkxVzSrHiD28bchT9tAkAZLl4/PUfH2HW5EKTTvf8iiLU6fZYQKPYPHikBctmjaR0QwDodIlVgUE/Oe3zOhFPyHjjvS8NOnx6UOmMqwqo/rxdIZtMWJECxdypQyAKHGJxCetffB9N4bZJKHL/GYoaT+zEnTcMM+w3z7/2CarGDzbIVpB7ImkR230TOxPWb+aaHF7QHYurAti41cju8Mb/fkEnpMk1/dmjb+Kh20YjJamonjwYHpeIRErCpn9+ivcPhtKgXaelf2pojkMQWFRPKkRerhOKCixf8yad2tI3wxZML4a/tw/BQyE8tGE35k4dgjt+9Tr1U7le7T4JFPQw1DZIvFA9qdAQL8iyalibZOJWz6ihP9amcAJdc52Ge5Osx7xcJ/y9fZgxbiAcAm/IWTPvgQeffBe3VRSbCncr5pSi/rg1DXrtvDIwXzMv/L6tX+Dk1jDHsaZpZj3FKwDbSblwNEmnBW+dVoSmsMaC5fM6cW7PbKrDro+POZbBg0/uxqDzfHSfZlkGL76hreMZ4wbi+dc0n5cZj65aqzUemyMJuJ08msIJQ25Pmot60OePrx9Kp0es4pGHN76H2yqKsXBGCS0KZ56D4QXdEW411kIWVZZg7n8WatT0uonwtkb+SDy84T3ccNVAU8yS5Raw5Y1PTfvCT28cbooDGACSJKMh/s1rZO3Z93H9AvjW4oNvy75v5zGZkiEK3Em/Pp6QEG6JnfiF/w/W3n77fTvvwMnV0P4/1reVz184owRrN+/DxNF9kUjK+PO2A+magvE1T2/70OSTf3LDMAAwgmoqS3D9lQOgAphfUQSeY3HTxMH48njEsE8/vS2YlpbQg4+1KXNFMVOWkz6MwHM0RuYYxjbPJDWM9oCshIXhlmsuQr7PjSM6oNWPrx8Knjv1usOJaklWdqpr+GSbgD8D8KDf7787GAyGTvhqG/P7/b8A0A/AhGAwqPj9/kMA+uie7wZACQaDje0993W/X29klJUsQGKPLLwMRxtaTYilp186gJrJQ2w5cImwr57Xvj2OWMC6uHH/+l2onVeOcCyJMSV98O4HR/EfI8+jr7FL3vRC3H/c8gHqQjFb2iy3Q8CKx40IuV/+6V2snFtGi92ZxQt98dEOwR1NpAyJ8POvfWJ5rvT/3lNTCkVVwHPMCcWfb51WBDAqZOnMplTkAVSOG4RjjRozr8AzqBw3CLKqgucZA/Kd5xna+NAn5k+9FMTsKUPAMdZTgpKsWDbEVs0rxy/+26in94v/1vifM5O52jR9q93nhKNJfHk8Qo8pHE1CsaOEVFXbz3E6OKycW2bQNJRkBU3tCJXnWnBl22mESrJKG4bksZU2WnWddmqmKKqloHzNlEJANdP5cRwLCUBCUSHw1v4t1+uAz+vAzddcCDKBKvAMfnh5f6qrQ7RNKsb2R5ZTwOL/MvKM37duF1bNK8fhY2FKDa23ulAMZ+d58fS2IMLRJCquGGDgCtd0fz4wUSVOvayfaR3XrtuJe2aXYsZVBdi28zODttQLr3+CqZf1wzOvfES1/6onFeKcfA9qLYAYpGin1wTS71H1TTHwnDXnedccbbIgc++rXacVPfRJ6J0zhiGVUoxN/8qAqYjYEe8RO/9LaHYI5SRYJk1tzRg05/QUHSpUqiNSF4oZpjoyA+xMuszKcYPo83WhGNa/qGmM9Mzz4MjxCDa/8SmuucKPcGvSQIlFGgmCyOJIfQIet4hls0YCKkMbgCTGsCr06vfvVfPKkOsV0TXHRZH+VmuL0wHj830uZGc5EI4lDa8NFPSwDNZXzi3DL/77XdqEOXikBavmlWH5GqOmMQEJ1TfH8edtBzB97ADLJrQ9JeuZo5WSSimmc33fOi32/Dbu12RKNk1J/+WVAyZ0v0YhriDH09YgtJv2yPGIaZ1KxhB753icuPvRHbjlmosMPhJI06ncXGZgFOA44J33Nd1rjmWgKEBKVnC0vhU9umYZKD0BreEi8Lyh0beoMoCUJMHndVrmCHOnDkGOx4lH/6phKTMnB2dOGAyWZaAqwE9uGIZQSwLRhETBBoRNIyXLqJk8RNP9A06o99G51r+ZWemehNLUnPrJ0Uxk8uKqACquGIDDx8KWEgj3zLYGaGaCMMnUp57mMccjoku20+ATp17WD8+/9olJH42ASieO7otkSkJzJIl8n8u2kE2mpMhjPfM8aGlNoq4xiomj+6I1JtH4xe6+9LhF/PnlAwYgUyIlWd4T7LekQdqRLXNNvr3/GHp2y8L0/xiAVU/spACe8ovOBs+xpjj5eFOcTgj+/I/v0GY2oZ3y9/aZABsLphfj5V2HMOWSCyDwDFxOgQLQyNSW/vo+tGE3XUv6mgeJC0k8abeG9KDjfJ8LRxtbccNVAymYrS6kTfs5BDN9+fyKInTv6oKiwHJq4Gc3DUfV+EGIJyXLnDLzHvBlO0zHF46m4Mt2WOeqFvIFHd3M+lMsVj+7x8CSRbTuiO4fAd5L6T1LH1sqqooZ4wZCkhR67fTx8cq5ZbjzhqEIR1Mmhpb3D4aw/kUNzMPb1ODC0SQW/247llYPp9TI5DnStM6scayYU4raeeWQbDSpGUbTWl42a6Q2CaqqePz5No35myYONgBCScy1Yk5petr0XQw6z0dBHAAg8BwFJ+mHFVrjWs5MJB7IvtC9ixsMwyCRlDr1fzvttJkocJhwx3Mn/foXHpyEf6+2a6edqhl8vqrllA6Rxdv7j2HmhMG4+9EdtE6g93n3r99FmQWJ1YVi8Hkd1JcDWp4VTUgU5Dxj3ECTnvqr7x5GoKAHep/lpfEI+bz71u2koBErf020+pZWj8T6LftRNb7ANhapC1mDMjOBrMFDIfzm6f/F/ApNCuv264pR1xjF48/tx4Jri085F/su5KNsYxW/358CQI6MAcABmOf3+2XdY2owGBRP5ov8fv8qaDp/44LBYCL98LsAXH6/vzyt/TcHwJ9P4rlvbBzLWFLAtbQm0KObh3JwK4oKp4OHxyXaig2f28OLuVOHmAR47RC/3bu6sXrxGKiwLphJsgKPS4Cco+KqsvPxRV2Yfo5d8nbv7FJ8fjRsmEoEo1o20hSbIEaPvtYnarleJzamdQvbQ3B7XCJ+/sd3DN/VFE7Sc6K/oci/LMvgf3Z8jrEjzrXsqhNtA1lWsX7LfsyeMuSbXvoOa6oKw7gxgDRyuQxet4iz8zzgWCA7ywGvW4SkqBB56ylBRbFem7ZNOjudvbR2W+YkCssCFVcMoDR1pInQHqWp3fEsffRN0+tr55Vjw9YPDd+7YeuHqJlcCAYAyzFpYnwVAAOW0xyc1XezrPW5OJ3JHmlufRsCvt9na25N2Gh/amha/aaXiYC+/0dllgWAXz6p0bYtqizB39/8jBajlteMNFzH4KEQlq95G79feJmNP9S+3diHhgsAACAASURBVI5ylmW1xoXLwdF1TN5L0MyZ+lJ2RY9QSxwuJ4+hA88y+essJ4+Ksf3p737mlY+w4Npiy885O89DqZb0j+d4REp1XTG2v83vYWzRugBj0MBVVVAhZXJP16Zpo/UFlDOxIGI1PWI3FWnFq+/zOsGApf4rxyMi1+OAKLK4cWIBmiNJfHCwHl1ynJbr+ee3joLAc9jzcT2mXNKPIpOBtn195dwy1DXEaIF6eEF3VE8qpEU9sgbbK9KR6zvtCj9++nsteP/dnZdZri0CZCTnQhRYAJyBycDuu2RZMa3n1ljKEnm+5rm9mHJJP0wc3de2Cc0DpveeaVopsk0M+HXv1xPtXxzLWurqXjG8t2GPfveDoxhVdA6eeukDGrvaafR5XCJ+/dR7uP26YkPsraraPdU1x5rGOJlS4BA41DfH0BxJ4tye2Xjsuf3Ac/vh7+3DHdcNxfGmmC0NY8XY/iZtCJJwZmdZx0dndc1CY0scoXAcdaEYjW3zu7gAFXjihX2mYvUd1w3FDy/vb6Ki46HSc3uiBLFzrX8zs/LPpJEWPBSiBdhMpoCmcJsshF3calUQD4XjWPy77YYiB4nPyXTIrdOKcLSh1UD76XULBhAl+Z771++iuoOSpOKDg19geU0pGNjrTRLL97lwRKfNtrgyAFFok6Cwuy+/qo9gTEkfKh9BWGIyv6tnNw8cgqbzqbczNRY+WbNakwPP60YbgJkg4duvHWrQwHl51+dYVFkClmU0zepcF+6ZXYqv0tT0uV4R+T43BUWA0WjMp/+HH8dD5nV9okYemdLWP9fcmsRtFcXI81nTGRKq40wwMokv830ufFGnAUgzwSXrX/wAC6YX4S+vaJO4h4+FDXFqfdq3292bmfeAwLP4+a2jEGpJUNkUp8jjaEOr5bGfqQALfcGSg4rpGRP33bu4sWzWCIQymFD0U6MkV0mmZPzm6f/FT24YZhOnKJBVxpTjE9DkqrU7tdxu0RjLa0RoSu3yHSu9XUCrFoRbk7Zr1ud1IiXLWPfcfnjdIqrGt0n92NVOQuEEovEUbXIfqW/F3KkXIpmSEYkl6XQhWfcLZ5Rg9aa91LeSe8Lf20c1EvV7fVeviGRa3qHTn3Zap3Xa6TLi8zmOxU91unjE17UH4ARgZNBQQUHkgBEwbwU0+vVT72F5TSmWrt5hu5e3t08T5phILInJF1+Algy/ToYEcjwOLK0ejqQk449bNKB1j64ecByDV989jMWVAUMd+9ZpRRSQ98t0LW54QXcwgCHOIlTs/9+5WHv5/uDT9SV+v78AwGIABwDs8Pv9AHAwGAxO8fv9NwB41O/3OwF8BuB6AEhPClo+dzrMIbDp8dG2i7ds1ggkUgrufnSHJcXF8ppSy8VU3xSniB3y2PyKImx69SP8+PqhdHKKTFDUNWqTd3aUl1qQH0WXbBdkWcHHh5so5SG5qTIpLjiOMaD9l1QFoCiwpC2bNdn6e5kMntm6kIa2ey94DLMmF+KmiYPBsSx4gcGSmQGqZ0G+b+3mfaabVF8UJjee/t/6phiGDjwLazfvR9X4waauOkEs5nhETBzdF/GkhCyBO6ODGJVjEGpMmCg2z+pmHdA6HJylTpND5CBJ9lOCVmvEvkln3SgReAY1Vw9GSzroJn+zDGNqnNSu24kHbhllSWlqh2jnOQZDLuimoxXVqOHAqPjh5f0Rbm373h9e3h9gAEHkUB9OmihE83Ic1gUzzhowcLqSvVNpGnQ0S0l2xWgADGNIWjIR0HoNvnPyPTjW2GqgbSONOFKMsgsGZAWWBbmGtL7rwsphpo1+cWUAxxqjeHnX56gaX2DZ6Pa6Bazd/L4BiEHQsJnHoKqAxyVQn0rOA5mWdjp4g188Hopafk5KUi01gbxuEW4njzuuKwbHs6bfs6gygOZI3Pb4QuE4RIGjurhzrh5i8D+kUJNZQOmIBRE7/+vOywJk6+kRu4aUlV/TNxkIWi7f56I04hqwoxRfHo9YX6uWBHbu/wpLq0dC4BkDPz45HhWgPtbf24cJo/pSsJE+cLcr9JLHZdlItbH9X19a0jN73DxWLx6D+qY4JEWhk7fEv8uKgi42NGQsy2BJVcDge481asXMVfPKKP0vaQQdPNJCqdCtmlpm1PqZVxjh2tHShdTOG20+60T7l8gBS2eNQF1jlLIUdO/iBgCKxCfr+vHn92FMSR9kOTXKV0VVsGzWCBzTvdebpTUAQ+E4GGj6FJeX9MLVl/YDx7JYWj0cnE2swjAMVKiQZAVrntuLe2a3xfXBQyEoOtYBPSMFmbDpmWdNQ91ewqmq2l5FQCsktr1ndqktYvbBJ9/FnTcMw4o5pWDAgGXNk/Enss61/s3Myj+/vOtzLJs1Ak1hTWdPsohhSHHZzn+yDIO7q0egOWJfEP/1U+9h2ayRcIgaBWPv7h4cOhahE6+ZcYUdQ4skKfjZoztwRaA3Rhf3wtLVO2xzT33zRT95TuLz5TUjaSzsy3ZgeU0p1m7eZ6Ivrxo/yHAMVvqVPM8iGpfBcRx4jtGCd+CMjYVP1kRB828EkPXMKx/Ra2/lR375p3dxyzUX0Ty6W46mgx1PSprujG5y9O7qEWAAfFEXpr422yNi9V/3oWJsfxrz6Nf1iWKETAYDEqOsWrsTUy4+35RzLa4KIDvts8LRlAHg4XUL9DXvvH8UF/TKtQSXfNXQih+UnotwNGWKU7Ocml5atg2YOfMeaGhu0ze8/dqhyM4SkJRkbNx6wASy/j4U9b4PZrvvgMHDG98yrE/91CjJVQjoN9SSsMn7WaiACThz67Qi5Hdxw9/bh1A4jobmmAnkrGcNsFu7qgpDjS2elKgcybJZIyxZOnxeB1oTSbBgDFMuFWP9yPU4wNsw1zRHNPpTMpWy6dU21pe6kAbQ0+vFb9j6oQlYr31Pf8u8I1NPs9OfdlqnddrpNAI2/Ofuw1hcFUBTOGGIATJ9ns/rsJzSJ7FCJig4s5lIfDMDlU6O28Ugz7zykSVt6d92HES+z4VcjwMMA1pnWLV2J+3/GORVZgZw54yhkGUVx5tieP61T/CDkeciyy1geU0pIrEkfF7ts2ZOGIwnXthHG4AVYwfgZ48afXCu1wFZUSCpMDBFfddm2wQMBoPB0/UlwWBwP2DNMhQMBncAKDzV576JcRyLaEI2bZh64WKrzvPazftMnN+LKgOU4kcv/t4zLwsVY/1gGKB2Xjnqm2NIpmR4nCLuTmsjWFFeLqkKwOngsPvDYwgU9EA4mkLZhWdj3Yv7KV+/1c2zpCqQRvW5wbJALCFR/ZNaU1PDmkKjOWIuHofCcfTpkWOiXHj3g6O4Z3YpVFWj5WMYGAIfcs5Ix5+8j+gcvvD6J1hSFUBrPKWbOhDxs5uGo74pZij2/HnbAcy4qoAWfToixdypmCRbU2PWziu3dISSZEP9dXM5FEXF2/uPma7dzT808z2TCQ6rtSPYPM5xLFIp1aQ/aTcFm5QUS0pThgHurh6B46G2QmCezw1RYK0bnAKHRIaO3/yKInAsi6Ss2lKXWiUuLGC6jxZXBSByDFKnIds7laZBRzM7Sk+9ph1JWiTGqG0myQpC4TieeeUj3Da9yETbpk+QAGDj1gOW00ObXv0Id84YhkRSNhXkfF4n7l/3Du6/pRx33jAMXreII/UR/P6ve5DrFXHTxMEQBNYUMMyvKIKiqnSimWiziAJnanLPryhCjzw3kknrhijLAjzPGRqVOV5zw5r8Fqvp74c3vocbJxbgD8/vp3oZpCjk8zogCiySkgCPWzbdx3fNDMDlFMAywD01peB5xkDbQJqVc6cOMRRQOmpBxM7/rppXBhbWSH27hpTVpE4PG61Lp8jT4JeIZxOEP2lQ9OjmQVKSTT4xU7uYYxl6L2VnObB+y340hZO4dVqRoRFsR8v9wuufYOGMEiSSkuFY+/TIwcYMdPbGrR/iByPPBQCkJBVrNu6lzcepl/UDw2gIwD+/fMC09m6/diht1uj9+5btB/H2/mO4aeJgLPztG6ZzpaL9JvR3QbPxfTaHwNpq6WZO5pzITmb/UmQVkmTUEr5rZgBdPKJhvwVjLuzdOWMYeJY16fbkekXcNKkAKlQsmVkCkedwrLGVovhFgaW+Vn9/8ByLpJRCtkejwWVZxqBFq2awF0iygoWVw8AxLKVjPpWE89ZpReljKYYosqidV466UJRSoJN9yuqez85ywMGmm3YyTJPxJzMxdaav9W9iVv65ctwgpCSF6oEtrR5uWg/Eh1r5z0WVJXhi8z6MLz/fpM2bSaMYjibBcw4KhiR+0N/bB4ZBmoKZQVKSIKZjhMx1eaRe008pv+gcqstndVyLqwLIcgq4/0flyPE48NCfzIwCDgdnmVNed+VAHK2PWspH5PtcyPGIdOpbY2kIYPWmNu1jUkR3O/kzJhYm929dKAqcJHUfx7FoCps11gmAxs6P8ByLVWvfBKBRCLMskJPlNOWFrbEkJFk1ff6McQPxf+x9d2AUZfr/Z2a2JrtJNqRQQydAiiaQDSmA0k7p5U6CShI0VEXkvJPinRQRRO/Os3xPRVSKJ+V+WBA4D8GOCKGoEGBBQKokkGyS3c22Kb8/JvNmJjND8cRTyPOPuNkyO/vOM8/7PJ9Cy/xF5etnw0fHNP2IGYbCwkm58AVDCoC0fAjzzqcn0LdHGyJ57LCb8eq7B9A/q61Chlx6bbzDKvoL7/4eQ/I7QBDU6Hrp/eVDS+n7Pb9uPxZNzUPhkG5YtaVM3X+Z4EQwxGLJtDwEQiwsZgbL3j5IXv+3t/Zi6YP5MDC8pkxjjN0ELtSUYQHt+46eR618uOsPhYnalJ4X6jOr92JGQYZq4C0BJwqHdIPZxOBf245i4si0ekAcDUEQUO0NoHhod3jqwthd9oPmfizabsKk0alYurKBhS/tB+e/+hUeuScT0++6lQzUaZrCWx8cwbbSM5hb7CR7tdt6tCF7Ja2Bt1RL989qiwq3yEpp7CNf7QnhQqUXibGRECDgTHmDJ5S80a4neyfJV0v/f6Pm06Zoipspfkz9cD0jKtKEO3Pbw+0RvYMln2KtGYfZzKBoSIrC/1rqo0hgZ3kfQj5M1LJEmzkuU6F2IK8z3J4AQAlYODkXNV7RK3v9NheG9+mIkbd1RF0gTGwjJMAFQ0Ml3bz4jQYfQckCILFZBAQB+PsaUX1s/sQchFgOHM9jwrBUFA9JgcnEqOyGJL/txj3O/8Xvd1XKP8nJya+iQRpUHkEAZwG853K5jvyUB3Y9gwVQF2RVzA25NIBWMb2rrBwFA5MViDqaAZH4kdBo2SmJCpbh0gfz8frGMowf3A01voYbslzysl0LO85d9OKlDd8SKbswy2F76SncPzyVDGqSkxx4eFwm2cwBDYvq0fE9URdQoij/XJKtWPxrth7B7wZ0QYTFqBi0RFqN4PgGk23pIuJ5QbVRlRh+j7/yJaaOSUebRDuCIW2DbnuECc/O7At7hBH+IIvCwSkIcxwmDBOb53IftjPlXngbIfd+f7coifT8uv1kQ3ozSszJQxB0pDoFQVPa87JNaR20PMvymnKak0enw2JmFGvHYmYQZtWeP6s2H8ajhT1JgS197t/eEj0EtT6XoSn8a9tR9M9qC4tJbBr/a9tRTB1zi+basFmNut5GeoNSfSk0HpQgqDcuoHWGhj9NIX0tQ4MbLaIj1cOsxgj0xSt24+np+fB4lN5mjxb2xJxiJ4Ih7rKUfynEYgCYV5IDrz+kYA/165l0WV+TMCs2huf84wtSiEj+O9PvulWVIyWjeOlzo20WMDSF8qo6vPF+meo6eXhchu534HlR4q6xlI5UsITCLKxmA2lie+pCWDgpFx5/CO7aICmEarwhhcSCnGUmNRjnlfRCYmwEnpiSC44TUO0JwhZhxJz/awCBLGwkqyp95xZxNlTW+LFsTv8bmnGil3+F+grpWny4GiOmDTQNUNqyxLwgaBa/s4p6ggKNp1buRnqnOBQMSsbc19RDWuk3njkuE8EQq/IJXL3lMFZvOYzCId2IV5vrtBvvf34ci6bkisAihkGY41A8NBUbPjoKZ0oLxbE6osyaoJLf9u8CluVhMUG3kH9obAY+3nMGU8ekIzE2EmcrvOB4nngmSt9FYvh++90l0DrnmgJu2CH0TxHBEI/P9p3BvJIcwqDftvt7DM3veM33tKu5f7EAnpSxnB12C6pqA7CaDWBoCmaaAsfxEBhG1djz+EKqZq6Emq/2BvDnl3di8bQ8BYgvwWHFH+7tgdhosyh9R1Eq1Q+O4/HEGw2D8lmFWSgYmKwJ6lg4Kfey4D35htNgoFTndYCzHRYs34mnp+eDFwTEO6yIi7FCqEew6jLGaO0cejOrB/ycocVooUBh/qsNm/q1W9Xghfj6+vRvb+1tkBCKs8HAUHj13QPYVVau8gsG1DKKNd4Q3v74WL3HsEvhQSj/PFHZw6ACqc4pcuLlt7/FmH6dUauz90xqbgfPCwAEBMMcZr34BeYWOzUVBQQeqlp48YrdeLggkzB5pGOVXiM17SX5owirEa+9d4DcI+TNnzaJ9puiFv6x1y8LqPzJn1u7H3+d0Qezi7JQ49VmTkl1sFRHxEVFoKo2oDrXkp9q4/dfODkXpy802JIowW12mIw0npyah6raAOkzFAzqiqhIBjU+XhyYxIgMq2CIJT7Xbk8AEWYDtpeewrDeHVFZE8CusnICSNIa/rg9ATxa2BOeurBiGD27yAl/MEyYBHpSjzQFcu1Ue0KknxMVaYLJSKPOz4KigBZxNs1BeJgVYDU2gGQ3fHRMZIjH2cBz4m/blIO1Q682dkRZMHVMOuoCYfj8LOwRZpJT5fmzqiaAj/acxph+nUHrWIT4AmE8t3Y/Fk0Rfda9/jDW/OcIJo1KQ0VVAM+u2adYUwmxVoXVwarN4n28sS+gfD/I88CfX9lJjn/JtHx8+90lAA0D8jDLkfWbnORAj27N8em+M1gwKRe1PrEfJ+Xy1VsOk3wvfR4AzTpZ2h+7PQHMKswCXQ+ObSxnJx2b9J7yc3Sj5dOmaIqbKX5J9b/WsTw0NgP/2fs9SkakwVsXwrySHNQFw3DXBrHpixO4985uoCntPkrzZpF4aVY/1PqCmDkuE8+u2YcNHx0j9bSWJdqza/Zh+l234uGCTMRGW/DDJS/Zj82d4ITHF8LiN5T+8JJi0MLlu8jju8rKcfJ8LeZP1O5xST6CkuLCwkm5OF3uIeCjlZvLMLxPRxgYClOe2o4EhxVP6Ph/S2BVh90i1kEWw//Ex/Vq7wMcRCnOfwM4A6ANgDsArAeQA2B+cnLyBJfLtfa6HOVPHRTAcQK2l55C/6y2iLaZ8PC4TATDV5a4kEy15xQ7sezdb1HtCak2gMVDUxVDuhpvCAWDupBGnPx9JVmgqWPSsXbrUSI/UOMNokPLaIz7TVeCapeKb68/pLmooiLN4HmeSAqcKfeCoWgyAJQkwU6eryXGldE2MwJVLDieh8nI4JF7esBht+DcRQ+RddHbqEoX7DOr9yLGblINoGaOywTH86j2BBQbR0lrt2W8Dc2iRWbjrrJyjOnXmRRo0udIUiZyr8UbUWLuWkKv8UnTFD7ff1azsafZlKYo0BSlKb/JctoMwfuHC/j3ju9l8ptmvPPJMYwb1FVTloXj9BuEWo00hqFw18AuCvnQuwZ2AccLmsPExdPydQd6ep+rJ13KiB031fnW0/LnedFH8L+Naxka3CghoZgu1fgRYzfhqWn54HgBFA08s3qvauPNcoICIe6wW+DxhdAq3o5qTwA7vjmvWk+Pl/RCrTeEJdPyIAhAQqwVLCfgorsO8Q4r6gJhFA/tjkCIVfmrSZ8rIVQZilKsKXkhYmBozdfG2M0EURxmWZRXsYi2mTWvkwuVPqzdelQzh76x6SDuG5aKypqAYtgoFSwlI9KwvfQUCgenYPTtneGwm8HyvMqf9Wry+YLlXykknAFg6YP5ZCMrMre01+tFdx1eWP+1pp/jjRSXy7/gr86HS87iESC+hhIEgONgNDEqltaMAm1AjjQMWbB8Jxx2C+7MbY/KGnVzr8LtR1KiDSUj0kDTUA3W5NLdj730JbJTErHkgXyEQhwomoLHH0Kdn0VctBV1fhY+fxj9s5LAC4Li/hFpMWqem0iLEeVVPuKTrFXIS8cg+XQC+p4tSc3tIvOSorBgUg65fmLsJkwYmgqKohAVYYI2dq0pOF7AO5+ewDufnlA8fmduh2tuDl3N/Us+KNRqbEkb2JCgvtfqNnNpoFmUyNijKEoF4vjLm3sxdUw6EhyRhBUr/U0+MJQeW7qqFIun5QEANn52XPG3y4H3WE5AMMSiYFAXtEq0wVfHYskKpTIBwCO9UxzcnhDWbj1C2I4OuwUzCkQPCa2mt1GnoazHvnxqWj4Ehr4ppD9/LiR0Y0ZLYzaL67QbqzYfJmDLuGgrNn1xHHm3tiKABk9dEDXeIIAGxZQrySjK2dsTR6ZhwtBUBMIsJo1MJ2AkQAl8W7/NRVhVEWYjIqyiTYW4p1Q2ieV2C5IcnaQmosfA0fP+joux4B+P9gNNU/AFQhjRpxPuH56Gcxc9Con2xSt2Y+HkXFR7QgqJZwmEy/PaAJgbrRb+seofeoCLYJjDug9FdLsE4JH/bh/vOYN5JdloEWcTP5/jEQpzqnMt6O51BBXjz+0JwBFlgdcfgg1GnLvoJf6+yUkOVHsCiLQYEG2z4D87T6JXWkv89Z/KfWa8wwKeByaOTMPcf+wg/RF5jm2TYMOFRlL/WsCQp+o9qaXn6Enbyy0IXKfdpNZd+mA+7BEmxMVY8KeXRclcrUE4TVMIhzg4Iox4eno+qj2hX0Qz9pcYjdnqJobSZMO98f5B3DUgGeu3uTCybyfwHK8CFfsDYazcfIjUDnqMfHeteK+urAng3U+/Q+GQ7hjepyMgUKr+kjgszFOpyADQ9AWU9oMsxyvyFygBT0zOxevvi0DM9z8/jvuHp2ruFw+ddBMfrAlDU/FsPYtEyvdj+nUm30urTn5u7f76gbsfdYEwDAwNq5lBhNVArk8iXR5nQ2VNgEhMS+eIoSkwoJq8V5uiKX6F8XOrh11OdUQ6Frl6SiDEYnjfjqApCiGWx8VqL5k/JDis9b0+7X0jz4Ps15KTHASkkxgbUe/Hp814NhkZhFke/2+7CE6+b3gKYqMsMBgoBEOc5mvk/5U/rtfjkXwEpX4ZTQOtEyPJkLN/Vlts/Ow4JgxLJe9VWRPQJtpw/GX3wj9XLr7a/X4SgCEul+tT6YHk5OQ+AGa7XK7BycnJwwAsBfDrGAIKFNZvc2lKas4pysKSlaWaEhfzJ/aC2WTAoil5qPUFUe0JkQ3g1DHpaB4biTMVXtWQTpKrq3Dry7Bs/uKEajHMKXJiz+ELGJCdpGgKeuu0ET+CIGDK0o/E6fOUHFCgwHECom1mAAImjkrFq++IOrU0RWHB8l1YMi1P4TPEGgT8+8sT6J3Rmsi6XG6jeqnar2jYSxdDrN0Cjz8Es5FBUosohbSS1WJQ0HbFJom+wbjJyJDPbUL3A4DOAI2mkHdrK1S4fYSll3drK4CC5vNBAxzPI8JqEGWxKIAXAJbndf10GIbC7T3bKKTmZhRkwGzSlg/VaxDSFIW9hy+oBpatEjohFOJV0l+APvtR+zi1B30GhoLJQOlIoVHQUnKhdYaGNE39JAvxaoYGN1IwDI3qujBBNCc4RGk4o4FG+cU6zY03f4Um8kNjM/DJ3jMkzzSPi0BFlZ9IdskRlDF2EwqHiJ41FhODaJtZlxEbCLGYUyQCPob36Ui8WBxRFmJirJcjz1Z4sfy9AyJjtZ4JrSVBJJeUFoEYmYi2WSDwAmiGgj3CVM/a1R42JiXa0D+rLcwmGhXuMGxWI458X0n80mxWE1ZtKYPrtPuy+Vx6v/gYC9ngBkKsqliRGtfye6Nc4vlGXbcNoZ1/pYHTlXy4roTiC3NQSGqyHA+LmQHLajfojAaxKV0yIg1LV5VexmsYiLaZ0Cy6Ye3K30cu3T1uUFcAwNSnP1J83oCsNhiS30GRO+dN7IVHx/dEmOVhMNCa58ZspBFlM2H9h6KPjtGgvZalJsvpcvHaWTRF24e5xhsEy/GKNTin2AmjgSKMraaGnH4YDdr5zmigIIQv80KNuKqht6wO0GpsSRtYWsaClUAHel6RFyp9WLB8F7JTEtGuRarmerJFmGAwqBkDeoPFi25xsP7Q2AxS3wPQHaA8MTmXSNokOEQ5uc/2nVE0L9d96CIAjfmv7kTJiDSFVzfL8Rh9eyfExVgxf2IOfIEwvHUhRNtMoGjtc643DAhzvMp74kZc/5o1RLETMT/Dd9Wqad2eAH6ol900McDtPZPwzw8Oo3BwCkIsB4e9YbAgvVbPDgL1zA65fHOY5bFiUxnGDkwGx/OaHsQcL6BwSHfUeEKwWY2isgCsROJ5e+kpFVOwsRydxx8iQCSJgdO8WSTctUHE2E0KQKoUUi08X+Y7NbsoCwwDTYl2A0NpyqebTQwMtHpIcCPWFD9W/UNvP8XzUKgFSWsjwREBjz+IofkdSKOuYFAXtIq3ITE2ArOKemL9h0cJGNpk0paUrawRh34WM4Ppd90Kk5FBdKQZNAO89p7SE/KTvWdwW482qnW9ZusRRc7/21t7iSTWX2f0IdeE9PtLOXbBpBwsWL4LyUkOUpdG28ya509ew8Q7IlSgulmFWbo+c1GRZgSCYfgFYP7EHBgMtOr1MwoyYGAADmJi5jhBUzFn0si0m15uUa/ObWYXJcB5AaApEWDWP6stvvj6LAoGdUW1JwBeUIMl55VkEzC9Xv6UBmni72/FHTnt8M7H3+GugcngNQBGFW6/7jWl5WMaCLH4w709QNMUNn1xglw3HCfgg50nMXZgMgoGJoOiKUUPwh5hVOXs1zeW4ZF7euCRezIBUPD5RaWWwycvkWtArydWFwjDaGAUfsrSsH9GQQasZqMCoFvsQAAAIABJREFUCDCnyIm6YBgbPzuOcYO6wsRQqGwaXjdFU/wq4+dUD7tSv4Kvt0+Q9+QkHzwJrCavNYf36QgAeH3jQcL0kz8nFG6wGJGDdJbN6Y8Fy3cRueXG+dpmNRHFwG2lZwAAz87sC6vZgGbR2ntImqIwryQbO745D2dKC9Lz8gXU5C75vUXqowFAMMgROVHSi2cosn+Nq6+HG9cRAC67F/656gdm/vz5V3zSiy+++A8AD06fPp2XPXYOwP9Nnz59yYsvvngMwFPTp09fct2O9NojBsDDfn+IyHRJwQNwRFnx0oZvyMn3BVjsc1UgIzkBPbom4De92iIU5tCzWyIG57XHkPz2qPaEsOj1XVj74VF8ffQipo5Jx9lyL1yn3fh03znk39ISa/7jQv4trbDn8AX4AmIRUVkTQF56Sxw4fgmnyz04V+HFvXd2w9D89rgjpx1qfSG0irfh//6lPJ79rgoUDUnBYy/tQK03iAd+eyuG9+mA2GgL0jvF49vvLsIXYMmiqguy+GjPGbROsOOWzglY+NpXWL/9GHaXXYAzpTnsVhPy64dErRLscJ2qQmrHOHz+9Tn4AizuzG2PRa/vwt4jF8FxPKaMvgXxDguyU1pgn6uCfNZDYzPwwc6TKBzcHW/++whBGg3J74B4hwVhlseqLYeQGBsJk4lBKMThuXX7sfHzEyg7UYme3RJx9FQ1KmsC5HvOGJuJCKsRu8sazhsgXqjD+3TEsLwOGOhMQqSRua5FSmSkecF1e/NrC931C4rGmq1H8Nt+XTA4tx1SO8bhg50nkdW9Obz+MJ5f9zU2fn4Ch09WISetBSIsRqz5j/r5PbomgqFpXKoWUfDrth1D6aELyEhOQFyMBZldE7Ff9rvPKXYiKtKI1VsOq94ro0sCAmEOaR2b4Y5e7ZCRHA+L2YBIiwHdOzTDweOXFGs1xmZGYrNILHp9F9ZtO4Y9hy/g3ju7w2xksOj1XYrr4MB3lzDAmYSvDvygWhuDstsiK6W56jjtkUakd4rDN8carpE/3CsOY1gWWLm5TPEd3v/8ODK6JEJ9sgEwFFI6xCm+w0NjM2CPNMFAUeBpChwAiqFhoCkIWu9xmRAEARFmA/plJWFofnvNdR4ZaUZdXegy70Ke94tfvwJNY55MC9wXYLHvSAVu7ZKAf34gyrbIz/XcYiesJgN2HhR//8mj0lW5++DxSxjWuyMWr9iNA99dwu092qjW0cHjl3Dvnd2Q1ikOgRCHzTtOon2rGCxdVYp9Ry5i8mj157aIi8SKTaJfWkZyAl5YL15bu8suYPLoNJyr8OLwySrNY24eF4Hbe7RBiOURbTNhwatf4ejpakX+H5rfATXeID7ZdxaTR6eB43gYDQY8IcvdE4alIBjmUOMNwXWqSnUNdGztwPL3DiA7pQXskUa8sO4bbNl5Cr0zWuHRF77A8bPV+N2ALjh4/BJOnq9Vfc+HxmZg7VYXKmsCyE5JRErHODxfn68Pn6xCXnorpHeOI8VKZU0A5yq8mDCsO+65oxsGOtvCHmlEj67NYbcwYNkfn59/DetXL//26NpcZPNBvKYpQQANkeEnzwk8TSmYeFLt0S8rCZQggAXw8tsH8PnX57C99Aw+3nsWp857MDC7rWYO7JPRGqWHLqBvZmts/PwEKqrqVOtxTrET//zgMFZsPoyvDv5A1m5lTYNXz5057TG0dwd0befAik2H0CbRhsMnlett/OBueHbNPjjsFkwelY6+ma1R4w2iebMILHp9Nzq0isEHO0+qzk165wRs/PQ4+vVsg9YJNlhMBuw6qP4uGcnxyE1vibVbXThd7kGFuw5TRqcr8vuMggzYIoz41/ajOHq6mpzD/a4KdG/fDFu+/F7zvP43cSPlXwAwmQ3o0TVRUddJDVbuGq/fq7l/mYw0enQX79PSOpWHL8BiaH57mCgKWSkt4PYE8LsBXfDShm/w9VF1bp5RkIF/fuBCXLQVvxvQBdXeoGqtJjisuKNXO1CAqq7Mv6Wl5vNTO8bhg69OkXvF51+fAwCEwiymjblFcb7mFDuxvfQU9h65SL6DuzaAO3M74IX1Yv50napC4eDucESZQAHo0CoGzeMi0K5FNF7a8A2pibO6NwdDUzh/0QcjQ8Mf5PDup9+hR7dECFDWFzRNARSNrzSun67tHP/V+v+1rF/NGsJVgf5ZbbVruJ8oGIYGxVDI6t5cde20iIuA1UCDZXm0SLAjtWMzMAyFs+U+xNjNWPvhUUVuPl3ugc8fwh/u6YHBee3RqbUDH+89g5bxNry04RucLveQ+7PFRKNzm1g8vXoP0jrF4ZYu8WT9uE5VYfLoNFCUAI4D/vLmHqzfdgyHT1ahV2pL7Dp4Hm2aR+HWLon44uuzKBmRjj4ZrZDSQczNw3p3xNqtLjA0heS2sXj/8+OYMTYTaZ3j0CzKCpOBhsVsAE1R8AXC6NEtUXUtBkMs3vvsBPkt9rsq0K9nkmaOH5DVViENLNVmQ/I7wAABViNz2Vxyufi1rF+K0b5+Bzovf60aaDE/7nNVoHWCHQ/+7haM6NMRRgON3PQW6N8zCR1bx2DDR8fw8d4zGOBMwtlyHzZ+fhxFQ7qjV1pL/H3NPqz98Ch2lV1Ar9QW6Nk9Ec+u2Ycj37uR0jEW2SktFL2FuROciIuxoktSDFZuOox/fXQM23afxu5DF5Dc1oG2LaJJD+Hg8UuYMvoWPL26VHVt/rZfF5JPpceH5nfAh7tOY9RtHVF66AJOl3swpn9nJCc5cEcvsX4IsxzqAmFyP9j4+Qn07Jagmb+H5XfA4Lz2SO8ch1ffOYjjZ6sxqzALd+a0Q7d2sfhozxl0be9Az0ZreHZRFj7ecxrRdjO8fhZRkSb4A2EYDTRSOjTsaSMjjHhuzdfYtOMkslJawGJi0DLerrgWCwd3hz3SCFDUNe8Hf0HrF7jCGr5S6NW5t/dsA4rjQQsCYmMiEGY5CADskWbsPXwBWSnNQVGAs9G+/s7cdoiONGPdtmMAQPYhE0emomBgMrq2c+CtD1xwewKYU+SE1x8CQ9OId0Rg61ffI71TvOY1N8DZBs6UForPmjvBCZORxteuhutgTpG4H7SYGazechjDenfESxu+wXufncCusgu4+zfdsH6bC2md4xFhNqDWF8Kg7Ha4VF2HLm0dmjk7KtKIx176Emmd4vDaxjJ8+e153HNHNzD16y4xNgK7NHpig/PaY0H9uU1OcuDeO7shwmLALZ0TUBcIK1STpHycmZyArO7N0cxuQogTLrsH+bHxS1y/AK6qdv8lRWSkGWu2uq76+Xf/pusv7vm/1HN+ub3cL3H9auXfH1s//Ji4Ur+CYhi0aa6cXxQNSVEMt6Ta4NHxPWE1GyAIAl5++wDOlnvxwO9uwW/7dSb5u1ObGM17+0BnW3x18AecPF+r2W/75weH8U29JLP0mgFZSbhQ6cO/v/weE4alqPoIL234FmcrPBh1WydFz6tvZms0bxaJ23u2IbWDdG+Rzz/WfuhC60S7Ys918PglDMxqiw6txT3e2g+PotoTIHV+Wsc4rN5yBD26JcJkoHX3wjr4zyvGta7hqx0aHwTweHJy8hMulyucnJxsBPCn+scBkSlYfS0f/L8MmqYQbTNpTtLjHREwMBQ2f3EC+be2xl//uY/o2mr5kkgSWiIaUmySrdpSpkIzxthNmDvBicVvNCDcHhqbgb+v2S9KWA3TRjJLPg7bSs/AmdICy987AIfdgomjUhW+bGYTg1ffEX+OMf06Y8lKtW/A1DHpAICxA5Px+f6zCoNuCc0pvWZb6RlsKz2D5CQHZhf3xMLJuWJjExT8wTAKB6cQdomcJTKjIAMUKBQPTcGFSh98/rCmN5tcck76nis2HdJExhoEAZzAA9yNhQb9sWFigIJBXVVMNp4X8Jc3lZKZf3lzL/4yow/GDuyqQIbNLhKZV+Ewr+udp+kZ1LujikH70NgMCFB6hQDimnp6em9E20yKtRptM4GmKQXbxVMXxtqtR3D/iDTN64DnBRVqZOa4TAgUAEFQvD8EAWGWB01TisdpmgLHC+BkqFl53D9CG0UjCFAg9z11Ybz/+XFMGZMOt+en0eXWMlG/UYPVkWqNsZsVMkD2CCPiHVaIDjnCFdGRxFy+yAlBB/VpjzDCESX6nki63hVuPyrcfsLolvzI1mw9guIhKdhVVo65xU5NKRkJySz3TbNZTXB7A8RHb15JNmiZhJgc3fTMQ72JdyZD0aj2BvDBzu9UTJKh+R0ui3yVdMVbxdtw3/AUvL6xjCCe5ec02maCw27BwwWZiIuxQICIyJIYB/cPT1UZIv/trb0qXXPXaTcWLN+Fl2b1w5+W7VSs/xi7CRQn3LCIUr38a2KA8FVcuFdC8WlJUYheYzTmFDnJvV063zu+OYcFk3IJi0r+ezeLtiDaZiI+VNJnydeutI4qa/2Ke4GW11WLOJsK9Scdx6yinoiONCPB0VVT7ql/VlssWL4Lr8zpDwNDaX4XXyCskP3aVVaOSSPTND1bSkakKXJ4hduvKeHE8QIYhoaJoRDihCb5IwDBMI9Pde7vPwaBeKX7V4gTyP3eocPsY+p9AZvZTZg0Mh2XakR264aPjjWobTSLhIGh8Zc3RcnoucVOwk5W1Y4TnHhj00H8tn8X1TqOsqnl6+X1cGNWybhBXfGpjOHHCwL8ARYDs9uhW/s4InXTP6stYVpJ7/P8uv2YPzEH054WVToWT8vDotd2qZ4jSZFuLz0NZ0oLFAxMRk0jtP5jxU4YjTRWbtaulV/a8K3ivF8vdPD/OvRqCPY6flc5ItphFz2sWsbZYGRoMBDANZKRqAuwCIQ4LH/vABZOztW8F9sjTAAoVMtYL556DxWGASBQeGPTQRQOTsEzb4prxmRk4K0LYea4TMICfG7tfiyYlIN5y5Syt0tXlWLBpFzMW/YlYYEZDBTiYqyIsZtRNCSFSNnaI01EKWb07Z3x+sYyFWNv3sReoChlXe2wm/Hc2q9Vv4U/yKrW6MxxmWB1JEU5TgAliPn4Rq+Ff6z6h6QyoCVDKVe6mDkuE5RoL4zWiZEY1rsjQmFOtdf76z/3Eu+zkhFpWLpyj0rWKyrShItuvyar02IywGJSPsbQ0GSqSvlUigSHFTE2MwZktVEoCNT6goi2mUluzk5JVNSlyUkOGBhaIQPu9gREz8A395JGXYzdhLEDk7HsHdG2ZUy/zuiflQSW5dG8WQQWT8tDOMzjUo2fSKlGmA1YW/9vn59Fs2gL2reMBscLCIU4eANh3HtnVxgYGm5PAFGRJk1Zc0mi/WZmV10NW8XjDyn2GwCw49sf8PT0fMTFWPD09N4I1kvS0xTAsjzmlWQT2VnXaTdeWP81HrknE2FWIBJwIZbD2g+/I0y9Mf26oLzKqylFuuydA/ht/y4Kr76y45dwa3KCIs8ZjRTe+/Q7DM7rgP5ZbVW/+9JVpZh+162AAPKdJPapw27G7P9TSjg/t3Y/sTOR98SeWinKknP1e7rGtcuMggzQtL63tp5nu8VkICyTn5NJ1BRN0RQ/bfyc6mFXzBWUgBZxNsVz9Hp0EujYYTeTenjWi18Qi7Df35OJak8Aj9zTQyEd/tDYDJw45ybfWVKpaBlngy8QhtFAYVjvjjh5vlbxGqORxo5vzuO2Hm2w7kOXprz43GInqTWk41z8xu56Kx++XlY8Eo+O74FAiEcozKJ/Vlui1DGybyeNc8Mr7g+Sdc/UMekwGhjE2E2Ii7ZCgI6S3c8of3+1+b4Iov/fI8nJyRcBxANwARhb//dEADN++sO7PmFAwyJsfPI5TsB/dp5E38w2xMSXggC3J6i5qKXG88xxmYixW/Dn+pv/pFFi48oWYYLNasKKTQdR7Qnh4YJMNIu24LzMuHLy6DRdiU+59nizaFGGjaYoCALQopkNNE2BoSkse1fc/M8tdiIp0aZbBAAgRcar7x4gzd9H7umByhq/4hiSkxyYMCwFs15ooPTOHJeJFZsOkQL75PlaQml12C0qGYLZRU5N6bHWCTaiUy7JLLhOu0kznQIFmsZN3ajTi3CIQ5zdhCXT8sEJAhiKgokB/GHtZB0Istiy44Si4ffOJ8cwdmAyeU7j14AGnCktFLKfM8dlAhQ0Nz1LdLz5QmEe735yXO0h+Jtk/G5AF3h8Dd5/vxvQ5bL+Qu9+qhyOvPvpd5g8Kp1QseXPX/JAPpGcVTw+LR80rSNpRGm3Pw0MheF9OqoKcYH/eXW5b5RoPOSQCgBDvV/Eho+OEWDFkml55PqXJBb1JKmaRVuIjA+j41vnqQsTKaHGhYrrtBtrtx7FzLszYY8won9WWyKLq1fUtIq34+XZ/cFxAkoPXUCPrs3B8TxCIZ7k6mibGWcrvJrH464NwnXaDX+AxQvrv8acoizNIbstQhyFGg0UFk/LA88D5VU+0rTWGspE282YP6kXyivryEaWYSj89Z9iA/2V2f3xwc6TmDQyHfcP58HxAoJhbe10PR/AC5U+1fqfOiYdDrvlhm1+6OXfsJaWsEZcyUPNwFCqjf/soiywLI+1HyqBE6AEdO/QjDR5pddJQKNZhVmoC7AqwIO0dpdMy4OnLqzpFylJnT8xORcGA41giIOBoVAwqIsKFPHpvjPom9kGc/+xgzTImzeLxKVqv6JYTnBYQQH42lWBjORE8V5PUaj2BuELKAFD0nnheEHTs0Wrqagl4fT9Dx5sLz2lGtzezA06QOf+fp2C4wWFXF3j4cBjxU4wDAWOolUyVdJwTgIdXHT7iWS0lJcr3H4FeCTBEQGGoTBhWCpoCgTMJ63XZW8fxJTRYo3eKt6Gcxe9ZJ0CQHZKImKjLHh5Vj8YDQwoBsRDUWq8Pbdun+oY9cCFvkCD3HKNV9vT2+0JEr/xUJiF1WLE468oARlP1ufXXWXlqPaEyDApLtoKhoGmlPaN5qcGXM6XFdcNKSj3YamoH4o0rlGkqPEFFb6TlTV+IkEv5WZRvUX0dJLyVoJDZLZW1fphMRvgsJtx/whRWlBq+jI0rZDLl9ae9Bx5VLj98PpDJB9X1QZw4ZIPLeMjURdgFflwdlEWpoxOQ7U3iITYCPxxfA/M/ccOxfpb8OpXWDQlT0Rz8wIYxgKDgdZZd7TMOzMKDEPBUxfS/e2Yn0he/9cQcslwUBQgCFe91+U4HgJo1d7jubX7Mf2uW0FRSknsxdPy8Py6/Zg5LlO3L5Cc5EBSc7tisCzlwpdn91fJIQMN99swKygeM5sZTbnXWJkkl7RufYEw7sxtj6oaP7GHMBoouD1BLJqSB57nQdM0kXLU89AJhlm8vvEQOeYGUAVFZJ3l0pL/eLQfgiEWFrMBcTFWTBiWCiND4VKNH/cO7gYjw8DABFEXEGus9R8exdD8DoiLscJbF8Kb/z4CtyeAhY3AcdI5rQuGb/r94NV4BYdZtbTxqR9qwHEALwio9YawRuahqzXwnjAsFYIgINpmQWWNHzXeIP61/aim3Y8jyqz4LHltumLTQZSMSIPZxKBVvA1GhkLrBDsoCgiGeHz57Tl0ax8HQRDQtrlds68VF21VMNSl4eCiKdrrhOcFUoPHx1jI425PEP9v+1HcPzxVVbus2nwYj9yTiQSHtrf2D5U+3b2v1Ly/mt+mKZqiKX6Z8d/UD9caernCZKTBcQI4ToCxkf2Snu1MjTeE7aWnUDSku8pf2GhgUOsLYdaLO/Dk1FzRnowTiM80Q5uJ9LYIZjfj1A81EECjdYINNEWRnptE1Bia3wHOlBYkR+4qK8czD/VW9BO0ensOuwWeRhKoc4qcWPvhEUUvRa/nICdUyd+zRZwNNd4gJo5Mg4kBeO5/bwV1VUNAl8v1HYDM5OTkLgBaAPjB5XIdlf19N4Ddeq//pQXH8bCaGE1U0KotZRjWuyOMBgoDs9uB5ThEmI26JtPxDitKRqRhe+lpjOnXmfyd40AQm8+sbhhGzH1pB7JTElE0JAV/GN8DNCh4/SHYI00iyhIU8WYzm2hcdAew/L0DiuJnxaZDcHsCmFXUE6EQj3c//Q6/G9AFwRBHEEWXK9ilIuOOnHYoGpKC8iofbBFGfPnNecWFWTSku0JWoMItsh8XTsqFLxjClh0nUTIijQwdS0akkQGg9PynVu4mjAP5sZRX+TB+cDe8//lxFAzsisgII17700AYGBpgOTGZNTH/dCMc4kABaBFvx8WLHoQ5gGZoZKckon9WW1Iwbi89BYam4akL4dxFL3ncUxcCRVGgKGi+hhKgYj49u2YfnnpAe9in581nYCh8+90lotEsPX7vnd0QDHGKZsaMAtErSmLMkqQ4wQmTicao2zqT9ZjgEI3lBUq78aGHXuF5AQajusk+oyADDAMIGguO4gQ47GYV+pnTQzQ3oekuG/Ihh8NuUTUMJO3wcYO6Km6GhG3CqP0nZ47LhMcfwtKVYq7NTklU+T5KG8eiId3JpqjxMLJwSDfSeE1wWPF4STbmFDtR7dE29y2v8oHleCQ1tyOlQxze2CQyniQGVl1QLBAOn7yERwt7wuMLkTWUGBuBlZsPARDvFRVuP0xGg2KoXeFuGLJPHp2uAFhcSVd86ph0xNgt+GDn96j2hFAwqAtsFiPuvbMrNn1xAhRNoU9mG4Vu+9xiJ5Y+mKdoqCQ4rJpePXIvQykq3H4kxkbCUxcCCxMMDH1DDlm08u/VxpVQfBQnIN5hxcJJuaipRyav+9CFCcNSVQzmJybn4IX1X5PGdGM267J3DqBgUBfV2s1OSQQjSQvWh3Q9OOwWjOnXmTABvIEQGIomDJg/jO+harDMKswinj/yBnnJiDQF0GdGgehF26G1gyCjpbVkNVN4vKQXFi7/SpELLlVrX3si67Chqfj7u3vAIPO6kzfIx/TrrGCq3+wNOgr69/frEfKNpMSGEgdwdhhoCoEwi0df+AIlI9JIvSsd1/Pr9pPHL1T6YDIy5P7BcrzifSXwyJNT8zCnHrw2ryRb5S+U4LDCajHiYnUA67cdxW092pBBRnZKIsYOTMZjL+1QXJ/ZKYnYVVaumW+fXycya6MitcGF7tog+f/GRvESCCbSYkTJiDSs3XoEd+S0A88HdJv2gNovw4Cbw08NgCZQQvTrosBfpy97LeyJMMsrfCc/3nMG99zZFQ8XZCLGbgZNU6is8eNf28RGb0zLaCx9MB8sxyMc5klOH9W3A4bkdwDPC/jHo/3gC4RVeyxp7XG8NjjKWxeC1WxEmONBUxSeW79f8zp7amUpeXzmuExYLdq+mZU1fsz5xw4AwMuz+sHnD2sqFITCLBl4Lp6Whzn1+V6rNptdlAWjkQJ7oy3Uy4RUz8bX1xDX8tX11mKzaCvxKJUek0AH8lwpRYLDCqOBxvjByrpXum+6PQEYGRrbS0/hj/f2xDNv7lFcbzF2M1bV17DS69y1QU11mUfH91SpqfTPaovl7x3AH8f3RO+M1gSUIrH/QiwPo4FCrS+gO/RYvGI3qTPk5yIU5rFys8hmlasLSHW7XAVBOpYOraLgrQvjieUyJldRT4zs20nlXbR6y2H8cEkf3Ccdx826H7watorFRGPy6DQF61PykpJykVyxBZCpFT2QD48vpFi3v7+7B2LsZk2m3uIVu7F4Wp4i7wENvbFxg7pid9kP6N4hTrEOJwxLBcNQ6JvZGq9tbPC/lPaT8nUl7ePkUeH2QxC0czPL8Zjzjx2khk5OcsDtCcBdG8SusnJMHJmmWbtcqg5gdlEWwqy6B7F261HN3qbkZyWpYNwstUJTNMWNGP9N/XAtoZUr5k/shRpPiHhyL30wD7+/uwfpz24vPaWq8eR78fmvfgWH3YLpd92KeEcEfqgnRUm9igizqPojqarMK8kmvWKp/5Gdkohxg5SqQ9J8JMZuwv3DU0VwhSOCADaSkxywWU2KPoeWMk3BoC4qkNWSlbvx5NQ8Bdtw5rhMmEwNA1DpGMqr6q7YW5RAyNIw93+lUHRNtUn94O/oFZ/4KwieExBTj+a/VCMWyhIq6OT5WswrycHbHx/D4Lz2WPbvb3Hvnd1Um87f390DPA9sLz2FYb07ikbs9T+8zx+C2cQgthFaCBCpoQUDk+H2sOT9RvXtgD6ZbVRI9Xc+OaYqfiTZgFpvg0Tp6Ns7k/fa8NExlXSiZLz+6jsHycbQaGAIa0BCDPI88HhJNiwmI3gdyZ0aXxA8L+BMuRfbSnfjick5SHDos2WaN4vUbMy5PQHMn5iD59buh9sTwGPFTrRrGY3KSu/1/fFv0DAbGYwdmKyQuJpdlAWLmdZ83GwUEZZaf9PbZLK8Pn35D/f2IHKkCQ7Rg49hKDwxJQccBzLcZhjRUF1ThvSBfHA8rxi4cTwPlhXwxvtlik3kG++X4Y/je2gfjw5ziaYBChQsZkbxGRYzAwoUtDBwHMcj0sggKdGuSNQstAv7JjTd5UM+VG0RZ1OxHaShlwHakpIcx6NZtAWLpuSCFwQYGBoMTWHWiw1yK1KhMH9iDmq8QRgNNJrFWPD7uzNB0RQem+DEW/85omheFQzqolqTC5fvwpPT8hAKs5hd5GzEcs6CP8jCwhgAgcKn+85osvje//w4CgZ1BQDF0PuP9/bE6Ns7YcKwVBjqGYchVpuJxwmCqvknSTrKm43y11hMBixZsZugw+XHNafYCQNNaQ5Gpo5JJ40TMUf3AgBEWgxYMi0foIDTF2rhD4Y1GQDyBsvNzrZqHAxDgwVgjzRiybR88LygYrxzHA8Dw2D2si8Uv+sdOe1U+aZx00GSaV36YD4ZiCTERigKcj3T7r2HL+DxkmzUeEOKOmdusVMx4OM5QVMKSUueU1JKmFvsRJjjsfzdgygY1EUlrS6BhRx2C5ZOz0dFVUNNBkBVe80tdoIHh+l33YqE2Aicv+jFG++XAQBBCsZGWYhspF5twvMA89P9vL+auNxA43qcj8YbSbcnAIfdAjMNsGjwp9H7naJtJswpcuLlt79F8dDuWLHpEEoK4QLlAAAgAElEQVRGpKFFXKTmMKiq1k/eR0vWdnZRFt54/2CjgXouOTeNG+mLV+zGoim5OHm+VvcYE2MjseGjo6qBiNQslGJ76SkiRaoHgom0GHBRZ/ithTyVpFT/1xvKnyv0gFnUday7roU9YTSI7L7slEQM79MRVrMRL2/4FsN6dyRrS8pjAgQEQxyibWZU1QTIvm1AVhv0zmhN2HjkfqrBQGkZZ8Oewxc0hmtOhFlRAcDrC+Pva/dd9jqTHn92zT7MK8nRbVzPLXbWM7Ep2CKMePPfhxW1+d7DFzDA2Q5LH8xHdKQZIZZV/P2zfWewZFo+whwvAvMMFITwjbdOr1forUUtBLoEOqBp7cF5sxgLUfsBlIPlaJsFoARMGJqK/3x1En+6LxsWk0GsWRgaNA1MHJmGkX07wVMXxid7z+C3/btor61IEzx1SuWXV985iAq3H1ERJvz5lQa5z2G9OyokFWeOy8SjhT3Bcdr3LS1VgPIqH4b17oiNnx1HwaAupCaV52Ppuy6cnIs33j+IDq3SVM0/eZ9F/hoRsHFUtS9obLNys+4Hr3Q/YhgadQFOIcXWP6styV9SLtLLVRwnqH6rv721F0un56NtC7t278obUgx9JUZJXTAMe4QRmV2bY94y5TpsPByXmKWNJfVnFGSQnN+YLXKpxq+69ubUS/lLx7Z0VSnmT8xBXTBM+nS0BvjyobEZWLn5EB4d3wOAtnVAtN2Epx7IR5jlFapjZNB3E9UKTdEUTfHjQytXUKAw/9WGmuH1jYcwaXQqAbkxNAWrxYD5E3PgqQsp5iuSWkqF248/v7ITA7LaYEy/LrhveApYjsfv7+4Bs8mA+a/uJCzxFs0iVfm8f1ZbTTUEiVktrx+ke/6Yfp2xYtNBPFrYk5Cm5ApK0vMby5tK78/xgkI2+t1Pv0PRkO546oF8sJyAcxc9pLa4Um+RgJD/x1ZQukPA5OTkKpfLFVv/7zCg6o9TAASXy2VSvfgXHnJvh5njMgmqUYoKtx+gxOEIx/EoHJyCI99fQnqXBCyakgsBAE1RMBgoBEM8Jo5MIzJY0vDtYnUA20tPoXBwimax3pjxMcDZjqCPpGOQEG5ajTUAiuavPaJBhsh12o0Vmw5h+l23IjE2EjQN1PpC8AdZ3Dc8BQ67GR5/CMvePkhQTGJDTIDJSANgUFnjJxPzxsde4w1h+XsHMK8kB+98cgxWi4EUQHqouCUP5IGtpw5X1shRikFyDE+u2I2/zOjzX/++N2uEOV6lbfzUylIseSBf8/GnHsiHAGi/Zlq+9iaToggzSmq+xNgtoOtZJY09+AQAdX5O4f00p8gJWwStm2QlNpf8cxdPy9dExBkYCvMn9kJ5VZ2CYWVi9JFuNARYTAbUosEc2GIygIEAqr5J37gw1vI80mKk/dRoOmloUOGuAxj6hijUlUNVPSYnr2twzDA0/CEWPpmc1dIH1QzVXWXl9TIvhzB+cDfMeuEL4ovTJtGO+4anwsDQmD8xB75AGDar/mZz1os7kJzkII0sluNhMjKK4bnkvaPdLDiCoiEpCrmlZ97cg4WTclFZ44cjyoy5xU6YjIzuUFvr2FrG23QbQpL8S0JsBJGpll63ZMVuXSkjW4QJz6zeg8XT8sAwFGq9IVLwJThE6T6JxXi5hrei0LniqrjxQ153KJq6GkNSLc8rrYaTHvMoLtqKl2b1w6VqP0IhDpt3nBTlS3keDE2TASDQsE4XT8sHRQELlyv9yhrXIQK0r1mtRpwjSizi12w9gv5ZbeE67dYdWsfYzHB7AoiwGBAKcwpJso/3nMGiKblwe8Tie83WIxg3qCtaJ9rAN5ILle4RL8/uT16vJ08iQPQL/LXn1GsNWg8koyOJ/d+G5PUnX4OShC4ny216v1NUpAlVtQG4PQF46sKIsYtrjWV5rNp8uN5r0IwIs5FIaUpy85Ks7eJpebjo9iM2yoIgy2Fk3044eb4WDrsFt/dsgz+/8iXSO8XhrgHaTewanyirGO+wah5jeZUP20rPwFMXEvcJgtiAN5ka5BITHFYM79MRW3acxNQx6WiTaFdJLkpSu2z9hnPFpgb2geQJKAfVSVKqIV6stwyAeO+8Qf3UAGUNcb3lkKS4FvZEdKQZSS3suH94KgQBZMBhjzApmghrth7B8D4dCeBG7r07pl9nlcefBNJprKxiNNBwprSAyURjXkkOvH6x8fLK298SkOf8iTlXvM48dQ2ytSGWUxzr9tJTGHVbZxgMFGEqJjhEpY6SkWk4W+4hKOyCQV0VUsOzi5zYXnqKrONZhVmi5yFF/yy/3Y0WWmtxRkGGytIDEEEHswqzwHI8Xt9YpiktqJXvWsXb4PYEUFHFIhBi8ZucdvAHOfzpZeVQOhjmyOB6br03vd6wXA6Ck+SnExxWUDJFFy22n6RAJGf6y9872qZUBZhd5IQ/GMbGz8RhT/PYSCyb0x+AKA3dmDXo84dRMKgrWI0ho169Yo8wigMXCdAlCBAEAa/JPLbn16s7sRR1Uw5aLucVzAKoqm1guycnOdA6wabKUXq5SvLFk4fDboG7NoQarzaAprImgN1lP+CuAcmEZSIN5IwGBoLAkT2a1cxoMv4lEH6F24/msZGk6Szl8AWTclXeVNKeaOHkXLhrxRpmbX1NLEWF2w9PXQg8LxIUJo1Kw/ufHcfwvh0VntjSQO/8JR9MRlrbMxAUOJaFhaGRlGjHI/dkqtbflXycm6IpmqIpAHWuYBv1o1yn3Vj29kE8Or4nQmEOAoCqWj9MBgYsxyvUDOX3agBkzzRpZDpCLAeGocHzAhx2C5H+nn7Xrap8rme9wPJqcIgcML+rrBxD8ztoKig1bxYJgEJVjfb9Q1LQGdOvM+JjLCgakgKDgQbL8WAYChaTAWP6dcaGj47JpPDtv2gP1st9frbs36nX+0B+zpB7O2gVGNkpiQiHeSx49StZUZmFb49WoF3LGEXxIPk1Vbj9cNgtiHdYsXR6PngeaNs8FdXegIqV99DYDKIZLwWjUdDoNdakjZp86NbYZ0EyTF48LQ+bvziB/FtbqwqFxu9bFwyjLkCRc5OdkkgQy/JjX73lMCrcotfEnbntsX6bC9WeEAqHdNNgyzhB04Kor/uGEs30/ufHyXeRvm+Y5Zsaxj8yWFYn0ejIVrKcoNh8yf8GWs2+mFGQASMj/jryzdycYidAQduD74F8MgCU3nvJyt1Y8oD2kFFv2CEIgmYDhgEQDvOK43ms2AneKOgi3TgOiLIYYEmMUjQkeU646iY9cP3RdNcyNPi1hVRUWCzGq0bXA9JQlALH8qj2BJDeKQ7OlBaqwkJ6H09dGIVDuiEU5vDH8T2JP6vUjPrDvT1gsxrxzOo9ujLKEktPLr82rySbyBYC9Yhhn7ZvrCPKrGIAyNnQj730JQBgVN8OGNq7g6a0Fqcjt3v+oleT6SKXf4GgfY031nGX3jPGZobDbsFFtx+BEKtCQT+5YjeempaPSSPTAApYMi0fLM8DAvC3t9QNll9CofNLCIGh4PYEFIPgxkNSaehPo36I1kiak6YF0dw60QZBAHiew6zCLEVNMqMgA0+v3kN+h3kl2fj2u0sYdRuHBct3YuGkHM31cNFdp8kkkNchyUkO0JQ2A1rusyytwWff2gdAbOwlJdowt9ip6cmanZIIXoCqSbhikyhLm3dLS4Lsk0Iy2nbYLZroa+m6ddgtiLYZNRHVr208iEkj026+moOCZp65XieCYdRef3OLnWhmNwEyGcMNHx3TBBZU1Qaw8bPjmFWYhS++PkvUC0pGiHJZGz46hvGDuxHpfXkOdJ12w+0JIBji8eyafVgwKQceXwgxNhOenJoHigIB8d3Wow3OXdSXeFu8YjeyUxI15agTHA2D99c2HsTwPh3h87OIN1swr0RE+EdHiuyp3/brDI4H6gKs4nMk9oFcinR2URYmjUonwxIApOYw0DSRUr3RaoQrxc8lhyT/vGup9zy+MNb8RwT/SL/x7T3b4EKll0i6VntE1vWMggzwvABQYr7e8c153VzcMs6myLOityCL1VsOYezAZAgCMOvFL1Svk4OFtK6zxgwmi8lAQE3SsM8eacKcF5UAksVv7CbSfXOLnbBaDCrQ0VMrG4AkFe4GnyyDgYYO1qspLhON16KBpkEz9SzmRqBIe6QJ//7yJEbd1lkTSMnryMjyvDgwk+ehdR+6lL/9it1Y8kAeYaDaI4wIhljNteX1N/Q8HHYLAiEWDxdkABQFr7+hD6PH/KrxBfH6xjJNRpXZxOCpB/MRDHG4UOnDK29/C7cnQPozZyq8SIi1wmw0aKpXWM0GcS3WDzDldZfe3kKSN3969V7CsmpmN2HSyDRMGpUGChTCLI9T5bVYu/Uoec7NkJuvJjheIF6TUsO3vMqnylHvf35ctZ5mF2VpDrwLBnXBUytF2Xqt16z70IX+WW1JvQyIa2vt1iMqRaQFOnWyBMJPcFhxpsJLricJJMrQIEPhC5U+UoMkOKw4fcGjuP5G9u1E/p3gUALsJWsiQQCMBkZTjhYAJo1OVTLio8xA06CvKZqiKa5TaAHPY+wm1PiCROGkYFAXJDW3Iz4mAkseEFUGWY5HjTeI+RN7YeXmQwo2tsFIIRAWUFvrJ69/fp3I1JOIRvL7vt59GdDudzWPjQRVf9wGRk1CCbOi/+D5i17s+Oa85j6Uru/hbPjoGAqHdCNELunvEhBEys/L3ztQb7GhLcX+S1AJ0O3NuVyuY7J/u36ew/l5Qj6V1doM3T88VdFwEjcxpXhyah7ZnEuPP79uP+aV5CA7JRHDenfEq+8eUOnHL5qSq6Dmf7L3DEbf3lmxKPT8HBr73swtdoITeGSnJCIhNoI0Iyo15AZmFGTA7QlqsgyfW7sfDxdkYu5Loh75o4U9YTEZFdJ8UlNtXkkOGIbC6QseRUEjFSwSMuqxl74khVDbFnZUVNXhlbe/xZh+nTV9XhZNycX6bUcV39dooMHeTMYQP2E0HgQDUqLRbvZLflCafwMQ00huSfIyaSwhuGTFbiyZpu0VqDeABKAajs8clwmG0Ze5IUwCQQBDUTAxQIgTiC619N5PXgXNWvL0Ev/GIcwBAkMT41kJKbtm65HLNomvZ5EtBytI3+1GY1ZFR5qvGl2vNRSVNnbVnpCm5Nvew+Xo0a25akAmSbr85c29eHR8TyyYlItgmFUNVOYWO1HrC+HRwp5kyJ3gsKKlhlyAtKFtvHYjzEaFL6yU/x4uyESCIwLL5vQHQ9MQIOD0BQ8+2Pm9yjtlaL56OCj3pJCQTK3i7Th30UMGjA+NzcAljc1ygsMKThA0mzXL3zuAwiHdwAuCLgqa5XkYZN07S/1wVqvB8ksodP7XwTA03J6QYsglFYrSkFS+vh12C+ZN7IVAiEWtt4GxbDIwuOj2wR5hJLlzVN8OeHJqHliWB82IiHOJKZXgEH2L5xQ7CdBIr9aQADmXq0PG9OuM1zYe1Gyw/PvLkwrTbuneLqH55NfU4yXZhHGY4LCieGiqikX77Jp9pLmsJQdS4RYlb+VSjfLGoMVI4+np+aiuHz7NKcpSXFdSLXP/8NSbbkhNAYRxJs8zk0el/yTvzzRi1HOgNO9lIrszQPwkXKfdeP/z41gwKRdefwju2iBWbT6M+4anoGBQVxw6cUlRz0r1e5jldFH7y987gMdLsuEPhvHE5FxQFLDn0AX06NYcz6/bhZnjRCaM5D2k1UCUN912lZVj0qg0xTG+++l3xN9KGnaM+01X+PwsAiGOKINMGJqKx5c1DPgWTclVXG9aLBi5akNQNnyiBAGCTEpVfl5vpBrhlxRXW+9Ve4P4dO8Z3DUgmTS2HXYLrGajohaRPEUkz1V5joSOj5SBoRXMEKnxIHqyX0bFg6FIzS1dZwvrJXBpCgoG06zCLKzYdFA17NNTD5AGN2u2HsF9w1Mv2zyX/l9idUvDw6bhyLWFci1y4DlRncTbCBT5+7t74Ey5F8+v26+qtWcVZuHzr89q1uA1PiVgSQJdVHtCZEDmqQuD54G19fsmR5QFP1zyataw/bPaYkBWG9w1IBl1AWUd/+f7szGnyIklK3frMr9qvCFS6z5ckInYaPGzXt7wLRmwrdl6RAEGEhUO8rCm9AgKB6dgxSZ17TKrMAvPrd2PR+4Rr8U5xU7UBcLkOtXysBQZkKzCO1vKuwYAbl9YBThaveVwU26WBUNT2F56SnH/lt97pRxVPDQVRgNFFFsizEZs2/09enZvrvpdmtfXiRVuP2Fi2COMiI2ywBsIYXifjpoN4P5ZbVWKSHJ7HymkOllaN+u3ia3R5CSHqs6dUZCBCKuBvK6xLLg0SJb+LQfY1/pET8CT52uxeFoeVm85jBkFGUiMjQAviNd+ychUBOo959sk2hEO8zAYRBUQt9t3/X64pmiKpripQ0uJQJqbOOwWTByVCo8vhIoqP2gaovKh7LmPTXBi/OBuih7A3GInzlV40KF1DEwmhvTYSkak4elVe4g0qASI9gVCmvfySh0bBV4AQoEwZhVmodYXJM/Ryt0Pjc3AJ3vPKO4fHM8jEGQxryQHRgOlmhHJrdokOXWLyQABgqpnInke87wA+n+s8HZVvY/k5ORoADMA3ArAJv+by+UadB2O67qGfIrtOu3G6i0NDVQDTWlKcVW4RTaS1uN1wTBpYpWMSCPNOUBEvF2s9hPt2fuGd8fQ/A5YublMsSi27f5eVdDMKMjA//voqGLh2yOMCLMC7huegn9tO0akh4JhcTva2CPDUxdSsQ6l424WbcGzD/fBxeoA/AFWU29/V1k5Rt/eGQ67WUHpnV3kxCtvixsNOVtRMoKfOiYd9kgT7r2zK+JjrIoLWNpUeOrCyLulJbaVniFJIDrSjMpAGE1x7WFgtD0fzCZaxegknoCAJnuToiisqkdqWEwiSmLV5kOYODJde9inJwGjwzaiAOwu+0EcMNPiEHzb7u91mVAA4AmwKtlPo0HbiJsXfoTXEwVNT7efcsfWuDl6ueT/S6aQ/1RB09RVo+u1hqJSY2Lxit34eM8ZLJyUi5p6+ap1H7rqm65qic55JTlkoxlmefy9nuKfEGvFUw/kI8Ty+OGSFy/VNxhmjssUN3VmA0xGAxlWyxHDNA3MneBUMZ7DnLbPX7Noi8KXbW6xEzu+Oa9ag4+X9IK7NgCzicG8khxYzDQ4TlDIGklecMvm9EfLOBuKh3Yngw5Am/VTXunDpi9OKOTD5L640++6Fb6gtsSzoX5oKf1mAGCANlu3yWxee+1KhaI0JJU/x2G3INJiRLUnqGjozSjIQH5GK5w4W0MadM1izKhw16kG4CUj0nCh0ocIixHllXXwB8Tf8p1PjumadgPqtTK7yEnqkA6togC0RaTFgHklOaBFNTes3FyGXWXleOfTEwDENTJ1TDrCrNo/cPGK3Xi4IFM0BY+JwA+VXnj9Ic1rJKm5HfMn5hAEn1ZDpsLthz/IEk9jhq4HiARY0CaGXL8mo0EBRpLeQ5St1s47N6IcMyCeo4JBXVFdP7Q3GsT/Fwd2/8X7MjQ4UAhwIuBNYkA8oTM8cHuCMBkYcIKAqWPSkRgbifIqH/6+piG3JTissFlNWLWlDPcPT4Xb08C4lur3GQUZmu/frkUUnp7eG9WeIJ6WsQSlBl6Fu0ENRBpkaDUQ5QznBIcVoTCPect2kiHjo4U94Q+wmDkuE44oC0b17QAIUNTMc4ud+M9XJxXXgtsTUNRsehI3YZYnkpLSezkijAjeBDXCrzE4nsegXu0xb9mXpLEdZjmVr++za/Zh/sQcTQ/KP47vqelDTDNQyIFK0cCgEjQ9VEMhFiYTjQWTckRfF4pCKCwyUVslRuL+4am4a0AyLCYDzCZaMUyRjgvQrvM9dWHCYj2vw6SVq75IQx3pmMkA5Rrq46ZQBwuoQJF/e2svkZCNtpsUNfL6bS4M79MRUXZT/bqgQVFAmOWweIWaeZQQa9UE9UhAG3HI1wXFQ1NwodKHFZsOkQHduQoPBue1x7mLHpW6xBOv7cLS6flYNCUXdUE1GE9en7hOu+H1N/hbStFYtjw5yYEx/TqD4wRMHJkGQOxnVHtCil4ETYk+at//4MHy9w7gyal5CqCrHAxd6wuK65gCZr2otpHheAGg1YAXuYxkU24WwwDgnju64Z8fHCZsafm91xFlFn1eKbE/cLbCC3uEEUbb/2fv2+OjqK/2n5nZmb1kN8lCLoACKkLAQDRAFnNBKyitys3mfSV4IUFDJLFC1VZIsAURiGitpX1fVKQKtEqwRUG8vEXAK1iIQn/cJCAioEBCwpLsZq9z+f0x+53M7MxEQIpW9nw+/RT3MjOZPXO+53vOc56HVoCdbpcNvywZjLRUO7456UPz6Q7AI2FuyXDbFWB6chJlSFdrtO7Wrd9vuJ+RJJmN450thzBmeB8cOtZmCN4hFHQP3TkYvvYIHLaOKdQMtyyLkuzisPAXRZq9lzpWul02ABTu/++rwVoYvPXxlzHwkrbGs+Q1eRp1VpkHSLFDYmhNDAWQiKsJS1jCzosZyTtQMZDx9JJchCOCssbPLh+mMAoAsUGNl2Ra+/icd97UAvz5jd0YM7yPIllBckQ5FsoW5SVwFgZL3t6NyuIc9EhzoqU1BJqCoUzNzNI8rHhbrlEMy85E+fhBSmw3it3q9TrDbceCqkK8uG6vwiD2bVPiTV5ZI94XCKP5dEiTd6Sn2iBK0GgWfp8guDPNRV4G4AbwdwCBf9/lXBiL72J7fSG4XTZYaUAQBDCMiS6TyURVJCqASYJSAFALtxeP6Ktsxpq8QbT6o1i6Vn4giFOkODm4HBx4UcScKfmgKbmY8ru/ysjoDfVHlfPVVhXimZXbMbM0DzcM7YlZz27BoWNtinbI6KIrkOqy4kQLj0V1/4LXF8LcigLD6z7W7AfQgWAzm2Rxu6z4YPvXmmZkMBxVEpbkJD0f/9ubv8QNQ3viT6/+C9NLcjHp1gG65lQowuOSdFdsEkZOTMh0WsLO3igADhuraQQ7bCyivIhV7zZoNj6r3m2QUf8UsOrdfXHv7cO9Ywdi655GXSHg3nHGRQCzBiRrMeasZy00hudeGqcbkgcKlOGEQmXx1fAaFMQvSXcaXg9NU2CosywoSJThYlBbVXRefp+zpfc003r7sU1WnSm63qwpShZeT3Z3TcMPAH5+Q1/D7/iDEdx9ywCFklhN9fnnR2/STEQDMg/4A7dfI+sQxugOZpQORSgsaHx7zpRrMbeiILZxlRCO8GBN1pNjzX5dElRZnKMpQNM0EIkKGg2emaV5cNo5w6m7b076EeUlXbNj3UcHlbXlSKNfKajcfcsA+IMRQ/owC0Nj9aZ9hgXFUJRXJlDUfpwQmzc2M9/tkeZUmqTqzxSP6ItIVNCJSb/x4UFMHNVfEwdryjx448P9aPLKqLZJtw5Ako2FJAGZXRwAZP+dXpKL6SW5eOPDg0Bc04UUIIAOX7EwFL456UcwHMXOL5pxtNGPitsGaRobD04cjDS33bBgnNklCQxjTGlHUcBf39mHKbfJTPMuh7H+sCQBi+p2INXFmVKTkyaRBRIESQQEICropy+N6M2nl+TiKRWdlzoW/5jpmHlJQijM6+hXeSd3zpgXo/tFfqNjzcaNgVZ/BJekO5U8gKAy1cUy9WRA+dhBSEvRavI1HPFqaMTUx6dpmXIzvii7cEW9UjAm04Rqen11AZFMK5Jjziz1YPWmA2jyBnHFJcmYW1EAQIKvPYK/vrNPM5USH98fuP0apVEOAKIIRdPQ5WBNdbiN1oraqkLTHIFjZaBIIg6fvZ0NUMvMJAkKPTgpbJs1qmkTSn5RlEDTHXtCQQRef/8ACq/u0emEypFGH+rW71cK6S47h5fe3K1INhDNbfJs/d8nX2HiT/tj5T86pqhqyjzGzxNFYUbpUM0xHpw4GBvrj2DahFw8tvQTw0na6jIP6tbvU45DaP6IJlaTNwhQ+gmqH0u8vVBmlmeQfbYkQpcjHzrWhprJHvgDUUV/h+Sb5PvrPjqI6SW54FhaAbmR90jeWrd+P34ypKeuuBUVxBijSg6qF3+sTF7HX2PTqSAyuzjA0DQC4SgWVBVCkoAoL2L5W3s0NPNmYAk1bXl8s3LGpDyFNpzk+gSspJ7CUuvUESNg6OrFm2NFQOOaCkNTne5Tfoz7t3M1QRDRu1syKsYPggQYrr21VUWgaaDVH1byzsWPjFB+1yZvEDXPbsav7xyMHhku1K3fp2sgzyz1IMrzoEBh1foGTBiVpQNrGmlre30hOB3aeooriUX1/3awgR1t9MtF6HQ9M0yTN6jQPr+wZjeuy+2h0bWue3cfxl9/JTiO1uTUxBdJLl+jAoqqwUvkHOoJlPnLtmkYy8ieNBoVFXBAIq4mLGEJ+y5mKO8w2YNh2ZnommLX1M/M2KRIbCRgHZdDrlmMLrpCMxUeivAK02I8+Ki6bCgCIQG7D55E9hXpsMToOtU1tLRUO5au3aXktmTC+skHhmPe1AKIJnI5ZL2eWZqHF9Z0fL/J2/mUOPl346l2dOuahKON8t6XrGs1ZR4dM+L3yRBAn+HnhgO4saGh4ZmGhobn1f/7d17cv8vUfPp/fvQm1FYVahZEjgGqY5sgAIojBCJRPHTHEM3rj0waCoam8ehzW1C9eDN++/wWTLp1ALJ6uQEA7mSrxlHUfPfEKWb8z8ewxEQlQxEev13yCQ4dazMs8hLk+xPL69E1pYP/1h+MYGReb3RPS8LsJZ/gsaVb0XDEiyZvEC+9uVvZ1JHjTJuQi7r1+3FJuhMLf1GE5CSrQs2g/lxNmQcMQ+GLr09jwbJteGbldnAsgxVvfa4cZ3VsSqC2qhDzphYgGI7Ck91dKWCKoqQrZi6q2wEKFBgasEgSKEFMJCTf0SQAoqS9h6IkghckZeNTvXgzFizbhq17GiGIkul7pOGttgy3HRZabvapfWR6Sa4simplUFmcg+sDv4AAACAASURBVNqqQjlxtjIIRwSlyFVbVYjycYOw4q3PEYmKOvqNJ5bXKxMKS9fuQvXizVi6dhdKRvU39SFBlHQ+O21CLigK8AaiqF68GRW1G1G9eDO8gSgYxjzkiSYTwKJ4fjZsZvSevMnnCVgh/nm8WFGkpOCpNvXCa6QlQoAN8d9p9Ufwx1U7MHn0QKzepDBfY1h2pukmPi3VriQhDUe8CAR5nU/OeeGfON7iRzDMI8ILqF1ejz+u2qF7ZmaWelC3fr/uHD3SnIpuyzMrt8Ptshk/JwyFmaXxa5R8TFLUVr839ro+WFS3AydPB7F07S40HPEqUzSkARN/j3hBRMmofsjo4sBjFfl4atpwVBbnINVlNaSg4xFr6ApiIqbHmZnvsgzd0XRSfcblYMEbTOaPzOttGENG5vVGVi+30lT7zfNbUFG7AY8+twVt/gjcLpuybk+6JRu1y+vx2NKtWFS3A6yF0TQ5xgyXfUWUJFya4UK6W6YdLxnVT6el8szK7aAAw7+tpTVo+p4vEEXxiL54csWneGzpVjzzynadz1aXefDxv75GwxEvtu5phMshNwKfmzlSaZaThgvHyPFVstCQGAYCRYEHpWnEbN3TiFXvNmDOlHwsqb4RlcU5Cp2uUSw+23j9H2UiNIwV5LfEd3hczaZdi0f0VVD18WvZxvrDGqYKEpPKxw3CczNHonzcIA1CnqaN8/OMLg786i5tbj69JBctrUG0h4yZMEjBmJzTYbPornFmqQe8KKC2qgjPzRyJ2eX5eHvzl9hQfxTDsjPhD0Tx2yVbMHXhJjy7eifuvmUA3C6b8kzGnzMtRfsshCK8Eu+rF282XCtqyozXCjJREn/Nc6Zci1Zf5Kxyn4TJRhrZ3/XeiSq9K0D2sa+b/IaxkNAzx7+enGQFz0uoenITpi7chPuf2oQN9UdRt36/bu2fNiEXG+sPx5pt+5W9pbctjN8u2YKtexo1gFSg4/kcmdcbC17S+uvqTQcwszRPd44/v7EbbqcNC6oK8eyMEXh8agG27TmOnwzpqUxzq5/h2qpC1FYVoWuKDfeOHYiFvyhC+bhBWPfRQYwZ3kfJvTLcdkAypgz+UcTbC2RmeQbZZ/Mm8gx2q0XJbW1WbdGOTHjOeeETnIw1XuK/371rkqLhE//7edvCsf2mfG7SrI6/xlZ/BJGoAAnys1OzeDN+99fPEI4KGHtdH40vEv3h+GOQ143Q/QtX1KNs9EBdjpHs5DQgKLM9Q9cUG56bORLTS+Sah1GtxNLJbxCK8Bf1/s3IaJoCJYhgoV/HHpw4GKfbQzh+MqDZAxmt5yxrwd4vm1E+bhC6plgxv1KOT5XFOXj+tZ14+uXtaA9F8bOCyzBr8WY8+/edePy+AqUmYfR7ziz14IU1u/DY0q2oXrxZZlp5fZcm9np9IXAso0ytqI385pCA+36egyH9u+HR57Zgxv98rNRZnlm5HQ4ri4UPFGFJ9Y2YW1GAdR8dRMMRL0pG9dPF64Ur6g3zCvUEirqJ3eQNovFUQDcdnIirCUtYws7VDPfGL23D5NEDIcXVz8zW+1CEV8A6pN77m+e3IC1Vy9LIsQzuHTvQMLdoDwqY88In6NPTDbudAUXLTEbqGpogSoYg5Qgv4p0thyBJxvm3O1lmL6QA3ffr1u9HdVwOLucFB+S8otSDS9Jd8LaFdX0VMwCTcJ7qzGdrZ5qP7AWQCeCrf9+lXFjrTFQ+GhGQphp1jfISXn//AG4tvBwWC4XK4hw4HRxcdg4sS6P6f7VC6YQGoG79frjikL2iJGF2+TBFz2H1pgMKFcWCZdswLDsTC6oK4WuPaBBNw7IzUTZ6IPzBCGrKZCSyFNNkynDb4W2TkVKPT9XTLm3d04jycYM0OhKkgOb1hdDqj8LC0Lh37ECNrk9ykhWrN+3Hzi+aMbs8H+OvvxK8IKJHehKml+RqJggIpeeCqkI4bKxmGtKIg10uqtvkRqCqEJqwc7dIVMSS13ajeERfhcJzyWu78cikoRiWnYmReb2V6bqN9YdlGjQT3RGWpfHb8mE4GUNshCI80t12gNIi14kmya/uGgKnnYWdY0FTgChZwTBQkBnxYvRmjZZwVMSH24/qaEJvLrjCOHAKkuHkYMX4nLPW0zPTVKRpnBc+w7Ol91SDFUBRgCRdtIh+hqEhMZSOnkWNMFdPchDbWH9Y9x016peioTRAhmVnYsJNWeB5YxFf1qKNY2ZxjeiUPXD7NZgzJR++QAS8IOLhO4cgLdUGSZKLhKW3XoXlb+3V0MxZGFqDFpVMUEqRiIAUJxubCpQRVBQla8Ft3dOoFOFSnBy6ptjQeCqAstFXoWuKXdHfavLKU/DBSFSHjK0p84BlaTymmvYjmhSCSbM8QXNkbkYc+jVlHjDomHxVf8YXiBrG5s4Q8MUj+sLXHtFRbRH6zVSXFSWj+oGhESt8HNAUbHtlOpUp0VQXB0EAIIk40uhHRhebKdrZF4ga6lXarAzCUcFwEnzFW5+jbPRVmubP54daML+yEJIkgaIobNtzHL27pwCQ78OJlnb85vlPFORg2eirkO62w8pQaPFFsHL9PkM6Z6L/Cci50D1jBoKCpKPUi/fhHzMds2BCbS9I5/63dTYB4fWFkOriNFPCHENh4qj+8Pq0Gg6EUn7e1AIdnaYFsg5wXZx274q39qJ8/CAdHf6iun/hrpv7G8ZzNfrf6wvBylqQ5GBjtLIyQOq19w5g5xfNqC7zIBTmNRrGZlTTBJWvpskn52TZDjaRDLesYRTPSpLi5PDwnUMgihLS3XYlh4o/FkNTmhyB3FcKFOa88LEuBiS0qL7dzpcOM8cyyuafxCOjXGR6SS5ef1+vSz9jUh5ESURaih1/eOh6NJ0KYtue4/Bkd0eKk0OKk8PCmN4pZ2EQifKYPGYgWlqDGl9RA6OMQFLk+VQ3xQH5GXQ5OEMN1YrbJFCg0NYuN21uLrgCjz63GeXjBim+rZ7mmV9ZCD4qgmEopKXY0SVZbgiqNQhryjymILwfQ7y9UGaWZxC2AaPJ4WHZmWBoWS+SF0QkJ2lrFuqGmplen8XCKHpsamvyBnFphgw0Zmgaw7IzFZBa/DpNNGklCQqDjChKgCQhs4tDqcfQtOx7j1Xk40RLu0I5XVPmUeoXvbq5DK/FH4xocp269fvws/zLNFOGG+sP66bJ1IwB0ybk4mijH+s+OqiiQ+uYGLYwtOFvkOriQAnSRbl/+zYTBBGuJFYTb0RJwsLln2q0nHlBVPSp4/PiBcu24YW1e1BT5oHTzuroYmuXdVDQNXmDOHzCp2NMIQwYDE0DlL54vHVPI0puysIDt1+Driky41BLaxA0QxmyTNisDJpbZQaPivE58lR37DsEgNbWHkE4wsDpYPHqhv2YMn4QJo/OBkUbs2gY5RW8IMpyOk4OTjuHrF5uxafNJnEScTVhCUvYuZjZXs8XjOh6Hqs3HdDVAB6cOBgsS+uAQ26XXB8jFMmrNx3ArGe34PmZIw3Px9AdDUgiP7Kx/rCyXriTbTjpDWiuJ6uXGyWj+gGShFsKr8Dbm79UtLLV1/dMTAJidvkw3Xrj9YXgTOqYEpckILOrHVX/lYPGU0E899pOAEDFzwdi7HV98MaHB5V6XDyTDaBleDsfTCRnY2e6BrwD4J2srKwXAJxQv9HQ0PDKeb+qH4BFIwIoyMXnR5fKug5OB4dTbSFEeQn/+OQr/GRIT11hGCD0G078+u4heGHNLiXhdbtsYGgaz67WFsSSnRxOt4Wx8BdFSEmygmVonPaHkeq0Ym5FAViWQqs/gtlLtmi+Z7dasKCyEBxH428b9qO6zAMKMGz4AECK06pJUmaUDkUkIuoKLZIk4eTpEF58o4OCo609rFBhLKgqxPK39mDM8D6aCYKaMg9aWoN48Y298gRJzNHNNg6Egz9BTXB+jDFpuDE0hQk3Zek0ARmGgiTp9UOml+SCpgBekLS0c5M9YGi50aC2VBcHC0Oh1S/oNj5JqayhHqGFMae6fP2DLzWUWQAwuqiP8eaToZQpJ/X1w4RiqbPE1wJgVplHQ5sx6zxqmp0LvWdnYIWLxdQ0c26XTeEAZxkaLANUjB+Ee8cOgtcX1C3mE27KQpcUK2qritDcGtRpLzSdCigJQ7rbjhfW7MK94wYZFimYOJ81i2u8IKJ83CBkdnGApmlwLIPX3juA/76xH060aLXbHrpjCF5at0cpLixZsxNjr+ujbBAfvy/f8BxtgQjSWDscVgu+OenXFEIm/jQLz/59F5au3YUHJw5GKMJrzvnIpKF44PZrYGFo+AJRLHltN3519xA8fOcQpDitON7sR1QQdcXQRXU78ERVESTJRP8zQXNkakbF+vjkTtv0B8IRAb+6awh+99fPlN8uxakvgJDXAbm5bBT3Mrs60NIa1MRz0gxvOOLFxvrDKL01Gy4Hi3vGXgUra9FQNdeUecBajOnQraysVVJZnINuXZPQfDqI9z49ipJRWWhplfMD4m+SJK8XXl9I8/zcmNcTQwZkamiMiG6tuqBCihtquqiIICl6QEZ0zmr9zwy3PH0pmWhbqX34x0zHbDH52yw0dc7TgGb3i0xAUII8HUxon6MC4HawSHVxhkCNVzfs12ycLJALqAJFGVKV/9fIfojyEhw2Cr0yk0HRwD1js+F22TTAB4LU5Dgas8vzEYrw6JpigyRJiERlLcPN/+8YCq/ugf8a0Rc3DeuNSJRHRhfZ3/hY0ddIQ5s0VTLcdk2xkvgwa6GVQiBDU6BpKI1ymqKUZsvIvN5YunaX3HwSOtdajafT5inj4mGi6Pftdr4a/6lOK+4Y1R+vxJrVKU4ObpcVVpZRCge8IMLKMQrVMqGVowD8+Y3div7ItAm5+PxQM24pvFyTR9dM9uDD7UcxuL9MwWRhKHy694Qmd1EDo8zyFfJ6cpJV2TumODnQFIWN9Yc1z9mw7Ez44ig7Z5Z6kHNlGuxWxrAx4w9GNfqzNWUedEmx4r7xOai4DQq4jYcxIPHHEG8vlH1bnhHfJByWnYmSUf1Rs7hj3Z1ROhQP3zkET7/8mdJ0UBf0jHLjF9bsVKbs4n8/QM5LjjS2YdKtV2HFW3vxl7c/R2VxDrqnOREIRRHlBUweMxCCJGHpml0Kda2aBr+mzIMPth/VaaLVlHngcrBYsmYXXA4Ol6Q7TfeXBCxNgBoAMHnMQE2cHjO8j9JM7JpiQ3ISB38gguIRfbF60wGFGlUGXADWGBiD7M3ifwOOpSEKMkiXoSlYEqBnxURR6tCvk+R4Q2pX7mQbcq5MgxinrfvI3UNRe38hmk+H0OqPYGP9YWUCtMkbxOpNB/DLicbUy04Hp/iLKEnKftHtsuGGoT0VbdYMt0wDbuRDp/1hDYBMqWlYaNTeXwRBkEDTQFt7BBQAm5VByaj+Gv336SW5mHLbQPxtw360+iOxtb4INwztqTyLRsXnePBShtuOX901BDRNaZ4VdW5vBI5NxNWEJSxh52pmez1/IIKla3Zr6nBeXwgOG6vUAJKTrFjx9h6c9kU0FPlkKlAdgwmVs4Uxrj1YLLQyFNW9axLaw1FMHjMQrX4ZRE/TwJsff6npwcRLkz0yaSgYitIASDmOVs6RHoux6jrMtAm5WLW+AZ7s7rBxQEYXO2wcA197VLNW2TkL0pLtqBifI+8haAruZJtpnRnfgwQJRabJOrOsrKxPTN6SGhoaCs7vJZ03uwzAoZYWf6d0fqS4bmYsx6AtxOO0L6xxnPlVhfi60YduXZOUTY96ooNMc8z4n48V5Hqvbi6FK5e8RiY1COfssOxMTBzVX+MEao5vYhluu8LdX13mQXISC5qm0eoPQRSh2SxWxzZdf3nrc9z2k77wByNIdVnRdCqg4f4nxyUi4vHn4gUR3bsmwReMAhLw9037lQ1jSpIVf49NDU6bkIv3PzuKG4b2VMSb4x88dZIiF/MKQQnit/4e/25LT3f9UMDSl+Es/ZfmLGgPRUGBik3jARIk2DiLZloVkH/T2vuLYKEpNLcF0eaPKAEw2cnB7bRhpsF3CEIjPkh1TbHh4UUf6s9RVYQla3bqmtJTi6/GsZN+XfPu0gwnfv3Hj3THeWracJxuC+sCZ9cUK9oCUTSeCijXn9nFARtnMbx+4mdGxjA02qOC7lhJLHNeAvB30Zg60+fiP9l/zUxiaFQv1sc/9W/JUxQqajdq+MV9gSj6XJKsFO/M9KpIDJpXWYimUwFEeQH/98lXOp+9rzgHbSoedFJAURe4CMLpyRUdmjk1kz1wOTgcOdGmmdIif8eCqkIcb27HX9/Zp1wLmdSadOsAOKwsapd3nOPhO4fAYqF02j5kuntuRQFESYTDxkICMONP+udJXQQhMZ+1MOiSYsXXje3olenE1IWbdL/FkuqRsLM0glFRmVbcWH8YE0f1Py+Jyo/Rf8/VyPSrIAKCIBf0WJbGqbaQZmqTrLc/v6EvGk+1G/rYnCn5SnJNbFh2Jibdki1rnThYpfA8u3yY4TEeuXsoLAyte4bWfXQQJTf1RyAsT4X3zHTqCtbqZ+3Pj94ExNamtvYInlhej9nl+UrTUX3O2qoiHPymVWFMILmJsu64OASjIipqN6K2qhDVizfr7uPCXxQhyotY99FBxU8BfTw4H5qA/yn+y3AWfG20/qY7IUTOjSjK7H6dyQSEGv341XGfMqVKbEn1SFgkgpKklIIa0IHszOyShK+b/Fi96QAevnMIHn1OXjP+8ND14AURvvaIStuHg51j8M3JANJTbaAoShPX40FTZHqVgDXWfXQQZaMHKsA8YiSWpjhtsNsYnGhuV855SYYTp1rDmmYkOdaY4X00lHRPT78ODE0pvnY26NAzWS/N7D/Ff9V2PmPwd7l3QIcfg6JASQDNdBT/OYZSYhUx9d7wpDeAzC4ORVNNfX6z+DhvaoFGg21maR4+2vE1BlyepuwtfQG5CWe0D5sxSQYDOqwWHDjiRbc0Z5yeVh5WvdugNCTnVhToNOWMnpfqUg+S7CwoCoZ718riHLhdNlzeIwUtLX7l3p0ORHU5fupZ5BUXg/9+V6S49vu0JpYCxK8K8c1JH2ycBclJVo3vkXjbvWsSGIaGPxBBIMzDbrWAVsVRI/+ZXpKLHulJaDoVVHLHklH9Ubd+n6bpLUkS/vTqv+B22ZR8PhTh0atbsuFe9vGpBfjbhv1K3mFWc4iPtSSvsVtZBMJRRT+T1GLOKL/vTNf9LHOIH5D/Amfhw99mRj4LAK0hHvNe3IombxC3XX8FrhvcU3O/1f5DTF2jIjFs31ctGHB5VyUGLags1E0Cxscv0vBOddogCKIu7hrV4mRgGoPHlqr00Cd7YLcykEQKre1hjV8zDIWW00HDfFoGzTnxh5XyxMmSmhvxqCpW3pjXUw/8KPMgxcUhGBLQHorCH4igVzeXRqeQHL98nKzffSE1AX+I/gvge60pnoulp7sw5uG1Z/z5dU+P+8F9/od6zztbb3+I/vt91iDMzGhdmxVjj5rzwj/hdtlQMqofeqQ5wTAU2kNRzH9RVTe7qT9ql29TYlSTN6jTygM64uQlGU60B3nd2vD25kPY+UWzAhIOhQXNml9T5oEEoG79PozM643e3Vz4zfPaOE/qHbpcI9MFUQLe3vwlbhrWG4EQD4eNReMpfb+nsjgHl2a40B6ScwhZzxuGuVnXrk58c9JnWGfmge+0/wDO3ofPCNzY0NCQfzYH/TFZRJDQ6otouLndLhv87VENql5dJJhZmoc/v7EbI/N6I8PdIQhZW1WoNADjRasJbZWR5o+RUHWTN6jQ0RGag8t6JMNuZfGb57QURbXLtuHhO4fgaKMf4ahMk8TQNBw2Y3qYbl2TNEijmskeuOwsjjT68MzKHfD6Qpg95VqZ/1eScOJUu2ZqkFAirXjrc8y9rwDethC6pTnwRAxF/dVxn6bg0eRNoJTPh9E0hUhU1ATK6jIPOIsxulkUJYAxjhdGelRN3iCisakLtX8tWLYNtfcXGX6e8DHHo/bLx4mGtKLVZXk61P5DdwyRJ0gMqDEjIR4umwXWzOQYLQsNjgFEQcKsyR7MVxXLZ03ufKqPBzRaZ0BHALachxHtM5kGSpjezgSdT5BCJNYCsab1/UUQeAEMQyPVxWFBVSEkSW6qvPRmBx3V9JJcCIKooIWM4vPzq3fi5zdcKU8f8QJYC42tu4/jsYp8MDQFXpDQHooqBQJynQte2oZ5UwtMaVm8vjB+8/wnmtfSU+VrICAK9ZQVIGHh8s8051DT0LW2hxEIRdGrWzIEEw0YQilDChvL3twrTxFXFSpIaUPkFW0gCB1rxkQjF+Oc6r/PBEEEBChTPgxoRKMCXA4WT9wvN7aONfuV5u/PCi7DJRlO3eT1tAm5Oh0VovOjnvYjOYiZn0Z5EX/buB8LqgrR0hrSTNUeOtaGyuIcAMB/jehnSpO4dO0uHDvpB0PT6J7ugAQp1oA2nl7iYxOpxLp1SUJtVSFoGkiyswhFRYVmzGzSRY205hg5pxNECakuTslJvn0y88dFxxyOGq+/D9815NzpQM3Wt07iAikOhglFKEsbbgQZmgIDCt5AFCvX7+sU2Vld6gFNQ6GkZxlGaZoTIwU+EuvU5xyZ11unw7qoriO+El9e9uZuHW3czFIPguEoVr27D6OLrkCUl2DjgJ6ZyaAoKHkNOS45ljp+Z7jtSHValclHcm/V036dRdpvowRMmLl9l3vXWcEfAFp8EVPq2zlT8pHudsDrCxvGQUJ7FP+6+vNNXlkveM6UfNCUfD2t7WG8+m7HRG26u2OaNX7isKbMo9FQVR9v/PVXyvpWBgwbRs9L7XKZgrpLis3wugll+u+mX6d5j2VpDSKbZRM6lmo7VyChugkjQfZzSpLAm+qgiwoQOKuXW4fst1tZUDRwqi2IVJcNSXYWzadD2PTpESVX5SwMlqzZqez9SBx9/L4CzPifj5XzHTrWhvJxg7B1T6MSE+dMyTfMw+fep5c7afLK2sM/v6GvAnRq8sp0i5XFObgk3QmKohCK8Doa2ukluQhHBUR5EdWLNyOrlxuP3D0U94yR6WtrVAW5Jq+sm0soJclrndEFny964f9kM/PZZAenNAABYMDlaUrtAuiIP8Q3iJH4Qf69cIX8GSsrT9kzjDyhOWfKtcp+npyztT2k5AVN3iAWLv8UTz4wHJGofo+5dU8jKm4bhAVVReAFEceb/Xj+tV1IdXGYe588+3C82Y8oL0IUJV3OTahmzfJpG2eBPxhRfJGhKE0+ZrcyWPVug+a1lbFjqkH6C39hXHu5rLtLrl0AAMsk6g4JS1jCzosZ7fU4hkJUAOZXFoKiZCkoAHjkTx/D7bLhgduvQUYXBw4f90GEiMriHHkwqvxanPQG0N2ETtzGWfDos1tQMzlPJ9Wwof4oAGBR3Q48VpGvAOPJd4ksDwH0G9USbZzFMNeYWepBipPFmOF9IFGAlbUAsX2lmgGRAJwfvCMXz/59Z0fzEzQAbfOWYWi0tAZN68zfhwRJou/yLSaIEqi4jU/xiL7KZAbQkdzOryzEiZZ2RUjytC9iSM1iJFpNigBGug1EqDreaXyBqPJ9G2dBKCQgEOZNi773//fVaGkNIhwRYqg6l+Fxm08HMfe+ArQHo0h1cWhsCSIYktHhD0y4Bq3+MFa8tRf3jh0ESYKhtg7RgTkS410nnWyLhTEs8lgYGuC/W4niQnPp/tAsqmoAAh0N4Nr7iwx/Z9I0fGfzV7jtJ31jGnxWvP7+AZSM6m+sI2hGsymY0KuZ0LLQtDF1aSQq4KV1ezSJ70vr9uDhO4fAalIPINS9coFMQFSQJ3gtFm1BwWKhQTOUrHVlYGYBWBQBb+j8jGifTTEvYbKdCS0fTcOQ1paxUOAlBiFBxLFGH+rW78c9Y7Px4ht7UDyir6JzyrEMGJpWNodEJ83lYNElxYbfvyyjNcdffyUEUYK3LYwe6Q4M7t8NbSr05+QxAw19SJKMNQsz3DKFgtoy3HZYOQtqY5vKJm9QQb3Ova/AlPJRoaFzWhV6SDOdS6edw5LqG8HQFCRImDZB1iaSJCjFwHjap5oyD2gmUdS40MYwNARQsg+rqAovzXShW9ckPHjHYBxv9uOF13fjkbuHYtW7DZh7XwGCoSg41oJAOAqHldX4QWc5iFEzbVh2JpKTrBh//ZUAoAH9kO9fku7Eg3cMRqvfuJCd4uQ0SPoHJw7GxvojuO0nfU39lGEohQI0w23H0dik1923DNBQh9aUyfSO8U0ZMiVZPm4QBFFEUNQXvkkcN4rFP1Y6ZtqE1pumvttTfDbrmxma1Kh4R+gCyWdP+2Rtp8u6uzQIftKAqCzOwd23DMBf3v4cgXBU549qsF18zt2Zdpr631v3NOLOmwdgfmUhmk/LdIvPv7ZTeS7+a2Q/BMNCLM8SYGGMG93kfCR+T5uQC4oCBP7cctcE2Ojc7bvcO/OCfxEkSAqdefy6Wl3mwaK6HSgbfZUpkEEQjakyW/3a3KHJG0SrP4xUlxWn2kJ4+uXP4HbZYLcySE6SEcotrUFEoqKGBcaoYEI064l1T3Oi1R/WXYeZTm2XZCtoitLou5A47gvIz2SUF5W8oTMQXiK3kO1cmkqdNQ4lmFCwMrTmd1v25l78smQw0lJljXTWQqO5NQhekBTQcTygbN7UAh34kxS0gI4p2PRUG1xJHJ6aNhzetjBWbzoAmqZ0ekFN3iCON/sNr7etPYLkJK0fNhzx4rGlW7GkeiSWrt2FklH9sfYDmb1o/PVXKsCXe8ZmK88RKe795vktmDMl37QoafQ3Geq6/4h1hc/UzHx23lRtQ9ds3TXSwCO1L/KZjC52hCIiFq7YqvjiQ3cMwa/vHgqnncWJFpkhg0zyE/Ca/FuIoCnj/PPg121YunYXZk+5Fr26JaPitkFoaQ3hmVe2AwBKRvWD22XVNYtJLu10cLrcmxw7FOERDUgK0J4XBSxdu0uZosnoV5MvdAAAIABJREFU4sDIvN46VgSSgxMzqw8yNAVKldcm6g4JS1jCzpep93oMKENwuCuJVWpYf31HrimlODkk2TgseW03fn33ELQH5YEqNfBczZTotHNwu2wIhgQseGkz5k0tQNWTMkuVmvmLMdFQ5VhGAdnXlHl0sTIU4Q1zjSeWb8P8ykJIkogoL2HDtq9wa9EVSHFxSl2ZyDd4fSFQoHSNRHV9geRh4ahgmhN8HxIkF0secs7G0JSueGuWrJxqC4GiKER4QZlKIcVkWRPChl/dJU81mRUDjDaBG+sPY2apB0+oKOFIIgN0JBQ0bVMKCfqmCw1fIKSZXnzojiG6gguhzBiZ1xtJdgtOtPC6wrqFoTBmeB8EwlH42o0TkFCEV46lRtLSNGWsQUdToBgaXl8IPEWddeHiu1At/lhMMEF1AiYNEpqChaZww9CemomQ6SW54FjaUEeQYxnTIGWoo2byOkXBcOKPifmATteQodAe4c+YqjMiAI8ZFhSKzDfLJgGYpqlE4+N7tDNB50cMJlve+/QoRhddoaMv5AXR0Mdml3fo76l1x8rHDVKKVzQtFwrWfviFjipm2oRctPpDhj7U3BpEZheH7jmcNVmmUCDfIYWUeOAJ0FHgM9v0hSI8ppfk4plXtit6PB9sP2rYGFnx9h78LP8ycCyjmWBvD0Xw7OpdMbonB2qrijTUBuGocYy5mIoaF9LM1jWHncWq9Q3Y+UUzHpk0FA6bDLqJ8AK27mnE2Ov6AIDSSB6WnamZEDQr3LocLJa9uVfjp4TuLX6NILqVAFkDaASCETjjhMHJ+11TbGg8FVAmZUVJUtYet8umezZmlubhrY+/RPGIvli6dpeS8xg1MIkm4Mb6w5pJxfc/O4qfDOlpyLqgZmi42OK4+fpOgzdDyZxnMyoOzl+2DU9UFRk2YQSV1l08u4baSKH2mZXbMfe+AkOAktr/43PuzrTT1P/OcNvBMgy+bvIZ0n057Rye+ksHbdzj9xlrDJFjpcfWoA3bvkL3oj7fyScTYKNzt3O9d2YF/+bWoALcafJqAUYZboei5U2Adkb58oZtXxlqa696t0Fzvgy3HW6XDTQNdE2RNbVG5vXS6CRPL8lFtzRj1HVaqh2zl2jjvNcXwqxnt2BBZSEYRr+XUGtfqq+DoimFkle9tyR0jBluO1gLDT4G/kw0TL7d1PdIXQCTAJ3WHAHFRmHeODTKrWdPuRYnvUGwLI1LM5z41d1DcPJUEFYrjQgvoM0fQVqqDW3+iCbuNXnlSTky0czQxjo+p31hhQ2J+ENtHPVyeyiCbgaTAXXr96O61KOhxyca8OZ7Uxqji65AIBTFzi+alekB8r7bZQVnoTG7fBgyuzgASr7HLa1B0zxbbZ0V6X7MusJnambPdTzwy2zdjdfAI7mn+jNOO6trxP3+lc8wuzxfiWfE1FP3w7IzEY4ICMb2TjoqOUmKsVrtRemt2QiGeU1TTm4y32javCT0svFa9dNLcpGcxEEQJcwuz8eKt/dg7HV9kHNlmmG+qqavjfe/jfWHE5P/CUtYwr436wwAR3LSu28ZoKkhPHTHEFCgFO1hojdMcgJ1DJxekgtRktcRSYLmmORzZ6KhurH+MKrLPBq2PDkHp017OjP+52NkuGWwXjDCw86xigzctj3HUTKqH7p1TYLFQsPpYBUpn/j6ArlHZixbZK97oWN5gmvjW8wCKMXbDLcsck2agmrLcMuozD+u2oFUlw0P3TFEaQQuXbsLVpYBTQOSJKFris3w+2QTOLM0T3k/wy0LVb+9+Uv8smQwllTfiHlTC7Duo4MaSotUlw2gJLSHeM21kqIyJElDaUqSJCtrQW1VEZ6efh3Kxw1SHsDVmw4gLcWu+86iuh1Icdrwx1U7wDKM0t1Xn6+mzIPLe6Sge5oDFeMHaXVNBFmran5lIZbOuhGzy/Px3qdHIYgSTgei+NWiD1FRuxHVizfDG4iCYc7MRc2C0Lmp2/xnGtl0qS3DbYckAe99ehSzy/Px3IwRyj2P8iIEUe8Xi+p2IMqLOoqfJ5bXg+dFnX9NL8kFw1BY99FBlI8bhNqqQsWXBFEyfF2SgA3bDmuuacO2w2AtFKpjaA1y/OoyD2wcDa8vjGdX70T14s0xVF8YMPEPs4aoIJo3hEkAjvdlsinUH+vi2MR936ZG5y+pHonaqkJNTJEYGgwjgwvcyVYFwV54dQ9dTPjjqh0QRUkXs8gk3IxJeTrfXr3pgOwLkz1wu2x47b0DmHRLtuGxI1FR50PTJuTijQ8PgmMZAMDc+wrw7CMjUHt/EXYfbIYkAfOmFijPx7I39+Joo9/wWXbaOWR0sRv6qcNmURozJP4N7p+Jd7YcwmMVBVj4iyJNjK9bvx+L6nageERf5fm2shYFQS3rq0lgJFFGlAqiUtSIvy6GvtjaKBfGzNa1b5p8uKXwcuRcmYa/bdiPJLsFlcU5yu8jxsX1rXsaserdBsybWoDnq0ciLcVumoN4fSFYOQbzKwvx7IwRKL0125AesWRUP+V700tyZTFtCVjx9h7DnKCtPQKHtYMaSX2NDUe8Cn3XkuqRmF2ej7c3H8LrH3yJXt1cmF9VCJqiUDb6KvTq5oLbZdNcO2lgbt3TiBfWyPnW0rW74MnubjjxWDyir/LfF2Mc5ztZ3y+UmRUHeVGONxZJUuIOAMPYQzaEaiN+3OQNwtsWwoq39+hyCrfLqvw32XyS/yYbRbN1YNqEXKXwtv6fh2BhaDxWkY/Z5cOQ1cut+PuyN3dr7u+L63ZjZql+bdhYfxjTS3Lx1F8+w2NLP8Hw3EvBMOfzTifsQpjZ2tjqjygFbqCjgf3Myu2QyRklzCzNw8b6wxgzvI+SLz89/TosqCpE97QkjC7qAytLY3Z5Pp6dMQKVxTmQIGHsdX00/jSzNA//+OchfHXch5bWEEpGZSnFZ0CV30dFw2s90dKu+6woyk309C5yQYWArUi+8vdN+3V+PbM0Dy++sVsXd8vHDYKVY1Ayqh/mTLkWKUnWb71/idyiw8g9Ik20pWt3oXrxZtTE7ZcJeKh68WacjDWf1UbWvfjc+skHhsPXHsUf6rbj13/8CHNe+ASNLQGs/fALRCIi/vL23pjPwpTmkACRT7eHdHG0psyD1GROQd6PzOutW58X1e2Ay84p01lq8/pCcCWxqCzOUfxvxVuf48kVnyISFXS1k+oyD3zBMNJS7bBbLaiZrL2eOVOuRVt7BCEVZTW5x399Zx8enDhY8/mH7xyCZCen+5vMmtRme8qLqalt9lxbGAqP3jOs03WXrLEk3jx85xCkODkNHdvM0jxlvVdbk9ecRpn46OQxA9F4KoCFyz/VxLXK4hyEozwe/MOHWLp2F8YM7wOOpTW5o/rvMPr73C4r/vHPQxiZ1xs2jsHcigI8N2MEFlQVgmMZBEJRWFkGp9pkZoNFdTtw20+MGTqKR/RVCueuJK3/TRzVH6kuDrVVRXih+kb8bvp1FxX4PWEJS9j3a6YALklCTZnHcNLu9fcPQIKEBycOluusAP7y9ucoG51tmBOQPLS5NYhpE3J1x6xbv9+wNr16034lrv8s/zJ0TbGhZrIHz88cicriHLz+/hegYLyPJAwBTV6ZVY9lGNQs/hiVCzfh/z6RJwOfXb0TlQs3ofp/P4Y/1sO5+5YByOrl1tQXyD2K329qGn2d1Dr/XXYx5SLnZIIgIoll4EhPivHRAlaWxqwyj0Zol6B1mrxBQAIoSsKCqiKc9AbgC0QRjvJYsFj+/PzKAkPUe4qTQ6/MgaBp4PH7CnCqLaSMmzYc8WJD/VE8N3MkWlqD+O+R/XDv2IEAgNb2CAKhKJJsFkUAniBNQxEeoiSZPqRN3gCeWbkdNWUeXHFJMljLZcp4q5H+Q5O3A9UaCEc10469u7lwrNkPh90CMaa7QzZwRhMNpOs/9ro+oCgo95Oc52xQ+gkUKcAwMEQLcyxtOO3HWmhEzEaTTbTEeFGClWM0NJtWjoEgSRh//ZUatNuDEweDY2ncfctVOOkNAABYC4W7b7kKVpbGjZ7eOmSIKAJ7v2yOjWFLoCgK2/Ych2tgD8Nm5YKqIkMkgxkKlaFpmPGBmlFB8ZIJZc5FhOa8kGZG6xuPzicxZeX6fYbIobRUu6EPWxgaaz74QplykyDhnS2H4MnuDo6lUVtVhNN+WVcPAMpGX4VQhEc4woOm5SlofzBieGyOZeRYr5pGIjGu8VQAs57donz+uZkjccUlqWg6FUCvzGRNsW71pgO6NWLGpDxEojxO+8NIdnKYXZ4PfzCCSFRAkoPFL+d/oLue9FQ7bhjaE/7YhFbXFBuA3hpNVjXNHRX3/fj4mdCburBmtq7ZOAueWF6P2eX5+OakH3OXyjRIRL+HNqDGOO2LwB/k8cTybYaTdzMm5YFhKNRWFQGUFGM1kEDDmGajR7oTT00bDn8gAneyFUdP+PGHOtmHCV1jipODy8FhUd0OZdp0WHYmtu5pVDSNiZHmc21VYawBLcdZh82CU60h5djk+Y6fRCSTWrKWS46i92dWBCLfuxjjeGf50oXqP53tlIRR7OmWpp+uJrk48QlCSTe/shCn2kJIS7GDYzqO1XDEi3UfHcRjFQUIRXhwFgaAiAWxPOC0LwxeEFE+fiAcVhaRKI8p43NA0cCQAd10OhLuZA48L+mo8Ah96OzyfFgYCjRNIRjhcc+Ygfj9K9sVX35ieX2njAUJ+2GakX/OmJQHmgICYV43DVJT5gHL0IjyIla92yCzr9gsmHRLNkIRHilODnXrG3DD0J4a/35w4mC4kmQfU7MfJCdZsWHbVxqfNNOLag9Fdewy1aUePPfaTt1nM7s4UD5uENr88j7TiEVh4k+zMLs8HyxLo7GlHU4HZ0gFqUZWz4oVfjq7f4ncQmvkHnl9IcNp+HjUeZM3aDphReKsOrfmBdFUt5RM+RHKaDN6+1CER81kD8IRHk67DCYSRAmiKCEYicJu5dCtS5KyDhv5Z0trCBvrj+j8gWj41a3fr6FIBOQ6yD8++QrzpsqawYIowcLQWPL6Tpz2RVAyqh96ZrrkvF+SwFponGgJaNhoppfkIsnGKudd9uZejR73i2/sAQBFm+jbGIsS1MzmzzUlSOjdLVmnKxX/39cP7qmNqaVDMbeiAKCAVn8YTjtnmkuY0Sinux1YUFkIUZKUZjZ5hojVVhUC6HgG5lfKrANqPfU5FdciFOV1rEY1ZR5QNKXLD6ZNyMWlGU6wDI0FKpYAkrOYNS0v656MyuIcvLRO9j+SW3dNseGFNbs09PYZXRxoafH/u37OhCUsYQnTmFn8/epYGzbWH8a9Y7UyOVm93BgzvI8yva2OgW3t5rU1wm6195AX00tydfWDFW99jgVVhWgPRpFkZxGKCMr0PwHxnGoLwdcewYKXtinThC+u223IwEHYFsk1SKKkaMqOzOutTBQSVgbWQmPSLdkKENofjIChaTAx6FSGW88QmZZiP2f99/Nhpv2RrKysHmdygIaGhmPn73J+mCYIIiDIY5M0ACEiItXBoraqCM2tQbT6I5px/cMnfFiwbBuemjZcKWbVVhUqzTmH1aLTK5Mg4ckVn8HrC6G6NA+uJE5TFAY6EncbZ0GKk4OvPYJAmAdNUVhUtwMPThzcaTJj9JASBBXRg4jyEn5ZkosTp9rRHjTePBCaDG9bGECHuD0Zg508JluTEM0q88Dl4AwnZ8rHDcKiuh06fnjymTNt4iVoNwBBkPDRjq8xuzw/pu8HbNj2FcYM72PYQKu9vwgMY9wss5i8ztAU/rZhfwzdBkR5CX/bsB9TxudgzQdfaOgY13zwBSqLr4Y/ENXR0DqsFt1m8/evfIaFvyhC9hVpOr0nMx00UZQMm4BWltKNfVeXeWBlKUQ6iapGAdjC0InixAWys6H1VY/XGyGHCBWBUZHijlH95YVXEsFyjG6jOW1CLv76zj5NoeGpacPBULQSt4yOnZxkxR9WbsdDdw5GIBSFy8HK4vBWBkte26357PFmv6L1N3vKtZoiodcXgs3KyMjjJA4nWtqx5PVdiqbFktd2I9XF4fYbs/D0y5+ZXs/xlnYAwKxntyigADWdTYbbDj52X8mmGZCTNDLpJTF0ByVfoqhxQc1sXSPrNkNrqQ0bjnix7M29+NVdQ3TfKxnVTyn6NnmDyuRdty5JONrkx6sbGjBxVH80twbw4ht7kericF9xDkQTvddjJ/1wu2zomemS6bypiOY6SA5SW1WoaXDMm1qAQ8faTIuThO4ow23H7PJrEQjxutxhUd0OVBbnKM9PPD36kRNtcLtsSHYYU5OSc1+scdxMg5GmKeACPcpnW/Q3ij2SCKx463M8cPs1SEuVJ5kIiE3tE1v3NOL2G7NgZRlYICEaUR8L+OakD39YuV0TF2urisCxHXQ1xDLcdiyoKkI4LBjqSCyoKsTXTT7D+8sxDH67pENDa3pJLnyATl9TvIDN2ISdHxMEEakxvRCng0NKEqfRH31k0lD8smQw3C4rjjb58cH2o7il8ApIktwwjm+aLX5kBK4ffKkud39m5Xb8smQwMrrYNQ252qpCDLg8TeOTZtTh/kAEDpsFcysK4AtG4A9E4EpilQkb9WdpmkKvbi4IgoRXNzToiiUzJuUpe9faqiL86dV/meYjamT1/GXb8Lvp12nuXyK36NzIPXLYjKfwyH5ZDfIgyHP1bzarzAOGoRARtdIbnelNkwZIqz8CG8cg1WnVUyhO9iDVaUVbIIKnX9aCdqwcg//b8hUm/jQLVGzKrzPq5cKreyAc5TX6O4S6nqz96u/4AxEcbfSjyRvQ/K0P3zkEdpsFLaeDOOmV6cQyu9oNG55kX5zs4DBvagFomkZLawB/WLlDszZQACySdEZFuouVmpmAOcOihGQHhycfKEIkKmr8jY7p1pF7ExW02nVRAZqYYKFphKI8WtvDiEQF/OnVf8HtsmHKbQN1vvjQHUPw+vt6MGV1mQcvrNmJrXsaMbt8GADz2hixJm8Q/lg9zGnnUFtViAy3A6IkYdazm3XA+6ggIhIRDaf65lcWGtbCKotzIJoAjmkaGn8nMX/hL4qUdYPU8dQxNWEJS1jC/t1mtJdT0xj/LP8yTVwzkvQgMdCMXt5p57Di7T2YcFMWjjW34+smvT6w1xfC8eZ2/Ob5T/DHX/0EwVBUAXNSAE6eDoLmKWVAQF07VAOX01LsWLJmp2ZfluG248SpdkVrnuREhJWBHGdYdibKRg8ETcn592vvHcAdP+0Pd7JVAzxdunZXbL8rfa85bmf9la8BSECngFQJ+M/dqxL9MbUGHQDDKRQjoyggOYkDIOGum/uDYxm4XVZEeUFJLojRNDDp1gEanZ3JoweCpil8c9KnFIgfuP0a2DgLGIbCrMkezH9JWyAJRngk2VkcbfShbv1+lIzqpzRYOkuo7TZLp53uJq88IbNg2SfI6uVW6BDiEU4PThyMNR98odGjIBvBJa/vwl0399cl1vOXbcO8qcbaLeRB4k0KjWfaxEugSOV78JPB2om/WWUeU9S/KEpgGGPNPo6lDZtonIXG7Tf1Q1tsM89aKNx+Uz9YGAqTbr0KTac6Jv4m3XoVeEHC6+8f0DQHX3//AO4dO8jwmqKCZFwIMBHutjAUjDhfI1EJH24/qm+IFvU56/uaKE5cODPnFtdPBBO/NkMTg9LHhJmlHkR5Hizb0TqOCJLmnG6XDVFewPSSXHzd5MfqTQdkGiI7h2CER5M3aFhYmTEpD6s37Y+JBAPduibJhWoJCoIe6KApeO/To7J4soNF06kAuqbalSQkJckKfyiCp1/+TN7kjh+Ih+4YjGPNfqXIffctA/DOlkOoLM7BpRku0ySsfPxA5Z48sbxe0zyZXpKrXFN1mQf/2t+IrF5uzVoV34i9WIsa34d1llxnuO0QJSjUhuoGXHsoqps86Z7m1DwnZPLu2UdGKM1qXhTx2ntfYMptAxGNipj5p4+Rc2WabsKcXIPXF8IvSwYjs6tDoTn/tmKKBDnP6ZJsxbypBfD6ZJ3LjfWHMf76K0HTwJLqkRBF4FRbCAxjPom46KHrYbda8Oc3disgLPW1PflAkWFe4EpiFU2kizGOUxR0/kG0SC+Uncu6Gh97LDEtzN88/4mCxrxnbLYyfaou3KY6rRBFETw69LMoAIyFBmthNPF52oRc+IIRpDg5Qz1ljqVNC+at/gjq1u/XrQ8zS/PwUhxF6KK6HfhlyWAAHRpfKU4ONE2BAX1R+uZ/slGCJOuKgYLXF8bIvN6K/uiTKz7F3IoCPLNyOwCZYWDWs5vxwO3XGMbN9lAU6SZsBqkuK9779KgmLpPpQfXnjfKU6SW5cLussNksCIV5uF1WOG0sWlpDeGTSUEWzleQEDEPhy2/adHSlJE/5eyznmTVZBtnVlHmwcv2+M0JWR3lRk9clcotvN0KD39l+WQ0eIsjzyuIcXJLugoWmEIryeORPHyu1iHvHDgRFUbAwNIZlZ2JkXm9lv7ax/rBSW3C7rHjtvQMYmdcbG+sPY+x1fTD3vgKIsYnpJDuLcFTAvD93MBMUj+gLC0MjycbihqE9seT1Xbjz5gFKDcHIT9Z9dBCTxwyEty2kaX4Q65HmVP4+0ujrkmLDQ3cMxm+e36KJsU+//JlMwa8CosoxnDF8tnheVI5BnoFUV8f018VWVzgX+zYw59ncO21MEJDEMrCmWNDcGsD0klxEogKeXPGprhHXNdWG4hF90dYeRs1kD5x2FqIoofl0CKd9cv2ibv1+TC3OMVzj43UHT/tCeHDiYPxxlcxq8VhFvjK1QvapxGqrChGAMUWpJBnnDT3SnHDYZMraBaq636zJHlN9SgKqUB8nPqYmLGEJS9i/0zR7OQn46libhm2qbv1+zT48Pk8F5Nh1SboLoSivqz2THKHhiBeHjrVhdnk+IlG+09qIjWVw4PApWFmL5jO19xciHJHp8NWNPKKv3OqPIC3Fhomj+uPQsTbDmkf5uEFKTqRuaJIJx9kqoOe0Cbl45R/78LP8y1C3fj8qi3PQI02eBme+5wYg0HkT0N7Je2dlWVlZvwNQDOAyAIMaGhp2x17vB2A5gK4AWgBMamhoOPBt750P60hSOiaO5ky5FtGoqKH5NJpCUSc4bpcNk24dgD+9+i/Nj/5/n3yFkpv6I6uXG6kuDmkpDlQv/lhx/K17GnHoWBsen1qAx5ZuxY15PXFL4eWaQtuM0qExWkSAYSiEIzzmvPBPzXmsXEciu3rTAR3FC6Gjcdk5rPzHPpSPG4RLM5xoPNWueUjVxTqvLwSLhYLDZsPTL8sUIO5kq4oKaRAomsLooivw8xv6KhvBhiNedE0x3rSaIc/Jg9TSGjQUZj7TZDvRqJGNZbUTpixLd4r6F0Uom3qy6Vv30UHcO3YQ3vr4S00T7fX3D+CumweAoWjNhqqmzAPOQqE1flJLEGG3QkfVOG1CrsKjb7SJFXhBVwhgOcawmMvSsvB9vPGiiNc/+BKvf/Cl5vWbC644J3rYRHHiwtjZ0PqSQocZ+OHIiTZl0SV0Ps+/JqN7hmVnomJ8DgSKAlTnjEf1qFHML725G6W3ZiPDrR/pV1MePnznEPiCESxc3lFMe2TSUBngYbXA7bKibn2DTgC+psyD9FQbTp4OKajpOVPywTDyls5utaB3t2RdM/CWwitwqi2IzC5JGtQ0ed9p55DVy61oBV6S7sJT04bD2xbGirc+x8N3DsbcigL845+HMLqoD4YN7K7QNJD7fzbUzAk7NzOjwXU7WDxxfxGivKj53avLPHA6LKApSteks7IWrPzHPjx855CYfp5c/DB6To42+ZUCRoZbbkT72iNKjN9QfxRHG/2GFOVZvdzI6GKHLxABQ1OGaGxCYUSOf+ykHylOK0IREY/FaExJrvLOlkPYUH8Uix8ZgVZ/GBRlPs3ScjoEd7INFEWhYnwOSm4K4+TpkCaviURN8oKY9t3FGsdpigLHaXMFjqNBU9SFGgQE8N3X1ZQkPbrywYmDEQjLoAsy0dw9zYnGUwEsf2svvL6QJq+XJOMcaGReb+w52IxrsjI09yndbYe3LYRTbcbPU0trSFkfHp9agFOt8jNDAYYUiV1TbBiWnanLk8wm4BP2w7b4PaQaEe0Lyg3Bx+/LVxrwRHtM3ZCXqfElHG9pN/Sxr5v8ePkfDWg8FZBpOC0UTp4OIsWpB4Ss++gg5lYUgKJlDc1Wv9zsZmgKbf4ofv9Kx55yZmkefn33UPC8iC7JNvhDEQiChNWbDuDuWwYoz0WKk0OXZBs4C42JP83CxFH9IYoiwlERXV0cKsYPAigolOs0TRkiq1kLDZ6/WKPwudu3gV7j3/f6QnC7bLDSAA9JqSOQotWjz8lFq9uuvwIlo/prCnAzS/Pw0Y6vMbM0D4CEe8YORDDM4/Ybs7BwRUfO8eDEwfjdXz5TaLpuzOuJmwsu13ymutSD074I/vfV/4fy8QMxZfwgSBLwWEW+TGtvZ0FRwJTxg3DaFzalHOVYWqHkFEUgGI5i1uLNChuS2mRgRlgHvphdnm947GPNfs1na5dtQ21VEe4dK160dYWztbMBc5oZyYfl+EEDlARIAM1QYACkOm34/Svb8cuJuaaNuGVv7sWkWwfAKsGQeg4ABFGEhaEw974CSKIEi4WGPxDRgIJqyjwQJQnPvyazsTw4cTACId40N/UFomAtxjUOM8A7TVMIRwVwcSxhrIXGuo+O6JrlhBqPAElJwz4RUxOWsIRdaCN7OZuNxdK1uzTxzesLIRzlFcYWCsaxsfGUPMV3Y15PJa/96rhPs6dv8gbR1h7GMyu3o7aqEPOmFkCSoKmNTJuQi3e2HMLNBZcruQ0gA/zb/FHUvSuD1EIR3nDvNWNSHj7+19eYN7UAre0ReNvCOgmdNR98geoyj0ZSy2zCsXzcINg4iwK8znDb5ZrEDyCPMK2HNzQ0hM/jedYAWATgo7jXnwPwvw1EPHhZAAAgAElEQVQNDX/Nysq6C8DzAEacwXvf2YySlMZTAaXwRV4zSlzU3yV0lkY/eu3ybZg3tQC8KIIXRDw4cTB8gahCx9bkDUIUJAzLzkTxiH5K95gcZ+HyT5UpD0nqSNzV51Ensg1HvAiGo5pCMKGQe/KB4Zg4qr+mcRmf5Lz58ZdKMv+nVf8P0ybk6vQfhmVnYsr4QYhEBKS7HfAFImgPR7Dzi2YAMG3uWBjKsGu/7qODyv+X3noVnpp2HcIR/pyS7Yu9UcMDGh8BoCAfjNCWAMCylOIXms0kQylcyupjCaJkmNw/cX+RjvZzekkuUpKshkHxyQeGG6LvGNq4CBmNCOgaE78WJAkMRYFj5NeNzLzxiYu38vsfYGdD60sKHUaoc7VmGFl0y8cNAgA8fl8+0lLtONLYpkxTyyhnm8LjTaiNG454sahuBx64/RpFa8yo6Oz1hRTtQLfLhkef01LE+Noj6JnpwpfftCHVaUXh1T0MNV3Kxw1SaAJ+fsOVmPNCx1SvTGdLY/lbezEyrzfuGZuN5CQrVm/ajw31R7F01o1ITrJqii7TJuRi2Zu7UTyiLxYs2yZPj4kSKArKhOM3J/2I8hJe/+BL3FxwuXI9ajNrxCbs/NiZ0OA6OAa9MpPx8J2DwdA0rCyFcFQEKAqr3m1QwDpOO4f1/zyEMcP7IBCKIhCS4zLx72+bznAnW5Fk007XNhzx4vAJnya5JxOjNYs3K34bj8ZOd9t0E1bvf3YUE0f114CimrxBLFxRj/Jxg7Dzi2ZYWQa8IOdNG+sPG05ViZKkQdzJIuBaqluGpi76vMDIRFFSQArEMtx2PHF/0fd4VWdvNE3B7WCxoKoQJ70yG8ayN/cCgKLvZIQUVef1FobC2Ov6GOoKFo/oixWxeEvoz5u9sj6l0fMUX5ijKQprPvgCW/c0yno9Bmsba6FRMT5H9zwkgBf/ecZDr21O9oNL1+6CPyBPbqjBkoTCuXzcIFzW3RU7EgVAwrtbD2PGpDzNmq6eUtlQfxQ7v5A1tBfV7UDOlWm6z4+9rg/awxEseW23JjbOmZKvY215Ynk9HqsowLI3d2NkXm8sXbsL86YWKM1EoleYnMSBpgEJEvztUWPgbAxowQBgQOuQ1TVlHqQkWdESMoLxJawz+zbQa2fvC1THZH180WrA5WlKAxDo8IkFVYUAKNSt34ejjX4Uj+iLPpcmY86UfLSHovAHInAmsSgfPxAcS5vWNGqXb1OYKLxtYTz1F/0aNGdKPua88AncLht+cfvVhsCi/8/eucdHUZ59/zcze0yySRYSIloBi7ooh7cBCZIEbUVpq5yU55FgK0SNEaJCra0Q8ClqkaBtH1ttxSIqYlvQ56UqoH0fCrYVsCqKLYi4eEDAE4ewSTaHPc3M+8fsTGZ27tnshoRswvX9fPz4YbOH2Z3rvu/rvq7r/l2SLGtJnaVV4zpUQ2KdmIpEY8z5e/OOT03PFSUpZflPIr1iThYsf1hVccnJcmDtKx/gPyZeiIpJF2rSsqxEnP9wAC1tMcsYHQDt5LP+tT+98RJUTRuJQWd5cPjrIDbv+BQlwwfi5qnDNVm6m6aMYMojL64sgd3GoaE5YirumD+zGH/+G1uitLk1gobmsCEGqV7PvBmjtKJT1bcuyHfhstHnmtSaPG4HAjSnEgTRA+gLM/Vz4soNe7TC4errRjCV5l7frcSbt+46gq27juD3iyaaEooDvErLkAUVxWiLxPDS3z/B5AnfRDQmo3LyxVqR8uxrLoIsw5B3mXHFBaiLH5JqCEYw+5qLcMvUEYZEoT4Wce8Tb2DejFGmHtjeXBdumjIC//vPg7i67Jva+mOlStY/z4X6xpDhsUyJp6V0DT6fjwdQBeByAAXQSYT6/f5JHb3e7/fviL+P/j0HABgN4Kr4Q+sA/Nbn8xXG35/5N7/ffzyVa+4IlpOiNgjWo9wswCm0S/PoX2t109XHBYHH8YY2ZoAhEFSkrm6aMsJQqaZ/H5fDhpNNIUvpoUg0ZnAoNr7+CWZe5TOcClBlRBUJLKWPYUyUcOf134JN4BGKxBCOxlAxyYfLR39DC6JIkAwDddzwIlRMGmaoqPrxDWOQl+PEippyxCQJDrvATO4A7drukoR4DyGgevoogJNRPX0kbAD65bpw/HiQnO1OYOV4Q+aYle7V00eBBwebjTNUntlsyimBxNMli+aMtbTDmCgz+w4urym3sFsRa1/Zb7imta/sx09+OMZSXzgaUU4IDiz04PjxIKJxA2GdoOEF86mUBRXKCUSJDCtjSUfWVw10JFadczzwi+feNfVZKsx3mU75qUmJhXMuQSgsGqR09RX8ao9MAPjH7iN4cJ4SXDn8dRBrNn9g+KwnFl6hNRxO3Bzm5dghCBzO6p+ddN1YvkYJlhgCKPHH9P2D1OTmno9PIByRIAicYUyp1z/98vO17/TM5vfxvfFDUDHpQuRmO5WT4tkOPHRHGRx2HuIpSjMT6ZNK5bQ6/9kACJBxojGC5Wvexl2zRhts4sqx5+Lab18Al1O5l/WNIRwLKJXS+kBCQb4bq1/eazqdkeN24Ot6s97+tl2HDGtCxaQLtflVtVv1ulWeWDTRUJT093eP4DuXnIsTjW1M+1flF080tsLtskHgeUy9bCg2vt4uQZeb7URrOGII3qjrjV7qlmS7rEkWpOtt+v6iKIETeFMP7UhUtAz+LV/ztrYJ40QZXo8T9906HsHWiKHHd16Ow9Sv7RfzJzDH0wBvFux2DhOKv2HymwCl6CLRJ5k/sxgy5A6DplanhInMwuo+5uU4tMTw0qpxEBKKJdWCop/fVorbVmwDADzzs0n4ziXn4oWt/nb5zRwnOE42FVa0RdoTGkeONmvqBw67AMT7zSf6QzzHLvZpagmjcvII/FobTxxWLrwCPM/h9d2f46Lz+mtJRn0CRn09K3ltlZTieUpxd5aOilus/q4vtEuMX1jFM44HlF6Uqk+8+uW9hr58amAvEhXx5Et7cfOUEWiwiGmoUp5Wn2WLy38fCyjSnAA0ydH6xjY8s2kfbp46XHutPm6z4bWPTIkXfesSlQFeN443hPD2vq/wQHUpGlsUSfJ1Wz7E1MuG4sjRZlMxEfm+qZNOMafptQKPGDiTP7winkCOiTKuv+pCRCKSVtzGSqqt3/IhAFhKz3my7OB5ttR8jtuO/7vtAG6drsy7JcMHYtuuQ5j13WFwOXh8b/wQbH/vc8y8yoft732O+6tL0aSzoSkThuK5V/cj3+PAg/PKcKKhzbAfU5U11H5LNhuH2t9Zn2Q9uyBHK8hXfdtIRDIl7OuoJ2DG4cl1w+XMhHA/QXQ/amGm3tcTBE7zWf2HA6hvCGPbrkOGWNX6LR+icvII7NzzlTaPs+K4i+aUoC3c3h/4gdtKcTzQaipQznLaDbLe82cWI9vd7nP4DwewZOUb2n5Oj943SpQeXzh7LJ7ZpBTJvfiPT3FZ8Tc039uqCMmTZcdf3jhoeCxTfIpUZ6ZfApgM4CkA/wXg5wBuBbD+FD77XABf+P1+EQD8fr/o8/m+jD/OJflbWknA/v1zmI8HgmYpHyvpiS+OB9E/z43BZ+WC5znDa5P14RvgdQMymIGIeTNGwetxKYYgyZayAop8S0T7d+LfG5rDKPRmGWSHXt15UBtchV43OI7D5u2f4PLR52Ldlg8x86ph+NUf3zUMjqc3foCbpw5HLB5YuPHqi3Ai0Ib/98/PtPfK9zixdNU/Dd/lv//0Ln4x/zL0y3Upj51sZSZ37pl9CQb0y07pnhUWejp+0hmClf3qUX8vlk0P8Lpht/OmxPCiOWNht/OIRCU89+p+Q6X7c6/uR/X0UXh150GTHGjFpGGWUhasiVSSLRIK8X6ciRUWDruAfrkd24n6nSVJxqGvm7Ds6XZZuXtvHodzB3jQFhYNyc1+uS54Pe5eG3jojeMiHftVyc+X8csFlyEak2C38cjLdqZ1zwLBkOZwqAzwuuF02FAXHwOAMSjc2hbTJJ0T/7b65b1a5VE4GsMHBwO45OJW9M91M6uURAmomHShocLa61FORJ3VLxux+PeyWjfUz3c5jMuz1WN5OQ4smlOCrW9/hkmXnse8Jm+uckJLnxTsn+fCUxvfx1v7jmpJ8rawiLP6ZePem8eZxlRBflavHTudpTP22xmOBVrZBRwcx3x/Ze7cafJBfIO8+PaY9r6wam9ivVqAGkh4cF4ZZn3XeDpj4eyxWLP5fTQEI6YK5ykThuLVnQfxo4rRKMh3A2gPeFv5QbIsw24TtKDc0qpx+M16ZVyxnp/jduDR599D5eSL8as/voO628uQm23HrdNHQpRkfHm8GU9v3IfKyRcbHH5Vz3+ANwtrfjYJPM+lPW90RF+af4+ebGX+/pyFvWUyhYUeSJJsmrPOTuiBCbRv7gZ43XFpZsVvzZdkBFvDiMREbf4c4HWjX665z2VuloM5nlbcXo5YTNb8LPXzVjy7C0urxqOpJQxJlvGjitHI9zjx+bFmbNr+CW7/z2/BbmP79y6nDXnZTqaPo+5H+jKpzL9A5oxNKx+8IM+NVS/t0dbautvL2EVqOgk5SZKx8fVPtP5sjc0R/PlvH+G671ygnFIpysHho83aidXEoMqazfvwvfFDUNQvm+kPiRLb5hqbI+B5TuuxeqKhDYtX7jQkVFgJGJVk6xaLTLl33UEm2q9+rkxct5PFM/Sxi365LsQkCU8smqgpS3xd36IlZWRYy3hzHOKqBewewgLf7hsfbwgx/Vn9yT79NfsPB+Bw8Ljv1vHgOWXf+saeLzD1sqEGP0c9TVsx6UL8THdaEQAOftlkKCY6U31flVRtWA9rPbb6HfW2r+7n28Ix5rzictjwyLrdWF5ThsXP7tSSxWtfUXpentUvG0eONeP13Udw0+QR2mk/lp15c12QJHZ8ItAUxi1TR+DJl/Zqc/biyhLs2vc1Pv68AZWTR+Dswhw8vfF9zL56uOHEK9BuQw67gK/rWwx7S0BZJ2KirPW5euiO8qTxRJfTZtoTWxXSRWMSBvThObUz9PQaM+Xul9N6/qZfTeumKzl99PRvnoxMvrZETlcMoivplxDrT1wPWMWVAHD9lT5UTRuJwnwXHPZ4z+pcp9ZHLybKiERjaGmLAWiX+vZkOwyHByomXaid+FOf9+jz7+HBeWWm+bW51VrSeYBXae+g9n/NzXZiy5sHcf2VPqx6ca+Wg1m/5YB23SzFw6c2KoX3W3cdyTifItUk4PUAJvj9/oM+n2+x3+9/yOfzbQbwWDdeW5dQX98MSTJnWwWBN92son5ZWFJZwuznEAiGlOpGUYLdIWDZ3FIEgmHERAk/vmGMJquivmbT9k80HXFmZU9hDgSeQ21cSmvbrkOmCrYFFcXIzbHjtXcOAwB+PrcUkiiD4zk0NofgyXKgJRSDwyZA4JUkpifLjpLhAzWptwfnlYHjZEwuH6rJDU29bKhl76j+eS4tgJ14wuDxe65gfpdwRFRO7wGARXIHstz+nCQUxk959RSZNpla2a+K/vdi2fTiyhLIkJHltsX7S8rgOA6iLEGUJICTmT37wAHB1gi+ON6sBRWCrRHYBA4/+eEY/PIP7fb+kx+Ogd1KBpbnmBJ0Ag/TWFtSWQLERMv7r1bDg+MAWamQjwHawgIo9rjs6bdQV1OGLBuPQUUeQ+VxfX1z19yY00yq46I3228iHIBYTExbLoo1DpZUlsBu403zl9fjwqCzPIAsaxKgALSkQr9cF5beeikagkr/vHyPclKJ44DGlhBzzn7x74rsgD5Jceu1IxBsUeReQpEYzinKMZ201UszDvAqkgd6rB7zZDnQFoli1AWFkCTR9N0XVBTjkT/tNlQ2hyIxfHG8WZvbjwXaT1I5bDzyXDZT1f7pGDt9yX7TQmAnhSHLOHmyxXQKKKw7cbLhtY+0OfaH3x9mSD6v33IAd8z8P4Zq9227DuHab1+AY4FW2ATlFHhOlgN52U4IPIfpl5+vndpTg8r9cl34n20HUPZ/zkb/PBd4HvjqRHsiSX8NensOhWPYtP0TLQmSl+OE1+NCtttm6l+8aM5YNDSHNMklr8eFhmAE9Y0hTWr0xqsVGXPVQbc6cevNsnepvfa1+dfusjPlWOx2vkf9r3QpLPRo48PtEFBXU671DrLqOxGKKA3lOUnCsZMthnHldRsrWB0MGXuHgzclcBbOuQQylNM3eilpQJlbm9siqH18pzYfq/1j7715HOS4pAHTd4uKONHQaunjcF18GrC32K+ent4z6LHywdUEIKDcv1Uv7sWcay42FKl5PU7Y4/2givplAzD65uOGF6Fy8gitT2p9U3uC5O19X5lk/VV/4p7ZY7C8pgyxmARRAra+/RlKhg/Ei3//iOmDqH3/BniNPV3VhHbVtJFoCEYw44oLkJfjxNKqcVi/5YDBvxBFCccCLYCMpKdWu/rekf2mhurfgTPOO9t2HTKtC3q/9FhA6SkNTsbxQBseelZJfjx0R7mWEK6aNhJfnWi2lPE+3qCcFvUN8mLhnEvQ1BzRxkBujgPhWBT3V5eiNRRFlsuGB2vK8PnRINZvOYBAMISFs8fiha1+rfjHm+vEA9WleCZevMSDN8joL5w9Frs/PIoH55XhZFMIkfh8Wzn5YuTnOJkxjXMKPVhVO/G07xszzX6B1GyYRSp7iETblwUey55+y7JITE1GJ564Vts+1NWUabJvjS1hFOS7EWwNm3zN+TOL8cym93Hj1Rdh8U0lWP6Mcb/kcPAIR0U0BCPaCe5AMITLR5+LP/6vcqr01mkjMf3y8yEIHLwel+F6jgXacFb/bMRECX/4y37TOFg4e6yWAATaE+YsP3pxPC4Si5/s1fbEFnsGu63nfLhMtF8AFFPsATLFJ0sk2XqbiffqtMUgugir6zGuB+y5y5Nlxwtb/ZgyYSjW/9WPiWMH4xtF2Rh8Vi6iMcWnVP2A+TOL8e7+r5HjdsBu49DUEkHd7WU40aDkRljrOs/DNL96sh1Mn2fT9k+wcPZYrHpxL+66YTRy3A6EozF899Lz8H9fO6D1hrXbecMp7bqaMqYa1y1TR2JV7US4nDbIUbHbfIp0bTjVJGC23+9XzzK2+Xw+t9/v3+fz+S5J69OMHAFwjs/nE+In/QQAZ8cf55L8rUtQ5Ul+ueAyhMLtPehgFwz9RfTNIEVJhlPgUR+MGDZb9916KVbcXg5RVBqg6+UtRQu9cp7j8ORLe7UA2o1XXwSehyE5px53XVBRDJ7j8F9PGPvfyAB4Hnhq415Mv/x8Uz82b64TLhsHSZQRliTtGta+sh83Xn2RIXh916zRaG4LQxBcGFSUC6/HZapKsur3Jwicko1BenJ+RNdiJbnDAWgLiahbY+wvluW0QZLA7NlXd3s5Zl41LCFIq2jdZ7vthuBFttsOXuBMCZG7Zo2GDFhKkeYn6WmRiFXPLE82W1JGlGRwsky9oM5ArMZBDMaKULWn2c90kgH3zL4E0ahkkhNSe/BMmTDUEGC4Z/YlmqxyTJRQ1C8LE8cOMvSknH3NRQhHRHO/zBwH7q8uRSQag9tpw1Mb39eq7xfNKTGcClDHk93OGx5bclMJgq1h5GU7cfevlZa7j/zoMm28xUQJWU6bQT5sQUUxvB4nfrP+X4bfTa20pbFz+rFaNx0CZ/I3FleWIN9jPI303Kv7cfcPRiM/x2WaD5tbonhk3VsGe35150FMHDsIkgTcv/ot+AZ5mVK5aoHQw3dOwOTybxquY+GcS7Q5X+0ZdX91KZrblEbam7Z/gu+NH6IFsv2HA3hwXilmX3MRfrP+PXg9LoNsXVNz2HQyJt/jQEyUtIpvVX5RrbgLBEPM3prUS60DJBmSLBnWcUmWgE4E+3oSSZIte2kCMnNM5XscEADmuPJm2cGJkjbvRUWY1pJoVDaoXUiyjEhEQu3vdpjGjjqfF+S5sap2Imw8D15AvK8nh4L8LG0zmEoPLxXVxyGRqcyCta8EB1PFs9JfeKSpSE2OSvB6XHj2lX2onj5Km9t8g7yYMmGooQfqXbNGY+GcS/DCXw/g22PORTgaMxV35nscCLYYx0dtZQne+eBr7Pn4BKZ9eygeuK0Ujc1Kgcim7Z/g+it9yPc48MBtpYbiIUCxu/POzkXl5ItNxU/qflWtfFZ7Cib2tiV6HlUqFDDPOw6Bi/8b+OJ40BADGeBVlFSOHA0aZGAbmyOwx/1VT5YdazZ/gMrJF+Olf3zcLmWb7QTHy5o0Z77HAYHjDX7x4soShMMS6p59yzCX/r9/foa5141CaziKv7xxEDdefTEaE/wFZT/o0OZhoL2/zwPVpQi2RuC0CwYVpGVzSy1OIyoy0eT7dp7O9GJWk3tWRWXPvbofA7xuyBanmCVZNvmxCyqK8bd3jmDejFEYWJADWZYRFSXcNGUEntn0Pm6ZOpIZd3ugupRZYHbl2HPx7THnYvHKnab5Tz9OAk1hvPbOYVROHoFwNIalVeO1Qv2/vHHQMK9u23VI81Wee3W/dvLFLvAQIDPnTqs9A/VZJQiip0lsYQAAnCjBKfCQBfbc5bYr/dFXvbQHUyYMxabtn8TjB2+a1oFN2z/BrEnDDC187v7BGPTLc0GyaGkjy+Z49P9sVWSfl9eUISZKkGUgHBVROXkENsSTfXaBB89JcDsc4AUOV40bjJLhA7XWafNmjMI5hR7FbwDHVC9QfQqvx5VRSdtU95B+n883xu/3vwtgN4DFPp+vEcBXnf1gv99/zOfz/QvALAB/iP//PbXnX7K/dRWiKKGwXzaOh4w96Fj9RZSbyDF799z35JtYXlMGngdskLWG6CKUgbDkphI8qKs0WnKTkkxRN4ZqEG9BRTEW/nan6ToL8tyatq36meqpDQCYOHaw4XrVv6+oKYckKkESvUyN+nmqfMKJxjbY7bzWY0fvOOkdMXDsPmsCz0F1UTpqWE50L2zHm2Nqx6+oKbc8qSpJspYAVB9b8ezbqLu9HPc/+aZpbNTVlGsbPnVyfekfH2PutaNQMWmY6cSBQwCikdQ3CdY9s8otNnGZobdM9AyscWBLqNLX9zQDFJsKtkRMPW7U6nfAnDB/eO078R5T/8QAr1uTEXr0J9/W5sr+eW4t0ai+TumXWYbFupPgE8cO1k5hPf/XDzHtsvOxvKYMraEYXA4BT21UKp21DaKNh50H6oPAV/Ut2jhIlFDyDfJqEo6KPrvyG7EkwkKRGI2dHsBq3YyIMnPeW1FTbrDlQDAEr8eFL44be/nNuOICk2+w4tldmDdjFIKtUeS4FWnEGVdcwCwGUWXLJcZ1PPTsO7j7B6NRNW0k+ue54MmyG+Rla+eUINttx1Mb2/sOSpKsSSMdC7Rpklt1NeUmqd7frH8PK24vR0Ge2+C7qBV3D99ZjiyXVR9nSpAkIyrKeOjZd0zr5vKacvA9cD2d7XnX2BK27qVp5YtGRIgC32EPTpXEtcQmCAa1i8WVJYb5Vh07qpS0UgSnFFZAFCGJ7e+ll4NJpYeXCvk4mUvivlK2POUNQ8JZvd9qn2O9b86anx9ZtxvL5paievoo1D6+QzsVrU/O3f2D0bj3CaPvURf3m88/Nx+/ff7f2vvn5Tgw++rhWPvqPsy5ZjiOnmxh+gjRmMTcbz5wWykOfx00SI5TUUbmkzjvREWlcNThEOCwC6YCMkEwy8BueO0j3HrtCCyoKEYoEkMgGMKazR/gh98fhv55ylzFcRxe2HoAE8cOxnXfuQAF+W5Two7VC1udS+viPeG27jqC/5xo9t2Xr3kby+aWMv2BxpYw+uW64HTw+FHFaHhznfi6vgUvbD1gimksoaLlHkNd69QYlZpA9mQ5tNPzS24qQZZbYCqe6P1LoH1uqpo2UvM11b2cumb/x8QLtd6WRmRmgdnSqvFa4Fn/GXoJ2QUVxSjq78aej0/gyNFmVEy6EGf1z0ZutgNup4DLR59r6H019bKh2LzjUy1+EorE4HTwkCKipR1Sn1WCIDIRq0Mb/T0OrfhSLQLWFztEIyJEjsPEsYO1dZ8Vl1Dn8MQ93K/++C7uvP5b4HkOtXNKNElQtfj5lR2fmpTvFleWwOUQIIsSeAiIihICTSHtxKF+/6YUZAD5OU60xgst1NiLk1fmZLvDvDZl8kGoVOMkPwY0H/5uAE8CyAEwL5UX+3y+RwFcB+AsAFt9Pl+93+8fDmAugGd9Pt/PAAQAzNa9LNnfupVkp9nCEjtpojbOTqx6FEUJ+W5WUsxYyeQ/HMDRky3szaJFA3e1P5TLwf67KMmQeWgDTp/QUw331+vfw4wrLmA6TvNmjDJUJXEAs9/fT344BoLusztTAUZ0HzHdKVCVY4E2xCQJvMWxbI5TpBL193rDax/FZX7YtnbDpGEmeU8bD2S7bVqPBklWmmBLaQavEuU/1M+VJHa1vw0AOhlYJPom6qbp4TvLERNlSAybsupxk6yJvNpjakllCfI8Dqz52VUIRSSsfWW/4pBYzN+S1P56lj565eThCLZG0dwawR93fKr1Bgq2RvH0pvdRPX0kohHlO+V72jXRN7z2kSGwEQiGkOWywW3nEI0oQWhB4E1yvOoJwUx1Vvo6rHXT6hRQTDIHACRJxvotBwzrfF4OWxbjrP7Z2PLmZ/h+2Xl4oLoUgMyUMTyn0INsl4CWELtHiyfLCYCDy2EzBfjCkRie2rgXUya09+Nx2AWL9cNijRIlOOxmW11cWQJOlClB0kkki99bkuRTSgJ2JplntWFM5fSQIhFjnQS28kWt/AlwiiRZsusXIBvs0WqMDRnoQV1N2Sn7HaSu0btJ9/6pNsvrkoeeLLbihSQDweawVlShBs49WXYU5rsti/xESTIEvtWE9kN3lGPWpGGIxGIo9GaZEiTzZxajJRRlvmegqT0xPsBr7G9MRRm9D6Vq3Wk4LV4YP4WV2CvVfziA/9l6AHNnjAIHTpNY/K/f/9N0MnrrLkXQaVXtxKRxDf1jqv2fUyIRsRcAACAASURBVJiDJxdfCdnCrmXZus9lP48LobCI5rYofr2+PYl95GizVslv4znLk1dA54tViNTQz5X+wwGsfnkvllSWIMslaKfnbQBioRi8WXasqClHVJRQ3xiCw8FDFNl24cmyA2C3fwg0hZk2Y2O0jzgWaINNYPvkRf2yUVdTZoiL6U8Y/nqdksScN2MU1m85YCjmDEVi2PPxCa1nlOrfdgTF2giCyDSSHdpQHz8W0BcBl2nrqMBz2p7Kyu9NFo+zxQ9xLb31Ujx0RzmiMRlNLWHkZjvw4j8+RbA1iqVV4yHwSs9gt0tAc1sMDpuSiBQEDoOKPIb1RhQly31qvscBTpS159QHI1i35UOtgMXrccJt5xGNZObsnKpfvt/v9wcAwO/37wdQDgA+ny8/lRf7/f75AOYzHv8QwDiL11j+rbtJdppNsKjqVLXK9VWPqsMYjr+Hk+cUQxcUSaDEjeGAflmm/oK1c0q0z0j8TLU/VDRmcfQVMhAPIiZuEPvlusDxHALBkOVAO6ewfSA4BA5RCex+f/HvSs7w6UO1rWOBVkDgDfaZuEmBRW8cVZqKdbrTYRc02Tb941b2Lwgc+nkcqKsphyhJEHgeDkE5yXLvyjdMz6+rKVMkFJHahsoq2MvzbBktAJ0OLBJ9m4Z4JRKr70QoEmPaWaHXbdljqlC1ZyinTGRBwMnGEALBEP7r9//EQ3ewT6uq8spWzeBPNLThsRf+hWVzS5lJwlumjmgfMwmydQ47jxU15YhJkjbO26LK/OAQOEREGTnZdqy4XUmI8rxyUlKtxiKSYzX/dvnnJElyJQYA+Hg/Xv0678lyMF/vsAuYdvn5+OJ4synIq5cxlCQZoagIm8W8//mxZixf8zYeuqMcW3cdwZ6PT2BBRTEeXvsO5v3HKEwcOxjZLhuWVo1HaziKLKfd4vuw3/+zr4JY/fJeRXK9phyi1C65HpPB7NlGCZKOsbqfNp20e7p0NplnvWHs+PSQ3Wbhj3SQBBZ4DuOGFxkKK/YfPGGSTlSrVyOibJC1MUqZW18DJ0qnbIekrtG7sbp/gDHhrK7L6r+ddl7rVWLlI5xsDKEgvz0hoz8pXTVtJIZ+I8/Cb2bbbEG+G6teVPoXqnLpie0xZlxxQdL9qH4dUf9NRRm9D1GUkG0XMKjIA0kC7HYeDc1hLH9GKSpO3Dfe8N1hsAGQRAn5OU78qGI08j1OOOw8nnxpr0H+cIDXjZiFZBer77Vq/18cb8agolxwFn7RicY2kz+g9vepnj4SAGcq2lD7ya2qnQgkma9PpViFSA3LtS4imgt5RAmywGsqWb5BXsyfWWwZn2O1f5g/sxh/f/cIs2cfb9H+piNfWP03z4F5wtDlsGk2p8ZCsu1C0vWdks8EQfQWrIosrYp99UViDoGD1+NMGhsLRWIY2D/HIh6Xhbrby+G0cRCjEkRJQjgq4thJpa/2t8eca5AQXTRnLH7/573aqb/ElhDqepMssSlKspIDAac9R43XqXN8pp7PTrXo95DF45921YWcbgSBhyzwOBZohSzwEATjTyGKkmIIsqxs5OMLrlqppCa/VEdiw2sfAWg3aEHg0RIVcfhoEPWNIRw+GkRLVITdISDQGsU9j+3Ayg17MG/GKPx+0USsuL0c2S4bBvbPwvKaMvy+diLmzRiFJ/68B//9x924a9Zow2cuqChGfo4TRf2ysG3XISycPdZ0TU9tfB+QOe1xdYP4yLrdOPR1EC2tEcyfqch3jBtehMWVJairKcPiyhKMG14EgQdssjI464MRHG9oxYKKYtN1nGxq62zciOgE6mak9vGduGXZX1H7+E4EWqOabdU+vhPVddu0xwWBw49vGGO4bz++YQwEgQMHDi6ngHkzRqGupkypXHMKEEXJJLfym/XvwWFTAhP696qtLIGN5xAMxXD4aFPc3psQDMWYp62UEwewuFb2lMQad1qwlzFWrSZsstMzG71dqH0nBnjd8A3yYmnVOJxb5DHZ2ZLKEtgEDpIkYdncUowbXqT9bXFlCeyAYY0QJQkyZG2ufHrjPtP4q60sQUySsGhOCbbtOqRdh/r3hbPH4g9/+VCr9lf/pqIE8jjD2qUfB1JEBEQRTp5DU2sE9zy2QxtnJ4IRrHppLx5e+y6+qm/BvU/sRNWDW3HPY9tRH4xo76eukTGOY66RZypW829X/T76350Dh/tuvZQ57yWizpFqoc4j63YD8VNLiWv2w2vfQTgimub4R59/Twvyzp9ZjGc2v49YTEZTaxjL5pbioTvKNf9A9XsGeN3I9zjx+D1XYNncUpxdmI3KyRfDLghY/fJe3PXr13H7L17DTx/djrWv7mPO4047Z1pX1Pc/FlAk13kBaAlFUfv4DtyybCtqH9+J+mAE/T0O1NWUYVXtRNTVlDGDcmTLRpwOnn0fHJ3/XTq75lpvGDtOGuRlOy39gmQ4BA4Vk4Zh9ct7Ufv4Tqx+eS++X3oe8/rbopLJTwGgzbW2eO/BdK8hHaz2I0TvIPH+AWb/V12Xq+u2YdVLexGOyuA44P7q8fjmOblYNCfBL7mpBOcUZsNhN4/l+TOLsW3XIciyzNyzNbdFDD7HuOFFWDa3FKIoYeLYwfAN8sJ/OIAlK9/Ap1804ZF1u7XTOarSQKKPdN7ZuXiy9kosm1uKTds/0QpJunosEKcPMR4MawlFcfDLRiyPtzXxHw5g7SuKStCq2iuxoqYc+W671n5k5YZ/w+HgcfRkC4ItEcy8ymeyzz//zWxHd80aDU+2w+QLD+jnxv3Vpdj57y8RFSXIYMdhNr7+CURZwv3Viq9SNW2k1jvIBsVHUgOMerREdRJoT3l6SGet0/sO/sMBPPr8e6a91KI5Y1GYb0xaA+3+bsnwgXh3/9dYHvch768ej7+8cRAPrXnHZJ/zZypyuKzP2LbrkPbvBRXFAMfet6knpNVrECU56XfW7zdSiZUQBEH0JGrxsh59sa/58fa1V+Y5CPHiXlZsbNGcEmS5bNpBqsS/tUWiiMUktIZFxDgOazbvw9pX9kOGjFumjjDJi654dhdmXHEBjgXasG7Lh4iBg8iIE1jtU080tmnzcjSJUl6mkqpvbvKOfD5fNoBeuRM9lYouY6USu3G2wHOQBQ6Bk2FD0+sFFcXIctmZx2FVPfGlVeOwcsMe3DVrtKGKaM3mD3Dn9d9CUb8scBwHjgPqG9vA8cCca4bD6eAN0o3qNVVNNcslqpVx3xs/BOu3HED1dSOYfducdh4RXULlrlmjmXKglZMvJrmX00gqR60Nj99eDpuNM8i62GwcYvGqMp4zOpM8xyNmIasRjY8P/XsBiJ8qNdv7OYXsag2e59Kq/tePO3AcIMtJq+GSBRbJTs9cEjeNai/WbN28PG54ER6oLoUgcBA4DqFoDPc8tsOwVtx23SjIEgBOhihzhpPQAs/DJvB4euM+ba4UJQl3Xv8tFOa78VV9C1pDUdSteRsL51xiahyf5bJjw2sHtCBafWObqVJ1QUUxfvHcu4bqJdZYYM0VdfFTkACYfVXUU7pU9czmVE4udQTLN1lSWYKH7yxHJColrQK2PHGiVRkr/sraVxTfwGqOHFSUg6ppIzUf4pZpIyFJnNZbSg16vLrzIALBEBZUFCMaE/Hcq/vx1r6jmg+TKEE+wOvG9Vf64HDwqKspV6QnecUJjYRiKNCdJP/sK6NfdSzQhphFf0S1/5uVJBJV8JvhADjsvGEdd9j5U7Lfzq656Ui6sprNJzslZ1VBHxFlU5/kQDDMvH7946yxTif1iHRJti43BCOYMmEoah9v9znu/sEY5OU4DOPVbuPREoriviffxKjzC/BAdSkaW8JobI5g0/ZPMGXCUASCYeae7eapw7UT44X5Lsgwzu93zRqNNZs/gP9wANt2HTL1n3U6BKW3mscJh13QVD9kyLDxyrxyy9Tk6xXRO9Dv/zs6QSfHe616PS5Eo5K2H9SSzJIMjuNQ39iGI0ebsfaV/VheU4b6xhBy3A6sfXUfGoIRxS69bmS7bIY+wwsqitESioAHj/V/bZfcys12YsubBzH1sqFY9ef3AbT3uayePgo2ncSnu5M9e2hPmXkk+g7+wwFs2v4Jfn5bqSLtLQPPbFLs56E7ypn375vn5KIg34XFj+80xMiee3U/1r6yHw/cVopAUwjB1mj8ROkobNr+iWFO3f7e55h99XDcNHk4DsftWt+iQb9vW/vKfu3zrfwcPd253yAIguhqrCTw7XaO2dpDv/ZKEvB1fSs2vv4JJo4djJwsO5bXlEGOT5FtkRhONETx5799jDv+81vaSTxJkiFzMk42hkzqRn9/9wha2mIozGe35vFk2eEb5DX53fo4gdU+tbE5or3PlyeaU97LZgpJfRefz/cRABmA2+fzHUj48wAAG7vrwrqTU11UVRkup8DD63EZGmerBh0VZeZJquU1ZUwjbO/vp/SkSjwG6z8cwGMv/AsPVJfiZ6t2GjZrsgNw2B1ao2MVJdkC9Pc4sGxuKQLB9g3i9MvPh92uyIfVN4QNr1U3pHU15eDQ7vwGW6NMOdBQJJbRRt7XSPeoNWTg4bXvmGxDDbiKknGDLkqSJlWY+BpZhiF4pr3X7eVMe6+7vZy5GIBLf0OljrvCQg+OHw8m3bBRryiCBWvTGIkaT0S9te8oDn7ZpDgekHHfk2+a1gplHm4Pmi2pLEF+3FlwCEq1MWuunDdjFHKznVj1ojLfRiISVv15N+66YTRkWUa2y45nNrcHPebPbN80Vk0biSEDc7VEjvpYOCoiBgdsDElmq7lC7ZFhWbWUZpL+TKI7g0Es3+RBNTEryx32/bDqEcIBAMcZCovqG9uYc+Tho0ZZI0mUseJZ4zWteHYXHritFCXDB2LtK/sRCIZQNW0k3tp3FOu3HNCqrtWewgMLcgC0B2TUdcCTbUdMVuQpoxFRk1Fn+TJWp8o7+t0piGKmLSIZ5jWgfR3v7G/S2TU31Z5prGTuvTePQ57LxkwCJ0v+snp7NzZHkm7yVFg2R715iHRIti7PuOICU7Xyr/74rlYoqqL6E8cCbdi66wiOHG3GnGsuxqCzPPje+CF47tX9qJh0IdMP0fsny+eVGXqkHQso/e3vvP5beOyFf2HWpGHI9zgMQe8nX3wf/sMB1NWUoSDPjfokRRY0Fno3+v1/R/O7+tyqaSPxyLp2m1J9atWG9cXIkaiEhb/dAd8gLyonX6ydOl1aNQ51a/aY9pT3V4/H0lWKnJdecuv+6vFoCSkximOBNqx+eW98HTH2+ItGxE4VbdCeMvNg+Q5TJgzFf/9pN+7+wWhNKhSwXt/DEQkrnt1lsLNHn38PVdNGYvXLe3GyMYTFK3caTgLOmjTMVFi/9tV9mDh2sGGurb52pGZnR0+2wukQmPFC1hypL2Bi9eum5DNBEJmIWhip9mz98kQzVm7Yg0AwpLX2iEnsIrGYToVOv74vrylD1YNbDZ9zy9SYEhfhOBw+GoTdxmmFR0D7XH5/dSmWrnqD2QJIPZ3N8rv1cQLWWqOXvQeA9VsO9Lr2JB2tIXdAiR/9GcCdusdlAEf9fv+/u+vCupN0g3jqYqxUrvMAJyu/AMxVyGpfh2QyiFZGCEBztFWpOn0V/eLKEjyz+X3TZu3BeUqW3Mr4ohERToFHQZ4LXo8L3xs/BGs2fwBADSx7LJNKNrQ7v6xrWlBRDK/HmdFG3tew3ozwuPbyb+LKkiFa09Otb38GyaKJuiTL4MExE4QP3zlB60ei3uvayhLrQKwow+txGQIFG177SHmcseGKWTRw76oNVaqBRaJvk3gShNVD7OyCHMu5GmDbe2OL8XTIgzpnIRoRkeWymT5nadWlcDlsaGqNYMYVF2DbrkMItkbhPxzA4a+DyMtx4K9vHcLsq4fj5qkjIIoy/vy39o3f6pf3YnlNGe5f/RZ8g7y48eqLsGm7Ui0FKIlHt0Mw9PSzmivU9cZqDFLVszXdGQxK9rsLp9h70GEXsLRqHHKyHMhy2gFIqJ1TgrpndXP8nBKs/+uH2neqnVMCcOxkcaDJGFxWE8uqVNjy+Gm/L44HcTzQisde+JfJwVYDLffdeilcdpvSwzIugaomqbS5O0nvtWS/O9myGdFKtkTs/G/SmTVXnZ+zXTbU1ZRrvjXLzlnJ3GVPv2WZzLVK/j58ZzkEcHjojnI0Nke04FriiSf1+tdt+dDwvhT4JU6VZOuyVY92tVDU6jH/4QAWr9yJX//4chT1y8aPKopxMhjCT344Br/8g7HPPMdzWhEgz3PwelyGzzwWaENRvyzU1ZTDBhkxUTYVZowbXoS8HCdkKL3i1fegIou+RbL9/+LKEiXmAbW3JY9xw4s6tGF9cO5EQysGeN3wHw5oikcFeUqfedZ78Dz78YZgGC/942Pcd+t4pb81z15HgM4VbdCeMjPJ9zi1E9KqClYgGDKpGbHsd/7MYrSGo0x76p+nSIgW5Lvx0B3lyM12oKkljEhUgtfjxIPzynCyKaQV1k+9bKjplB9kRTZcEHhs3vEppl42FA/cVgpJktEQDCPf44DI6MHOKmBK7NdNPghBEJlKYs9WlfuefNNQ1Ix4qw41RifL7HgDxynrrxpf3rbrkDYHCrzS69cqPt0Uj9dZ5TBUdQyrOKAAluILj1Uv7TH0Og4EQ8iPtyfpLaowSff7fr//fwHA5/N9w+/3nzw9l9T9pCs/pC7GXo8LFZMuxFn9sxFoUhbwbLugVSEL4FAfjGjBLdZn2BhBaL1EgNpvQV9Ff1b/bJxoaAMvcFpmXOVYoA0nm0JY+NsdmuQGB06T2dL6VDFOL6rVcnW3l1tcKw/ERIPzq17T2QU5sNkUR5sT5Yw28r6G1WbE5eBx2Whj09PaeD8zpr1zHESLBGE0JuH13UewtGq8IaE4ZcJQS7uuvm4EmuJV83ab8m+nnYcYiZk2XDaB79YNFUl0EVYnQQrynIaT0fWNIbZN8xxksBNlHZ0OiYRiWiVUTJLhsPNoaA7jfp3UQG1lCV7ffQQAsG3XIVRfOxLfucQ4fhdUFOPI0WZN9tMmcBg3vAizrx6OUCSGyskjsGaz8XSVXuaQNVfUVpZg/ZYP0RCMGPpk6McgqOrZku4MBln5Jp99FdQq2zsjYykIiv3p5bkqJ48Az8ewvKYMPM8hFpPwlzcOYuLYwbjuOxcoSWWnDaGImDSRzPq3Uu0sw8kDXo9LaczNWGc8Wfa4PxLGb9a3J/1YEqiAWdo8ld+dKvjN2AQlWDtx7GDDpsomcOhsk6N019x0ZVrTTeaynu/1uNAQ99H1wTW1b1T/hA2cQ+Awa9IwHPyyiQK/RJehriHrtnyIiWMHIy/HAa/Hib+8cRCjhxUx5ytVer+jx1x2GyRIaGqJIMtpg81mlP212TmciBeQJu5B9W0teJ7TTlHZBN4g4zRueBFmXuXDfU/+0zCO1CD1mV5k0Zew2v/bBR52AVrMQ+9ftoViHfoMxwJtaG6LYPOOT7WCU1XxaEFFMSRJZr4HByUBrY+FqAnpysnDYRN4uGwcohGxS+do2lNmFqr/sG7Lh5gyYahhPps/s9ikdKFKhS6tGg+OA46ebMFzr+7XemAn2pkny46/vHEQV40bDEmS0RqO4ld/bP+MJTeVYGBBNvrnuTBk4EhwHJDvcWiv1/sJag/ixKJqAeyeSqwCJv3pRPJBCILIdDras7H2gMvmlprm43HDixBsiWqFaOr86RA4RMX2Xr9fHGfLcarxOrUFUNW0kRhUlIOTTWEM6OfGPbPHALK5MHSA1w0ZstbuR188JEBm7g05UU7aniTT4GS54yCIz+cTANwD4EYA5wD4AsBzAH7h9/sztS/yEAAH6+ubIUnWib2Ogg+ywKP28Z3wely48eqLTBnkcwqzIcUredTnHgu0aSc19M9XJeMAQES8J5vAIRyJGare9VXxPM/hF8+9q1R5VpYwZbKqpo00yHepPXKsMPVJEXh8frzZEAxeUFGMbxTmQIxvMq16q3Q1qtRjT1FY6MmU4tUhsLBfoP1+6PvjxcBpesYq6qm+xmDYoMOs2qLVa1bcXo6mloh5jHicTFs5t8iDI0eDpsfPKcyBFGFPEZ21qZ62kZ4g1e/cW+xXpTvvpX4+VlHmx3KDzfsGeTH7mosMtqufqxPXikVzxuL5v/oNQQjWvGu0b545ztRqfKU3J4eFv2M/B1DGOC9wOBGMGDaTiRWiya+j/bS6GE9OSiJM0gw91Uett9gva/7tit8llQrgjtZ3Fh35JosrS9Df49DsQm8LdodgCvTpx4A+sazv3aP6RspvxV5n1N6ULL9muU6Go6Meb+n+punacl+bf+0uO443tGkyWOo9Lcx3IxqKmp7fHVjPz2z77ornq/0qWXNsomycSnf6vpnmy/QW+9WTab8hkNo1sebVxZUl8OY58flRo4/94xvGIDfbbtgnLrmpBHYbbzox3S/PCU6SUR+MIBAMmezdagzopRoT93+AMg60fStvPZ8vX/N2yutUV987st/uwWoOtJqTH75zAhqCYWaxsz7RrK7xmoKSDBwPtMHh4PE/Ww8wZRc3bf8Et0wdofWwHDe8yJRg6a09fzPIfoE0bLiznIrtJ/q0ag/Igjw3Vr20Bw3BCDNmp/brU23G63HhtutGGnwh1c6+N34IBhXlQhA43PPYdlNgOtE+VYn7RDWDdH2XGMehum6b6fFVtRM79EF6cj7JRPsF0NMxRUy5++W0XrPpV9PSek0mPj9T17Rk4yMT7bcnY2idQX89Hc17rL+PG16EWd8dhuXPGBOD6nrPeh9A8aeDoVi8oPg9ZqxC/9oHbivF4a+DeHvfV5hc/k2mnzFlwtB4H9iRzHm6M3vD7r5f6dpwqoV6dQC+DWARgEMABgNYDKAfgJ+m84GZgL6iq6Mgnl7jPlEvVu3xxyc8FzBmnIcM9JgCrE2t7Zs/9gk+5QSeDE7TELeSM9Br0qZSgZkohRGWZGbz+Lt/MFp7n2TyGayBAOC0JA3PVFj98USOLZMSiYrIt6hg5OwC7po12lBFd9es0QAHFOQ5dUkKHk47h9awyLSVn944xqIHZrk2Pqy+Q2+pmCB6F6n2zmyXLyyDxJiv9NW/Np5HTJYw9bKhhgqgJQmVmYknyH9UUWx5LaosQtRi/KpJQgCIiLKhJ6e+QlRdTzrqVxUVof1bLWBJHINU9ZycdPqTpvu++t/9s6+CWgIQ6LyMpX4sWGnfr6gp106Bqn0h1V59nmy7Yc5/dadyYvCWqSO0MfG98UMw/fLzEYrE4PU4wal9guInSaz09CsnX8y0++Px0yqG/lKdWDPIls2Eo6KpD86KZ3clXa+7mnRP9rFO4N578zjLinjW862kn0VJAmdREEl+CtEdRESZKVe7vKbM5GM/s2kfFs6+xKBg8Kf//RBzrrnY1F8lFlISd94sO7JcNpO9q33n9RwLtOGsftmoqynT5m8k9hfWqQvEJLav4smyp3RaVt0zHgu0Aqcoc010LVaBLdYcaDmHixLyPU78/LZSRU7coh+aPf7eqk/K2wQsXrlTS+ok+h2qL3TLtJE6uzcW2KnjiORo+zaJ8Ta1EP7J2iu15Jzh5KqNB88Dd/9gtFYMubymDMcDbcjJcjDt7OapI8ABiEQlU18+tf8f0+4SeqEmaw8kML5bMvWKxPcmCILIRDpSTWL5D2/tO2ropSrwnHUbKt1eURJluOw2nNVfQF1NudLuigPWbfFjygRjvG7RnBI88qfd8B8OYGnVOOap66VV4/Ho8+8p/sbUEWy1mT6wN0w1llQBYIzf7z8e//e/fT7fmwB2oxcmAYHUg3jqYmylcS9J0IImiQu3/3BAkdtMcAoSj/qrTbNZzoN+EKlyBsvmloLjlJMjq17aA6BdKzcUiSmnOxg64wDbwVd7OiQ2j09FLotVZX/frZciGpUMJ896a2Veb0Kw7JnEQxRF5mTFcxwcDqNckMPBwybwONEYMk3e+R6HJnmhku9xQJLYOs6SJFsGFU/X6VLizCRZ78zExwPBkDI+4gk5fTJPb6MCZAgch3MKs+NJQ8AWf1wt8IgBCEsyAsEQRp1fgG+PORdfn2zpUJIwFSnIbEZQTw2+sd7zVOgLDk5vRP3dBYFnnpDrzP3V25aVLxOVJARDMea6HZPNp/X2fHwCdTVlECADHI9zCnPA8xwcdh6xqIywJBn6GKqJOEkCZMh4auP78B8OIBSxlg7rqoAe2bIRy/Vatl6vu5p0ZVpZydyC/CzU1zcz35/1fA4kDUtkBlYJFEli78dkGaZqaAConj6K/f5xfyTR3q3mW7udR0Ge29RKgoXV2C2MV2d3pQwwcfpI996w7GDc8CI0tkS0IhP15KnXo/RY65/nhizJcDoEIF54ofrNkiRjadU4rN9yAMvXvI3f/fQKtg/EcUC8UDomWfS3JTnaXk1H8QGrOYjnwS76iomQYCyG5AUBj6zbrclsJr4XB2CRroWDXpUjL8eRst3xFrEZnueYlRIOgTMUfGzbdQizJg0jCVCCIHoNHRXgWs3hai9Vda4WBIH5PBvPA6LIbNt2dkEOeIFHsDWiHchScyTRmFIot7RqHM4uZBeGNrWEz4j+q6nu9xW1QSMxsItY+hRqEk7dOOlRjJAzPVd9nj7rrSdZBXQi+kG0qnYiqqePhJPnIEgSbJAx55qLMfuai7D65b2ofXwnVm7Yg8ZgBIJgvrWCwKMlKuLw0SDqG0M4fDSIlqgIR7xPYUfXzUIWlJOKd80aHZeLdOHoyVYtkKh+t+Vr3u5sqxkiRRwCsPTWS7G0ahzqasqwtGoclt56KRxJRqkoyXjo2Xdw/+q3UPv4Tty/+i089Ow7iMYkZpUbB0XbXrW31S/vRcWkYbDH+w7qSRwfetRJu/bxnaiu24bax3ci0Bpl2i1BdAar+dghpDZPW9kooJygswMQeCUIEYMiR6B//soNe/D90vOwafsnWL/lAObPLE76mazrnT+zemDggAAAIABJREFUGBte+wjHAm1Yt+VDbTOpR02YpDNvE5lPqv5Euu+l2oqeAV43RFG2XLetxxKHQGsUix7fgeq6bXjypb2obwxr/2bN6zKUE7XzZozCqtqJOO/sXCxJeO+7Zo1GttuGupoyRTKUSvq7FLuNvV7bhdP3Q3fGvkVRUjaHstJ3gbfwLxKf74w/T5QkLJtbinHDi1L+TILoDtQAiB7VZ2aNC3DGfaNvkBfTLz8ftbq5tiFhrmWNsYGF2Vg2txQP3VGOxZUlGDe8CIvmjMUrOz4FzyvBl46ScVZj146OX8/qd0X7w8wg3Xuj2sG1l38Tv/vpFXhi4RWonj4K29/7XHuP9VsOYEFFMfI9Dsgy8LPfv4F5D7+GRb/bgUBr1OA337p8K1Zu2IPZ11wE3yAvtr79GWoT7Kw27sOrWI0joYO1gchcEvdSLD8ymf+Q6CcYkocCD1ngEeM4gAMWzrkE23YdYu7Pntr4vumEiNpD0Otxpm53nGx6//kziwGO3WqgPhjBvU+8gYW/3YHVL+/V+hVTkQRBEL2JZHNxqntAXgAWVBjnzwUVxeDjfoDqt6ht21Zu2IPbVmxD7eM7MGvSMMz7D6VQ7qV/fAyXQ+mTfdt1o7Bywx4c+ip4RsfUUu0J+DsAIwD8DMBhKHKgSwF84Pf7b+/WK+w8Q9BFerqK08ChIaF/g9o3KtHB6Oh0U7r64B1cnFap1NF78Q4BXxxvYfRtywYnymlLelr1L3I6BPz00e2mS11VO1E5aZOEntY3ziBN6CFI034FgUdDWxQP6rSUl9xUgny3dYVtMu151uOrl1yJxQzb/cWdExBI6AGh9pmKMk6lJhsDasWBld31tI30BH2tJ5VKd99Lq/n4VOdph8ChLSqZKjXXxfui6Z+vSnVqEkdZdhR63ZoUEvN6ZeCzL5s0+Rm1j5uqU57Yz43Vh6I3QvaroK+M53leCRac4v1V35PjOFO/1/kzi5HtsuGuX79uep26brP70MIwRqz6Fq+oKTdIoCeeMGgfj8CJBqUn0MNr38mYkyJ9bf7lHTbUN7WhqTmiKQDk5jjQP9dt2cO3OzhVNYBU/XfW6ZZMmDMzzZfpLfarJ9N+Q+DU7NIbP9WfOC4S59qf3zYej73wL+ZcC1E0fI46bztsPNrCMYPfcv2VPuz41+e46LwCDBno6XCPlvi+6Y7dZHuOVD/bCrLfU6Mz98bhtuN4Q0jrr1Yx6UIMLMhBY3MYT2/cp/mv99x4iWVfbNbjar9Ap51HOCprbSkcAgx7yr50sjSD7BfooZ6AgsAjLMkd9oBSnysLHGKiDCnerkGw6O2rPj/RVu6aNRqSLCPLaYPDbkNrOKrI2XPALcu2mt5D7cvnEDh2T1eG3ckCj1Uv7cXEsYM1ydFtuw4xe02danyQegJqDAH1BOyx52fKmpYI9QTsXtK9nlT8yBjH4Vd/3K3Fz4KtUWx47SOlZZksa36LVfxBPem9uLIE/9h9BBedV6A9T42tJcbUvB5nfF1Rik27ap/YW3sC3g3gAQB/BHAWgK8ArIeSFOzziKIEiBYSA2kmAIGOdXLTIR0pjJgoW/RtKwOfIJeFFAInrKrBR59/D/fdOp4kl3qAGKAlAAHlfjz4THIpNVsSCVHW41bazOGohHVbPjTo6q/b8qHi5DI+1+o0LDgg0NI1GzqSGyUse5mkIA+YzEYTN3/zZxZj3ZYPMXHsYEMS8FigDXk5inyu2rdC3dAxe9DGryvLbYfdxqFy8sUItkaR7bZpc3dDMIKqaSORl+NAQZ4bNsgQY8p7kVRM76e7glqqbQGKhLMqAa32QKmYdGHSdTtRQh0CbxojVlKjMYnd/0pdm9T3dgo8CvJdhgBQV0mCEu2IkoxIRMLKDXsMwTBRkk/rb3w6ZFqtTrew5PcJ4nTRkVRS4rhQ+6qqfkZRvyzLudaGdv83HH/vfh4XDn3dZPJbXtjqx8Sxg5GX40hrj9bZsZuuDDBx+ujMvQlHJC0BmBhMU+UTA8GQqRc3wO7RrT4uSTJssoyIwc5ERBMMLXEc2XgevACEo0Y5csvvTPvEjCIGIBAMpxzXSizOT+Yrs3wBVQ50yco3ALQn3CDDcixw8T6WSaXudHZlA4dbpo3AF8cU6XK7jcOcay5mxvzS7ZVMEJlGJCqisNCT1mtC4RiCTW0dP5HoU6TiR9p4PmnLso7atqmPL1/ztiazrD7PfzigyYUOGZgLgUe8wMN8qKU3FhZ1RNI1xefzzfL7/ev8fn8IwD3x/85YkhlrOoG7jjZ/6ZCO056sOXGiCKNV4ESfUfdkswec085jSWWJqbcQ6Zl3L51xHgXIpnu1pLIETjuH2soS1Oker60sgY3RY2SAV9Hhf2vfUUMCBIBlQ1VrLWguabA4VfpSdSjRM6Rjo48+/56WmNOjl41JdS4UBB4nm8KGAH3tHEVq+VigTUsmAkpVKneK1fNEZpE0adFFnyEAyPe4DPP7gH5ZWHJTieEkuZWtqvNrIBgyjBFVQoO1PqSyNomiBI7jKAjS3cjAI+t2m4JhdTXlPXxhXQ8F1YhMJZ1EmihK6O9xYNakYVi+5m08cFup5VwrwOz/PjivLKnf4vU4T8serSuLYImupTP3Rk3iVU0bqSUAgXb7mjdjFLweV9oFp51JSDsFHoEkigOJ0D4x8xAlGY3NkZRsIl1f2coXUPdtifJvHY0Fq/k70a7GDS9CxaRhhj3dksoSwG7u1UJFEkRvx2EXOnX6MXPOsxGZgtLGLIYFFcUGFcMlurlY9VsS4xFAu7QnoMz1HDgU5LkNz/MfDmD1y3uxbG4pbOAQEZMXLfclOmrA9fvTchV9gHS19JPp5KZDOn1VbBb9pFh926ycJX1GHTJbi58Dh3xdH8O6mjJyqk8DnemNIIqS6V7lZ9kRjkpYHz/Zp/ZlWr/lQ/C8hb1Z9AS0+mwru5WSnGxNB+o7Qpwq6dpoXo4DudlO0/Pddj6tuTAGYNnTbxlst+7Zt1Ex6ULD8zoa20TvJJ2ewZ0lIsqm+X3tKx8gL8eRkq2q82tir8ttuw6Zevikuz7w1OOn25Fki4KwPlhQQD2jiL6CPjhR39jG7JNiEzim/3uyKWTpt3g9Trjt/GnZo+mLYJ+69yraH2YQ+nuTqr+qJvGsqvDPKfTAm2WHAPmUenSnQrr7PtonZh4Cz1n26Eu0iXR9ZStfoCDPbbL3U5mnEu1q4tjBWsGdeo0PWthZV/YCJwiC6M3EANz35JtY+8p+LV4xb8Yo5Ol6pKpz9Xln55rmzvkzi7HhtY+0f/M8YGP4IvNnFuOpje9rp7e7OwaTKXS0rtAuOUV6qtpYNf5fLrgMoXAs6alCq1NfAswVqFbVSPqMuiTJzEopVZO9u2WeCCOdrbBl3SuR4yxO9rFPsUJk24LVZ1udho3BWoIjnSo4qv4nTpV0bTQ324kNrx2Iywp4tOdHI2Jac6GV7Z5dkJPWiUKid3I6KoFFSbac323xfn/JbFW10WOBNk1KQ+116RS4U1of6KRI98Nb2BjPc0Afi8WTPRF9Bb1vsPaV/bj12hGarHMoElN6WYky04ewOl2jJgBZvbu77XskSEvTOMwc0t27OwSgtrIEDRZV+ALfHo9g+dPRiKg9ru833JmkcLr7PtonZh42QOuvrj+lzJqj0vWVrX0BWVFUSbD3zs5Tqcrks+ysK5XCCIIgejP6WINeDnRV7USTihBEoL/HgWVzSxFsjSLLZceaze/Dfzhg3PeJEjzZdkP7qude3Q//4QBumTrijDqN3ZGfI/h8vu8gSTLQ7/e/1rWX1DvpSaMRRQmF/bJxPBRMHrjTnfrqTN9CVd8faM+ok7OSOZwumVmrTWK6n816H7XvyakG7M6kSZzoPlK10YWzx2LDawewddcR7Pn4xCn1m7KyXbvA01x7BnA6khanOj/qX5/Y69Iq6Z3q+kBBkO5H4Dn8+IYx+O8/vavZ2I9vGAOB5/paDpDsiegzJM67T774PiomXYj+eUqyRbVrgSHbv23XIea6croTgETfIhoRUeBREjWdlU/sqqRwun4N7RMzD3W9rp4+0pQsTiRdX/l0+QKJdmUlk29lZ1RETxAEkf4aHY2IcAo8hBwHwAG3XTsKVVPl+AlA3VwvA6tf3st83zOpcLSjJKATwFOwTgLKAL7ZpVfUS+ktRpOqc6F3liQJkCHjqY3sjDo5K5lDV92PTvWG6ILP7ionvbeMR6L3YTU3vrXvaJfYmQ3AvTeP0yRBE09Y01zbtzkdgYpTnR+7e30gv6J7ESDDk203nCLyZCuSbX0xNUb2RPQFEufdQDAEr8cFZ/y0lWjxvAFeN37wvYvgzWafxCKIU0G1oZ4utkjXL6F9YmbSmTjVqRQfdzWJdqXK5NeRnREEQaTMqcQagPbCIojG5yd93zOocLSjJGCL3++nJF8K9EWjUQeSAKU5Z/X0kdpR2d7+3Yjk9KQ9Z1IykSBYdOfcKIoSBp+VS7Z7BtPdgYpTnR9pfu3dRCMicl02uIpyIcoyBI6DQ6CEAEFkMqnOu6znFeRnob6+mZLhRLfR08UW6fol5Mf0fnra5liw7MrBkMknOyMIgrCmu9bojt43E9eV7oBkz7uQrjAaQeC1xpSZ5CicKQOC6DuQzRKnA5adneo8zvMcODr1R3QjqcyPLDtO5/VE5qLKtg6MV0pGe/kNzFTfmSC6knROyeifx/Ptgj40VojupqdsLF2/hPwYoiMkSYYs8GnZcqJdRUWQnREEQaRJd63RfTlnkyodJQEtewESXY8g8Ai0Rk3HU71Z9l5lVETvh2yRIDoHjR2iL2Blx/n51CuHyCxoziWI1KCxQnQ3ZGNEX0EQeBz6usnUnoFsmSAI4sylL/g5fLI/+v1+z+m6EAKIAZoxAcCxQBuWr3kbsZ69LOIMhGyRIDoHjR2iL2Blx40t4Z69MIJIgOZcgkgNGitEd0M2RvQVYoCWAATIlgmCIIi+4eckTQISpxdRkjVjUjkWaIMoUeU9cXohWySIzkFjh+gLWNlxNNY7KtyIMweacwkiNWisEN0N2RjRVyBbJgiCIBLpC2sD9QTMIASewwCv22BUA7xuCDwHiL3HqIjeD9kiQXQOGjtEX8DKju02HrEYdTQhMgeacwkiNWisEN0N2RjRVyBbJvR4ct1wOSl0ThBnOn1hbaCTgBmEDcDiyhIM8LoBQNOXpeWGON2QLRJE56CxQ/QFrOw4L9vZsxdGEAnQnEsQqUFjhehuyMaIvoINwL03jyNbJgAALqcNU+5+OeX/CILom/QFP6c3XWufRxQleLPsqKspgyjJEHgOtvjjBHE6IVskiM5BY4foC1jZMc9zPX1pBGGA5lyCSA0aK0R3QzZG9BVEUcLgs3LJlgmCIAiNvuDnZHwS0OfzXQjgWQD9AdQDmO33+z/q2avqPkRRAof4jRFlkOgW0VOQLRJE56CxQ/QFyI6J3gLZKkGkBo0VorshGyP6CjzPgRMlsmWCIAhCo7f7ORmfBATwBIDf+f3+P/h8vh8C+D2AK3r4mgiCIAiCIAiCIAiCIAiCIAiCSJFIVERhoSfl54fCMQSb2jp+IkEQlmR0EtDn8w0AMBrAVfGH1gH4rc/nK/T7/cd77soIgiAIgiAIgiAIgiAIgiAIgkgVh11Iq4fipl9NQ7Abr4cgzgT4nr6ADjgXwBd+v18EgPj/v4w/ThAEQRAEQRAEQRAEQRAEQRAEQRAEg4w+CXiKCADQv39Oh09M5whyJkPfo0sYAuBzALGevAicgfabDvSdLRkCst8+wRn6uwwB2W/GQ9/ZkiHoZfYL9O772ZuvHci46x8Cst8uga4pNbr4moaA7LdXc4b/FkOQGfYLpGnDnaW33O/ecp1Aj17rEGSY/QLJf49IVITDLlj+nchM0pUP1d/nJK8bggyz394Yg6DrSU43X88QpGHDnCzL3Xkxp0RcDvQAgP5+v1/0+XwCgHoAF6QgB1oOYHt3XyPRJzkPwGc9fA1kv0RnIfslejNkv0RvhuyX6M2Q/RK9GbJfojeTCfYLkA0TnYPsl+jNkP0SvZ2UbTijk4AA4PP5/g5gtd/v/4PP5/shgFv8fv93UnipE8BYAF8BELvxEom+RyZUgpD9Ep2F7JfozZD9Er0Zsl+iN0P2S/RmyH6J3kwm2C9ANkx0DrJfojdD9kv0dvrGSUAA8Pl8wwA8C8ALIABgtt/v9/fsVREEQRAEQRAEQRAEQRAEQRAEQRBE5pLxSUCCIAiCIAiCIAiCIAiCIAiCIAiCINKD7+kLIAiCIAiCIAiCIAiCIAiCIAiCIAiia6EkIEEQBEEQBEEQBEEQBEEQBEEQBEH0MSgJSBAEQRAEQRAEQRAEQRAEQRAEQRB9DEoCEgRBEARBEARBEARBEARBEARBEEQfg5KABEEQBEEQBEEQBEEQBEEQBEEQBNHHoCQgQRAEQRAEQRAEQRAEQRAEQRAEQfQxKAlIEARBEARBEARBEARBEARBEARBEH0MSgISBEEQBEEQBEEQBEEQBEEQBEEQRB+DkoAEQRAEQRAEQRAEQRAEQRAEQRAE0cegJCBBEARBEARBEARBEARBEARBEARB9DEoCUgQBEEQBEEQBEEQBEEQBEEQBEEQfQxKAhIEQRAEQRAEQRAEQRAEQRAEQRBEH4OSgARBEARBEARBEARBEARBEARBEATRx6AkIEEQBEEQBEEQBEEQBEEQBEEQBEH0MSgJSBAEQRAEQRAEQRAEQRAEQRAEQRB9DEoCEgRBEARBEARBEARBEARBEARBEEQfg5KABEEQBEEQBEEQBEEQBEEQBEEQBNHHoCQgQRAEQRAEQRAEQRAEQRAEQRAEQfQxKAlIEARBEARBEARBEARBEARBEARBEH0MSgISBEEQBEEQBEEQBEEQBEEQBEEQRB+DkoAE8f/Ze/f4KOp7//81M7uzu0mWZCFc1CO0RVwRyDHBBCFB+wXL71sV0eYcCV4g1oAkVZB6jhC0RVpIwLZabQuKVAGrQPul3u0pir0ItgSRHhVhtVbFFiEQNskme5ndmfn9MTuTmZ2ZzYWE3N7Px6MPy2Z2di7vz/vz/rw/7wtBEARBEARBEARBEARBEARBEMQAgzYBCYIgCIIgCIIgCIIgCIIgCIIgCGKAQZuABEEQBEEQBEEQBEEQBEEQBEEQBDHAoE1AgiAIgiAIgiAIgiAIgiAIgiAIghhg0CYgQRAEQRAEQRAEQRAEQRAEQRAEQQwwaBOQIAiCIAiCIAiCIAiCIAiCIAiCIAYYtAlIEARBEARBEARBEARBEARBEARBEAMM2gQkCIIgCIIgCIIgCIIgCIIgCIIgiAEGbQISBEEQBEEQBEEQBEEQBEEQBEEQxABjIG8COgB8JflfguhvkPwS/RmSX6I/Q/JL9GdIfon+DMkv0Z8h+SX6OyTDRH+G5Jfoz5D8Ej3OQBaufwPwaUNDCyRJtj3I58tAMBg+d1fVQ9B9dA/Dh3uZXvtxI4NKfjsD3bM9JL8Dg8H6XEh++z50z/b0N/kF+vf77M/XDvS96yf57R7omjpGd18TyW//ZrA/iz4kv0AnZLir9Jf33V+uE+jda+2L8ptIiP3m3fUk/UmGe5J0z6Evym9/80HQ9aSnp6+nszI8kDMBO4TDwfX2JXQLdB+Dk8H4vOieBw4D9b7OFnou/YPB+J7ongcW/fne+vO1A/3/+vsCffEZ0jV1jL54TecaegZt0LMYXPSX991frhPoX9d6LqDnoUDPQWGgPYe+dj90Penpa9cz6DcBCYIgCIIgCIIgCIIgCIIgCIIgCGKgQZuABEEQBEEQBEEQBEEQBEEQBEEQBDHAoE1AgiAIgiAIgiAIgiAIgiAIgiAIghhg0CYgQRAEQRAEQRAEQRAEQRAEQRAEQQwwaBOQIAiCIAiCIAiCIAiCIAiCIAiCIAYYjt6+AKLvw3EsEgBESQbHMnAAEEWpty+L6AT0Dgmie6ExRQw0SKYJovPQuCG6A5Ijguj70DgdvNC7J/o7JMNEf8Y7xAO3q+PbV94hHoSaIz14Rf0X2gQcpHR0EuA4FsFwHDVb6lAfjGCEz4OV5UXwZThp0ugncByLxnAca3Xv8P7yIuTQOySILtEf9SIZ/gOX7ni3/VGmia6jykx9MAxwLOmDLkLjhugOzpUckR1ADBZ6QtZJ3w9euvLuSd8SfQFJkiFzLMAAwVbSX0T/xe1yYPa9L3b4+F3rrsPw4d5O/UY0lhgUG4e0CTgI6YwhkwC04wCgPhhBzZY61FYVg+mFayc6jwhG2wAElHe4dksd1lWV9PKVEUT/pL/pRXJcDFy66932N5kmug7pg+6Dxg3RHZwLOaJxTwwWekrWSd8PXjr77knfEn0BjmPx+YlmrHlqPyrmTMLmF98n/UUMGngn16lNQwB4+SdzEOqh6+lLUE/AQYidIZOwOFaUZO04lfpgBKIk9/yFEt1CQpIs32GC3iFBdIn+phc7o/OJ/kV3vdv+JtNE1yF90H3QuCG6g3MhRzTuicFCT8k66fvBS2ffPelboi+QALDmqf2oD0bgzXCS/iIIAgBtAvY6HMdC5lgkGAYyx4Ljev6VdMaQ4VgGI3wew2cjfB5wLMWM9BdYm3fIJkWtN2SQIPozdnqRZfvmGCLHxcClu95tT8z1NLf0TUgfdB9kIxNnC8ex4Fi2x+WIxj0x0LCzMXpK1knfD066oqNJ3xIdoafXSXo5DIXjpL8IggBAm4C9iloqoHrDPiyq3YPqDfsQDMd73FHWGSPWAWBleZF2vFrOgOrI9h8cHIOlZfmGd7i0LB8Ojuk1GSSI/oyVXlxalo8fPXOwT44hclwMXLrr3Xb3XE9zS9/FYePMcrD0bjoL2cjE2aDqyU0vvIclc/N7VI7IDiAGEulsjJ6SddL3gw9Jkruko0nfEu1xLtZJejnc9ebHPW5nEATRP6Bx34v0Vm151YhNrVPuACCmHCuKEnwZTtRWFVNj434KI8rweV2oLM2Dm3cgKiTg87rAiDL1NyCILmDUi8C/ToWw7dUjCBwLAkCfG0Od0flE/6K73m13z/U0t/RdWA5YWpaPR3cc0mRmaVk+WA6QSCF0CrKRibNBrycbQwIq5kxCdhaP3GwPHJC7VY7IDiAGEulsjJ6SddL3g4+m1liXdDTpW6I9zsU6yQHggW9PwZqn9iNwLIiX3/oEaxZPAwMGLAvSXwQxSKFNwF4kXamAnnwxnTViRVECg6SwiDIZL/0MUZSQ6eQweqTX9L5FhukVGSSI/o6qF8EwWL15v+FvfW0MkeNi4NKd77Y75/resm+I9hHiEra9egQVcybBm+FEKBzHtleP4N5bCujddAGykYmuoteTgWNB1GypAwBsqp4JRu7esnFkBxADiXQ2BiPLPSbrpO8HF/GE1CUdTfqWaI9zsU4SRQljRg2xlkORNqQJYrBCtX96ESoVQJwrRFECI0pwyDIYUdKM0HQySP2cCCI9ap+I9XeVYGV5EfyjfQD6ph630wFE/0d9t66kzMUkudd1Ntk3fReOZZDj5Q2f5Xh5ejcE0UPY2dPnWk+SHUD0Bj2xnmxv7JCsE92B09H1fq190TYn+g5nM/93RqeyLEO6kCAIAxT024uci1IBHMciARiiPwAgGI6bfteX4aSJYZDhAPDgwitw8kxYKxU6cmgGeI5BQ0ggGSEIG9Ra/voxsnx+Ifb+7Z+4quBCKvlCnFOs5LE3dXZX7Rsrm4XmnO6F5xiUzboEtbp3U11eBJ5jECel1S4ko0RnSKebgTY96fO6UTbrYpyfmwUGSiAeyRXR37GT/2FeHoIoa3pUkjqX+UrlFolzQXam66zk7Gxsc7I1BjZns07qyfUeyR1BDHxoE/AcYKdMe7pUgN0kMSSDp149RFriIvVzIoh0WNXyX7/tANYsngaPk0Vc6LtuCDLwBx59qQefKl+Zbgdqq0oARgbk9ntP9LWNzIGKIMraBiCgyEotze8dgmSU6CxpdXNyHfjQ3SVo7KXAO7IHiFS6Uybs5H/N4ml44PG3NXl/4NtTkO12dPh3qNwicS5gWabTcqYfPzKYLtnmZGsMfLqqw3pyvdcRuSObgSD6P5SP3k3YlnpJKtPqDfuwqHYPqjfsQzAc1/5+NuUq9L8ZDEVNqeB2k0RCaqtvrqLWoKYyBYMLmWMQDMWwcdd7qN6wDxt3vYdgKAZRtq9Tbke6MdCZMjBUhpToD9jV8g+GYhDEtnHSFXmWkrq4I9/pyvhKNycRfRu7952ut0RXz2lFe7Kpl6+FtXtQvWEvQq3xs1rYJtq9A6IzSDayItEavl1IRonO0p5uVoJCZZNcbd99FAkwPWIH6L+Xzh4ge3zwkU4muiIP6WxlvbyveWq/pR61+k31s1hyDLmS5e7IEU10lM7Isr6sJwMGMUmGxLFgeS6tDbyodg9ON0W6ZJuTrTE4SPUDA2hXLu1tCnRIJ6eT/fbkjnwIBDEwoEzAbsAuasKb6URCZrB999FuidbQR164XRzONMXSRmrYTRJssga1/m8jfB589mUIm198nyKN+jGdjc5JiDIe3XHIIJ+P7jiE2qoSSxnhWAYcmA6XmB3m5TtVVpQi34jeRB0/kiSDZdm0GUycjR5tahHg87rg4tjkJnvnovs5jsXnJ5qx5qn97X6nK+OlL2WMEZ0j3fuWAVudDdHa2cB1UkadPIfPvmzC2qftj+2MfKXOV2Bg6ywhY7X74DjWWlY4BuRhSk+6DZ2Oyqgq9/XBMMCxFEU9wLGzFfS6OVWu/KN9mD19LKo37E2rlzmOhQgGUVHC8dMt2LH7IwRD0Q7bzXp97R/tQ+mMcYjFRSTAg+c5hKIJU7uATCdH8jqASZ3DfV43gqEovJlOhFo7vz5LZyurMufNcEKSZYBRNr3TrS3vLy+C08niwSf/SutEokt0Ze3EcSwaw3Gs1X1nxYJCZGfyYDgOHGSIoqSNH5/XjbtvugxDh7g7bZvBk6imAAAgAElEQVQD3WNrEH2b1DVQR1vx2OnUf50Kwed1tyvH6cqTxwEsm1eAUDiOXW9+DAAonTFOucbkmpF8CATR/6Ft+27Azun1yT+bUb1hL2ZPHwv/aJ92fEej8/U4eQ4xScbppihONUYQjoqWvymC0aI7OJbFlAkjDeeZMmEkHByLleVFWjPaET4PlszNx643P+5SpBFFivYNuhKdI0kyfF43VpYXobaqWDEEvG5wHIPqFBmpLi+Cy8miNSHi2MkQGpqiOHYyhNaECNGm3IVgU1bUTr66M/KN5JLoDOoCT5/B9OXpMDa98L5lZDwYWOrRPQc+h9ul6OuYIHVankUw2gZge9/pynixcjZWzJlEmeD9gHTvW+0toZdHtbeEFep88enxZttz6nUoy3OIxCU89/ujqJgzCbVVxaiYMwnbdx+FqFv6pYtQVc8DjoPsMM9XodY4pkwYCf9onzYnraqYAt5JMtmdsCyDpWX5BllZWpYPlh08S/guZ04lnS96NIdeB39Xlfs71rxOUdSDAJ5j2tXNqXJVOmMcHtt5yHKNp30nKUsrNuzFnev2YOOu93DbNePh87ot7YBUfQ6OgyjJqJgzCVcXXojbrhmPzS++j+U/36tkcEcTaG6NmyqFyNzg0RODEf0c7h/tw23XjMfGXe/hk3/a2QoMnDxnq0/t5P/Ip6c1mdvyyocAgOpf7DWsX2VOCaTW2xzP7T6Kk2fC2tp12bwCBENRkssBSE+t4+1tabMsq70qE4C2Aah+Z93WA/jkX8144oX3EJNkiCwLGUDeRbkov+5S/OzXf8OPf3XQZG+ls821ez9LW4Pou3DJLNLUNVAk3jGfgdV6b8ncfOzY/ZHl+k1fLc5O9kWGQTAcx8oN+7DllQ/hdDBYdnMBvntzAfYc+Fy7xsYWAT6v23A9XfFrEwTRu5yzYBK/3/9jAKUAvgJgUiAQ+CD5+WcAosn/AcDyQCDw++TfrgDwBAAPgM8A3BoIBOrP1TVbYRW1EYlbl9f0ZjhRH4zgsZ2HUDFnEmq21AHoWARQ6m/qI0NWVUxBU0vM8jfjooTvPdFWY7+6vAgAsP/wSUyZMBJlsy7BfT97Cz6vG5WleTg/Nwv1wTCeee0IAseC2nk6GmlEmVt9h3QZGA6bDEGXk8P8a8dr2YCqM1CSZOxILry8GU6EwnHs2H0Ud96Yh2CzUj5Uf/yoYQ4bx6/12JAkgLO4h+6KfCO5JDqLCMa0wNPrbnUc6eVqyoSRWLN4GkLhOBqaonj5rU+w4NpLtSztZfMKOiXPHMci2okxYzdeJAlgOdYyI1gfQag6eFRnY3tZh9QDoHdJpx8ZWe5Ubwl1vrCTUUkCgtG4we7wDXFj9vSxBnlZMjcfMmQ4OBaiKKWNUN2x+yPcPnsCHn7uICrmTMLmF983zVdrK4tRHwwb5qT7y4uQQ7q724gnJGx79Yhhft/26hH8162TLeflgcbZ2Aeq8yX1uw4AHekCS5nYgwt1/aZuZGRn8fB5Xaa+walylZ3F267x3EldayVLeptFbzMkEpIm8z6v22T3L59fiF+/ETCc6+SZsGbrq589uuMQaqqKKYJ4AKOfw/Wb0apPQ099MILTTRHwTg47dh/F/sMnTZklZ1LkPzvTBSfP4JvTvqr1BKyYM8lUlaZmSx1qv1OCm672Y/22AwabY0gW32Hbleif9OQ63s6WDkUEyDK0nslqr0pfptPW1zfc58Hs6WMN/S1/sGgavr9J+Xd9MIJtrx5BZWkeRg3NBO/k4EhmDabjbG0Nom+iynUwFDXNr/oSySpWPgO1l2BNVTFOBSMIheMGPy4YIGiTtS0kg//19v+uNz9GQpQ0+yBVty6Zm4/GkIDAsSBqnq7DPWUFWLlxn3Y9I3wesCwDGeQbIIj+wrnMKH8BwKMA3rL423+om4Iqfr+fBfArAOWBQGCv3+9/AMA6AN/u8Su1wcogqS4vghBPWDq9EkklWB+MYMRQRQGrC0COYyDCWAbIzsmautBz8w4IcdHyN4+fbjFMKLVb6rDuOyVYdCMgy8DKZGmZ+mAEqzfvxwifB5WleW0TBzq3SXk2Dg2r+yW6jmgzsUsS0CqKNiV9ZLz0508M31H/vf/wSew/fNLwG3fMsS8feuNVX8PVRV8BxwKiBLxR95mWjTqzcIx2/j0HPlcyDiys2I6UTeoI5Ggj9HRkA8uuV6rq+BAlGWCNGa/7D5/Ep8ebUVtVAm+GE5WleQAYnDzThGXzCjAk04UpE0YaxpFVWV3eyUISgZgkgWUYw3f8o30om3UxZCh9Auw29IzHyjh20ro0mH5haZdtkDpOaFO9b9CefhRFCQyShp0oA8lIUCu5V50goXDc8pxsiqznZLmQ6XYiJoiomDNJKxMTT4hISDLAsnAkI03XVE5DIiGDZQBJBkRZws93/i9u/eYlePi5g2kdirIM0xyztpO6mzas08OyDHK8vOGzHC+vzMv97DF15V0nAM0prdol23cfxaIbJrUrY6rzpaOb7abvU4mvQYXeFlXn9BE+j0mfmeXKumTv8dMtGD3SCwbWsuTzuvG1C4Zg430zwHEMWFbJ9jvVGNauw2rDZf22A6iYMwmNIUErz+gb4kbeRbkomnCeaU2hbgKSrh1YqOVlf7h4GkRRsU3V+d7OVmhqEbD5xfe1dWN9MJLUp3kQJQlnQlE0JgOZ1e9UluZh9CivpoOzs1yWejEmiNoGoPrZYzuVNWckmtCuLXAsiJotdXjo7hLIsLZ5iP5Fe+t4O93Tsc9ZbZ2llqTNzuKR6XaagtPWPLUftVUlAKxL7nsznNqmofqdplbjZk7gWBCrN+/H48tnQIYMlmOQaEdOz9bWIPoOetmTk5WzrAIwm1oESxlzcCwgK34Kvb+UAQOWZZDpceDWb14CB8ciKiTAsazt2HHqgv99XjfKZl2Me+blg2NZ+LxuS7/AYzsP4e6bLkMkJsKb4cRwn0cbP1MmjMTt101EMBRDS1igkuEE0U84Z2vOQCCwFwD8fn9HvzIZQFT9HoDHoWQDnvNNQFV5x2E2SGq31OHumy7DsnkFeGT7u5qDdGlZPlw8B/9on+JskaEZFmpUxctvfYJ5sy7RouVSa42r0e+xlIWeJMvI8DiwtCzfEMm5srwIG3e9Z7j2+mAE8YSSHWgX8X9+bpY26XQ20qirDg07p3JODqWTdxXeyVpm9blcHOpPhk3ZexnDMyFLMv7z6osRahUAAE4Hg/+8+uK0zmar9+1wMLiy4EKs3vwXwwY5z7OY+w0/1m1ti+JcsaAQHAdIFgLWXZFv5GgjVDq6gWXXK1V1fHAsk0aulIbxrdEEYoJkGGsrFhQCgCFC2uVkcTqZLWgVla9+pzEkmP5mt6FndZ4lc/PxzGtHDAvn1IVlR8YJbar3DTqjH9uTe1XH73rzYyyZm2+I+lxalg+OYzQHnSTLABjcv3Gfdsx98y9HPC4Z7J6V5UXIzXahtSlmiKResaAIF47MwrDstvFlv/l4dn0BacO6fRwcYzkvOzgGYj/qCShJctfeNQPLjNaOKrPUzfbO2CbdFehE9A86Y4vq5YrlgOoFRajdWmeaz++9pQAOWAcBzb92PFZuaNPT3715MkRJgoNjtePsAjBGDPWYMgBWLCjEztcDmv2ytCwfLieLWFzZIGoMCYZ1K+na/ovV3KmWuL/tmvE48mkDViwoNMwbqkyqgT2AdT9L9bjAsSDqgxHkZLkQao1rfpFVFVNsg5GsZPV0UwTLf77XcG4A2mYjyWP/J53udNnYecO8vGVPNavPq8uLcH5uJiaPH2Wb9aT+5ummCJ566bDJ77Zkbj5aIvEOb+YcO9mCzS++j+ryIsvMWauNwK7aGkTfIFWvrr+rxDYAc8+Bz01rvGXzChBsiWL91ncM/mG1L6q69v/Zr/9m1Htet+Hc6tgBI2sbgKnz/dKyfPBOznLc5eZ4sGrTXwy/UVn67zjTHNWyXvX+RRJWgujb9JWKHs/6/f73/H7/Br/fn5P8bDSAz9UDAoHAaQCs3+8fei4vTN+/41QwYr0BwrGQZBmVpXlazfo/vPMFQq0C7inLx8IbJlmWbJlZOEar3WxVim6tWtc5GRGqIkky1m99RyvnVFtVjMrSPGR7XQiGoobr02cHqhNO6t+dHIuH7i7Blu/PQk1VCTLcTiQYBk6307b+ulIzXSk0s+G+Gbi68ELDOdurWW7nVG5qjaX9HmGPJJozKB7dcQjxhKRl96ny+dKfP0FCVKLhYoJo6PkRE0SwLIPv3jzZUG/8uzdPtq1RnxBlUyRc7ZY6CIKkLRjVz9dtPQDRxjgQRQm52S7UVpVgU/VM1FaVIDfb1ekFXHu19Klf4OBB5pR6+MvmFWiGsWWNfc7cJ0t1gNyf3GixkyuWZZAAg5NnwprTDmiT9wXXTsCTK69G7XdKMCSLRzQuYfvuo/B53VgyNx8OTom29o/2ad+Zf80ELLu5wLJEknrtoihhmJdHbVUJ/vu2yaZjH9t5CKUzxmnGvyr3sWTtfkfK3KLeT6r+TrcYJ84d+g1cRT8Wa0FEqfosXf9AjmPBJKP9l5blw+fl8eDCqXh8xUzce8tk8E4OMUGE08FgyysfQoiLJrkOtQraBqD+/LG4eS5Yt7UON359nLbRDkDbfNSPt5XlRXBwHeuDYqfDu7Ov7EAlkZAt5+VEonfGc0fn49TjGltinX7XHMcCMmMZ6Qy5++wBu3vqbO9Oon/T1b5OQlxCOBY3rCufee0Icrw8OJaFyDDgOAb362SpbNbFJhvg4ecOYoQvA1keXjvObi2Y5XGaxsW6rQcws3CM9u9HdxzCv061YlHtHnx6vNm0bk3tW0j0H+zKy84sHIPHdh7CtLwLsPP1AFZVTMX6u0o0mQwcC2oBc4B1P8vHdh7Ckrn5uLrwQqyqmAJvBm/4rR27P7K0v6NCwlJWm1oErZ+108Fiydx8LLj20rOa+2ld2Lew050OlkUCjOW7FkRr+8/q89otdbiuZKylrJbOGGf4zaYWZVNQLeu54b4ZuPumy+DiOXhcDjyxYiZ+tGQ61lZOQ21VMbKznKheYO7ZtuvNj7Xf1uvVjvZwJdnsf6TqVXWD2GoNNG/WJdqaXtWxkixrG4BAm38YYLTMPSs/Qdmsiw3XMcLnwanGCBIJCcvmFWjJKKk+w0y303LcnWhoNc/1onkt8eiOQ0hQQBtB9Hn6wrpzeiAQ+MLv97sA/BTAzwHc2l0nHzYsq91jhg/32v4tGIqiZss+26gN1fD1ZjixevN+ADD1WVKjPvSoUXP1wQjA2GeY1AcjeOFPfzdE36lRGqoSVvnJ0iuxauEVqNeXfRyWgUe3/w0ALCP+H/i20ufnxJlWnGmOGiKcqsuLkJXhxIhhWUqZqCSJhITPTjSnRPormSvv/f00Hvj2FOTmZBi+k0p9MGx5v/GEhBFp3sdgozPyW3/G+pkCsmXUO8syEEWb8p7fKYHDwaCyNE+TJYeDgSTLeHDhFabSoqJoXUrRricgGOtxZyVb1eVF+MqoIXA42ozedGMWUDbKH/j2FKx5ar9B1nNzMgAAn59oNv1tzKghaWW2t2nvnvsiZ6t/zxZJkvHpl02GzDwtaphhDL8tSTIiMRGVpXnIylDKwjidLOZceRF4nkNOUnZWL5qKEw2tmvwPyeLxo2cO4tvXT4Cbt+6NybIMWiJxg1wvm1cAp5M1ZM/qo6WjQgJCXLQZP8q1S5KsybJdprc3QzHoM9wOnGmOGeR+9aKptuNEPxaCoajl3Od2OUwNwgcSvS2/HUEvA/p3mOm2zvbgOBZNrQLWPLVfKwUzalgmgs0xZGc5IUkyfvLsQYNMZnrM57KTdTudz3EMGpoiWiR14FgQL7/1CVYvmoaWiIBgcww5Xhd8Xne7Mml3z2NGDcHpJutgrdTx3ll6+z13BTv5/VJXNl6lPhiBKMs47xzfZ7p3qddBVsf98M5pnXrX6jlk2drebg4LqN1SZ/v7Ta0xxBMSnA4W2ZkuW3uhvXvKyZHx46VXduhcg5mO6F+gb45N9ZrS2aLp3nkwFMVLf/4Es6eP1YItpkwYibnf8BsyrFYvmoqaqmIkRBmSzTpSlmUkRBFrFk/DL1/6ALve/NiU0bK0LB+SbJ2FrWZ4qf9mkpdtNwfERQmjUtaN7T2ngUh/k1+7dbnqq3A6GOw/fBKNIQG3XTPeUN1IzRgFYNvPsiUi4Jrir2Ln6wHccNVFhmPUDZYf3jkNDieLWExEQpTgcTmwfMHlhiyYZfMKsOfAMVMWyw86OR/o6eg8NNjoqAx3lXTvxU53xhIimluFTtmf6QIZrT7PzlLKpadmmqplPR+550o4OBY/euYdgw51uzhs+u0HCIaiqC4vQm1VCURJxmdfNht6tlnpVb2cqvL47P8cwXUlX0NujrIJo7Z4SCebfUWf9BV6+3mk6lW9L/aZ15RN5fNzs+B2OZCT5QIAnGhoxfKfK4XwaquKbf0K98wrQFRIWP591LBMbd2ur97yvSfasvassl6dTtay4oxVpTm78SbLvf/c7eir12VFf/BBpNLXrqer9NR99KXn0+ubgIFA4Ivkf2N+v38DgJeSfzoGYIx6nN/vzwUgBQKBM505f0NDC6Q02QrDh3tx6lTI9u8Jpq0UhdUmmprSP7NwjKZsU6Pg7MoChMJxTJkwEpABhrGuNZ4QJa2PxKqKqQjH4hjqdVsem+l2ojkcMzi877+9SOv9EjgW1CYcfXPiM80RnGhoNTWord1ShzWVxTjdqCh6tQ51AoxFpP8BPHT3dIiiDEmScLoxnL52OWfd78LpYNO+j56mLw1OoJPyy3GWz9Qu6l0xTiXLPoIA8Js3PsLMwjFw80A8IeM3b3yEytJ/R3Nr3CBjasag1W/b9TWBLFu+Z5njLDMKa6tKwCTTB9sbsyrZboepln5DQwtkjtUWFepvKDX/i8H00ZIxHb3nfi2/PYDMsah52hzZXFmaZ5JBjmPhcnC4YLgXsizjdJPSzF2Ncq6tKgbPMVrmrN4hASh63umwLvUGXaN59Toe2f4uKkvzTNdWMWcSNr/4PnxeFyTZel6ADJw504oEoMmyXZBKVEhgZXkRBEHCs/9zxDDWt7xyGJWleXjo7hLNiehgWTQ2hg26m+OsFwVyXOzW9zeQ5beneifZ67MSS3kQRVnbAEx1olUvKALLAsvmFWhzwWM7D2FtZbHpXGqEfur5GcZ6DDg5FhcMz0Q4msCDC6ciFBbQ1CLgp9vfNYyxhoYWW92twvIcGpoihutUdbj6ex2dczrCQNO/nMPaVuBY5pzbXx2dj62OO366pZP2hXKOVRVTLb/HOzjL37crMevNdAIyTGM53Zh0QNaOHZGUq4ZovJue5tnRX+RXT0/bEHak0+ep19SePrM7/7xZl2D77qNYVTEVLREBPq8b/zoVMui9VZv+gsrSPMQTsq398a9TLVoP+BULCsEACMcSuPumy8A7OWR5eDy28xDuu+1y27Wq1b/tbI7jp1vg4Jh27enufnckv2eJzbq8rSS+8nfVl1AxZxKys3gMyeSx92//wu3XTcQd108CxzKWveDV3oGrKqaC48yyGgxF0RIVwAltdrtqYy8tywfLMIgKCbAsUDThPNO69stOzgd6+sK6sK/JL9AxGe4q6WRf1a+ZHgdqq0ogSTJYFuA4Bvf9bC8q5kyy8ZnJtrZNZz4fOsSNx1fMhJNj8creT7RNEvXvLt6BWosMqMrSPJTOGKe0S0i2TOBYxtBnUD1Hql7Vy6nMsXj2f45YBnA/89oRW9nsTX3SF+UXQK89D72NsKpiCnbs/giBY0EtAFL1wWn2QyyOhlgcMscabFu7efbYiRA2v/g+1iyeZr0WA4Mf3DkNLAM0tQqKj1gUDH1UVZ+Dvl8rAyAWV+wDB8ciIUrI8DgRDEW1/pneDCeiQgK802YtwXR8LXEu+wqnGx99UX5724fWWc7F9Zyr99QT99HTz6ezz6ZXNwH9fn8mAEcgEGjy+/0MgDIAf0v++SAAj9/vL0n2BVwM4Dfn+hr1BoJ+E+2C4V5wLMBzDBbdMAlg2nr1pPZbsNo8XDI3H59/2YQ7rp+I001KRMeaxdMQDMXQ1CJgz4HPcf2VY7W+go0hxcE81OVCTEiYojiXzStAOBbHj3910GCUrH26DmsWT8Onx5tRH4wgGIrC43KC51lIkgyRYSBJkmVEp8/rRjhi7fiwOrYx1NbjqmzWxTg/NwtOjgOnc3qo2PU2ys509RmHSL+DkU29KZfNK4BkE/UuyjJcugbB+mg2B8dY9goUJRkPP2eUsYefO4iH7p5uykIdMTQDHpf15gHPMYhblAS125QUJanTysqulj71Cxw82L3rC4ZnKYtKjtXeub4n65QJI3HH9RNxz7x8nG6M4Fe/OwowDCJxyVRS5pHt72JtZTEiQgIMYNLN3715MjiHdV+TnCyXolN1sp6dxWNpmVIGyet24P7yIkPPnSVz87Hphfew4NpL4eIdhr5tqeN/5e1FyMniwYgyEox1RjBg7qNyf3kRsr08hLiklS2jBvVdpyf71NnJOBjZpHsfXHgFAEVOhmS6sO21w8aAi611qCzN0xzGqsOBYWCyYbyZPFbeXoSap9vm/PNysxCJJfD9iin4web9hnuNiyIADk4Hl4xedhoyDtVemTEwiEkSOJaFx8kgLoiG1hIcxyIYEiyze0VJhotluqWv7EDGw7OWGf0enkU0cW6fkijJNnO+cT62kvMduz+yfNccx4ABa+6vkzyHEE9Y2uRCXCnIlWoP2JWYVQM2VpYXIcfrAgdZkVebMXm6KQKXk6MeVf2czurz9vo66Z1gDpYFywGxuASf14U7b8xDQpSQECW0RuKWes/NO7Bj94dYeONErKq4AvXBsKFSwabffgCgLWCzsjQPO3Z/hLJZFyM3x4PTjRFc+lUfwrG4yX5ZsaAIO18/CgBaoEg4Fod/tA+73vxYyXZJsU/0fQvtnl8CSoYEkjYYjYdzh53T1QFY2psvv/VJUq9CszEBZV04NNsNBsCVBf+G46dasGP3R7j0qz6UzbrEVC3o4JGTqJgzCQ5OKWWr2g/qMffNvxyZbh7fT2aqAG02tt5R/cSKmQCMNrV/tA8OjsUP7pyGL0+3aBlT6npTAKvdL88xEETZcP8xWhd2K2fj2LfTr8O8PGKiYr/yPIsVC4qwbquxatCRT09broNcTrO8/detk/HmO8dMfS5XLCjE5hff1/r1rVhQiOOnW7V///etl8PBmdd0Pq8b5+VmAbJie6ulP1/4099NelJNIACg2eYMGCQYBhyrjI/510zQKsUAxkDRmi11JJt9BCtZB2CQ4SkTRuLeWwogyUqWp8PBwAEZjCybbAJRkrFj90eajWqVua/vxfrLlz6wlOGnX/kAjSEBC2+YiCEZPBqaopAhIzuLxz3zCrDrzY/wxoEvDFmvS8vy8aNnDiIYimLJ3HxseeVDBI4FlTLOC69AYyhmuI77by/CgwuvwINP/tXgP+CQvn+l+swkSUZCkvHLlz5otz8mQRDdyzmbP/x+/2MAvgVgFIA3/H5/A4DZAHb5/X4OAAfgQwBVABAIBCS/338bgCf8fr8bwGfoxjKh7aFXUGoJlf2HTyIYisLndcPFKgZNXITW/cCX4cS6qhJIMEYi6aM+lGgmBhwHZHmceODxt7Wmrg883paivXx+IX739qd47++nse6uEjS3CHjg8bc1p0PeRblKNB0LSDKQkCQ4dY3fVeqDEcgA1lYWQ5aVTc2IEEf1L/ZpjrsLhmchO8tliuYom3WxpeOjpqrEENWiP9Yqu8BKoet7G+knzsFcduNs4VgWPM8aSnjyPGsb6eZglTK0VuVAH7p7uinjaWlZPhjGulyQJMuIxyXD8SvLiyADcLu5pPzJYBgGoixBsukZwttsSvJODowodYvjIF1EIKiO+YDC7l3rI+NXlhdhSCavOT78o32YPX2sQR9/9+bJECUZwVDMVv55jgXLsTg/w4mapF5zsCxON4Vx4nQrRvg8Wv1+b4YTLAswDGMoqaT0Z3PjJ88qRnhtVTFyvC5D5pRaliYiJBAVRMP375t/Oe4pK0CO14WTZ1qRk8VDEpKmOMdZZgSvrSw26fm1W4ybQaoOZ5KOImpQ3znsNhFqq4rPunuSnYxDNm7c8k4WTS2CYbFmVQrGzTu0/69mzcoycPDICc3mECXgjbrPcPmlo3DvLQVwOR0Gh829t0zGurtKEI9LYBjgd29/isnjRxnsgu/erByTSCgR3k6ORVM4jtW661N6eLoAUdJ0vV3fosrSPHAsY2tb0IKyDUlWeo6lzte90eKTd7I2cz7bprtgLefBUBQ5Xh7rqkoQFyUcP92Cjbve05y/qXaneo5TjVHsOfC5YePx5bc+0Xr0TJkwEhzLIpGM0rYrs6gG/NUk9WWO141cLw/ZJhtBzYTpjnFP9B7dqc85jjUEIKny/4d3vsD/ufxCbVysqphi2fO3sjQPUSGBwLEg/uftz3BdydcsKxWo1AcjOC83E5WleSYn+69+dwTeDF7T8wADt4vDohsnYeENkxBPSDjdGMEre/+B264Zj4NHTmBIplNbc4TCcTzz2hEEQ1Fbe7onA2KI9kn3/AHA6WQNJfFdThZ33pAHDjISojJX33tLAZwOB3a+ftQysMzFmyu6rNt6AKsXTcOqTW12dXV5EX64eBoaQzEIcRFeD4/GFmsbWy2dOMKn9KpSe1yqNrtVVYOcITycLIPTTTHD/aobMHqnc46Xt9TZtC7sPGc7xu3065rF07R12aqKKfifv3xmmMN37D6KhTdMAsMA95QVgGGUihUZbgcammPY/vujWvZqdqYLTieDvHHDsfP1gPa5z+vC797+VKvAVR+MYOfrASy8YRLuuH4iWJaBg2MRE0SDvPhH+zD/2vHaBraqxyVZRmNIgBBPoKaqGJIEOFgGTg5YlDwn72TRFBLw4Hh+RAkAACAASURBVJN7DTKazu4g2ewb2Mn6EF3PUyufQnV5ETKyXZbjgWMZBENRLdvam+GEx81pmYOffRkylJbdf/gk7pgzCfeUFWBYthsOB4tNz7+HxpCA8usuxUMpJWufeumwZiNfN/1r8Hp4PPW9byAaExETEiidMU6rAnP3TZchEhMxZpQXDU1Rkw2y9uk63H3TZVpJUyfHWiZ+tPfM9GvR7lobEwSRnnPWWTYQCCwJBAL/FggEHIFAYFQgEJgQCAT+EQgE8gOBQF7y3/8ZCAS+1H3n7UAgMCkQCIwLBALfCAQCJ8/FtaoKqnrDPiys3YMHHn8b82Zdgl8+cDVqq4rTRnvKkPHq3n9g+fxCzVgd4VOavTogg5MlMKIIUZQ1BTj/2vEQ4iKWzStIOr3cWL/tAIomnIf6YARxXfaJN8MJn9eNr0++EKs3/wWL17+JB5/8CxwsAwYM1t9VgpXlRfCP9mm/zQCQAYABjp1sxg82t5UC27jrPSyq3YOtrx7GigXGaz5vWKalAXIqGMbGXe9h/rXj4R/tU47NzUJ90FwKVTXerBpzi6KkOJVlGYzOyUd0DVFSmgev3rwf1Rv2YfXm/Vi/9R1wLGNquL60LB8cyyAhWhuZCZtegbKkOMhWlhehtqoYK8uLMGXCSMiytdEeEySEIwncv3EfFtXuwf0b9yEcSQBpeulY/S4DaGPyjjWvo3rDPgTD8S41x1azUPXPQ80UIQYWVu96aVk+duz+CIBOPyXHgX+0z5Ahoh7z8HMHIcuyVtpZzwifB8dPtWDx+jfxwMZ9CDbHEBUSePjZdyEkRCz/+T786ndHcd/8yzH/WqWPSvWGfQAUJ7Ze7z+64xAYBiidMQ4+rxuiBMTiIppaYlj+872o2VKHwLEgSmeMQ3OLYGrI/dC2d5Cb40ZMSCCeUCKdVWwzgm0WmvrNIDsdTnSMdNnHZ0s6faafYyURWGtRGrd0xjjtXKkliuqDSl8JF89iZtEY1AdbkxvhrZhZNBp/eOcLNLXETbr/J88eTPY0CeGBx9/G+K/mWo4pQZDwwOP7ULH2DWzY9b/gGBb/fdvl2nio2VKHfxxvMuh6u2d5fm6WpsPJtkhPTJCwfbfiEKutKkbFnEnYvvsoYsK5f06SCMs5X0qJMrCS8we+PQWMKEOGjO898TZWb96PwLGgrc5Sz7HnwOeYPX2spos3v/g+rr9yLHa9+TGmTBiJebMuQfWGvVhUuwfVG/ZBBiz1vjpWVH1Zu6UOgmh9rUvm5muZAd0x7oneozv1ucgw2gagep5HdxzCjV8fZxgXdv33zs/NwsihGRjh86BownmWlQpSdbyDY03Hbd99FOXXTUDpjHGoD7bike2H8P1Nb6OhOYL6MxGs3LAPlevfxM9+/TfMnj4WL7/1Ca4rGYtX3vqHof9fjpfXsq9kjkWCUf6r6m87Bz/ZF+eGdM8/AeDBJ/+K1Zv3478fewtVD72Z1H+ylimYk+VGU0sc67bWYWbhGMvAMp/XZSmrza0xzc6umDMJQlwEyzLweV0Y7lN6bidEyVbXqs7z3+z5CI/tPIRl8wowwuex9DvUbq2DKMqIxWXT/dZuqdMCPtT7l0RaF3YXZzvG7fSrPgjTzTuw//BJ1GypQ/WGfajZUof9h0/iVDCC6l/sA88rZQzdvAOJhIznfn9UO375z/fi+5veRkyQ8JNnDxo+V+1VQNnY++GdU1F+3QR8cTKEnzz7LlZu2Id/nWqBIIq495bJmryUzbrY0o7xuBy47Zrx+Mmz76Ji7Rt44PF9CIUFSKKs2aiiKJvmgNotdXDzDsuxoLZ4INnsfWxlXdcrz1I/balDLC6b5kdAqTC3srwIwVAUNVvq8MKf/g5JAqo37MVnXyolQFPL0352vBkrN+7D9554G4IgYv/hkyidMU7LiFV1roNjsWRuvra+cnIcNr3wHppaBGx99TCW/fTP2Pzi+7jtmvHwed3IzfFg84vv40xz1DYJwMGxWL15P773xNvaXNHZZ6Zfi5KNTBDnhi7NIX6/fyqU/nz7u/l6+gQJQHOQqBFG23cfxaIbJikOpXRfZoDJ40fh128EdPXyXfC4WCREGQ5OKVGkGjn+0T54XE7LNG812kdvEIXCcZTNutgwofi8bgRTUrTVEh6zp4/FL1/6AP936lfg87qRlaE07K6YM8lwDjXqqbaqBLG4iIamCE41Riwj40LhuGbg1FQVgwGj9TRMLYUK2JfUsEuhJ7qGKFo36I3FJWx71dgLbNurR3DvrZMt+zKM8Hkg22wYMCww9xt+U9kBwH4zMXWjYt3WA0qPP4t7SIjW5UDjorkMoxot5Ohk2RHKFBkYdKTcTOq7ZlkGP3rmoMGArg9GwLLK5vbs6WPRErFuOA8Aew58biojt2JBIZ747fvacap8z792PGQZ+MnSK+HmHXC7WFT/Yp+m950OBzbuetek9xOihM0vvp/cqAdkMKb+a2pUtNV1hsJx3Pvon7UyOMOyeMQF0bbvrGiTtZK6GUSlZ7pOT2Yfi6KEYV5e11+CBc8BkihD5tpKYNk5VlJLwWx79YjhGoPNMbh4Dk0txn7D1QuKcNPVfjS12mTHSjIuHKEEB9nZBU06p+Ds6WPx/U1vm8aDm1eyDNdVlUDmWLCwlmMnx0IU289PPZf9J/osdqWBeyH0Vu8sUVH1DauTX8Bckjg3JwMNDS0QGetyy6aSosmxsuiGPICRleocsnIulmWUEoYcixW/2GuwNX750gemsqOqfAJGu1iUJDCynLzWEpxuimgZ3GrvS4rg7990lz7nOBYxG7udY43zu11foFBYQG6OR6s+YHWuC0dkobaqGFEhgdwcj8mZp+rfVZv+YpLv5hbB1C9eLUknyjImjx9lyO5aPr8QQ7NdaEjJvlLL+UXi9uOd7Iuzo0M2cTsb2NZzuVKqSRQlODkO2Vl82nldlOwzofVZe2oVpNTy+ffNvxwPbXvHIDveTCceuns6JElG6YxxYFkGTieLtZXFtmtfIS4hFLa251UbWv13QpKQ43Vh3XdKkBClwWsbdANn23IjXU9JFXt9GEfeRblgGQY/+/XfDPosteoFa7OpkZ3FW2aXqjpR7f03epQXP1g0DYCytrQ6V5bHafKBrE3asyp2GX9WZcvVrFVGbH+zheh57GSd1dkI9npSyerbc+BzzJt1CYZ5lbW6IMrYvvso1lYVQxJlgAGOn2qBz+u2bS+l2qKKn075bd8Ql7a+spPlloiA2dPHYsfuo5hZOAb7D5/U5vjK0jycaGjV/Ap2PYf1wXDtjXGOY21LL+uzvclGJoiep0M2t9/vfwPAg4FAYK/f718G4AEACb/f/6NAIPDjHr3C3oBB1x0kMqN9T91YG+HzYFXFVKze/BdtIQQJWgSbWtMcMJd4WTI3Hw1NyjX4vG54XBxGDM0wNHYtnTHOskzMqoqpeP6PH2Nm4RicPzwLkIEcnrPdrNt/+CQq5sg4eaZViZ4SJZMxnjrZSJIMhyyB41jcX16EM6Go5SThYFlA56CzS6HPySGl31UcNk3d1dICaj8F7fNk7XmrXn6sjZNDlmG9qfedEsvjWdbayLYzFHgni9tnT9D6DqqLQrsIJDBAsJVKCw02VP2xPWm4qmVcPDyHuGDcCFD78bg4FgkwWqS6fnHJcQxuv24ivr/pbduG8w2NUZTNugQ7dh81lI7507v/1Ep8qpvWHAdkup148Mk2p9qKBUp2U30wklbvcyyDvItyIcRFJEQZHMdoi82nX1HKUkeFhHZdputsimrnrEn2hHVxLFiOsewr8PwfzYsKq80gMsq7jl0P3O7oU8dxLBpSejo+uPAKxOMS1up69F440mspL8Oy3di4fAaCzTHwPItgKKr9bWlZPrK9PBIJyWRjqP0DLxieZXnek2fCSIgSpkwYiSGZLqy/qwRNLYJmt6hOQcA6UvaxnYdwT1mBtrkSFyV87wmlfHqqHK/sQA8K9VlRKToAYCyfd+13Str5Xvdjt6EiQ0b1hrdN70lfkljNPrJzGrIsAxltzly7sRJOjpX6YAQb75thaRsvujEPtVXFkGRAFGU8/coHmhyrdrGiJxVbVxQlODgWLidnKNlM/Sn7P2qk/tnqcxEMZNl6w0SUjPO7VV+gZfMKAAa472dvoT6olMizOteJM61aaW+195ReH9vp37tvugzDfRlYNq9As23UTNvRo7zgWAYvv/WJ4XvrtylBUHbl/FxOrkNrRKJzdHRus9O3n30ZsnXyypDBJQOYOcjweV2G7DwrWzm1R9XK8iJs333UIGsVcyaZ7IqHnzuIHyyahgcXTlWCMzgGvJNFqFUph58q/x63A/VnrAOWG5oiiMREy7/pg9zU+9/84vuoLi9CbtIZT9LYNc42SILnGFNPyeryIvz53S+0Y+z6pP3x4BconXGxFpgAtOmzH9w5DcdOhLDrzY8RDEUhydB8a+oaTvGBePDdmwvwvSfM51D78bl5Re4e2f4ulszNx3nDMi3vWf2unvpgBKKsD3Ky9t2caoxi15sfa+vN3GwPHJAhCiSZfQXbdjs6G0HNbrZaI21+8X0smZuP7buP4o7rJ8KV3CRrDAloaY2jdqs58OyZ145gaVk+Rg7NgJSUn/+6bTJEUUZTSxStUQHVCwqR6XFqfmar+b2yNE8rUa8G3qvUByM4LzcLjzyn9H/d9ebHWHjjREsbZMsrH2r3pB/jqUEpPMegISQgaOMnVucTspEJ4tzQ0cC7fwfw1+T/rwTwdQAhAH8EMPA2AWUbB0lV+w4SySaqORyLw+d1IyFKiMQVZ8SqiivQEolbHn9+bhY8bgf+ebIFTp7D2qpiNDRGDZsj+oxBuyiir0++0BRFpDaOT1XCUyaMNDWe/+7Nk1H7nWLEEzLOJJvKll93KULhOE4FW7W+KTIYDMtxY4iXR/WCIsPEtbQsHywHQ3knuxT6Hy+9sjNvitDBssB3b55s2kDjOAbLF1yO5hZB2+gbksWD5ZTo99TeQNXlRcjyMJbRRnZRT5IkWzYmtt2Y5BhwskXUqgTt+tVzP/zcQdtNRshMp3uzcByLxkhcK403wqc0N87xDDZHcP8lAeBP736Bm672Y/02o6NB7W1iZXz+6d0vTJms1eVFEOIimGQkp12k3dZXP0SOl8ft100EwwKyBLhcHC792jCDUbx8weUQ4ubM1XVb2/rs2ens83Oz8PGxIL457atYv+2AtoEzalgmgs0xLLj2Uiy8YRI4joEsmzeW9Aa5es5wLAHOw0NKyBjhy8C9txSAZVl4Pby2qRgKC1izeBoYKIEB0XjCsBmUapRTNlXn6I7sY6sFlSDKiEmy1qu4PhhBfTCCk2eUkt36Hr1Ly/JNC7jqBUVgWQZbXjmM/YdP4pb/z6/1b1V7zIbCMQDWjgw377DMklpapvQF+vx4E+bNugSrNxszTF5+6xNtQx2A7XgYluMGxykOa1GS4fO6ETgWxLZXjyQ3IL3gWLT7LNVnZ/WsBmP/CbvIc0mSwZ3ja7HbIP/lSx90eF7nOQbzZl1iOkc4lkBDYwQXjMiCw8EhJsoIRxOKTcowCIXjaAwJ+OkOJSP76sIL4XRa2ywsC0giA1mW0NQaQ0Wyn09ClPHbPyhOxeryIvAcEE8qytRx72BZsBwQS64BJCp51O9QN5K3pwQDeZysKQCpPRKShNNNEUu7/fk/Gp3cwVAUviEurddVKByHJMtakCYA7Nj9kUnHK/2MpeQGtozm1ripL6zHZV1qdOTQDARDMW1T/bZrxms9/46dCGkOzNQMG9FmHRwMxZCb4zFdo9UakegcqWtqpUJQFBluBzhdD/VUfTtlwkiUXzcRLREBQlzEigVFWoCaKh+/fOkDpRJS8hwensPK24uw/fdHLStjRGIJsCyDtZXFaGyJoSUsIMfL48avjzMEdKarELD853s1+R05zINTwYipB9wLf/o7yq+bkLZCx4Ujs7B8fqFhnaD2BATa7NtX9v4D9UGlTJ9aqYbs3K5xtkFvgiibekrWbqlDTVUx8sYNx47dHyXtOBfWLJ4GUZRx4kwrnnntiNIqwaY6RbA5qlVZcbs4OBwMHlx0BYLNxkpa1ckgUdVO1J/j30ZkYf1dJfBm8IgIcVTMmQSngwXHMVh5exFqnjbawYxtQLWM6o1va2PQyn+y8/UAAseCbZVhOEBMKdmeKqOqTUGym57ueD4cx0K2CQhiRFmz/TiOtZzzhudkwOd1a5vLwVBM2ejlGJTNuljzowLGTehdb34MAFi5YZ9pXXX9lWPh4lkMyeQRDEWxtCwfDo61HA8XDM/Cr9/4CPVBJftViItYWV6E4TluZGbwYBngnnn5YMAgEoujJRKHN9OJmspiJJLPTS1NqvrRnE4WAgDGJkB/++6jaAwJlhmu3kzleZ2NrJLcE0TH6egmoAOA6Pf7vwqADwQC7wOA3+8f2mNX1ovYbeR1xEHidLJYVTFFy6RTmwgPHeLG4m/lGTbHls0rwNAhbksDIRYX0dQa05wTqyqm2JZkSS0Vp57D7XKgNiVrq2aL0sQ1w+0wTVxqJkzqBsw9ZQXIynTC43Jo13/jVV/DVQUXonrDXoPR4nKyePy375lLT95SYCzLZOOEiiekQeWM606EuIQ36j7Hqoqp4FhlQ+35P36M264dD28GDw/vBMsAkuyCw6FsAMbjkqWxXfudErz81ieG9/jyW5/gzhvzbCP8Xtv3qfm3rxmP6vIiU0Sfh2dRH4yaDIRMj/WiUJJkS0PLbqymK0kgMoypN9bap+uwrheyIIguwgCzrviqKdpTLRvYHBYsjc+ZhWMMmaw+rxuNoSjcfKYWBR04FtQacmdn8cjy8Hhs5yHNyVV+3QQ8/dJhXFfyNVzAZ5kimZtbBCQS1vptVDJaNF1pr3GjfXjg8bcNGzj6hcO/jczCl6fCePi5g8i7KBcPLpwKB8eAY1n89YPjhlKnUyaMhCjKBj2tGtscy+DOG/Jwx/VtpY8AIAEZLl5pQi5JMtiUTRbKpuoaakaqmsXUGX+n1TNXHVn7D580BAUFjgW1/lH6st/bXj2ChTdOxD1lBfANceFEQyse/+17Wl/KW745HpIo4/6N+wy/4eIZcDbBHKFwPFlBYBIqS/Pg5h3anB8MRVFTVawtVIE2u+XBhVMByLj5/16CT483244HWQJ+8qw58ClwLIjVm/djU/VMpSxSJ5+d/jztzRcDEbtMf5ZlgB4awnaLc6uNMoYDbrjqIswsHGPIPrJ7T3HROqisYs4k7DnwuSnwY2lZPra88iGCoShWL5qqbQBeU/xVNDRFTA6b5Qsux4nTYa2/inoOVc6ry4tw6zfHI56QIOjK/gPGTPRgyrz0wLenwJfphCDK5LToo6TKrYi2wDN9tZf2Agms5F8C8M6HJzCzaLSmP6NCAkMynZhz1Vg0twq4p0xZJzIsEInFIUPGT7crsrn+rhLDGFYDJH545zScaY5iWLYbzWEBD287pFtHmgNca6qKLfXBv061aBmEbcEbF8Pp4PDMa0dMjsnSGeOQncXbzhdCXEQ8Llq3J0hZIxKdQ7+mtir/plYhiguipm/BAI0hwVDOdd3dJaZ13P7DJ3HH9RMN72dIJo+FN0yCLAOrF01FOJpAlseJWELEo1uNNuvIoRnYuOs9XH/lWPi8bT4Pu3lfrRCg+iBqqkqQk+WyrNDkdLC4/sqxeOnPn2g2e06WC7/Zo/T9/vrkC7H3b//U7snhYPGv+hDuuH4ibrraj4amKLbvPorZ08fii5MtCBwLQpSkpL4mO7crdDXoTa8jrdZPp4IRbNz1nlJVZYgLj+/6XzSGBFTcMFHb9PZmOLXe7Vb2an1QaWXzwzunIR6X4HY58OiOv5p8IJWleZh/7Xhse/WItqZSsrfasqpXLFD6CzeGBJTNuhijRyoVW0IRAcHmGLa9ekSrPKOXoxULCg1BTuo8UlNVjFPJcfHavk8xs3AMbrjqIviGuPHIc++adKSVbavaFGdCglbdYITPg/vLi5BDsguge9aw+nP4vG5Ulubh/NwsODlWqUqSPI+DYxEVrOe8b18/QQusyc7iNb2Xm+PGqGGZlmPAm+G07D+pzsNqqdostxPrt74Dn9eNJXPzbef3r0++EKGwgGHZboiSjIbGKDgHi1PBsCnj75W9/0DZrEvwh4NfYOLYXLzwp79jZuEYfOv/jEN2pgssB5xqjGD91ndQMWeSVgFDvUbVJq/ZUqf5WLwZTgz3eeAEICaSNnMvvleCGEx01Ob+K4CHAZwP4AUASG4Inumh6+pV7B0kSKudOI5FY0gwZFUtLcvH6/s/x3/MMEd1PLL9Xcvo/BULCpHpcaChKaJFItk1hf/KeUMABpYRSHY1yodle/DojkPI8fJYVTE1uejkLQ0vn9eN4T6lL+GXjUpNap/XbemAX7f1AGqqii1LTzpYZWJsWwRbLxKdDhaJBIWDdgXeyeKbxV9BfbBVcyZ8s/grYMGgJRw3RZl5eIdtxK4oWfcM4lhzSUFV1vSlBAAlyjORkJVou4VTkxuQgChLEBLmZu01yQhMy7HHMLqFKwPIijMwAeuSiOnKjiRE+/6Fdgqxt6KL1N+tD4YBXTTvoEdm0NwatX6PkrVsqQannaNkyoSR+H7FFTgVbCuN63Aw2gagf7QPZbMuBseyuOP6ifjlSx9gwbUTTNfg5h2mRaj6XQB4cOFUuFyc5cLwtX2f4htTxpg2cNT7eHSHkpH+/B8/hs/rxtcnX2goObry9iKsv6sYkgREhQQuHOk1bcIo/W3zIMSV/nFaBkMHDWi7LO7Blk3VXXSojw/MGc+1SZnW93BYWpaP1kgCOVkurKqYgpwsl/adwLEgnnz+AyyZm6/1flKbxbMsAw/v0DYA9b+xetFUhMICqsuLkhvmytjwZvJ48vkPMCJpH6zebG4RbadrW6Nx/OiZd1BTVYx7bynAyKGZlkEev//rp5YL3Zotde3qee0aYN2EvrPnGUgwDCyznZkeGsDtLc7TbZSpG7bBUNT2PSUk617C3gynKfBD1aNqabDm1hhG+Dy48evjsHrzX+DzurHwxomoLM3DyKGZOHmmFeFIQusvpD/H3TddhkhMhBAXEY4m8OiOQwiGoh3Wm2ue2o81i6fhgcfNZU9pnu99rOT2h3dOs7WZ09mPVvKfm+PGtSVfM8zRgGLD6jfWOI6BN4OHLAHbXj2Cu2+6DMOyPeAtslaDoSg+PxFCzZY6/OK/ZxgyBe3WkQwDUwUXfQahGgSotpZ4+Nl3DZl/I4Z6DLbUjVd9DWsWT0MwFENTi4A9Bz7H9VeOhYNjANi0JxhkOri70Zelsyr/ppVjTQYoMABkjtMy5IBkUFxzDDtfD2Bm4RgMy3ajbJYfc64aCy65hgdgKqm8YkEROE6R80hLzNCuRLVZ9x8+iRuuugiP7jikZRvalXRUW46o1y7LMoZkuiAkJEMmTDwhKi1JOGUjkGUYhKNx5Oa4EQoLKJ0xDi+/9QlmTx9rqEagZprPLByjyeGnx5s1xzXHskjAbo1Kdm5H6GzQm15Hqi0ZUst0SrKc9DXVaTLlH+1DTBDx/95SsqCH+zx44U9/N9k3y+cXYtPzbb3bzzRH8cj2d7FmsbU+z8rg8aNn3tGqt+gDf9Rj1m1VguoZhjH81n3zL4fHxWlVszI8Dtx7SwGGZXuUZIKkr1DP/sMnUX7tBC3QCADeOPCFNhdY2T92NkVtVYm2Aah+ntqHcDDTHWtY/TnqgxFNRmqrik123/HTLZZznlqKs7I0D0MyXfjtH5T2TQDgcTksx8CIoR6INusqNXv1vNwsbayo6x27PoLBUBRrFk/Dky+8rwWU/mDRNNMm4yPb30XFnEmo3VKHtZXF2lqxMSTg1m9eAlmWwYKFN8MFn9dtm+Wt9qAPHAtq66/UZ9ZVyDdBEJ2jo5uA5QCWA/gMwJrkZxMBbOj+S+p90pUyQNJhp2RIsAAjAzK0DYnUiffRHUpvviab8gQ+rxu//cPH+OHiaWhuFZDl4bElWaJNNa4jsbhWUzp1QuA4YNPz7+M/Zl6Me28pQHaWG5Iko6Epgkabussnz7Rq0ScMK8Pj4vDP+hBGDs3Eqoop2LH7I83pPf/a8YbMANVRZFduQZJky00ijmMQ1C0cpkwYacoQW1lehOxMFxqicRCdhwGDeFw2lXMV4pJ1H7+qEjg41rKXjoNl8PmXTVppOIZhUHf4S4zwZVhH8d46GVcWXGhYaClZJCyqN+w3yWBNVYml0w6MjPvmX45Qq2BwNisrVvM9d2SspjrXWdZ649Buk7+3oosoqskeSZJsoz3t+lCOGOqBk+O0XjiZHocWPezNcEKSZYSjxnLIahN2VRemOitcfFvmtyrDUSFhKE/k87pN312xoBC+IS7UVBWjqUVAQ1MUO18PYPb0sRDiSg8TOyP6dFMEs6ePBcPAZKjXPF1nWLRWlxcZStr4R/tww1UXmTIDh3l5rRm5fkwqG4aTDAa0XZTuYMum6g46MsY5jkVUtA7W0AdeKD2DnSY5mzJhpBZpHDgWREtE0DYAF944EaFWAZIkQ0qW29T/Tn0wAo5jsf2Vw7jhqosMY2PZvALkeHnc+a0828App01GSE6WS+lnJQNDs5W+KaIsGbJhRFnC5ZeOwvN/+ofhekaPzEJNZTFGDvNAEpW+KnbBERzHIg5Y9rTyZjgN88WgCj2SYZvp3xN0dHFut2FbWZqHoV63UgIXrGZ/NzRFIHMseI4x6dilZfmQZNlWj6qlwZbNK8CaxdPAsgyqFxSCdzogJESM8GWCd7JYvXk/aquKLc+Rm+PRNtT1jhX9vek3+e++6TKwLKOVIlV6E8XafS5E72Alj8dPt7QbeCZJMmSt3xMDxslqGdfqe9+++yjmzboEsbio6WN9b+FRuRmmbK7l8wtx6Vd9YBgGDz75F0N/VH3p8NONSmargzMGgtplXh07EcKO3R+hsjQPo4ZlJIT2UQAAIABJREFUwsGxaGqNaRmEqmxnZTgBGYb1YW62BwzDINgc1YJEJ48fZdjYXj6/EL97+1OUzhiHUMS6FNig08HdjH4tZKvzQjHkZrs13aKvpOIf7cOSufnY9tphywDQX/3uCObNugRDMnjTmNj5+lHM/YYfK3V2pT7bPiG19QbO8fLIcDmweuFUMCyD1qiAHy6ehnAkjqwMHs2tyuadvnewUjqxzQ+xbF4BnE5W2+BWP9vyyocIHAti/V0lmH/NBDgdDGYWjrHdEGVZBo8suwq8g4MQTyDD7cSqhVeA54BInOzcc4le1+5682OsqrgCjS0xUzaSf7QPgWNBSMleqou+NREe3onbZ08EywCv7v0HZk8fq9k32Vk8sjNd+H9vfmTI6PMNUfwPdi1Lsjw8fF43Rv3/7H17YBTluf4zM7uzl+wmWXIDFRC5BAmkTSCLJEEsKFZABOlPgtYkaggQFLy0QGgtiMjFXjzac2wVlYs9BXsOVbnYFhW1SK0g2CPXgIiCAglJNslusteZ+f0x+32Z2fkmgCW2Qt6/INnMzs5+8877Pe/zPk+3JDw7b7S6Vn6/V6e0UudTSfVrtx7Q7SNjMYWShgg5M8lhpWSP4TlZeOjOfPjb1IlB4lHotFuwrKoIsZgMSQb+caQW+QO7oy0UxdKZhRAFjkqNAx3txdj7hVjX2gVwcfaw5zqGtu7bsO2IaROuzhfElRluAAoqJ6uT1RzPIRyOYX7ZMITCkkGqtlvckzVxzcYkGaXjr8XqzftROi6HvoaoGxFi25d1AZqbAVBZ/IVxGVyeB3MvSJ4r5LNn9/KgfMIgg0LGzNuHICazvY616ncX+9nfhU10RVdcWJzXfVFTU1ML4OGEn20GsLkzTupfHWZSBgAMgN280mGIRGSkpdhhEThm4rSJPACRmRAB4Jaiq8GBg68ljJ+/3M7aVJs1u/BgST66pzuxePp18PmN2uX3TBgMi4VDcyCCnz3XvvF6aFo+Fldeh8XP/93w4PH5Q3iwJB82q4AzDW2G6cV1Ww+ZjpwTBjUbgOeYTaKH78zH+m2H6esJKLm8qhiS3C5Hx/Nd0MfXjZisMP30zJhukqzAYRcMUlkLygpgtfLIy87El3V+CsrmZWfGm7lsFq+ZrCizWawoqLx9MFri8gdWC4fK2wfDwvOIxYyNTAuvbyJrwfI0t6hZRzxEAZAlxRRc50302S0CD5kBJP+r2EWXMqvpn51w5HmO6QMyv7QADc1BQ24anpMFKDA0qf/fjQMokLCoYrixqRb3oZBkBY/GQS0C1rni+S0xd7qTREwa1Q+vvfcpKm4bgt7d3QaD+RVrd1PW8ZypeRTwOH6qBY/clY8FZQVoDoSZOZawB5fEJxJuLOiJyTf0h8ADAAerlaPs/Q3bDqNk7ABs2HYEU0b3R88sF840tBo90WYXAxyY4E/iYjMzQu9i8l94nM89TpikrGvub2snzJSMHUC9fMixVqzdjaUzC3H8VAv9TpOT1A1k6fhrEY5IzGe/FiyBojClwp9avxeLp4+gqgKJpJ5H7lInSRJz7cJyL97Y+Rlefe8zusYyPQ6sXPuR4fMtnVlIN6aEoHKiNkA9UogcI4sc0ZEMqM8fQkacgXo5TlfzPIfJN/Q3+JDxPNcpaqDnuzk3e133bkkQLJxB4opIFFZOzjXkbjKp1xpmy+UTaTAyDbDqtX24dWRfKqFP1urK+4sAsHOer0XfwHvmFZX098wrH0OSFaaknFaKdG5JHmIJa+98QYvL3fvkm1BJYK3HDduOdOh3JQg8vjjTgqUvfUgB35KxA3V5lpApydTL8Jwsw7N3YbkXm3cc062vletU8h4h8dT5VJnnxZXDIVosFDxuCYRxx40DIEmKjtjJmrzS5vz2aYZi3QQhWdvLqoogCByG52Th/904AOGIRGsb7edKvBdXrtuNWVNyUd8UhEXgKSB5VaYbPHduT9euOHdocQsFbKJjcyACj9tGcwsh7xDp+UAwomuakVrXauFROi4H6944gPsmDjbcExOKrzEQTcm0/Quv7wPPcSgZOxBv7focU28aSGsJumYQRTAc0+VektunjR1o8Id9av1ezJqSqztHnufw47uHoqE5iJgkIxCMIC3FgRSXaPrs0Taq50zNw4Y3a3DnzQMhS0pXnfsNR2KutYtGmU4yjUTW1PLZRfC3RnVqKHOm5uHdPSdxz62D4WsJIRKVEAhF8Mmn9QBAa52GZnUqSYHRamTO1Dys2bJfVX4ROPiDEThtVtw7MQfNgYiuQW0ROF3uJpLLWpKzr0Vt8JH1euvIvjqsbm5JHrqnq/6riZL/2qEAA0HQdI2a+xp3MS0uzh62o2MI4GjdN7ckDyVjByDJbsETs4rQ2BJCcyBCm3CZHlVJZc2W/XQdkTX+wB3fNShQkEm8xOf4Q9PywfPAH9/5FLeO7It1bxzQ4SPEC/3NDz/XESszPQ4Vj5s8ROebytoLEhKRReDwm3mjIVoFWouQ8yNypFdlug1ex3NL8hCNSXjygeK4EtHFrVu7cnZXdMWFhek+Mzs7e+H5HKCmpmbZxTudbzYEQWVnxjjOkIwSpQzUqSIO4aikk6MIRyTTDRWggs+B+EQFiwmydusBTL6hP2ypPK7u4WYWq55kG37y7E7DA8HjtqMtFKWyYIn6y0+t34tlVcXUH8LfFtWxP9JT7YjFFCZ4smRGIWQTQEaWFSYAv7Dci+bWMLNJdKo+gDEFval8A2G8KlBg4zlVEupifrmXYZhJBJgx3SwCh3DEZEpwdjF8/rABIL4yw8pcx9CYvWvfW5IU5qShzcKjkTG1CIDZyFwxu5gJlj/5QLFBmoZMb5mB6yIUpLptuqmTVLcNAhQmAPqvYhddqqymizHhaAEwbexAOrmW4hKRnGTDxu1HcLI2YCiQ75s4mG74Ab3vA/mZmVRWQ3MIyU6RbuAIO5+Vc5/e8DEeLMnH2q0HaY4zy6OeZBs1lf/RD4fi3T0n0btHClLddjT5w7jmyhTm5pSwBxVZweRR12Bk3lW65qa2OTJnah6uzExiTjHqPNEkGTFJMTCmn3lFlXJKvPYdgaBdcf7R0T0uxIHtsAmTlPhcAmo+Z3lIeNx2AKoErWjlUdvYhvf/8aUqOW63GprTZAOn9YF6cdN+lDNkb+t8QTQHwjpm9OLpI9AcCCMUiaFbih0/eXYncvul47HKQrS0qtJwxH/n4HEfak748MwrH+NxE6IKOLXZP6agN1JcIu6bOBjv7f2SniupxQiLWtA0AzqaKvO47aoHxWVad0iyDIuF0z0DLRYOkqx0CsHkfDfnAs8x64WTdQFYLZypL3Y0xma+WwQeb+/+jMrPkXtnfmkBeE7NY2Qaz2xiZNaUXGTE65NEQENJkCeo8wURCEZQOv5aiFYeMckoKUfW7bI1u+jzQhvnA1pc7ioB39TnZ61bnz+EVLdo6ncVA2gDEADGFPQ2EOSeeeVjKiu6cftRPDgtn+lvTOSeSdT5glCgUHDZYuGR6rJBkhWcrFWn+VLdIkrGDtQ1OLQ1QYrLhsdnFNKVy5puiZlMnrcGo3DYLLhnwmCcbggw78clJnKpPdJdaA6EEJMU+PwhdHPbkelxoqEhcFnm4M4IilsIPLN23LzjGConDaG5hdRyPn+I5tIUl0gnTB02qy5vzpmaB2uCBG12Lw/SUx3M7zwtxY7qci8UBRSnSCQqqc3lYmYTcUllIYLhqO4eIL+3ixam9+Hckjw47VYkJ1nhb4sgJYk9NXOmoZX5LHli9S5KDtJ6iavXxWaYxuqKixOJcrZNAbbaVIpLJZw9/9onGFPQ27AHI9/jiTN++jsie0++w5a2CF596xiVXkxP1asSkb3RPbeq9eaga9IoSS2xQQ2NFCig7iNZfu4Ly7345YMjkWQXaQOQnPPTG1SCBUvyf8mMQuqNnEgQZO3FfnrvcFitbNsWi8BB7lq7F2UPqz0GmcK/It0FDhwUAfTnPNdetw7PycLUm7LpuiTvu+3vx3X1J5m4swg88x5obAnhnY9OUp9TWQGcdgGRmILScTmIShJKx+WAF6CT5V6/TZ3YPlXfqlGbK4DLYTXgI4l7wQVlBXjlzRosKPNi1Wv70OSP4KE785nnZxcJIUlmesUvryqCRVHOSyb4QqILm+iKrriw6AhLHnIef/+tba23byJ36pIFi02uCMYppDlT86Ao7AaaNnFWTlaLkUmj+kFWFCypLERzHAzTNuSm3pRtOvlxpqEVuf3S0T0ticoVtYWjcNqsFPw1k/+QZBlfaIoh7XEFnjeVDVDlltjAjU0UDAC8x22DaOXRGoxifmmBjlHy0/uGq2a5PIffzB+NqCRj6YsfXvDm/XJnPmuDxYJWOHOgbdnsInAKR6flFE69hrGouScga20vrypmyohVTs5lgneCwKF03CD1PKFO/JWOGwTJZGpxWRV7ctAMlGCBbGR6y+xzRSUZyXYL7FnJuunBaIRdJpgBk53NLrpUWU0XY8KRsJ4rJw2BJCv4/LQfL206QPPpuq2HsKyqSPVf4DnTRpxdbH8Emkllpac4IAgcJo+6BrcUXgNFUbB4+ghwJo3vbil2pLpV3Xue5yBaBeZxXQ6RTn6TaYFEeWRPig3LZxejviloYA/aRMHgJ5QIMhP2vvZe9rjtiMYkzC3Jw5d1Aby9+wtwnCrLxPo8HAedtBkA5qT85ZSLL9YUitk9/vlp9Zm9ePp1sFkt8PlDOiP1UCSGqCRjQvE1KJ+QgzMNrahv0k/AErk2LRj88J1DMSq/J17ctA/3Mhj9db4grshwYeX9xUhPcWD9tsP48EAt9WhJPM8MjxMv/ORGVf5OAUSRh6LYYLHwkCVVXtSb00MHcAPt/jvaPMA6viIrumld0sD56FAdak74kJHKBlvS3CKCJs+2KzPcsPGX13o1Bqeb9AHU6718dud4xpzv5lwUOEMeJF6pYwp6Mb9PT7LNVHY2LcWOsvE5sAgcls8uBsepUo11jUE4bAKuynThoTvzYbXwSNH4Z2qPbxctWPLCh5hbkkfvPzK9l5Zsp41EkpfJpPaK2cVQYC5FS46flmKn0zhaIEmIe3ex4lJWCTif+KY+v9m65SQFnCQz/a4SiR1mezOOA60rOQ46HzXyGuKfQ4iTaSl2SsJs8keYdg2Kohiajk9v+BhPzCoCxwENzUG4nSJ8/rCqQOMP6d4jxSVCENjPpZbWCBw2K0Qbh6xuRtIJ2Tuy/vasrw2//sM/sPAeL37+wEhAkruUXzopJElGmlvUAcCkaaHNuaSOJlNKG7cfxY/vHorS8dciEpWYSkArZhfjJ/d48cRqdY2VjB2AMw2thtqjZOwA2qjmoOZBsxxrtr/zB1W5RNZ6CkViTO9DQqxIdopY/5fDcDtFPHrfcLSFokhx2aHICiwWHpFoTJe7tTiKJAMSFHjcNtx580D6WS83ssXFjkQMR5bb97HaputVmS58WcdWv8hIdeBMQxtKbsqG08HOrW6nFWu2HMTDdw7Fq+8exYTia5Ce4oDVykMQONR83og7bsymONWiiuFMjOysrw29e6Qw74MnZhUhGIlBSli7/rYoSsYOYBKKlswoRDAUZd8DJkQmIltOvTI1+zEFiCshte/F0lOdaGpqgyeB6Oxx28B9i3GDixlmam8Xck+TYzz5QDGaWCpVceump9bv1U2F8ryxMXfryL6wiQL9/mVFneD3aKQzSWR6HIhEJdwwtN1+Z3hOFu6bOBiAirHZbVYosiorWv1f7+v+/vipFiyvKsZ9ExXwPCAIHOoag8y1l9UtCcurihCTZHiSbbhv4hAoioKSm7KR7LJBittUsXIzx6sNUJZXvJbkejHz6MX4XruiKy6nMG0C1tTUTPsmT+SbDrNN5IqqYvqAFa2qeW+jP8RkPC6dVWTwNKs54cOVmW48t2AMmgIhtAT0cnELyrw6sBpQmaIr1u6Gx21nsv13HzyDcUV98MLrermilfe3N0zMAGwOwKHj9YbjLijzwhcIwd/K9tWqbWzFzv87ZWBQLygrQEyS8N7ek7Qp0hyI4I/vHMX3R1yNaEzBxu1H6XXpnu6EryWCpS9+oDvGTd5e6N0jRZVKiDNrO6JqXO7MZ22YXYtuKXYm+0sQODT6ozpgrbrci4xUO6yKSaOJ45hFgawoBpCuutwLp8ijdPwg1DVqmn3jB8Eu8jjTYJwoFK2C6fHZjS82yCfL7EaMorBBZdJAi0YkzaSv1CGzkwVMVpd7O50Reqmymi7WhCNhPQsCjxde3weP206lA0ORGCwCDw6y6gNpAhKHIjH6f5ZU1pypeXj+tU8w+Yb+uKWoD8762ujvF1UMZx7TauEwfdIQNAciaAtF0RaJGo67oKwAa7a0SxyxpgXIFEqyS4QsKzr2oCojp1Dz78RrqQWZY5oJYRZzekFZAWKyDKfNSv0SybNseE4WWlrZMrxmIOilHhfzWcS6x8lm3+O2w+cP46ODX2BBWQFWrN2NZWt20Q1fMByDr0XCxu1H8b1hPdG9m1N3LJac969+vwePzyhEk1+VYWat37rGNjz63AdYeX8xbhjak07s/6xiOM7GmyKhSAxZ3Zzwt0XouiUsV620dEe5nqzRTI8D9U1BQ60xZ2oeXtq8H98fcbXuM6xc1y6lK1ottB4ivyd+P1+dNfPu6toUdqTyIHTC+3Uksa8I7R5/EVlBU1y2qM6nAhNEOjkUYct6uhwinn/tE0ON+9C0fLS0RXQN5MXTr0NLaxSv//VTg/TnkspC5vGJbKhF4LFszS6aQxOfE5t3HMOtI/vi3T0nUXHbEMQkGV+dDWDDtiN0KptI0RIZ30yPAw3NISprdr455VJVCTjf+KY+/9cBlSwJtarZ3ky0Coa6Ujuhn+lxID3VjmWziuBJtuFMQyuef3UfXUssEuozr3yMxypHmN7bX5zx4+3dX2DSqH7Y9NdjKBs/CAvv8WL9Xw7rJO2G52TRZ442l1sEDvVNQTjsFlPPeZsodKhgsCw+acVd5jm4syMakWATeKSn2JGeakffK3Mhy+qEvEVDMJAkGUK8PgYARVHlXB+axp7yCEclpCbbKJDtdop4esPHlPzL8sDWWoyY7csSfz48JwupLhssAo+lMwvx4qZ2WcTqci8AIBL300w8x24pdrSFozQfx2Kq0kWiXcrbu7/A3eOu1eXlTI8DX53147EXPoxLO+qxn8uJbHExg1U3//Te4UixW1RFhnjjetrYgahtbGWqTf3kHi9CkRh9fgfDEnM9xSQZPn8I3dMcKLlpIDa82T7NmZJkw4jcK7DgP9ubIxu2HWHu/V5+4xDKJwxirrHGFpU84U9ohKiT3XnMv2kOhJGW4tD5dJNzlmR2PU7qj2de+RiP3JVvWidw8ckqPq6slWQV0CvL3dUMMYlEtbevs4eVJBkKeCaWTBqwiVOhiyqGY9nG3brv+fipFiyqGEHJYGR6UOv5S77v+aUqBvvL/1Z9+Ii8bKK08Z5DZ3DziD7MdRiNSfhZ3Mua7PlZa+/LugA2bj+K0vHXYv6v39flTpes4MVN+/HQtHza6CSexALPIRKTkJxkM2AKWpJrZwyAXIzvtSu64nKJjuRArzifA9TU1Jy6eKfzzYXZJjImy5Sh4XJY8R8b9hqKYcKwbwtGdcAsAQIkScai5z9AxW1D8PbuT3WNwlfeVD2atOwIollf5wvq2P7dUuxo8odQ9J0rsXiVejwtu0ibuDduP8oEQXz+EG4p7IP6phD1PUtJsqE1rIIjrMbjw3cOxerNBzBldH+88ubhhPOvwfdHXI1r+6Rj4/ajVPJuTEFvpLpssNsseHCa+j48B8gSDPIfr7xZg2ljBzILGbO43JnP2jC7Fsuqipl+jPPuHoYN2/Tf44Zth1E5KReCwBlkrh6+c6gpE1jgOeaxqqZ8B00M+dAkuxWfn2rGE7OKoCgKOI7DrgOn0b1bEvP4FoEzgM0ZHgdsopmPH/s8eZ67aA20iGRkVi//BtaeFoBSx7GUS6KQP5eW/oVO+1oApl/qw3cORXKSFUlWAbxgXA8PTcuHKLYDdioZwYbHZxaiya+f1j5+qgWLp4/Apr+qU7AZqXbYbRYsmVGI0/XtQO/8smGoawwajLLf+eikboorxSXqNoFm0wJ20YKVaz+iUygpLhFupwhJltEaikK0sKcMtSCzommss5jTK9buxuMzCrHoBf0mYvOOY0wZ1cs175K4mM+iRJD589N+uuYWlnvpVOcrb9bQdScr0G34FpQVwCYKOFHrR1qqA8uqitAciMBhY8vbSrKCkrED8JJmA6e9J9ZsOYhMT/tE07KqIjQ0B8FpZG0IELdB4/OrJTNp13qGx8lco55kOxZVDIc7ScT/vHUE0ycNYUoylY3PoR6XZCOZ4hIxtyQPbWE9q5pMs8iyAovAY17pMF0TKNG763JVFuBNVAN4rvPuapbEPsuz8c8ffE6BWTKh0TPTBcHC4SflXp0n4PzSAiqllGS3qPJIAoezvjakpTjw09/qp6RrG9vw5w8+R+m4HASCEZ20f3NryLR5kelxIMPjwLPzRkMQOEiSgtx+6Xhr90kK0C2qGIFX3z2KMQW9mPcUkaIVrQLWbT1Ej79260GUjB1wQWDzpaoScL7RmZ8/MS8AuCDCCy8Ac0vysOmvqtxcWopd18TQkjgSG95amfHF069DQ3MI/7Fhr2E9kmafNteS/Ggm/x+TFDpR8tp7n2JMQW8sXvV3WluQiUKg3bN9UcUItLSG6V7iwWl5aGwJYXlc6oy15+QA02cacHk1q//VIUkyLAIPX2Lj4B4vUl02RKOSqlYhcFg8/ToAHMVGzJrXAs/hq9pWui4fnzECPn8IdpHHoooRsFo4fHU2oFvXpI4xa7ZEJUm3lgihSDvluqCsAPfdNgSyrKA5EEJGNyeiEfYkChTA7RTxuz8dQum4HNT5Wg35lfjLkbxstQjYvOMYbVgC5hYBsoxOIctcysGqm5e+9KHuGReJK/uQxglRHSJqUzargB//egf93uaW5DFxgSsykvDIXfmQJIDjoZv6o3hTfH0CQM0JH9756CSWVRUhFpNhtQjY8v4x1JzwGe6D9ilXEbWNrXA5Rd05+PwhUxWt5kAEyU4RJTcNBADa1J5fWoB/HKk1qGjR6T8Q1QCHQfnFrE7oaoZ8M2GGJV+R7sKp+gBKxg6g6zgj1Q6XU2SqQ0QlCfNLC9DSGqb1Y51P9fydNSUXV2S4cOpsAH/623FMGd2fvueU0f2Z6lyl43Jw2sRLvlHjZd0ciDAb7kSB45EfDsVXdX7dOT+1fi8emz4CHx6oRZM/gh/fPQxWgTfgbeu3HdD5WR74rB69e6S0E4LOY9/cNQDSFV3RedFRHf4lVLnPju5PBd/SWiiRrQkgzgALUClPwqjUFgGEBWwmlbGsqggcOHjcdmSk2g1m73Om5qF7WjsopjI/2jXra074sGzNLmR6HFhUMQIvbTqIh+9Sm5CJQLG28VdzwofNO47hscpCBIIRhMIxWK28DgCrLvciOcmKJ9ftoeymxMZjhseBtnAMPbNc6N3DjUmj+sHfFsWaLQfpJm7SqH5IThIYEyVeiKKAaFRGLCZDEDgIgnFSa0xBb1MQ1Swud+azNsyuhawouD7vCvS5IhmKoiA91YHr864AOIW5DsGpTMrVmw/oCojVmw9gXukwLJ5+HWob23STHwrUwjXRo2H6JBP50NnFGHRNum4zV13uhc3GG5jGC8oKIFp41LXFDF6BLoeo00CXZODVd49i2s3ZbI9CXDzJwn/l2iOFfEaGG2fP+i+JQt5swlEUOKa/o1mxR8C6iKzAZjWayP/q93swa0ou+lyRjEZ/BIhLbpF1vmbLQQDAsqpiRGMSrBYeTf4w3EmijrlGjidaedw6si+d+NBOkSws9yIcjaEtGDMYeWvlOUk8Xz3GdFpAK8vlcqj+LN2S7Vi3Vc3zv5k/Gr/70yHcc+tg/OWD44ZrSUAMcl52m4Af/XAofvG7PabNRq3/BnmWLZ9d3MHE0Lf0wX8R4mLnA7OJ1l7d3XTjSHLuwnKvwQNlxdrdWFJZqMuZc6bmoS3EBvEamlV/JrKBe+CO7yI9VZUdX7PloG5qSf2uVVlPlqem1rPK7bSaeqEsnn4dFq/6u26NPvX7vfD5Q6gu9+L27/WDooApyVTb2KrzKNy841hcoheISYqhNksEpeeW5IHnOHRPd0KRgLAswyIIaI3GdOd0WW0sOQXzSofB3xqhz3d3kthxtX+Rw8yzkQB8JGdmehw409iKbm470lJsWF5VDEEAJEn1NhxffA22vv8Zlr33GTI9DjxRVQSeV2UOE+/TVJcNt47sq/NQfWhaPq2VPW47Zk3JRY90FxqbQ1i7Vb0fFldeh5aA/tm0oKwAAGgjMBCMYFT+VRTAIZ/pqfV78VjlCDT5w8jwOGG1ciifMEjX6DYDm81yyqWqEnC+0Vmf/2IATpGojHc+OskEnkvHXYtoTDGw9rUN717d3Zg1JRc20ULzE6C/PzZuPwqHzYKZt+di+Vr9s99q4Zg1cSQa0x0jI+6DlZZsh6woOlAcUOv8H4wZgOpndwJon9gmPkWJe8duKXa8tGk/ZkzO1TRReWZOt/A8FCj4Z+W0u8IYiU1sCZxxv716l862ZPH06xCNyXhi9S4q/b1x+1HDMyKrmxPhqISsNAcqbhsCT7INyUkiHq0YjnBUxoq1H5qua7fTipoTvnapfgXgOcDfGoEiczogOznJRnM0OecVa3dj1pRcbNh2BCVjByASkSHwKml0yQv69129ZT/umzgYt47sC4vAmeZXUg9fmeEGoKByUi7WbztM636zRqgCpUO55q4wRod1syBAgIJw/DUkt5SOvxZpKQ7YRR6SDISjEs1ddb4geI7Dmi0HDcTnWT/IhSwD1c++z/RuJ5NahIyf3cuD7w3rSRtsBKc4Vd+qU4hhTbkuLPeqE9tVRWhoDiEmyWgKYpXjAAAgAElEQVRpjTBJp0R+sSkQQuXkIbhv4hDUNrZi7+FaDBvUnRKsycTi/24/Qtdipsdhuh+7HHGwf5cwIyRZBR59rkiGJCumuIFWHcLtFAFFMZA3a0748NgLH+KXc6+HO0lE0XfU+ZxFFcOxYdsRU5xZEDiml3x1mRdtYZUknN3LA4dNQPmEHLS0hvHAHd+FaBXUgQ67gMk39ENrW9Swt3z5jUPg4p+75oQPvpYw8x4j+0Py/6UzC7Hv07MXRAjqGgDpiq7ovOjo3nN8Y2fxLwjC1tQ+zElyzO7lAaDKYqy8vxgxSaaMeTJJYSaVcTa+6Sfm1IlSVc+88jFWPlCMx2cUguNUz6iazxsNDKCHpuUDkDFldH/6kEksSEnjTztl9cbOz/Dqe59hYbnXAEar00vFOtmLxMbjrCm5cNotuKWwD17atJ9KKDw4LR8btx/BJ5/W0+kscr7k+K+8edggB7aw3GuQPiCTj4nXTpLNGbyXO/NZG2YedTYrz2y4AZypj58g8PD5Q7oGRabHAauFR0urbJj8SHKayXKaF6fMKbrZxXS6RTtlWjkpF6++e1T381ffPYrKSbn43rCeOvBubkkeAA57Dp3RNQff2vU5xhdfc9GYcF1r7+KG2YRjxMTfkVXsJYJ1WmlkEnW+uEG1pOCJeEHKAqQABWu2HMC0sQPx5Mt677E//e043tp9Mj5RBx1IzSp4zZpsRPqQvGdLa0TXBH979xfUeDuxoJ9bkge7TcCD0/Kx9/AZWC08fjBmABqbQxjj7YVItN18m3hV3TsxB26niD++cxSjh/VCZjcHFk8fYTrh2xyIGM45EpFMX88LABQBMVm+7KaoOssjVDvRSqZIUlwikhxWTB51DV597zPT9dXcamzizi3JM90A2kWBbuAefe4Dym5+sCQPJ+sCOkk6vgNPTeJZBbR7oSQ+a9ZvO4zKyblYOrMQAIevzvqxbmv7ZAhpJv7xnU9NG9raz7V0ZiEEQQXbRStPp8NYU66kFuN5oDmBYDC3JE83rcDKNRfL+/HfLQSeh9XC635mtai+zd/UpzMDBckadzutBqBk6cxC/OlvxzEy7yoDgcjfFsVbu0/ibGM78SIxd9lEo3zsU+v3YtaUXLoO2oHxESifMAihSAxWQcDiNfqGzIq1u7GoYgR9PnRLtkMxkWduaY2g+tmdyPSokmavvfepriY2kzoVeB4CFMOau9y9TzpLJeFiAE4Cz6HoO1cY9kfL1uzCkspCPLbmb4ZcrW14WwQOPdKTTH3SPMk2lI6/FsdPNRumm57e8DGWxX27H7jju0hLUfN3cyCEtnAMNxb0xOQb+sNqUT/NhjdrKFOf5Fot6Ox2iMju5dERQ6aM7m+6d7z9e/0RirSTK1RZUb3M80/u8SJ0ORMwOjFYTezHZxTSNUIIZm6nFZ5kO+66ORuFuVfCInCobWyDx23HF6eb6ZR/NCobCJlv7fqC2pOQny+ZUYjH4404oH1dP3DHd/Hocx9Q/AIAfP4QPvuqha5BInunJfCY1fMup2gg+lSXeynRR0usuG/iEHxxuhk90pKQ6rJR0Fy7vmNxKUci/0nug5O1AdSc8GHj9qMGsuqcqXl4cdN+VE4a0gVCX0Ccy//6J+VepLhF3WsUBfjLB8bn/fzSAgzPyYKsKCgbPwipbptapyoKUt0irIKAZet36eoJbaiNXxfFpljS+cvX7MKiCtX73d8WxmOVI8BzHB59zqiMQhp3L206gLvHXYufv/wRHq0Yrk4WSgqgAMFIzOD5fuCzelx9RQpy+2fQ35G6gORUUl8sKCtAfRNbglngeboXkzvA0bri/ON8lULMCEkCFEgRGRAEA25A8rDVoipcKVBgEThEogqa/GwP1FS3Df7WiFFtyyFiOUOBRbTyTC/5tnAUFoFnkiYXlnvRGoqivjmISL2KmLGssGZNyUVbKEr3l2b3WK8sl8531ecPI7d/pu5znWvf3DUA0hVd0XnRkSdg+Js8kW86IlEZ67Ye0rHgf/vHT+DzhzCvdBiiURlrtx6gQFyq24YFZQVwxY2IzRhiRL/76Q0qWJWYvDxuO5r8EV0xsKCsADs+/lIne7D74Blc2yeNTgbMLxuGcFjGY5UjcKahlcrPTb0pGy+8vk+nlX+qvtU0KUuyQoEUlgfPy28cwkN35mP15v0GMHpBWQFuKboaDtECQVCnHYn5rRl7j7A/jp9qocdJTrKZFjJmBczlznzWhplHHRQwG24r7i9mMoU4TgX+jL6PXkRjsmnzjjXBZyrLybE9+yRJgdsp4soMFwQeSE6yqUwos6lFHlSKkYDLm/56DLP/33cxMk/fHFxQ5oVo5WlD5J+NrrV38YM14SiZ+FCyir1EsC4SZXtDdEu20wYGSzJ5YbkXHMeeTl65bjceqyyEvy2CkpsG0uN4km3M80xLsaOhmb1JI96DpHAPRyVkdnPikbuGIjlJxJmGVryx8zjumTAYP3tev8kkz5I1W/ajZOxAA1vV6bDA5bDC5w8j2Cbh7d1fYOL1ffHHd47ihqE9dXJi80qH4aFp+VQOjDxv/vS347rPk+lxgOM5vLhpv2HtzysdhrO+kE5CeGG5F+4kK6DgkgejO8sjVJJk2K0WbPrrQUMOXFjuxXVDeiDFxTaKZzVxnTYL2sIxLJ9djFhMxqn6AK1xFpZ7dVKZqpyXBQravSeJbJ2iwFTeKEXzLH979xeYPmkIM3+3haJ44BfvYuX9xTr2tRaQbPJHIAgcnTDwuG34+ct7dP7J6vtzmKfxp1g6qxDLZxdDYoDmHrcdTpsVTYEQ9dAgx0mc0E3MNZeyDA3PAYEEhu/ckjx4XLZ/qgl4IRKrZqAgqa09ySqooZUS9PnDuNF7Nda9ccBAICqfkANvTg90i+dnVr4XBPYzxi7qnzAetx18XAoyGlPQGooy/07gQdf4C6/vw/RJxsaj9v6s8wXxxOpdeGJWEa2Jh+dkoVd3N1OKdMv7x3BLYR9wHAc+4Xpe7nJfnaGScDEAJwuAK9JdzOPwPLse1ja8V722D9PGDoQ7SWSupSS7FT9/+SNTIqrPH8IdNw1AKCxh8aoPqFfP1VckY0LxNbpaec7UPDT5I6g54cPTGz7WTYeRiaof3z0Uctz/p+aED4eO1zPrYbfTCnDAlh2f0fMigPbi6SPQGooiyW6FzcqjtjHIJGBYNPlDtPKQJUDhFEDhIMuy4R7oCn2wmtin4pJwrCn96nIv1r3RLtk2r3QYXA4RqzfvR+m4HMN+/le/34NlVUUGScJmjZIEiTpfEFndnJg86hqMzLsKHEDlv1e9uh8AcP/U79D95MtvqLJ3PdKSoIDtj+ZyqGs/cV+qneoirw0EIxh0TTqqn22vFUij2+cP4eE7h8Jq5TC/bBie/+N+erynN3yMx2cU4oszfhw6Xg9Psg1LZhRClhU0NAc1TcbBXSC0Jjp69gsCD4VhyaBVnHhizS6smF1MG9DqdFIE44qu0UkVk73Z8tnq5N0vfrdH9/2Wjh8EWW6vBc2wuq/OBjD1pmxUTBqCWJRNuAgEI3hp0wGUjr8Wa7YcQPmEHObrema50NgSQsnYAXjmFXViMNAapY1x4i2pbdT4/CEUDOqBn/52JxMrrPOpE6rPzhuNhuYgbFYewXDMgNcsLPfi+dc+ofew1mfxXN9LV7DjQmr/jghZgsAjCgUPTctHqttGG4Cs5tuZtlYAQI8MpwFjWxSf1E7M7Srpp8hUgWX57CLUN4XQHIhg14HTGHtdH7SFokhxibh34iDDnkjb0CbWAKx12T0tCTZRoET+bsnsfemJ2gCVIN+84xiaAxF43Db6+/PB0bpI+F3RFZ0X51XDZGdn8wAqAIwCkA6NaFBNTc3Yzjm1zg0hLhcUDEtY9PwHugTjb43gzx98zgTiiN8CC2BI1O9mAWclYwcYmisr1u7WgVGZHgeWVxXT4tXjtiMSkXVgbnW5F0l2K17ctI9utEhBvKyqCIAZ66qFGrJ2S7HrJkg4Tp0gsVo45gaAeEfVNwexeNXfMb9sGCIRmcofmbH3FIAyogRenVZMvHbzSwvw/Guf4K7vX6srYEhc7sxnbZh51C2rMrn+8Qkm7euJ3F8wJOGNnZ8ZZDbvuDHbFAxhTfBV/eA7TH8pM38Si8BhXFGfhOZdAThwzHNdMbsYk0b1MxxflhWGb+VhzJyc+7WurVUUEInLjAk8D1EAohGpa+1d5GBN2Jht/FnFnhasy+7lgcNuMUx2/+QeL/VvyvSoU0+EFUe89Z7eoE5MmU0nt7SGUTY+Bz5/CJGY2mh02qxspp7Lhj+8VcP0yZEVhfqardt6CPdOzEFjs1qcq8+JJEy7ORuSZOJVKykYU9DbcN9v2HaY6a+6++AZeHN6GO6lJ9d9hPllwwxSZYQ8op0KaGgOoskfQYpb1IEgwZBR8pRsHi7E7PvbGv+MR+i5NuSSLGNMQW/mpOmsKbl4adNewzonE6TaUFnSKnGifMJgLNI0lgEVKHywJF+XN19771NUTh6C5VXFAKfA3xalsnXqRId+Y1pd5gU4BY/clY9Utx0AwHHs/L2sqgjZvTzUx5i1aVXVEyzYdeA0bhjaEydr/fD5Q7rPRdj7BEzJSLUj0BalNRSz3lq7yxQwT5zQ1eaaS1mGJhozke+uKv7an+1Cm6Yscg0BCxaWe7F6837dtBxppqWlsOWPRKsqPfjAHd81zfdm9QghaQDtnt8/e65dspFMHiSej9UqYFHFCEiKjNJxOeA4o8KIdl9ArrUCYHlVEQRBlaCu/q+dVIq0e1oS6puCeHfPSdwwtKdOOvJSz60XEp0xpft1ASdtXlcA2G0WU6KjGVlJ2/A+fqoFy6uKUF3uTSCMemGxqHKcZuC2x20DBw7Va9/X5dkllYWm8rvk51ndkrDy/mK4HCK1mbjn1sFoaA5iTEFvTLt5IKAA6zWydYRE9Gpcjnd+qTqV683pQZ8tMVlGOCLRBo72viBykbIMtEoSahvb4HKKcDtE/OXvxzH02u6GfXjXPcAOVhN7w7YjWFjuhc8fMjybtZLedb4g/K0RSJJCpWCZtWjM2DAhz3VWo2VUfk+8t/ckXR+qyhHQM8sFqyAgEpGxqGIEopIEu2jB/759BP62iKHe+NEPh0K08qagNHl/8h6twShTmv+JWUU409CK1ZsPwOcP4YE7vqsjGhECSI90JzI9PTFfQzgiVhNdILQ+Onr2A6C/87jteHxGIRRFwYnaANMr9K97T2LqTdkUl3tuwRhTXIM0AMnPCJGhZ5abrgcWVkf2ZNGYjGhURkNz0KTpLOLeiTnolmLHvbcOBsex96hnGlrhtFuRlqL+ruK2ITpZcLtoMW3U5PZLp8dJPK6sKFi86gPMKx2GcFShkqSkTvC1hBGOxnQYoNZn8VImsnVmmNX+K6qKoQi8Yf/GImQlXvtls4qQ6XEwFUuWrdmFJTMKEQxF0dQSwY6Pv8TjMwshSwo4noNo5REOS8z7IBKVafOZtWckpJ7qci/WbNmv8+hLlACv86nTe7IC1DaqTUnWuqxvCiLT40Tl5CGobwqhvjmIh+8cqiMGaxv8RMHlT387jr5XpuC380fDYuFht/KQojLzmpLoIuF3RVd0XpwvkekXACYAeBHAowAeBzAdwIZOOq9OD5JYwlFjYrWLFlMgbuUDxbSgIMy1KzNc+OqsvqDJ9KgyLD+rGI6zviDV1O9hwhDVglFqMdReaE8Z3d/gM0KafYnebHU+dcrKYgGqy7w6vwhtUiYgoMdtx/pth3HryL60wJhx+xBEGYV+nU/1nVu3VT1GSyCiGxU32wgIPIeFz+6keuqj8nvqNpHJSTZs3H4EHx6opZtfFhB1uTOfSZh6AsoKu5Ds4PUCz+GTT+vx1u6Tur+ZNnYgW/KO45iegPfdJmPXgdMGWc4fjOlvADKqy72wWni6wSPns2LtbiydWcTeeMoK02vnyQdGMgFBGRdub2QVBdQnTOlWl3uR7hYRjUhda+8ihdnGJM0tnnexpwXrpozuT/2cSFNDUdQpV5J3CDBbc8KHF17fp/v/zv/7CqPyrzKd4Ehx2fDRwTO4+bo+eKxyBASe001RtYMDCqbdnA2XQ6SeJ4qs4CUGmJ3isiEQjNBJ75KxA3BFuguKwi66G5rZsjZm/qrLqooQMWG3JjttBmbt8jW7sHj6CEwa1Q+hSAw2UcDHh+vU5kljkJqH3zqyL2yiYPoMu5QaJWbxdadFzrUhFwQeMVkxbUi7nCKmjO4Pp82CxdNHgOcBgMP/vn0Et47sq5u2v2/iYPz0t39DxW1D0NLKZumnpdgpsYhM/bWFJFgEDqJVwLLV7euKrN9FFSPQ0hqOS3sp+N+3j+KWwj54NN6o+OXc69n5W1KlzQkgE41JhvqKMPAn39Afj72gTrAY5EzLvZAUGTNuz8WKtXqJXxbYQyZyzABz7YRuYq65lGVoOuOznatpmtgAB4hvbzGaAmHYRQsi0RjGFPTGe3tVTzXtml5Y7sV7e0+i75UpzEbzE7PU2oHnOZrfAcBq4ZCcJKK+KYirslyGJt3Ddw5FclI7sYMlDbZy3W6DooUqR67oiEzzSofBabdScl2Ky4a1Ww/oQOZMjwMCx4GTJPBWgV6zOl+7FGnFbUOYJI6OruflREzqLHDz6wBO2nMhz/JeWcbJzoXlXlisnAEsqy7z4le/32uYeI7GZOoR5UlWlTJWb1YtGsyIqA/fORS1jW1IizcVkxwWupY7mkIE1HX5ZV2ArjEiB2218HR66olZRbR2+PBALZWRvmn41bi2Tzo2bj+KP7xVw/RD3LzjmOGe1cqgCgKHuqawbjr5scpCHYHlcqgvvk6QXABZMche+vwhpLpFOO1sdaCrMl1YXlWEmCSjR3oSIlEZK+8vgtvJnkTlOGOj/O3dX5hOefn8IVTcNgQHj/swZXR/8DyHB6flISbJOqLFnKl5WP+Xw7hv4mDwPIeoJMfrHA4CzyEak3DijN8UlH7krnykpTgQjcmobwrCbmP7ANY3BfHocx/Qnznt7djLjQU98YPRA9DYEoLLIeJ32w4Y1uysKbnwuO2wWXmEYT75djnl5o6e/dD8rs4XxBdn/LBaOKY1g6IouPm6Pjo1FDMViqjJ/sYuWiDLim6Pt3nHMSyrKsLZeC1KPIC1z+1EEvPCci84XoHLIape8U4R//PWEUpUIkoqBL/65NN6LKksRKbHYdirEal81vN8WVURVr22z5DLF5QVoKFZvWZaGUhtnbB0ZiH+8NYRwzUgddylTGTrzDCrj6OSTOVgyZ6JpdIAtF97gkt4km1YUlloqirBcxzcSTYsfPZ9zC3JQ31TkGKyM2/PRVOArTLU5A+je1oS85hZ3ZIo+XjDtsMYU9Bb59HHmqA+URtQa4uS7yIUiTHlkDfvOIbvj7gaNqsFa7cexJTR/ZGRasfi6SNgETh8ftpvaPDLioJbCvvA5w9h1Wv7Va/t6dchGpXxRAd1XNcASFd0RefF+e737wAwsqam5nh2dvbCmpqaldnZ2VsA/LoTz61TgyQWiTMWuqFIzBSIi0YVuJwWLJlRiOZAGDFJRigqoWeWGw+W5KG+OYgt73+Gidf3xe//fBg3envrNjVLZhQyE7lWupA0EAljvmcWu3EoJTR9yIaM44D6piB4nqfegz5/GC9tOqBLyqluGz6uqUXlpFzUNwfpptGMVU+KbXKMRLNtptzePV4AwPyyYeDAYcXa3cjtl46y8TmwCBwkWcHG7UdoE+pSAdk6M0zZygKHRRXDwXE8eA5qE0KRTYtosrmaXzYMLYF28/dklwiLhTP4Oy4oK4DFwmH6barsFvGh3HXgNEQLj5uG90ZtYys9zk3DeyMSbQcySDNxw7bDqJyUyy6EeHYTxExWNCYp7CnHquJzypMk/i4iseVUO5qOuNw2excjOtqYsIo9AAamGNAO1pENlyc+jQSAgq9k07Ruq0rYyOqWBNHK62QGe/dIwe6DZ0ynUqbdPBCj8nvSjSkB7n589zDEYjL12Pz+iKtxZYbLINdZcpMKZmubfRYLj53/+EonR/2r3+9Fqls0FN0Ly72ISrJB8jS7lwc9M9nPBlk29xYw8xqyWnh4ku0IRaJwiFaMyr8KMUnBmx9+gU8+rafXo2x8DvO4xPOF5HDhEvJQ08b5TIuw8sK5NuQSOLy4aR/KJww2ZSVrJykWxj1U/G0RKIoq860oQH1zEM2tEQrwNgciGJ6ThQnF1+g8osIxiW7aAODFTe0sUa2PEIkPD9Ri0qh+WLPlIMrGD4LTYUXJ2GydNFhbiN1sswoCemW5MGV0f3xxuhkFg7rrmvb+tig2bj8KjuNgFzl632r9LDI8TsiQ8VVtgNZUWrBFO/l1dY9k9fuIM7fNpIC7pdjwfPUYZu6+lGVozOS7LQKnLtSvER01Fm0dNW1kGY88/VfD8a7PuwqzpuTiinQXrAIP0crh+vyeqI8DYySIrKwkK1hY7oXTZsFv/6gSPRw2q046a+nMQqzbeki37lZvPoAf/XAols4sRGsoBrsJyUGB2gRvC0fhawlj3VZVOr/itiHYdeA0vDk9IEkKkuMAhsspojkQwqRR/QzNTHAKFEEAz8FwH+w6cBq9ursBRUHFbUOon8p5X89LLN+yorPAza8DOJFzye2XjimjB6ClNYxjX7Xg0PF6LJ1ZCH9bFA3NIazfdhgTr++LDI+q9FLna0NMkuFOsuomnsk+juc5TCi+hsrSilYe3x9xNVJdNuohT4ioV6S7IFp5RCUZX9UF4POHYbVw8LhtlO3Pat6Q5zap7/ccqsWiiuFIiXuoZXqcEHgOP757KDiOgyTJdE0CMEy2zJmaB5soMP0QF1WoJCOS62tO+KgMqgq4w9B8NyOwdO0R20MQeLRG1QlKu2iBJ9mGH989FDFJgSwrsFg4cJJCpYsTv//aRtVepGTsAERjMlpDUaS67AgEo3isstAwPWK18lg6qxBf1QXoXi891QGn3YLHZxSisSVEvfkAoOK2IejV3Y0Hp+XrjpXoyUuawgpUNaazTSF8cboZ3xvWE7KswN8WgcspMuUQRZFHJCLrau+lM9lYC6lTyf/dTiuG52ShyR/BuKI+ujpfK5cLtE8dKlBQ3xw+5+Tb5ZKbO3r2k3+T2Lj9KKZPHmzqtVg+Xi+5KcsK07qm3mR6LxSJQZaBdz46SZ+rPA9w4OC0W+ByiHj+Nb3PGVFIWVSh+qYLPIezvlYoEA0+fm2hiEF9hVjl8Dzw+MxCNPn1DZuN24/iwWl5HezVIrpa198WRXKSiGhMxrPzRsNhF/DAHd+FReB1+dPfFsW4oj4YX9QHZ5tC2Lj9KFLdquJBTJLBAwav1678ee4wq/1P1QdQ51MlPW8d2bdDlQZJVuBx21E+YZDOfiMtxa5Tlcju5UHZ+EFQtZCApTOLYLFwWPCf6gRyxW1DsHztLiYpcmG5F6lum+kAQG1jq07eO8ne/q2TXEb+LtOjTsiu2XIQPn8ITf4wHDYL0lLtWDqzED5/GM2BCDbvOIZbR/bFy28cwoPT8jB98mD4WyMIRSQ0BcK4KtPNbPCfrtefy8tvHEJtYxtTJjfVLepYV5IkwyLwAK9ixuA5WAT+ks2nXdEV31Sc73MgqaamhpgGBbOzsx01NTUHsrOzh3XWiX0TIUkyPJ4kw6RSZjcnrAzZoOE5WYjGZNgsFpyuD2Dn/53C9wuvhr81gqUvfqgDiLfu/AzenB6U8QmoSXf15v2GgobI0d11czb69UyNF5nAounXQeB4cDyw8n51soMUAW/v/gIWnsePfjgUv/jdHjplR5gjpeOvxdP//ZGuSNFGpscBm5VHToJm/oIydUTcjGW6evMBeoxQJKa7RlrGlSyrDaIXXt+HJn8ED03Lx+ot+3WgN/E1nDO13Yg70+OAhecB6cJnrS6XhowZW9ku8mhpVbB8TTszvbrcixQXz/Txs1p4WHlA4Hhdo3phuReSpDAn9VbMLsaga9IpG5i8h8XCIRjRo4fBSAw20WIyOcguWqwC+1xtVoH5etMpR0WBL3hueRLt79xJZj6aMjNRdkltfL3oaMPIKYpu4hIdXGMC1ilQczNrIrTJr3oxTRndH3bRouYFgUdqfOpQbS6oQMCBz+ppsRuTZHDgcM+EHFgsPFa9tk93LyxfuwtLKgvx1Pq9NIe9/IYq85nYRF5WVYRlVUXwt0bpZPbwnCxDk50c45U3a7C8qhiRmIQzDa34zUbVx+1HPxyKRRXXYd0bBzHx+r5w2qw409hq0uhTkOKyGqbBq8u8EE3uJZ7nIAgcZFnAqXoV4FEUYNrN2RhffA2a/CFMvF6dBPzJPV48sdrI+ibH+vy0/5KVBj3XtIhZXkiys5npZEMuKaoMl9spUpBXmwPXbNlvBL1nFxkAiYem5cPtECngdeh4vcHD8OE7h0LgOSxexfaHIj5CrAbyPbfm0LomUQJcO4WlrW8kRaHA9HezMxEIRmm9on2dzx+EzWqhm+SaEz46KbKsqghf1QaQ6mr31khOsmHl/cVoDkQoMPLC6/uwdGYhqhOmgAlgTuQW18eldMn6TKw4LmUZGkEwTiQ9fOdQVT75azYBO2qadtS0Mfs7d5KI5CQxXsdJCIOn8nXk9Sx/lfmlBRjUxxMHsiO6Rlp9Uwg+f4hK75P3OtPQil//4R9xKVw2WP5FPKfNmZqHjduPwucPwd8WQe/ubvTu7sap+gBe2nQAqW4R900cDH9bFM0BtalXcdsQpKXY4XZadc32xdOvw/RJg/Hky9pavV0KVZtbSX18rut5OTD8O3NK90IVRwjYN66oD51a04LaZFofAI6fasGsKbnolZWMp9bvhcdtx49+OBTLq4oQjsoIRyU4RAtWJzRLXnhtP+6dmKPzUyWgWbdkO/7n7SMoGZuNs76grpafXzYMD9+Zj8aWEMDBcM+rDWm1UfPKmzUoGTsQG7Yd1nnMJwGobwoZpHp7occAACAASURBVL4UhU3AW1bFVvMIBCOofnYn/fvNO44h0+PE0pmFsMT94BP/riN1mW87EeN841z7WkXg4GtUJyhz+6VjXFEfAxHN4RZhkRTD82xuSR7e+egkzaEEO3hUM6G3oKwAFZOG4MtaP36z8ROkukWUjB2oW2cPTcvH9t0ncEthHzpNxcrNiR6UiZ68KS4RHMfBYbeiV5YFV/dwY9VrKoZAMA0ih9gjLQlWiwBZUcBxwNotB3VrkeVnTfzmAND//+lvx1E5KRcKFIPXoXZalfyNogCKwpnLBUK57HJzh4Qp6J+nNSd8+J+3jqBycq6u6UWecffcqifB2UQBHM/R6Xry2lS3aNjfzC1RSQirt7TnXbIOtThXYnPX47aDA6+b6l9Y7sX6bYcN3yPLE3PF2t2q5U1MAaAgLcWB5fcXofo/1df5/CHTa/TV2QBKx1+LdVsP6dbZ4zMK8cv/bsf2iLStNn82NIfwwuv7qCLG/LJhEDgeC/5L74M5ffJgrHp1/z+NsV0uYVb7b3n/Myws9+KqTBdqG1uZvrbkHhd4DmXj1QZgIjZRXa4ORzT5I7r9VDs5wobcfunw5vRAr+5uWsO+/MYhHYZKsIHF068zPNtJ8xdoz2WLKkbQz5jpUYc6iCJbWoodbeEYBvXx4JbCPlAUdZ/w8tZD+N6wnohEJbidVowp6E0nvEWLgMZIiD4LyHTkkhmFOF0foBjvgrICPPfHfbpzqbhtSIcyuVrcoAtr64qu6JzgFOXchXR2dvbfAcyuqanZk52d/QaAPQCaAUyvqanJ7uRz/LpxNYDjDQ0ByLLxM5LCWlaAs74gFCjgOY422GZNyUWTP0KTzvCcLAOQ9lhlIc40BHSSmICaXElxU/3sTsN7v/jTG3HijJ8WNARQWFalGh03ByI4dLweo/J70nHyRMBsQVkB7DYBFl7AV2f96JHuotIaC8u9TCZGoj60XRQMfoja1xGGNfFTEa28bnMxr3QYBI6jUk6hSAyZ3ZyQZAUNTUHK8HhwWh7CUQmBtijTQ5HIhRCZPo/bBrfdgoiknHdD72I9JDIy3P8udfrV6GD9inYLwtH262OzcgjHFGzZcQw3eq/WSXJOKO6LLe8bfz6+uC94DrRgJJHpcWDpzCJULn/L8L6rFt6okxIkr3/ygZH46mzAAOpemeHCvF/vMLx+xexiBCMx1MXZq2TtuBxWPPu//2eQIZ0xORenG1oNx++RlsQ8/+Wzi/H8q58YjlM5aQgAoPpZ42fQ+nAm/pxjFMyKwJscpwjcRS5MMjLcOHvWfz6v+7dfvxdy3Tp6rQUqAKIAiEZlysrTvm5uSZ7OEzPTo04nu51WSDIARfXwaWgKQYGCTX89RhtsLCllrWTXyvuLkZZiR21jG5XL0gIGJH4zbzR4nqPACgBmjh6ek4XScTloaQ0jw+PAqtf2GWREV8xWpfOaA2GaXxMLaMLoL/rOlVi79YDhHrj9e/0QjSmGXNwj3YnmQATBcMxwn5HPV13mRbdkG1pDUZ13jxa0TAStL+R++DasX4CdfyMhtXtivmbN8ot6fRRBoL8nz95e3V3gOZWZfN8Txnz8XPUYKsVJYvKoazChuC8kWaZTJAsTzmd4ThbKxuegORDW1SBk/Wb38mDWlFzd83R+aQFSXKLuWInreHlVEdZsUeVhtBN+907Mwfz/fJ+up6xuTsM5qTXVCKzZcoDKmWrB7LZgDKJVgMdtw+6DZ9C7R4phDW/ecQzTxg7E+jiQDbRP1lyR4cKpswGdVNq51ieVWeM4QFHOWYd8W9YvrII6sdHargDgThLRLdkORL8eONRRDRaWFVQuf9vwN89Xj4HDyjNluD1uUZW2B8ALHIJRBZXL30J2Lw9lH2vrXhJq/VKoWz/zSochGIrBbrMgJUnUNeII+7nmhA/PV98In19VGGD5+pGcNmtKLjI9TnAc6AROKBJDWqoDrW1Ro3exoiA91WG4VxdVDDfdP2gBQVIf/6Tci9T49fzlf+813GeP3JUPy3ns6czi27J+v8na61yhCDxqG4NUWll7Pqx94PKqIqSlOuBvCyMUlrDpr8eYBCbteltUMQIcBzT5w7q96qHj9bil8BrwvDox85UmvxFvS+06XjT9OjT7I0h12wAAa7ceMNQYBn/62cWoZtTYi6ePQNWT2w3X4zfzRmPRKuO+clHFCOozSO5R7X1IQHft+QzPyWJKi5rt674t61cbHdX257OvlYX2ffl//Xg0bWSQILUHoAAccOzLFniSbUh12fCL3+3BlNH96TP8XNgBwK5dMz0OLKkshAwJkYii84hm3RMbtx9V65ssF5WfS3WLmDklF80a3IXcCxynnxJNPC+zGv0/Hh4F0SLAInDgOA5v7PwMwwZ1R1qKg6plKFBgEdQmNAuvWXl/Ma1d5kzNQ1aaEy2BCHN6/fnqMQBg+qw7V27+N1q/wAWs4fP1BNT+LtkpYgGjHp5bkgee4yhJYtYPcrH+L4cNOXJBmRdv7PwMd9w4AJKswGrhVS+zhlb87k+HUT5hEKqf3Wm6XrV5blHFcPz5g88NeyUtgYPEb+ePxsyV+ryX3cuDmbfn6gmXcXK0KAjgOJUgF4lKWLzq70wMTLuWtbnQ7PwfqyzEf6xXZaSXVxWh+tmdpvXErCm5iMYUHcaWZBUuegPl33H9Ajgv7EQbgsBDEbj4NDVg4TlYrBxO1gZM60IAWFV9IwSlvXEVlhVKiE38TpZVFanevYx74JG78mG1CEySsDZfa/9m6cwiyEp8+lvg8Mv/1kuMA8Av516P51/dh5KxAygZ8nd/OoyaEz6svL8YkqTAabcwiSI3DO1p8HC/IiOJeqaySB8LyrwIhqNw2ix46D/0+fLnc0Yi0KaStFlrltRy6h6MfZ2+Tr3X0fP233H9niv/ni82+E3FN3E+GRlu3PrI6+f9+s2/vO2CXk/+pjM+R2dfnwtdw+dLmnwYAB//9yMAVgFwA5h1IW/27xKsgmXO1Dys2XqQJs3bvxdEevxB29Iahssh6orrOp8qVZIoiUl+50m2wdfClmNTFOh0mEk0NIdosan1Qqi4bYhBJmXF2t1YVDECMqfgsRc+xFMPXk83nClxpnziOXVPU/WheR5QFAUtccmwxNddke5CpsdBWfVzpqpM+opJg1XJMQBfnPbjz3/7HGMKeukYgQ/fORQ2UUCqy0ZH4VtaI3GjeXNTesJ2IWBzIohzrobe5cSMtooCzjaHmaDZyLyrdGy2BWUF4Hhg6LXddT+fMzUPHA/EJDaj2lRClOOYr9eCZuRnT29QZTkTJ0rnTM2DIHDwt0YNa8dhM58cTJTxWrf1EOaVDjU9PgtY4TjOVA5RVhSmf6EosHHRr8NGv1ymVTuKC5mwMZ/0BFpjEnyNYTy94WP8+O5hhtd53HZDs6HOF8Sy1eoUX1MgrPfmKfeiyR9BazBmWMssRnBzQC1geY5DqltE5eQh+MNbNbpzyPQ4wMflFrXnl+gZQeRFEu/RRCmiWHxC96Fp+ajzGSUT01MdeHfPSVzbJw3+tgjzXpo0qh/9G8IAbGhWvVLSUhyGCWAtY3v52l1YMbuYbmTJuZeMHYDy8TkAx2Hj9iOXtPSMWf4l3qFmeQGckYmvW/ecgnmlw2hjRlFU4OCsLwgO7HxMjx2PGwt6YmReTx3rOVHak6y1xCnAl984RP2hfP6QztvM3xbF86/u0026AkYJ8FAkxpy0IvcKWU9m0yItrRHcOrIvQlGJ+i37/CFEIrKOCa2tD8jfPvPKx1g+WwU7m/wROumrSlAfwSN35RvqrnOtTzIVRAr3S4U7rSignjgkCOD/deuljqQUBYayRqZHnRSISApTMnxMQW+18XWPFxYLj7rGNrrmo1EZv9n4Cc2D2qjzBeHzt8sIetx2hCOSbv0sLPdi2s0DUdcYpA3ATI8DPA+4nTaa8whInehv0r1bEkSRx5mGNr3cf2Uhlq7/ULcun1q/F7Om5KKxOWQ4V7P9Q6JHeO8ebsyakgurVd2GiVaeOUkrWnnIkUtllZrHv9OUrgVAeqqd+T2muESa+4B2ubp6XxAZHgdWrt2JituGMCfqyDO3zqdO0WlJFGu2HESqW0TZ+EE429TGBCanjO5vqGMeW/V3LKoYAT5uEcHylDf405vUy6KVfU9zHGfw15ozNQ/r3jiAu8ddS+8lf1uUvj/ZryX6bk4bOxCpbhHLq4ohywp4HpdVzXw++1ptjSyY+D5Kskw9gom385d1fvj8IV0tyvKdrvOpPmuAWjv06u7GQ9PydbKEdb4ggpEYnHYLkpwcndRjHSsj1Uhce/jOoXAnWfH5qRYdIEzuhSUMeXLteZnV6HWNKhbjiUvmHTzuw9Bru+u8CKvLvGgLR9EajDHXc1qKHT+fMxK+ljA27ziG267vh/RUO/O1X50NIBpjq9xcytOr55JRZts8KPjpvcOx9KUP9Xliqzrlt2J2MRSAEhCa/BG6Z3E5RDQFQvjk03p8b1hPADA8C/k4Ymlm7ZPiEgGo303PLDcTL3BpciF5rSQblQJKxg6gDUByfKICoyXO/+iHQ6k3oXb6EQC6d0uiTWRB4GhuNLsnA8EIrVuIxK1ZPWEXLeiRZtNhbE8+UAwF/GWNRbDCrKGdnCR2iAuo9SMHAapMJal7zdbf2TjOxl6bdh25TfteZsfz+fX4sVZiHFDXbFJ8T8eyPWkORHBVpovuC8lxn96g+qBqlVREqwCeh86Xc8ro/oY6ZsXaXdRDNbuXR0e+dDtE2G0CrDxviqMR2ftwVLpgrK0ruqIrzh38uV8C1NTU/K2mpmZ3/N+Hampqimtqar5TU1NjpAF+C4JVWD/zyseYMro/gHbQSpEVLHr+b5j/n+8zvQmaAxEqiakNkmw3bj+KheVe+nuScBviWuaJf8PzHP7rx6OxpHKEzsTdrAgIRVT/kmWziuCwW2G1cFiz5SBq4xJxiceXZWDNloNoDkSxYu1uKrWS+DpB4DFrSi6WVxWh4rYhlKkUjar+V6fOBvDC6/vgzelBN3rknH71+z2QJBnJSTY8fFc+QpGY6psYiZmCHWpjVIHbacWU0f3hcdt1IA7Z+HSkUnUuTfpLKcy86yQZTAlPRQE27zimaovHv9PNO44BSruMhzbUNcBhztQ8w9oFD5O1ZXL9FQV7Dp3BoooR+O380VhUMQJ7Dp1BTFIMUrm/+v0eKDL7+ALPUXC5+tn/z96ZB0ZRZQv/V1W9ZelshIQACSQsIYQEsnUgBJVVWQVxBnABVBZhBhX9nizOE1wGdGbe+JznU0dxBB0F9DGuMA6LioiySEBIgA4CEmTJAtmTTm/1/dGpSle6GlHH9xzk/APppfp29bnnnnvuOb+zkxWr97Q6OYLud/O49VFF8iW+syhAbGvA4YUlw1k5v1AN7OtJ0Hsn6odRFedyybM7mbNyG0ue3Ul1kwtJuiwzfMWI/4bxpd+MVHsB6m1ARFEM8lsJ1Dc5VadcSbhQJDUpmjvGp3NBJ/BaUd2MLAfq38rVe5g9sR/dEqzfumm8Z0oW2/aeorbByVNri5gzMZNPD3zD+CE9NHNm8Yy81l46smZ8Sh8eRfQc6D+t3889U7JITYpu+96t64L/+xVk4lNri/B4ZAb374LL7VHfo9yPpTNtPPnrQiLCfFUAK1bvYdEzn1JZ3cx/vFaE1wsut37ATwkMVlQ3Bxyi28uqeWTVbmoaWlj2wmfY0hM0v1Ww+fCvKsHsr2ImgtkFZPz0fgQr5xcSZjHgxmcbDKKI2y3z3IaDLHl2J/+5roimZjfvfnKcNRsP69pjgyiSnx7P0pk2Vs4f3IqY1Y5NQXsqEkzXpo7qTX2Ti/z0eB6ZU0Bzi4suHcN5e/tXqr2Ntpo111IQ4MtnD+LZB4dhMkosnJYdME6lh5TyeUo/4/b3qLbByZ/W70cSRB5Ztbu1D4UrwM/w9w/8r+ttDWhMH5vGqncOseTZnax65xDTx6YB381eX8kSzF/6toz/b72ux4vg8WKQZYTWQAi0Hdr464V6aOP1YXCVtX1PyTmmj0mne4KVZbMG8fG+01RcbGLd5lLumZLF1FG9VX1ob0eVa/sfvOgdhqxYvQez0cCqdw5hL6tWdV75/lFWEytW76GsvIFte08xeVgvVs4fzNKZNvLT4zld0YDbHZj4VBukh5lykN5+rMH2D+37VimJg8tf3IUHAa83sH/a0+v2473yz/+A7+ZD/G+IGMTmR1vNbNt7Sv170YxcOncMx2gUkWVZXVuDrbmpSdEsm5WPNdSHL4+2Wnh6nW+vOq4whfKLTbqBSaVCVO+6dY0tlJU3BNU9t8errifLZuWDoP/dLtQ26+5vX36/GKNR5NE5BTz560J1D7m7pFwdm+/92iBlRbUv2WXl/MGt/rfvN/U6PQgeD5Ls1diUn4Nczr7W4Ocje4Lsn5Rkzw0fHmPmuH78af1+1Z7Kctt7gtlTh9OtVno8/OfP1HX19jFppCZFExcdgtkocepcPRdrHazbXBp0LCajIcD/+OPr+xAQiLLqH6Z7g/gL/nYymI9uDfHRC74+V8/UUb0DPnvlmj14vbKa0NR+jdr46QlWvV2M0SBw5/h+dOoQisUsBej+vVOzWLe5NOh1rvRgdbC1P9hzHo+Xbp0ieGJ+IX9ePJx5kzM5cvIC907N4q4J/ZBlNAkIyj5n0TOf4nC6eWXjEV9iL4LuWhgbGcrv7xlCeCsa31/ion09tp/8daGP9CMIuj5xeIgxwL5t3fN1wG/fKciBt3+SdUV1M3/46z7cbpmn1haxYvUezaEIgsBL7xZTXe+4rDmp7Hn9/WvFpiv7PcWGiyKUlTeonxlttVBT7/zZxyL0pH182BePdARNHldimPdMyeKFtw9q7qMkCQF7JmizXcFisHIQu989wUpMhOWSPm9FdTOr3y/Wjz3XNevGvmeO68e2vaeQghxKxseEMXNcX1xumdXvl+DxyHx9tg7wVdGmJkUH9TcSYsNZs7GE6WPb1grFT6ipa6GyRj8ebhBF9bcIGqv+Ge7drspV+WfKZfklqampC4FP7Hb7Pr/HcoHBdrv96R9rcD+WBHOs/Y35ezuOk9w5Q32dshD7v2/b3lPMGNs3oAfOwmnZmE0id05Ixxpm1GQ4f7zvNNdmd+WxuQWc9WMmL5mRB4KgVoL4mrKHaAId/p8dFx1CeKiRmvoWFUOTnx7PfdOycXk8AX3VFk3PY+uer7l9TBohZl+20J6Sc2qlY22DU80Q/OiLMgoHdKWusQXwBUTuvimTqAgTz284SE29kwen5xJm1jf6EWEmqmqb1WtOvLYnEeEm3e/gcLpb+x2VaHpguD1eFYmm3Lv2KeraqipR02xXuf6VmH3n8eo7Ix6PV9NgV8nURCBIVZyvIqB91u7CadkItB0cKtd6b8dx5k7K1K2WMxr0K1UMosCQrMR21Yk2vK19VNqP1SPLupV9COj2mjIaRN3v5pGDH0qaBXhopo3f+n2Hh1o3aS6nx68fjOeSZLTvmo3+c6pW/Ta5VIWN/7w2SoH6qTjbU0b2UZn8Gz48pnnd1FG9+ePr+zT9oxSJiw5BCOLsWkNNnK3U74fWIdKi9h97b8dxfjkilb9/dpKK6maaWtzY0hN4e/tXarZeZJgZl9eDKECL063R6217T2nnUUxIwFywl1XT0OxUG4tPH9sXQRB48teFOF0efnNXPhdqmlUUXcfoEFxeD8+s/5LqegcPz8rn/lty2F18lsIBXTVILX8MTX2Ti4pqX8XKo3MKdL+7EmzxHUQKLJuVz7rNpQAqMjoizMS9U7PoEGFh6Uwb2/aeYtqoPldEDzV/CWp/W7MSg9kFk+SrekKA+kYddJLVHHAwrWC1Vqzeo6n4jI6w8PJ7xfzqFwNUTHm01cL9t2QHZOmv21yq0bVgmaSdY8MxmUTiovtoelstnWlj1o0Z1DS0UF3fwqNzBnHOr6fvhGt6ICPzysbD7C4pJz89nt/OG6wmXLzw9kENliYuOoTmFjf/flc+VX76GxsVwjvbj1NR3YwkCTy/eDiCABFhgeMN1itKEAh6QLJ4Rl7A2nKl9Pj7riIKAvnp8QH4K1H4YStRsEr3y60SHJGXyJjByQH+QniI1JogZsJoaMt21+tdraC0FAkWnGhyuJh1YwYdoyx4ZTQ6//i8AmZPzMDjkZk9MYONn57gre0n1MSOTTtP0jUu/LL1UpmP7ccaEW4K6K+6eEYe67fY1ffeO9WXOKiM2+XxUtOgf9jo1ulffKXSB/6vq3SV+9ri9ZFVFs3I5ck12j7s24u+4cZrenLXhH5IkkBtg1NFwr6wdATLZuUTHaFfVeT2eAOqPRdNz0MUICLcBAi4gmTIW0ONAT3bletGhJkxGESirOaAPkIP3WFDlATe33SE4XndiAw3IXtlHp9XwG+ea5sf907NItRi5OCxSk0bC6Wy5eTZOlbMH8xf3i3R2P6K6maSOll5/O4C/v7ZSc24fb4FvsMCuKx+jFe6XLLXWuu+1iih2pC3Pj4WsPdfMtPG3z46RmpSNLeN7oMkwqNzC7hQ28zhExcYlJmg7q02fHgsYJ+1dKYNWZZ1D9D+tN5XJWI0SBiNIhs+PMasif18Pc42lejaZqNB3/c2SCIGKTiBRq//m9LzSnldbGSIxkefMjKVl1t7Ke8pOcfNw3vrfnZcTCi3je7Dx/tOM29ypooOr6xuZNyQHtwwyMvZqgbe3FbK4P6d6dQhDLfHy4r5g6mub8EaauKp19vwe4qv1j3BekXZ3H+2iKIAHg8WSaRn1yiirBYNoWLF/MG6+hAZbmLqqN58vO80k4f20v1NlRjUqg+LA3RaqUyeMrIPHrzg0a+g9cqw8leDqW9yEWo2AjKjC5JBhMfmFrS2ZnAGpX55/GJPSjxLFOGxuwv4ix8KedH0PD498A3jClOIDLdQ1+jksbsLqKlvwenyBKwti6bnYTSKPDKngNXvFwO+w5ikeCvL5wykuq5F832XzLSxp6RMHcvUUb2vxiKCiH982B9xGSyWEBPh6zvu9cpMvLYn1fUOoqxmJHxVNkajGLAfVPbfEBjfuHdqFmIQO/j1ufrA2EF0G9Jekd0l5cyemMEDt+YQGWbCaJDwyDJia//f9nEGp8vNHeP6IQiwYt5gZGRMRolQsxGXx4PJKGIxSTicbu66sZ+aZKwk8sy5qR/uIBXQBklgXGEK735ynIW3ZFN2vl71E24a2ou/vBu4Ttw7NQuHy41REtX4ztW921W5Kv98udzkpH/DhwD1FzvwDvAvdwioZM5FWy3qIZMsQ6fYUJbPHkRNfQszx6cjy21GbU/JuQDnesI1PfjbR18xMj+JFfMH43J7qappVgPBTQ4XsmxR+c2pSdHMHNdXY/CXzrQRajEiy7KmZ9S6zaXf6pgbpLbm1AriSwlkTLo2hcfvLmjNpHfyxlY744f04L0dx5k9MYP89Hiuy0kMCPbtPXyetOQOmscXz8hj484THPyqigen57YG90TOt1Yctjf6ZyobNJz+t7d/xZ0T+gUshL7gjpGX3j2kwcIoAbtLNYvVK9lXmu3695i4EhcJSdTH8JiMki4iSvDriQZadJokCphMoop9czjdmEwikii0VpXs1eiByShiMAgsn+1DCnll8MhejAZR93BQkoSAypQn1uzh9wuG6I7VbBB1Dx/nTMzUxYE+cFuOWmnYvg+i7uZZEAAZo1H7nRXM1neRb0OgBLz+e+BDf26iN68XzcjlkTmDqGt0BgSalD4K9rJqTCZf9nlto29THsx5XDzDplZjt9ePs1UN7PzyLIum52kOzRbP8CHpolt76QzP66ba1PomJwZJZNveMsYVphAbGYLRKCEgc+Gik3pctLjcHDxWqUm6+KToNI/MKcDt8eDxyOo64Z+IUtvgZNU7h1j5q0Kqanw4L/8xHTxWSVpyLJHhJgyShMPpYvrYNBqb3TQ2u4mJMDNmcIqmj6cy/++bmk10hIWGZh86ccOHx3C43AHrnBJsUf7/+1f3UV3v4P5bcjAYBBUrqDz/1Nr9VNc7WDrTRodLVNL+q0ow++sLzOnbBZMkcKG11037XjnKBjwYIlPJblcyoeOifT0+xg/pgcvlVQ8Abx+TpvoQ7Q96mxwuHp1TgCz7bJ/e+C/UOmhodumO7b6p2YRYDKx8VXtwGWn1jc0gicyemMFNQ3thMPj6VT2xpq2fsT/ibekdNkLMEtV1Tg1K8cHbc5kyKpXRBckYJEHtiemfEKXItr2nAuboPVOyaGj29Z/Qu48hZgP7jpSzfPYgDJKAJIo+1PMVpp+XI2ajGHR9d+n0v70c+bb+VcqhTfsAv0kSVN9h0nW9ArD7T6zxzQ0lSS0mok1/7WXVKqYoPiaM8ouNiJLApOt6cfJsHdFWC5Hh5iCHISaefGVvwHzM7BlLQ5Or3b2xUd/kYuve0zyxxveemvrAwN+2vadYeoeNFX6HegpuDtAglc5faCTEZMAaZuLROQU0O92EmAxYzCKzbszgzvH98LQiEJsdbjWx4mzV5SPn/lm9sq+KVoLtPx64NRuv15dcFxnuw/MbDSI1DQ7qGtrsXWpSNI3NLrWvr97+LtJqUvvtgG8uPPnKXhb8cgBNrX17LxWYrKptDggwLp6Rxyub2hIul88eyON3F+D2+Pqjub1eTJLExGt7avepd9j4zV35GCURo0FEEMDjkSnM6kJLi4dFz3yquT8V1c1cqHX4DoM2HtFUvJSd9/VIWjwjj7NVjVf8fu2HyLclGkqSyIV6J2tbccqR4SZiIsys/FWhb58sihiNPmpG+9jDvVOzGJSZwKubjlBT71QxsXVNLSz45QAMkkh9k4u1m49y09CexMfoVzvFx4SxZmMJ4wpTAIgMM6mobn+EY4dIC15kzpTrJ9m5PV7WbNQ/OHz5/WJq6p2q7TQbJWobnSryTvk+vsRlmaROVuZMzMSLr8o8NSma63ISuVjr0P9spzCaIQAAIABJREFUty/offPw3rg8Ht7cWsrk4b1wtHhUHKV/goZ/wrJBEig7X6/B7ymtVJ6YXwgez1WdvgxpcXk0hI1oqwVZRtWHaKtF7WV2rqqRDz7/mmmj+mAySrq/aW2DE2uokep6BxazxBO/KsTl8SIKAkLrYYjR4Kuql6VAxGdcdAhnKuqxhpqICDdRWa3FLq/81WCaHC6soUYMQeIfW/d8DaAb+1vSmlx38mwdf//spNpzTfGZ/f2Ph+6w8bsFQ2hu8R2MXKhr5oW3DgEwfWyapof9inmDA5LgVq72YRm37j1NXHQInWMDE5iuxiJ84p944U9NCXYQ9ea2Uq7LSdTg5pfMsBEWasDgFXC6vRgMAivnF+LxypyprNdgYN/e/lWrvZZbe/vC9qLTusnw/u9bPnsQtQ0taszOX+KifXQuWYaX3y9RY7+/HJGqG2cIMRs07ZfunZrFqrd9Van3TMlSe3J+8PnXzJmYQWV9i2bvdu/ULLrGhwckFfnHCxZOy6bZ4VJ7zi+blU+U1awe5s+bnElCbDi1DS385d2SVmTtEJbNysdiMuCVZRb8cgAmo0RsZAgG5Ks+7FW5Kj9QpOXLl3/ri5555pmHgCcWLFjg9XtMBpYuWLDgyR9veD9IooD7mpudtO/FLBpFstPiSE/pwHMbvuTdHSc4dLyK9JQOvPDWIT4uOs2o/G6seqeY6WP6Uny8SjWiNw/rzbRRqaQlx/DaB3b2Hiln657T7Co+R8+u0Tz/t4PMn9yfVzYd5rV/2GlyuLhzQj/22yuYPbEfT/sdxjQ63BTZKxiZ3w1Zllm3pVQd44VaB2cqGnh4Vj55fTshCDC2MIWxg5MZlpNIiEXC45FJ6RJFxcUmJg/rxXMbvlSvfdPQXvzhtX2888kJdhw4w5nKRoqPV3H76DQiwkwM6B3HSj90pDKWGWP7qoE15fH99grGD+nBybN19OvRgeYWN0+tLeL4N7XMmZRB8fEqGh1u1ei/9oGdC7UOGh1uio9XcfOw3gC8+HYxd4zvy7SRfejXowMvv1dCardo1mw64v/z0Ohw84vhvTSZSsr4huUlIcgyXlHQ9KVSxrno9jzGFSYz0pb0vRofh4WZH/lOb/jxJKj+mi0GsvvEs99eod73JTNtWEwSj/9Fe8+Kj1dxXW6iRreU58YXpiAAr2w8TLeESMxGieYWD+/vOMGA1Dhe2XiYm4f1ZkxBd/r1iOW9HccZ0DuOh//8OW9sO8b7O0+ycedJ9h0p57rsRNZsLAl4fVbveIqOVjB3UiZjCrqTn57AybN1FGR2VrPf/cc6PC+JnolR/Gn9ft7dcQL7qYvcfVMm1jAT735ynA92nWLb3tPsOHAGSRS4flB34juE8fhfdrN+6zG+OHKe20b3JTLMqHuPrBYJlwz//ufP2fTZ12zbe5rtRWfYe7hc1S09kSQRryjgAQRJxCAKyLKMLMsIsowICK1/B3u9IIh8XnyORkcb2DYuOoRRtm4E/MjtJCzMTFOT85KvaX3dT15//aX999Kb1wfslQzNTuS+p7az48AZNfus0eFm6shU9h4+T6PDzeTrevHwC5/xzicn6N+rI/ZTFykrr+dMRQO3jU5jXGEy1w/sTn2Tk1c2HmHuTVrb5QuylnFdTiJvfXyMm4f1ZlxhMmMHJ/P5obOEmIysWL0nwKYunJbD6veLGZXfjeYWD7IsYzFJ/OG1It7YdgxBgJy0OHonxrCsdXw7Dpzh6Klq9h4+z4i8bjz60q6AubBwWg4vv1dCWXk9YwcnB8yX/fYKZt2YyR9f99n5PSXnGTKgK6EWI0+tLeKdT06wu+Q812Z35Y2txzT3vdHh5hcjerPshc94e/tx7KcuMvemDDpEWgixGBiel8S4wSlk9uwIwHU5ieqaZy+rpmuclT7do4mxWuiZGE3FxSbKyuspPl7FbaPT+GDXKYrsFQzNTQw6p4Low09ef4PZ34gwIx63b71pbxc8tOn1mILuvLvjhOaajQ434wpT2F0SaB9uGJSs6rhyICHLMq9uOsLAjATWbSll7qRMzfqv6NAd4/tSkNmZVzcdoW9KDPc9tR37qWrddfuVjUcY3L8zb28/HjC2ycN6qb1blMeK7BV0T4jkza2lJHeOoqHZxaJnPuXGIT357cu71UDwmYoG7hjfl1uvTyOzZ0dioy2cLm9QN83K9Q6UVnLNgK48+z9fsnHnSaaP6YsoQM/EKIblJGnu99xJPvzu9QOT1fXmg89PEh8TRkxkCLt07Gxa9xgyesby8Aufs25LKbuKz5HbtxOhZgOiKOjad0WuNPvrQVATCqDNngzNTUT4nkhQPdvt77MFW0M9CKxp9TU6RJp1fZUxg5PpEBnCcxu+ZFBGAnl9O3HoK5/+SqJAQWZnXnjrEBs++op9R8qxpXeisH9nBvZL4K8fHFH9eEV/7r8lh4hwE70So0iKt2o+84Fbc1X99b8382/uz8adJ1vnajIRYUYKMrto9HLe5P6EhxpJT+7AL0f0Jq17DKvfP8zHRd8wZ1IGZefr6JvSgYgwExdqW3jr468Y0CuOl949RHpKBx77y25e+4ed3cXnyOgZyxtb7SR3jmLVO8V8dvAs8yb3Z9veMnYVnw+Yw0tn2ggzShq9/bbfpL38q+ivv1zu3PxnSrD9xw2DuuNoTSqQJN9rMnvG8tI7JQzPS+KNbb51eO6kTDXA528jp41MpUfXaL44cp7unaNYvzVwLvxieG91j1ZxsUlXD1rcbv7y7mEOlFZy2+g0JlyTwrjCZF7ZdFhNuGx0uNl7uJyULlEs/u9P2fbFaQ7YKxmWk6RpK9DocFN0tIIRtm40Olw8umo3azeXUlHdRI+u0VRWN3Hk5MUAe2tL74TT5WFEXhL9e3XE6XIzfUxf1m22U1Zez357BfdOyeba7C6MH5KC1WLA7f5hQb0rTX9lWSbUbGBYXpLuvlbRw9KyGnYcOMPWPWV8dvAcI/ISkbw+H0SQIbN3XMB+uvh4FSPyupHRsyO7S86zu+Q8AzMSuO+pT/ho3zfqPutMZSM3D+vN+QuNur9zWnIMBf074/HKXJPVFVlG1fMLtQ51XIP7dybMYuSFt4p1/Q9RgJfeO6z668q6HhZq5KV3S7hQ62jdq52nX49YXG4P2alxjCtMYaStG6IANQ0tdIkLx+Xy8MHnJzFIEk6XmzmTMvndq3t1fZ/FM/J4/R9HWL3xCHtKzlOQ0ZkPv/iGIQO66K6RNw/rzY4DZ9R7OH5ID2IizeSnJ1DktxYopBqzQWuTv0UXfir6C99Bh7+vKLrvFQXcbi/rW/cpyqHZxToHm3aeZMZYnx/71Noi3th6jJITF5g+pi8bPjrGgN5x2NI7adbhe6Zk8cHnJynI7MzQnEQEEYwGiYee20lVTTOJ8RE8+tIuXvuHnc8PnaOgfwIFGV0CrvH6B3a27S3jmqyuAXGxYbmJ1De6fAfSDU6OnLzA7aP7MvHaFIYM6Eqk1UR4qIni41W6sb/99gpG2JL4/V+/YPyQHqr/rufLFx31+Wb//vxnrN1SysFjVcy9KYMvj1UxoHccf3itrb/zCFuS7v7i1uvTGD/EZ0MkUT8WMdIWPAZymb/nT05/ge/kHxhEgbzWuXxtdlf1Xirr9G2j07h9dB9Su0VjMIh06hDKf7+p/b1q6h306RbDshc/50BpJX26x/Dbl/eQn94Jjxe2F32j6tmcSRm43F4eXbWLtZtL2V1yjnGFKew7cp5ZN2YyfkgKPbpGsW6zXT0APFPZSGH/zix5difbi85wwF7JHeP7sr3oTOshZB5Gg0SLy0Ne3wTe3GZneF43/uuN/QFrwP+7NYfqegcD+yWo8bmPi75R9/FKDPe5DV9y87DeRISZAmLHxceruGZAV9xeL9mpcarvq8QLGh1uDn1VxfUDu3OsrIbpY9N45s0vWb+llCMnL3LnhH6YTCINjS6iIywM7NeJwgFdEIA3t5WyZtMRio9XMSgjgchwExZJ+N4HgJdab3+K+vtdY2j/1/K/MZ6wMDNrN9sv+/W3XN/nO71eec+P8T1+7PvzXXX4cpM+9gOzgOf8HrsT+PK7fNhPRZwuL14vOF0eDTpLyaxcsXoPbo8vg0zJZEuKD2d3STm7S8pZOX8wj6zarblmRXWz2sMkNERSN1pb955m0nU9WDF/cPC+aV5Zg0RSpLregYBAeKgRc6vjb5AEKqsdmmyLe6ZkEWbRZr8HQyDFRYciCAIy+mORRCEA07in5BwpXSJ44NZsQOFc+6oozSaJZbMG4XS5sYaZ+P2r+wLwL5HhJtweL5OH9cJiMnDuQgOhFp/qRYabVXyHUpruy2IJ1uAcEAREUFGA2ud93PkrGSXjdHr5pOh0QPXb6IIU3XsmCsERKzKyPk7TI2MNNdGlYziSCBFhZqyhJjye4DqszA9/mXWjrFvxF4yv7vbIGAyiptLQ7fUiy3JAhdLiGXnIXlm3P9fK+YPV/n4er1dT9eER9HE0SiPi9ugs4Dtl0wfLvo+JNOsiTUWJn00vn2+TYNWSxiDZnmajxJMLCvF4wOv1MuvGDDZ8eIwNHx7jgVtz+I/X9qlZufdOzaLZ6VIbo5tNkibj2RpqZHD/zupcUHQ5LtqHpWly6Pc1bWh2UlPvJCE2jNoGJxaTgWanm/umZbPhw1KG5iZS1+CiQ5Skjk+xkdFWC4Kgb+v8G78HY/U3OVwa3V+xeg/LZw9SbWNFdTPnL7RVbCtImshwk4rkVV739Lr9PHBrDn/76BhTRqbicntZ+txOAM2a549I0ctSVHoZTR7WS13briQcUjD7O76wR9D3+Ot1MLy3oIM8XjIjj7rGFh6ZPQijUeRCbTN//lsbXlNs7dHafr1X7n/njuFUXGwiympSe1Mo1VNtlQMW/vBXH+k9Ikwf22006DdvT4wP564JGVTXOwgPMZGfHo/ZJAYgZx5ZtZvnFw8nxGLA7ZaxmPSr9eqbnNw+Jo1XNx3hT+v388icApa98BnRVosP0xUbTk1DC3//7CSjC5IDKgHf23Gc2KhUls0eSMXFJrXS2xpm4sW3irlzQnrAfHlifiF1Tc6fVbWUJwiO2+uVkX7ANS+1rja6PJT7/SbxMaGEGSVa/HyHlx4aGdRXSepkZeG0bELMRgytlfwJHcIoK29Q0UpLZ9qwhhrpEGkBBF569xDD87qpfqrL48FkkGhqceH1enlk1W7+vHi45jMlUd8eS6KgVuNZQ01IksDWPSdZNstXWSqKAg6nGxGBxHgrD/7XDtXm+qoOfPNi/RY7w/OSWLF6DwB3TvAyPK9bQD9nBUGlYK1XrN6jZvQ/smq3Zg63z4z2R4C2X3OuZvz/cAk2f8CX2X/XhH689G4xs27MIDrCQpTVpPZ5qqjW7wPocrdFerL7xHOuSr9iSvTzBexl1Xy877SGMLB281EmXNOD2ZP68eJbxWr1+CNzBqlVUf5tFjpGWQAYkZfIpOt6YZAEXZ0RBIgMM6vPDc/rplaht69aWDIjD4fTo6kWWHqHj9Qyc1xf9X7VNbaw5Nmd/OU3I3F6ZDyCcBWf2E6CVVBDILpOJRvhq84HXxsC0LdpF+scPLW2SPXfqmqadTHRyNCtc0RAlfPyOQOxGA24PF5iIixqi4n2rTny0+MJD/H5nAunZWM0iayc76vMMkgC56oaMZsMKmZesY1x0T5MfvtxCwIICKzbXMrtY9L4/atfaNZuBBgzOIUQs0TH6BAamp2qn+uPVY+NCmHVO1oSkVLpHSxeo/THVv6WZRkRgbAQI8tnD6LF6aayxqHuM64iFgNFWZ8qqpugFQPrldtiYLeN7sNTa4tUwoVBEtRqIsV2KOuj1+tl086TrPAjb3hluHV0Gn98vYgoq4k5EzPxeLw8MmcQJqNI2fl6oq0WNY7l9ci+Ctr5hVRUN6mte5T5ZDJKZPaMZeve04BvrunRW17ZVMLwvG6seucQj8wZpNKL4mNCdXXJ64UFvxxAnN/zwWJ3SsxEmecGSeTB6bnQrvVJsP2FJILg8cXGJCkQUXm1Etsn/iQXGW2FqBJLmDc5k3WbS3ng1hwiQgPbFQzP66be21k3Zqh7usoaB9v2ntL4DU6nl/9c13aIq/h+/rErf1IFBPZDjbZaSIyz8sKS4QA43R7+8l4xw/O6ATBzXD9cHn10uAz8x2ttFaoKctwaZuKxuYMwSCLREb654qPmBeknLsu8ubWU6WPSEUVBN0YuCAIP3p7LC28fDIjZPTq3gCfXaEk2r+04zvghPaip98VCnl63nyfmF+L5nrSSq3JVropWLncfeD+wJTU19TbgONAT6AGM/LEG9mOKyShiEEXNBkVxghUHTykJVxBcSpNVX6BKf5Etv9jEf71xgKUzbRon+ExlE13jwoPy/ZF9joveIceB0nKSu0Spjy+bla+OG9oWjGWzBmmuHWyMHq/sa86MPv5AFAXNoU1+ejxTRqay9Nmd6rgenpXPHePTA8q+w0JNGiSGcs0oq5mLtQ6Nw7R0po17pw7Q8N+VIN6Ea3ogCEHwDJX1KmpUqV7wR81ciT0A24vb6+Wt7b4eNf4ybog+AtNkFHV1SzIIeDz6qNA/3HuNTm+ePCxmSXeTKAXr5SDqN+1eOb8wiKMq8NHeMkbYukPrhvKjvWWMK+zB+i12jfO0fouduyZk6DskXnB59Pv7SaJ+PySTUaS6PjAYHGU1fSd+frDefyvmD9ZHmt6afTUg1yrBbKTJKATgVhbPyEOUoKZO+5vdMyWLj/edRpIE7pua7ePziwIGgy9Qe9/ULM5fbOTFt4o1tuPeqVlqg/f2gbKGZhfWUP0DEqfLw/SxaRobec+ULNbuOMqMsX1xury6/QDsZdVMHdWbs0GCfUqfiXunZuGV9e1hqMV34OYfrPM/SFF6wi2eYWP9lqO6B/7K63zzRlYD0v6oMf/1xB+RonymsiFf9c4hOrZmN/of0FxJhyrB7O/oguSg89hfr4NhZVa9c0hNOrKGGhFFWjdo+zQ6H9WK4IyLDsEgib7+CX79n/QOaZfOtGl6U/gfjJ+/0Eh1vYN7p2bR0OzURdM1OrS9zlKTopk6qjfI4PXKbNl9ioNfVfHwrHwamly6yBmPx5ew8djcgqD9qhT8rXLoUdfYogbvlHX/0TkFnC5v4O+fndQEvxXfwWAQVdSeMoaF07KJspqobdBm4FVUN+P2yj+7/igmg6ibnGM0iHid32+OXqp/lSwJVF8MRAiFdgzDQNv7BIkAX2XZ7IHUNDj4w1/b5sH9t+RgMkp4ZTAaBBLjw1WclnoQMdPGL0b01uCKfQlzMr975QtWzi9k6UwbDQ6tzgeztaIosOqdQyyZaWPnl2fIS+9ETlonXtlUEmBXl8y0qUHG9nPRZ89l9bo19S10iNQmtEFbwNk/8FxR7evdqexNVr1zqDV4pz0A9D9wNRoE9UDIP6nkSveTf0wxGfXnT11jCzPGpiP59arO7BnLlJGpmh5p/uupnr1+dM4gnlq7XxcJ1h5lbktPUNs3KKKg0icP66XaeeWAp72uLpqex63Xp5KTFq/x9/19g7joEGQv1Dpa2Lb3FLePSVMTT9sfrMREWEBAUy1QUd3MipfbDrCVtSw81MSKeYNxON1qZeWV5i/8mKLYXD07s3z2QFwuL79tRZDr2TSlH7TivxUdLVd7DPvbsn/sOkmoxZckp7RREEVocXpZ/sKnGp15b8dxpo7qA6D2CJ4yMjVAt97bcZw5kzKobXBqUHrKvr663sGi6Xm8sVWbwR8XHYLD6cblbutT6H8gX13vwGSUWPGyL26zbvNRhud1U7+/EtPJT49nzsRMJl7bk+F53dRD74pqX+KyECR51j/4Hhcd4ktQfU7r+/sfoF9NuNBK+yTZ/PR4po7qwydFp9W1v0NkiGpbjpy8QF7fTpqDCsU2RYab8HhR92na/aGN3LQ40pI7sOTZTzX2LjrCwv+7PYeLtQ6Nf71i/mDN4aO2jUQe4Evsnzysl6YyUJlDj8wpYPOukzw6pwBR9PXeW7e5lIW3ZAfxKaDoaDlpybHfGl8UBYH//rfrcDi97VpV5GnijUHbB9F2wPddW5n83ERNvNA5LF08w8amnSe4fUwav3l+p+8Qt93v5d933f9Qd8OHxwL06rG5Bbq+X1VtM4ue+ZT89PiAMfj3Q01NivbFH/xs0KIZuYFI73ZxaWhtgVLZoNFjf+R4e7tsMIgYDfoJ2SaDxC9G9OaRVZ8HXW++PlfHqncOcc+ULPVgT/ncWr8+1/5rkvKv8v31+l5flatyVb6fXBYOdMGCBeXPPPPMi0ATvhj3R8Cv7Xb7qR93eD9IgpbSyogs9+s74o/Oam7xsOPAGUYXdGNgvwQVD+B0uZk3uT/77RWcPFsXgJJ74NYc1mw8Qll5PUX2Cu6floPRILDgl1nERJgxGUUQBDJ6xAZgMCLCTHi9sHXPKe4cn8HEa1MYnpeEgEznjlYeXdWGpLhhoD5KbOK1KQzLTaSiuokzlY2a8frjDd7Yaqd3Ugxb95xi0nW9NGNZND0Pk0Fk5eo252bG2HRNwLfR4Savb3wAyqv4eBVZqXFcl52o+cylM204XR41gKO8vsheQVpyDJs++1pzjX+7LZf3Pz3BruJz/Orm/hQd1eI12qNG/UvgH5ppI9R4+egNPfkJlYMH1V9BEnVxZ9cP7EbflA4B+hVmMaq4LX9UZ3ZqPF6vrIvfGleYEhQX1jMxuh2usz+RYUZy0uI1v9fSO2yYjSJrNwde/6ahPclJ0yI8Fs+wYQ0z0jE6jN++3Ib3vPWGvoSFGvjvNw+y48AZDaZm4rU9dO/FSFu3oFgLk1GkT0oHHC1uDJKILMsU9O+MQRRZ9uLnAXo6NDdR9zuMK0xGr5OgB4Le002fnQxAml4OguNKw9GBbzPocHtxemQVDyeCiuLwtyFGSQrAze47cp6UzlE8sioQpXn3Tf1Z8fIe3t1xgo07T3KsrIbEeCthFiOSQcTllvlo32n1M5bPGUhLi5dQs4GCzM7Y+vlsnKLjBZmdsZgl+rWz3/dMyUIUtAfd/hhkBZ3c/rnbRqdhP3WR8UNSWPVOiS7SK9RiIKNnLB0iQ/DKMkNzupKbFs8NA7tT2L8zw/ISef2DI4wtTGHHgTOAT/fTU2J5bsOX3DY6TdWxnLQ48tM787tXAzEeyuviokMYMziZqHAzB0orOXLyojou/zXPH5GiiDIfbOmdqG908sfXiwLm0aVwu4r8K+hvMPt7qXnsj5gpK6+nsdnJ/7s1hwlDejDSloTZJLFt7zeag2dREALu4357BQ/ensuEa1LI79cJo0EiNMSINcyHo9pvr+C20WmBOKFWdOe7O46zcFoOI2xJjLQlYQ0z4XR5GNgvgQ6RITz20m5KT9WoOK6s1I7ERfv6BQ7KSKD4eBVd46wqzuWNbcfYc/g8M8elc/jERVK6ROrq+4O351Lb0MLRr6sZktUZk1FiQO+4gLmkoOLGFHTn0FdVpKfEqrqtXG9IVhdSu0Xz8vuHqappYmBGZyLCTGSlxtPc4sbl8gbct0Nf+bA3Gz46xpnKRlKTopk7KZNxhcmEhxgpOlqhYoaV9/jb9yvN/noFQRfHPSwv6XvjQP11vD2i0i2jVpBoPi83CUGAIVldyE2LI8xi5MO9Zdw5PoMbr0lhhC0JSRJ5/CUtnvPgV5Vkp8bx4H99ypGTF/nVzQN4Qgdjn9kzNsDHHJ7XjTe3HWNobiIut4cYq4UoqxlbeicmXtsTk0kiL8A3ycPhcvPWx8fZb6/g9tF9kUSR3726V0Ultf/sO8b3JbVbjC6m19a3EyUnLqiV1wWZnXVtSr8esdhPXaRfj1jVRo8u6M7wvCTGDk5mpK0bVoukwSgKRl/V7jNv+lodHDl5kdy0ePr37kjx8SpdbKgi/yr66y//FzgkGVF3/uSmxWMNNSGKgqrvU0f14U/r91NaVqPixJI7R6gIOz17nZMWx44DZygtq1FxnjcM7M76rXZ2F5dr9p/jCpN555PA9fiGgd1JiA0jLy2eiDATb24rZea4fgFYuwOlFcy+MUPXj1L8lHumZLF+q53MHh0pGNAZk0HCYpLI7hPH+aom7GXV7DhwhkNfVdGjaxSA7pimjUwls1dHTp6tY+ueMlK6RPHshi/p37sjpadq1L3d5foL7eXnpr+Kze2ZGBmApMtNi+ePrWtxxcUm5k3OVBHK/uutcs9vvT6VlC5RAdjv/fYKfjkilb4pHTRtFGx9EwJiA4rf+6f1+3nw9lwG9+9MXt+EALyy8jqXy8sfXtsX8NzDs/LJ6NGRLbtPcf3A7qrf8etf9GfCNT2ICjfz+cEz9O8dx5elVdw+Jk1t7XLk5EWG5iRRcuICW/ac4uZhvdnw4TGNj912MLlL9fHnTMrgTEUDkigwfkgPDAYBW99OmvVsyUwb7+04zpnKRnV9e2XTYUrLajTjX3qHjcyePgRuXlr8ZevxT0h/4UfCgcrt9tlKjGnf0Uo8Hi9339Qfs6nNx/7VzQN02yXcMb4vcdGhFB0tZ2hukm77kpuG9tKQghR7l9othsZmJ39ar41j3VDQjaE5SRRkJgQgkffbK5g32YcED2ZzJ1yTQqcO4Tz+l92sa0Udzr0pAxmZ3LT4gNhMi9NNZq84Pj94hltv6Bs0vnjPlCzWbbHTv1e8Lqp84bQctWWAJApcP7A7Wb3jmDIylVG2boRYJM0++1KtTH6I/BT1F74bDtRfZFkmPNTINdldKRzQhfSUWMJDDfTr0VFdR89WNgbs3/1bOOSnJ2A/5cMo+6O/p45IZbgtCVES2K3j+yl7nzOVjYSYJeZOyqRwQBdy0+IJsRjYuqeMRoebX/+iP8+0s/2D+iUExGiL2ulJXLSvd+Hq9w8H7H38kePKY43NTgb374zHIzM0N5HK1lizkmQpiBBiMpLbN96H6M/orLve+LcNaR+3aL/XU1pnjCnozrbWfpb/BGTtVRy5FnNfAAAgAElEQVTojyhXcaCXln9VHCh2u70GWP1dB/RTFLdXH0XYqUMY/7l2vy/DXhTZsf+UivwSRRGP7G0r0ZZ81VUtTg8OpxtJEjTXMhoFrslOVLPfls3K5+CxSoblJamZdA6nm8hwEzUNLbyxpZSJ1/bklU0lTBnZhydam/w+v2iYZqzBsoS+PlevZgXPmZRBi9NLWKiB5bMHUd/kpLbBqWZ1njxbx6wbM9TszY5RFkxGA4bW7+B/bT00QTCUF0BUhInH7i5AQEAU4UxFPZ066DchtpgMAY9drHNwXU4iH+87jdEgqvcqJsLCH18vCkCNdooJY+V8Xyap0ah3JHPliQF4aKaN3/plBj0004bT7Q1aaaaH6rzrRjlo9r4nCK7T45FV3VQee2LNHlbOLyTUYtBgPA0GIWg2pc+5Cny9KML6LUfbVfwdZe6kTP0qA0lgyYw8ahpa1DkVFW5GuEQJhweo0WlsbIqWdL+z16tfGRAsmz7YPTWIAstnDwxAov0cERxt2aBt2WtK9rdehmKL1xugw0tn2qiub9H9zYyGNmSWXqb9v9+Vz+N3F+DxypiMIhdqHfznuiKNPvijMp98ZS+P312gzq/oCDOhZiNOl5uwEH18i3/1RvvnuidYmXVjBlU1zVTXOzSZ9A6nm1CLL6M5PiaMUIuBuiYnHo8cUN1UU+8kMrytMkzJkFU+X3nslY1HmDmub9BxKoHu/9lWSn2T04fdaXbiaHH7MgAlkbiYEO6bmk10hEVXv62hJp5etz/o51wpGdHB7K8yjyUdpPAlM289IJqkgMqSR+YM0r2PldXNvL39K6aN6sOS/27LcF4+eyCP312gvq79+6yhRnaXlHPybJ2K2VTe+/CsgSqWtqK6WcVxATz74DAMksjq9w+zYv5g3B6Zh//cVnmizI9ZN2YE9Q0qq5t5am1Ra7WZhCj68Fkr5xdSVdus8U+UTP8lM218UtSGX1IwtuEhJqIjLDz560KirWYcLo96SJSfHs+dE/rpjkEQBKaN6kPn2DAKB3TVZFP/3KgCl8J6f985eikd9wr6OFmPV+ZMZT3rNpdSXe9g+eyB2NITNNV1C6dlX9J/rKhuprZRfx3Q8zGbWnw+tMVk0NjTxTNsGI0CBkEI8E3cXi/vbj+u4kbNJpHmFrc6r4LtJ+oanbrPxceEsXJ+IYIId47vhygKulVf7+04zr1Ts/joi9Msm5VPYryV6roWVq7R2p5Iqwmny4skCng8si59YcX8waycP/hqxv93FD173hJkD2kySlyoddAhqq2y0xpqDECHrt9i56ahPVWkbftrKdX7T6zZo+I8H5yey7jCFAySSHyHUB64NQevVyY2MkR3PXY43QiAw+nhzW2lDM/z9U3TG7fLrY8KU/wU/73jI3MKNAQX/8otxf+YPKyXhrbh9ngxGUW1clepTFXmjn8rDuWzrxR/4ccUxeaGWgLXXf+12F5Wzer3D7PglwOIjwnjTGW9+puCgiMXEIK0CYkMNwfgnoPZPeXx2gZf3CEyLBCX923+sSyDxWzg4FdVnC5v8FE/BKFdRU4eIWaDWg3Yfk+q6FNkuEkXgf5Qa+WM8p4/rd/PvMmZRFktNDtdPLZqt4ohT+gQTmVNE58UneauCf24eXhvQs1GXB5PwL66orqZ6jqHWjVukgSVQvNzF0kScbTDWibFh6uI4a17T7N172l+O6+A+2/J4a2Pj0EQm5UQG87fPzvJtdmJCAK6lJNg6MKuceEBtjA1KZpmh4cnX9kV1OeQJIGV8wcTHqJPhZG9BFQIPr1uP7+dN5gQk0cT+zObJF58q5iFt2QzcWhPvF5Zfd4ryyz45QBMRonwEBN/Wr8fe1k1d4xL1x1XQ7NTs39sbHYSYjYgGaC+wanZq1ytsr58kSTRd3gKxEaGEB/jo5XUNzk1tlWxLd0TIjhTWc+GD0tVf659ZWZ1vYNoq4UQi0R1vVNTAeuvuwriHuCt7Se4Jqsr4SEmGnDiaPGorUyiws2XHaMVBVg2axBNLS6q61p8/+rQ29q3f0hNimb8kB4a2tHiGXlMHZlKZY2Dt7d/xcxx/XjYb0/54PTc1vUmlK/Padcb35rSFrdYPCOP9VsCK76VeLfy71Vk7VW5Kv9c+V/xsVNTU/8ATAa6Axl2u7249fHewBqgA3ABmG63249923M/VIIF6c1GiQduzUYSBcxGketyfId40VYLc27qh6PFownSLZyWzer3D6uBK8Xp9B1yoMlAWre5lOlj0/jr348wPK8boRaBTh3CaWpx8uQaH65owjU9mDE2Xd1gga/fkP9Y9Ur9/QO/K1bv4dE5BazZWMJdEzIQBFj0zKea768YYHtZtVqevtIPN+r/eXqHjsFQXpHhZp7fcJAbBnXHIIm8/+kJpo3qw4VaR9BNqr/ERbfhwJbPHqS5D76Af+BidbqiQdM/4EpGePmL0ShqHEqjUUQSfdx8/wCu76AssN+kciglioJun7pg75Ek/d5kXlmmstXh9b9OYrw1oD9TXEwooiDwm+c+C7j+yl8V6jvzAjw4PZf6Rqemz5MoCSAImmDekpk2H9ZMlnWD8e4gQbJgiFJDay+gy+XnG0D39UYJ6pu8mrE+NNMGxu/bhelfV/yRqcpmsMXlwY0JAzKCx6vpgaKnj5HhPryf/gFt2+v18JWPvbRbPehzu2VNpXKwoBSgO78em1ugO4b6JhdGg/5a43L7+kn499NR1o57pmSpvQyfWzQMryxzoaY5AAP91Noi5k3OJDzExJO/LiQizMyGD0vV9Sg2KoR5kzNV5ztYAklMhEXtVfWLEb3xeGSNM3//LTmEhRh4fsNBdpeUMyIvkUXT8wJwn0+v23/Jz7mSDlX07C8E7weqbLqD9fbxegiwSf69HBVR9Mq/54Ty+uUv7mLZrEHUNrQEfZ/y2rpGLXrl0VW7eHSOvh7X1LfQ0OzbLLY4vWp/HX9RAis19cE/W5lXj99dwG+e9+mXkpHvjw9dPMNGc4uLdZuPMnNcP85WNeoi7P7ybgnV9Q7mTc5U7cj4IT04Wxmkl5YAHawmRhckq5+vjP3pdfs1qLorfbNpCILvNogC/IDYUDAdD/Z55RcbeW7DQdWHXf7iLh64NZvpY9LVBLpg9sQfyxZsHdDzMRuanCyansfq94sDAseP3V1AVX0joSFGJEFEFEVq65sBgcnDenH+QiOr3z9Mdb1DxSsFG191XQuR4eag39vt8ZIQG45BEhAEeG/HcU2CicvjYfbEDGobWxgzOEUNbPv3h6mobua3q7WYxcfv1sdLeb1gkL1XrE7/GBLMnkcEQYNHW838x2u+HmvK815Zvy92WKgJLjaBTqJcdb0Dl9vNslmDcDjdWEwGnC43zS0etu09wQ2DumM0SLy34zh33ZihiwuzmCVA0PQ49m9r4T/u9vtM5XGXW9b4O3prx9Pr9vPY3AJOnW8L9B05WRWAlVT2GQp6+s4JfaltaFuT/HutXWn+wo8pHo9X1z92ON0BbQ+KjpYzLC8Jk1FS99PKnumPr2v1VpG46BDOVTWQFB/xrbEB/4CtNdSoJgd9V//Y45HVZNC4mBBCzYaANfuJNXu5b2o2nWP1k4yV5LYOkRa116CKomvQTxqJjwljzcYSbhjUnYpqLYZ81o0ZvLX9BDsPnmPe5Ezcbu8l70FFdVt/+p9DTOJyxA2crWrQxRL744ff/cSHip0xNj1ob9TK6ib19/jdgiG43B61Z+CeknO43B5EUT+OUX6xEZdb1jznj/gM9ru63TJLnt1Jfnp8wMHNvVOzcHu9avKoIhXVzVTVNKsIcPD1f33xrWKq6x2cq2qgS8dw3thaytjBKZoEH2VvqOzpgtnphtae1or/7HK7cbrduJwG9QBQGcuVjrr/Z0mwtX9tO7wwtPUKfKJ1H37wqyoAls9u6xetJO3UN7lYu9ln21a2opqVVjdd48IBWLOxRFPwEBcdQmWNg8hwU0A8V29NDxajPVvVoOnVl58eH7CXv2dKFhfrmgPmRmCihQ8buuqdQ7r+9O9e+YJ5kzOD9jSMCDOpiZySQWDa9X04ebZOM473drQm3oUZryawXZWr8iPIZeFAf6g888wzTcB/AjcBry9YsKCi9fE3gRfsdvvsZ555pgV4eMGCBWu+7bnLlKCltHrYoodm2gixSHi8MqIgIHhlzBYDPROjuH5gN6pqmgNKrhuanCyYMoCxhcmMtCURG23hfFUjd9+UidEosd9eydxJmYwp6E6PrlHs/PIMM8b0xWgUCTEZaXS4iAg1kdEzlpG2JOJiQhEE6N+rI/npCVRcbOJsVQN3jE9X0UQ+VEUKI23dGFeYTEqXKNZttqsLRqPDzdDcRN/jW46S3SeePSXnA0rNxw5OZnfJ+QAUzfmqJg2KwOlyc/dNmRo00nBbItdkdQ3AfprNEtHWELolRPD8hkOMLUzh6fX7sZ+q1sXdhYca2XekXLdc/MZrUjRIxYqLTQHX8MeZKN89GKLxcuUnVA4eVH+9oqDBsmwvOsPew+XcUNCdgswE8vyQgWMLkwm1GEjrHhOAooiymnG5vVRWN/KL4amMK/ThpSouNhIbFUpOWnwAEissxMhnB88GovDyu+nikYbbkqhrcPL0uv0qqiU/vRNmk6SP2PRzgv2vMzIvieYWt+Y6gzM7E2I2sOwFLcJTwZbWNbtY9uIu1m0pZVfxOWz9Egg1GXB79XGdN17bg/z0TgE4s1CjSIhRYlheUus9SiLMKAV1RmRZJtRsCHi9S4Zl7RCrCvbIIAp4RQEPaJAdilxpODoFmapU6T234Uve+cSHAc5LTyDUbNB8f4MoYGtns0cPSmZX8VluuT6NA6Vtjy+ankdYiIG05A4B+EoFAzjclkRMhIWX3y+hW0KELt5SQVCAz1ke0CuOoTldyUnzIbAkUWDhtGw8spdrBmjt4ZIZNuJiQukQaSG3HVru327LJTzUyLXZiXRPsLJj/xnmTe7PNVk+3Ihiz+OiQxiel4Tb4yXMYuKNbccCxjh1ZCpPr9vPui2l7D18nvFDemA/dZGF07J5b8cJenSNYnvRN0Ft+eIZechAZJiZtO4xhIeYAubxwa8quWFQMs9uOMiIvEQmD+uNy+1lRF43Rg1M4vqB3bCGGXn3kxM0Oty6tvpSCDp/+VfQ32D2d1heEl5QexvBt6NQJUn0zXsdLPP5qiYW3pIdgPT+6nQ12X3iuDarK6MLknG63Jw4W9eKWe6By+MNQA8tnJbN2n/Y1YQcW3onBvZLYExBd/LTEzh5to4hWZ3Jb51jKnprSAqhIUZ2HTrLpOt6sd9eTp/uMezW8SnSkmOIiTSTm9aJg8cqg2LHCgd0UVFKZyobqal38ODtuQzKSKBfj1g+3neauOgw+vfqSFiIgazecQFopgOlFSyankd2ahwdo0M5VlbD5GG9eG7Dlxz/plZX/6wWAy4PXKhz6KKcbr0+jfFD9O37lWZ/ZVEks2esBtezcFo2YaHG740DvZTIokBmz44Bn/fKpiMaPNAHu04xeWgvmlt86E3Q9/3unar1/ZwuN3MnZWrWgftvySE8xBjgp4qir9fLp1+eU/3zYbmJ2Pp2IjzESHiICZfby9nKRk6X1xIbHcbvXv2CN7YeUxHJpWU1bNlzioXTfNUKd4xL13y3JTNtRIUbqa5rYXRBd3LbrRlms8TT6/azfmurb5LeibTkGP7rjQO8vf04Xxw5T1ZqHEaDgEHyJRqkp8TSMcrC31rviyIK+nHbXh/eelBGZw613itF4qJDGGXrxrdx3f5V9Ndffky8jlcUdO35qIFJ5PUN9BWVdbC6zqHu22x9OwVg53xY2kTCQ4w0O90UZHbW6O7SO3w48AP2CpI7R1LX6ORiXVs/PhCQRIHCAV3YtPMEackdGGlLUveioRYjsoxmHkHwfdQHn59kyshUzeNLZtr46Isyjp7SBiPbY7u6xlkpHNAZryyT0iUKp8vNzcNTeUwH4afM8eLjVa0o9GJ1TcpK7ai2drhcf6G9/Fz1Vy+mMfG6HqR2i9G0brh9dF9e/8dRdheXa5DfnWJD6Z0UTUS4UfUB/PXj9Q/sFPRP0NjwYC1HPvj8JPMn98diluidFE1Ch1AGZ3YJuOYHn59kUGZCwHOLpufx0RdlXJudSKcOYb7KKK/M+q2B/u/kYb2QRJHsPh05X9WkrgeKPg3u35nn/sfXRmLxjFyG5yVhMIhYQ0xcl+3D61dcbFJ1sGdiNOu2lKr21P+zpo1K5avTNZSV13Pr9X0wGyUEQWZgv4RLYla/S0ziJ6S/8CPgQD3Ai28Xc/fk/gG4QX/88IyxffHK0Njs4q9/PxpgsxbPsPHyeyVcqHXQNc5KRo9YnlpbpOr53Jsy8HjA6/VSOKCLRkfvvyUHURQIDzVy/cDuVNX4sIb+iM9ge5jdxecov9jE3EmZREeY6ZUYxQ0DffjRdZvt/GPX12qLGkXiokPU57NS43h63X4+2HUKqbX6//UP7KQlx5DdJx6zUSKzZ0duKOjO2MJkmh1uslI7qu0f3t9xItBOz7DRKTaUGwZ2J6NHLC+/V8K6LaUMz03C6fbo+ro/NE4WTH6K+gvfDwcabO3Xwwsr876h2cWuQ2e5e3J/uneKVLGwu4rPkde3E69sPMLb23044fGFyazbUsqYgu6s2XSEHQfOUNi/M3/+2yF+MaK35toPTs9FEkU6RFpUBLe/79t+Xz9mcPcAu7pwWjYhFgMHStv2Znff1B8EmRsGdmfIgLb4w3575WUhx6eN8iGkw0MMvPTeYc3zXeOsjC7ojscrM9yvVZXi34SFGJFEkYt1LUSFmTEaREbkdVPj29YwIzl94n1+gMf7z0TWXsWB/ohyFQd6afmXxYH+ELHb7Z8CpKamqo+lpqbGAdnAyNaH1gLPpKamdgSEYM/Z7fbKHzoef2wRgoAgg8Pl5sH/+lST8REWYmTd5lLum5YVUF4drDx6zk0ZyF4fQmjhtGxefr+Y3SXlauCiyelGltE0yb53ahbb9pYxNDdRky26aHoeRUfLMRhEVswvxOv1YjRKVFU38/u/fhGQFQw+h8NiMqgOljXUxL/flU9VTbNatdAxOpSmFpcuOsxeVs0rG4+wYv5gLtQ6qG1wsmP/N62vFdQASmOzk2WzBuF0e7CYDKz2+55KA+W4mBAVUaDgDQySSMfoULyyl5feKVbxHO2rWNojGO1l1by343gbjlUUeeHtgwHZMj+H7NH2WBZoRah4ZVwubaXZ0pk2XG5vK07Kh7b1eOGtj48xZWQqoRaJznFWysrrVP3oHGfF65XZsf8bzXu27vmacUN6cP8tOfzx9bZm2vffkoMgQGbPWCZd10vzGV6PzO9e/UKbIfTqF6z8lX7VnSgKAeikDR8ew+0Ncp35hbr3wuORNYcZFdXN/PblPTzxq0IMkn42nQCXbJYdrIpH9zfSqYjwCEGqKL1Q7QhePXQlilKNrZdh5p+pqKC4Wrwy1lATv18whBaXF1EEi0nimuxE1rVm1UWGm4i2mtl7+Dy29AS/ygpLa5Z+YLP3e6Zk4ZVlXX2IDDfz1H3X+AJqwLmqBhVbt3iGjYgwI79/dR8zx/XFK3u4b2o2MZEWzlU18PzfDqp4rH1HzvPInAIamp24XF7CQgyadWNpawJKbYOsqYhaND2PsnO1JHeJgiA6W1XTViltDTXSMTqEB27NBuB0eQOyLPPInEEYDSKCABfrWnj87gLqm1xYQ4289G6b3X5weq6KhPSXiupm3B4v+enxjC5I1mAk75mSxdp/+HC9SjWCYqsf98NCX0kZfMHsr6f18CTYc+2dLf9MU71s+ep6B1FWE/MmZxIfE0b5xUaOnLxATlp8gN8BcPCrKgQEfvfKFxob6nC6EUXUtXX57IHUNbo0unbv1CzKLzbxxeHz/G7BEGrqWzT2aMkMG4IIhQO60NTiUvEtw/O6ERluIvL/s/fmgVGU2frwU2sv6U7SZAMUXBAazDImkESSgCMg15Fd5ifBhYQhhEUB0TtCQIdFJKBXHXUuKOAIOKPgvSiKy1wUl1FQQMTLIgREFEYgC3SS7k6vVfX9Uf2+qUpVQ+CqnyLnH0jXXnXe8573LM/jsOC/txzCnq8bMKM0FxUjsnFFJydO1PsMsGNNvlYH2N3VheH9uwEAkh0WsCyDm4uuxKNrP6fXfniSeWeTLxBG1bKtVA8tIker97Xwuq5EK17YtA9lQ1Qet/jdwwAjKe2y7790YQDs2H/SML8P69ftgs4XDwKXigJs/OhrVIzIRteOThw75aUoGoD6PV2Jatec3cJD5Dn6jQjc0pTROejYIQENTQFYRH0ny5ib3Ph497/oN49KMqwWFiLP6Tp2FQBOuwhvS5h2Z7mcVowb0gvPvPIlXE4rSgf3QMeUBDhsAq66LBFzlxlh40iXuC8QxoTh2YhE9VBfPM+gJSTpIKZJkujU6Raq3+Sci1/YgXtL83R+z64Dp9Av93IsWbNdNycUZmboIOhI5wmRNW99hTnjCygnHSly5HDx6/UPLfFsfThiDn2rRGQ6D2Z0sNN1W9tzuJxWNHnDtOCtMDNDnS8ZtfjrnW1HcWN+V2RenUo7oEggLxSR8Pjfd1Fd/be+V4FlGchQcKLeB6vIg2WBDok22ERg8ZRiKFCLW4leVd9dgkhUhY9d9fpebN9fi+O1PupHpSbZwAsMCrM6Y+uek/T68ydej0ZvGNVTi2nHzY19uhjmIz4OYogWAjIalemcNHd8AZIcIlZUDTS3H5fkrNIWiplnWUiKokMjIr41sV3aDs+l95Rg1l8+QbrLhsVTi6kt87ZE8OLbB6it3fjR15h223VISVLXahZRRURw2EUKj39z3yuRkmxFOCzjsjQHOJYFx0t0vwSrAIvA0vhFozeMhycV4UxzEN6WCKwii4LMTpRbON1li4tSUHvGjwWrtqMwM4NC2PtawsjoYIdF4NDoD+HO3/WE1cKDZVj849Oj6N2rIxatb7WppONkWL9uePHtA0h3mXeQ157x465besU4AX20Q3BWmQp7l5pkw6kzfoO/c7HHJM4592v3jaEVmaFJuJxWXH1ZIhZNLkLtmRbIiowkh8WULiEQiqDmmAfuri7MKM2FtyVMIUUBFRmAxNIKMzOwsLIIHMeAZRh4fEE8sVYfZ6sclQ1FQVyf43idDy9vPoixg3vixj5dsHLjXoy84RpdVxWRjikJ9Dxk3gejdlORtWBLMAK7lceZ5iD+MDwTDpuIQDCCde/WYMLwLARCUUiSgtf/+TVdn913e28cr/XRtRUAsCwDXyCCE/V+MGCQmmzDnb/riTc/+Yb6BOa+7sWtkz+EyLG5nyAVEb8svYON6seM0lykJtlUyNozLfhw17/w295dUH8mQH0/wOg3qt+Apb4b+UbelohO39NcNiQ5RDT7w3h0XeuaSAvBPaxfN7y99SgWTy1Gky8Mu1XA6jf3odEbVqGMU1Xo2/rGAP6x7VvcW5qHlCQrTjT48Nyre5DsFFE5KhtNvjCcdgGjB3THhvcPY+1bB7BwUhGafCGkJJlTgHAsiySHCFHgUZiZgUZvGKMHdIcr0QKnTcTKjXup/s4ap8KHBkISeI7B7L9o4u3jC6DIChp9IWR0sKtFmDGe60s+6yW5JD+etDsJ6Ha7WQC9AVxWU1Oz0e12WwEoNTU1oQu8dhcA39fU1EgAUFNTI7nd7hOx35mzbDuvJGBKiuOc+3i8Qcxf9pnO4EuyAoYBSgf3QLPfCOtjFrxe/24Nxg7uqQueTR+Ti0ZvGDXHPHhq3W4srCzCn543QlHNq+hLE4Pk96Vrd+KRKcWo87RQzpt5FYU0ybPh/cMULoAsCDunOsByKsQjyzCQFQUtwaiBS2rjR19jeP9uSEu2GSBDtuz8Dicb/AiEJDjtAnK6p6G+MYC5y7fFFoJ9sWCVej9zygsM8EQEpgMKdIHG6WNysfGjr3Fz3yuR5LBQPGkC61UxIpsGEf9bg6lNjr/j5l5ITVYXH7Ks4I6be+naxx/8QyFSk+1g2YsH6MBMf880m8OryrJigIhbvFpNfN3Yp4sh8SzyLCJRxZQfz5khos+1HQ3HcCwDV6Ko48vhODUxfEvxVbr9Z5flx4cPlRXKedK6fwEsAot7xvxGheJigCSHBfeM+Q0EnjVNDkpxEjgca37dqKxA5FlTHkGWZdAhyf5Dfj6deLzm343jGNPv9h8z+iOtQwLdNy3N+aPd248l8eyvLCt48A+FlFdJK3WeAMAwcLkScKy2mSZzyRi/omMi2Nhisnr1DricVnrO7+t9KPpNZzT5whSOyuW0YnZZPpp8IYPNfnr9bky77TqDrakqL8C7279F714dKVQysWEvvn1A5eqZWozRA7qDZYGNHxxRMfE1XGnuri5EohJuKrwSp077cFmaE/WNLbrqQvKtF1YWYfWbX2HK6Bx0TnWA4xhEJRkcm4A5y7bC5bQaYHtnl+WD51ncOzZPV4QxfUwuunR0YNKt2QaegU0fH8GYm9xw2gUdvJLLaUUoLOF7vzn0DgBMGJ5lgGQiC5tIVKYQJ4RzJTXJBp7/ZfO0no/9tYg8GMZ80W218FRPiajQslsNc7n2ezX5wli3+RDu/F1PdExJwOXpTgOXzZI1OzF/Yl/c2KcL5TsmekVk+QMDaODW2xKhRRzkHE+t242HJxehX24XHD3RZICerV6zI5aMa4EocEhNtqL0pp466KKZY/NwvNYHlmGwZed3qByVDZfTimSniDnlBTRJ/862owDU8TFxVBZCYUkXRL7v9t46Ps4TceCgSDKR6OEjU4p1QRyy2K4YkY3t+2sxov81CEWi2LLzO8O7nju+4Jy+w8Vkf5t8QR1nNbF5osAiyXV+c6AsK/juVDMW/bU1sKq102SfO27uhUV/3Y55FX1Ni9cSrALmTbweTb4w/v4/B3TfiPCo/DkGOezu6qK+QJrLDoYF+lzbUWcfF04q0lLUblkAACAASURBVNljcp0ld5dAFHjMWaYGISpGZNNk4MRRWfD6w2j0hhCKRBEOS8i5JhUFmZ10fgeBmvM0h5BoF7Fg1We662j9dKDVzi+eWgw2TjFQarIVoUiUwo22vX+yJlhQWaTze0lAiIjHG0RqkhX/MaM/IlEZAs8iKcHyi/SL27N+A368sRnPZzOz54Cq5+BDWDSlGCwDMFDoMdr15RUdnXhI821buVr7Yt6KT1ExIvusEOBmBU1zyguw53A9vjrqwbghveg8QXSE6JXqNzCYsGgL3F1dmHxrNm7ueyX1hRNsPJr9IditAqwWFgsnFUGWFfiDEfgCEV1imxSDmM1HZu9NG5hmWYbyuYuCiiLzS9TRs8n/X/rr8QZR3+A3tTOEi4lI27l05ca9uG2QmxYSF2ZmYObteZBlBROGZxkKx+xWAY+92Bqgrr6nGA2NQR0UrIr8I2LVxn008TtldA4NGkuygmA4Sv0gkgAk48UfVIuWtdeeXZaP517dSwuy20LYv7fja9zYpwueeeVL+ruWC5k879Prd2P+xL4UqraqvACyIusSOYT6xeMNYmFlEZ58+Qt6/NI1alGrRWARkWy64pQFlX3B8xyiDPOLtcNn0+H2zP1t93/wD4U43aSHG3R3dWHckF6G4sjdNbUGuoTZZQVY/+5BeoyWn3T6mFwwjB5an9jWRZOLAJYxFOAsXavaK4vI6iA+Pd4gRIGjPgcAHD3RjCmjc7B9fy2G9++GeRWFNFm+4f3D8HiDaGgMUFhvp03UNQLMGpePDe8fwvFaH/54V2/wnFqU9uoHh1E25FqMucmtK/rQxg+feGkXFk8txskGv1oE1awW6pEiprYFRxFJxrb//R5zxxcY1tAXW5zsXHIh9rXe02IKW0tg4Bu9qs0k8zj5XrsOnMLviq4ytb3Eb6wqLwAYheoyobpx2EUsrCzCC2/uw4b3D2PckF5o9AYNfsBT63Zj4aQiHDvlxYe7jqP4N50hywqSHRZUxfxaADoo41Wv78WM0lx0TrPj6Am16L/y1iwkJVjgbVMUOnNsHlhWHa9NvjA+23vCABs6uywfKzbuobo9p7wAkiJTequ2+rt0rRoX7pBopbaaPM/iF3bo7jGxoxMdHAn4seSXtJZrjw/xc3uen9v9XKj8WM/xc3o/7UoCut3uXgA2ArAASAXggNqlNxbA7T/a3f0Acvq0T12YxZG0NCeCsUA0gaYjBr8wMwMTR2aj3hPAmrf264ISSQ4j2bUZT8/T69UEH8H1ZljzTgEuzu/RqKxzaNqSfZNqlASrYOCEWP3mVygd3MPANfTky19g2m3XIRyRwDCMwbGfXZaPt7cexXsaOIzqqcWt98q1cqTFIwdPTbbhwWeNFdSLY4FIi8CB51k0+kKUJL5rRyeWTitBMCTh9wO6QwEwq6wPwmEZaS4bhNj3JJJk5Q2VuNrtFyI/p8EJmOsvK3KmPH5RybxqOSoreOOfR3QJtDf+eQSTRuVAVsz58RZPLcHatw7ojln71gE8UNYHobCM002tnaUpSTYInKyDbCPBgLgdfwwDnmd0ycSorFb+RCIKHl6jTSYWQHAwprwqIs+a8/UJ5lwTqt+rICLpOyb//c7eABTU13t/+I8YE44zv1cF5t8tGIqiPqjeT1qas1339kvQXyJJVh4Om2D6nRgFqG9sMXRzLvrrdiyZWgIFCiRZMQTDRt1wNbpkOGEVefAcg0enlSAckSEKHBJs5rbKalEr5xdPLYYsK2q3kD+Ef+t7lSEIS5KGDz33KUIRCZenq5xOlSNzaAIGgGEuSXep3VTJDospZwTLAg/c1RuRqAp5cbzWB55jaQCjzhPA2rfUytTL0lSnUGuztc42sbNtxyNJ2C1ZsxOLJhfr7mH0gO40EN42QTKjNBf+QASOOO8vySHidFMQ2/fX0g6VdJeNYvi3t0oY+GXobzz7qygyEFXMx3hEMoxfbWdwzTEPPtyldmsLPINIVMFrHx7GjX266Ozes7MGmH4DnmORmqR2M5sWiCignVePTe9neg5FVrBkzQ7MHJtnup2NJaZ5jkUoLGPduwdNA9SdUu0YO7gnqv5zK3KuScWYm9y6ZPTssnycaPBjaMnV8PrDhgXuEy/t0vFxrtt8CFVlBQaulBffPqC7P38wYppIJfslOy14at1XtJpf2z1sE9iz+g4Xm/1VOM7QJaLyF5Wc9xyocCwNApJzLfrrdrWbWzPWib8GBqbfySJyYAHMWqkGMRq9YQ1ShAhRYGlCOS3ZClFQ/Q8GgNcfNvgr3hZz7spwVIa3JUyRCwSewbyKvpAho9kX1vkF993eGyN/2w0LV23XjXWWBWaXFSApQUDUpFusLXIIubYsA2kuu+kYrT3TAgCYebtaQBevQIZhFDwytRiQ1c6KYCSqCzzPKS+AFJYoGkE0KuF0MIL2yC9Ff7XS3rF5IRLXZzOx5xzHorElQjmYiK4kOkSaLCMBxXg2lhSvaTvm2u5jFfm4CAoLKot0yXCyTctxvGTNTiyeWozCzAwM798NTBtO7ftu740XNu2nSAbL/3svao554hZ8TrvtOl1nKrHFZjyFa99SO60euKsPHv/7F7qOqbb24kLkkv6qehiBOt+Z2RmX5ndiez/cdZyiSZBuvIcnF0HgWTT7wtQPJvo/6dYcMAwQCskIRyW1uCFGYaDIMO1AnDI6B5WjsmNdKWpyva2PPKM0F1LMjzbzoeeUF6BiRDYAYP27NVQv246FJ17aZVpYreWzJKL6TwzuuyMPdWda8NYn3+DGPl3U9YCi+qu+QIR2yHgDYR0CUZ0nAElS34M2JiEKLJq8YUpXQe7/bAgvPzf9Bc6uw+2d+7WSZOWRmJCosw9mcSpizza8f0jXoRyRJFSOzIEC0EIecszT69XkiNk3BhhIcWIkoYgEjmWgQMGiyUUIRSTYLQLOeIP0u9cc81D7Oyi/C2wW3rAGcDktWPPWV9i+vxbzKgppchxQCy2b/SGMHtAdDBhdlxRZv52te6zOE0BUUmC38fAHovQ3UsRkNt7653VBSqLlB4+TxZOfo/4CuCD7qvAsyodmGYoGFsf8ZAUKTVqTbU+v343qqSVxi0HTXDZUTy3BwW9Po17gkeQQcOuN14BjWQOCl8MuYM6yrXF9BX8gApuFw5jBbkQiMvzBKOxWntpObfdiWrKV+gGLJhdh+YY9cDmtmHRrNo7XeuMWG836SyvSilVkdUW+BEFA+14IP7v2fWj1NyXJClFkMX9iX5xuCuBv7xyk4+rydAdmjs1DMBxFRJJRX+89vy7jOPu2/T012R5X/3+O+tueHMaPGbc8X/kp7uen+k4/xnP82O/nfN9NezsBnwXwWE1NzSq32028nw8ALD+vq+nlOIDL3G43F+v04wB0jv3OnGXbDyok6Lv0nhI4bCLWvr2fGrHt+2vxh+FZtCqNBCW6pDugwGjkzRKDdR4VtooEnggMXtvJIR7hL9Omo6ktYXHNMQ/CESnuoi9eMCI12aZzTrVB5CVrdmLhpCIUZHai1U3aCk4GwEdfHEfFiGx0SDRvE5eVVmdLOyFJkoJnN7RC5f3j028xvH83/GH4tfAHwwiEWF0F4eyyfOzYfxJDS642GH8zyMVfg4Qj5vCepYN7mn4LgWdNSbjBALJkHmiQFQXJTn3FaLJTBMcw8LaEDZ2D8RIskqSYwodyHEO7W7X3Wn13Ce0OJOdYsmYHqu8uMdXxJXeXQIxB0pCkpCiy4BjGQNw9uywfosAhGpXxH3/Td8L8x992YcndJT/cRzKRtpA9xFGJKuZj/2KH7JAkGSkpDtMgG8sBnibzBXtEkvHXTftQPjQLpYN7UL0elN8F/XIv11WTVpUX4KtvGpB5dWrcqv5kpwV1Z1qwdI2+cj4eFFhqsg2jbrgakYisu+8FGsgisyBd9RrVSS4d3EMHI5PuUjvtvG1IyBdU9tVdv+aYBwtWbceKqoF4/o19GJh/BUbecA28LRFs+vgIRg/orlssmt17a9GGvoOW/F7n0UMppiRZ4Q9GIfAsvq8378hyOS2IRCW4u7p08H5gAI//4oO5jWd/bxvUA7yinBVSmAjHsYjKrd/A3dWF3/bWd2ZNH5MLi8DpYJDj+QksC8xZts20Y3T6mFysfXs/7rqlF158+wDsFvPEO8exqPMEDD4GoHJiahN2bX0GQP3mndMcCIZbx0VBZifT4pCFlUWqfjQHTfX08nQH7VzcsvM7RGU5BgWuICrJWPPWfgMUeN0ZtaNSGzB69MXP6X4EiurFtw9Qf6QlqML7RMK/Fu9BlbiQtooRtvZcIsc5lywDnPaaMX9N4ViahCVBik0fH0HlyBxETKATZVmBwLEQeBZjbuqJ9e8exLB+3XQd2rPG5SPZKdLEsburCzPH5pnqORSAZWFALlg0ucjgYzzx0i5DYIMUSTX5g6hathXTbrvOcJ1gOGp67agkIxCIYObYPLquKMzMwPhhWQBUyOknX/oCHm9QN59oz3GywQ+X04oUp4hIWEKCwJ3T3lySC5N4PpvZ+40CNAEItOrKlNE5SHSImDgym/omZjZW1Q91TvC2RCDw5mvFYDgat/iy2R9CSpLNdFvbxOKYm9xo8oVMdZ4E7rRBvHjXTHfZ8ciUIqx96wDt8vK1hCEKHO4tzUNqsurLSoqCipFZ8LWEVd+2zXnMILMvyfmJFmLczBeYO74AIQ10cZLDgne3f4vf9u6iWx8+NKEQUUmGIsMUpeT+O/IgCryh2y8UiSIpwWKqJ4SmhHR8zCkvwKaPjxjGS/VUtXA0XqJ7yugcJDks8MZ4deLppVlhdTwocI83hMf/vgsLJxXhxj5dYjD2DGob/IZEjyzrx752raaNSUiSYrAHWqqDi0HOBo0fbyxLkgwOLDokWfDIlGJIkhK3OL7ZH0JBZic6r2thax+ebJ7sU2RzdKBvTzbHtakWgUNUUrB0zefIuSYVQ0uuph1V2mIyjzcIRQFGD+hhSA4R3R1zkxtlQzLBoPWZzBLabQs350/sa/o8xG6nu2wQOBbBkIRotPW9x9N/q6iOzyVTS8BI8q8uTvZ/FgVoCUbixsfOxFm7RCUZDhtvWgTz2Iu74PEG8dCEQgTDEhKsKkyxoTNu9Q5KgxDPV3DaBbzyXo0utjevotC0e3HWuHy6Pvd41bgKKQiOl2S0ijz9P2lmWfX6XswZXwCWY3TxB5IkJ8doz6PV3xMNavKNdChqO6wJtDOZS1KcIk57w+2KH2jnPe2+Zud48A+FSLLyl3zkS3JJgHZzw+YAeD72fwUAampqfAAuuF+3pqamDsCXULsJEft3d01NTf3Ztl3o9cyE41h8d6oZVcs+way/fIIFqz7FsH7d4O7qovtoAxwEYqoxtnCaPiaXBnC1FXZaSXepUBtPr9+N8qFZeOuTb1BVXqA7bkZpLl778DBmlOrPN2tcPpp8Qd05N7xv3K9zqiOu80AmkLb3dOq0XzfpPL1+N0YP6E7/9raEIfAM7h2bi0WTi7Bj/0nquDz/xj70uioVi1fvwBMvfWF63wTugTg/q17fi6plW/HQc9tw1y294HJa8fT63RiYfwWeWrcbKUk2JFhEQwXhkjU7MaTkanAcA45j6XdTOBZRhoHCsfT3X4uIAkvhPScvfR8LVn2KG/t0oXAW2m8xuywfiqyYwiAqCsBzjKl+CByD0sE96Xdb9fpelA7uCUk27xyEAtPzcDEC7imjc1Ad45pw2AUwjFoZN6e8ANVTi9XJ3WmFJBmDgHWeQNwKvqisYP6Kz7Bg1XZULduKBau2Y/6KzxCKyFj/bg0qRmSjemoxKkZkY/27NYhGZUTjXCP6EyTcJElWHXJFASPJkGLO+Zw2NmFOecGvIiDCsgwNsq2oGojqqcVw2QWEIzJdsGuFOJID86/A6jf3oWNKAv2Wo37b3ZBwqF69A9dndcbi1TuwbvMhg82eU16A+jMBU50myZK21z912o8hJVcbgiOr39yHWePyqYMez7HuFOOMcHd1YV5FIR6eVASeY/HyZn1n1anTfvOxKahJfe3YHNavG9KSrXQfKRZMbHts63zA6Ow2CVoDrfMcgTxKSbJi4arPTN/frHH5eGfbUTT6wqgYmaW7FhRzmFs928ovT+LZX1FQ5yGzMa4VtTJR5WdaUFmEwswM04DX0+t3IzFBX1j02oeHMbtM5QWbU16ApfeUYNHkImzfp/I2EU7f+RP7Yuk9JagYkY0X3z6A7ftr6RwfkSTDdyQwSukuG4Xk0m6fMDzLtLOU+AxkP55l0eRrTd7HGweKouBkg0+nd9rz1J7x6+YdgWcQikho8qu+17B+3Qz3Txaii1fvwKy/fAJZUXQdUjyv6rwKw6rqtyhwF01A7nyEizPvtw3Ot0fYGLdJ23PFg5viAYw18S1WbNwDOeZHtPUb5yzfikBQwpI1OzAw/wrDWFm6difKh2bRY8cN6YUX3tyHB8b1wbyKQlRPLca8ikLMKuuDllAEdotg0GcSHNGKNhii/e10UwAsVIjyv71zkCYcybNnpNgNc/rssny8+sFhLF3zOWRFUYPhcwdhzE1u/Om5bZi8ZAuWb9hD/ePVb+4znGP6mFys23wIi1fvQCRmVs5lby7J/03a+37jBcStIo9wWNbpl5mNrSpXeVfnlBdgy87v4EwQDWur2WX5yOhgj2s3m3xhyHL8uZ/8HwCWrNkZt0hUmzBM76D6SaTgs+15TzT4EI5IuOuWXijMzMCM0lykuez44PPjkBUFDz67FRMeeRcPLt+KUFjCus2HUL1mJ+78XU/deS7E9lwSvUTRmrQjvsCU0TlY9sAAzJ/YF4kOEQ+v2k7XS0+t241/u/4qgz1taAyg7kwLmuJ0zrmcVtNuvw6JrQXNWiH6V+dp7fjweIOUD1h7bgUKZpflxy2qtoo8lqxptffxYhxm97Fl53fURyf7zS7LR4ckq4qOFFVTJH9e9wW+r/OZrgs6JNoM41bkjLp7Lu7oi0FIUbtWzjWWScD+35/6GKdO+/GnFdsoH1/b8xCeMu3fBAo8XsyBYRjDfEz8w3WbD5nGq6xWDoFQBA9PKsKtN3Y3rFueXr8bpYN7YE55ATJSbHE7ShuaAmAYBrtrasEwre8mnn+vjbnFi8UQ/Sb0Kk+t261bG8fTfxLniKqBnl9tvOxChQcofKdW0l0qktXZ4hPBiIyPvjiOxVOLseyBAZgyOocWyagdoWE89uLneGrdl3ET4Gdbj80pL6CFwFq9Wrf5EMYPzTL1j0cP6E7HENC6NounP1qeaaKfU0bnwCJy8PrCOv+dzP0dEtWYHomha/WX+K7a5OKTL3+B0sE9UFVWgHWbD9HfF6/egbBkXoBiFj/QznvnOseiv27/xccgLskl+aGkvXHmYwB+AzUxBwBwu929ARxpz8Fut/tpALcC6AjgPbfbfbqmpiYTwGQAa9xu958AeACM0xx2tm0/iEQBA5TBpo+PYPqYXEiKDFscjp9gOGpKVmyx8KgqL9BVx5EKojqP2hH42kff4ESDH9VTS9DQFEA4ojqdA/O7wiJyeGRKMZr9YVhFHrIiw5VowaLJRfB4Q2jyhbFl53ewW3hMu+06WC08HDYViq3tPRLjS5KG2mq2OeUFWL5hj+5dtK3YcNhEPPbi55Rr8PcDe+D3A3rgv98/hO37azF+aCbmlBfoCGQDwQhEgQfHMWgJRijOtZnz07a6NHqWarCopLbdzykvQLJThKed1SEXq8gS4sJ7ksQX+X39uzWYMDw77mKE5xjcf0dvPP731k69++/oDYZhTOHC2sIIkm2yoph23gkCi6plnxl089Fp/TB+WKahQ5DnWFNdjtdBG4/7T5JkitlOpNEbRlSSwbKMKQ8my+K8WYjPB64gnpxPtfnFKKR61hJ7l6FYd7YZdxexXeVDr8X2/bUYWnI11QtLDK5Cy91Uc8xD4YXqPMYuN15gIQqcqQ4JAqvr2CALRpYBZNloq7bvr0XpTW5K6G2mr8FwFCxrxf135EHgeR0n5vQxuXDaRco/JSuKYT6pKi+AIsPUps6r6EvfkQIZD4zrQ/kvyL2/8l4Npo/JhT+gwufNKM1FSpINiqIYOFdIwccfhmWZvj9XohVPvqRCem3dcxKLpxTT55xTXgDZpKuH2p0fXo1+Molrf0fmnPNYs4rFWePiB7wIeTzZdrzWB5Zl8IdhWTjR4KN8utPH5NJKz5pjHjT5QqhattVwvis7OSHLwMsfHzR0Yg3v342OtxffVoOHHVMS0NAYiBvQ6trRiYcn9VWhGh1qEjockeg9x6ti5XkW6zYfwsRRWabQqoTjjMw7i6cWg2HUgpK2vleSw2LaGQgAS6eVQJIUyLICBgzSXDZd17jLaQFzEXdbn03MIDkvKCPKKHHOpb7XtnMkADrfyQrAMgzAqEmxUCSKWWV9YBMFyvUDqHrQGEsux0ssRySJwul+X++D0y5CkaHrXv3jnX3QIVFExKSoKF6XSDCsDxmQQMqq1/diyugcLFi1Havf/Ip2oGZ0sKPRF4LDLqB6agmikoyopML7Eoh9UeAwd/k2PDtrIPWZCGKGwLP0fbIcg8VTi1EfG0svvn2A6nlU+mXb0YtN4vmoRH8iLa0dKoTKYcroHHTskAAwKhz+maYg3vjnEQzMvwI8xyIlzapyWYHB9/VePPfqXtx/Z2+IAmfoNCCcv0NLro5rUwszM1A+NAuyrI63qCSb3nNUkuHu6kLZkGthE3kIPIP/2hIflrl86LV48uUvYnYakCTg9wN7mMKpk7VfalJrUHNurOjtUpfKueVssGehNvM0QY8gdB48ZzVs9waMsMkkUNvWJrq7ulA6uAcA87W6ogDv7fjWwPdO9IQU+Gg7Pp68tz/qG4PYsf8k5bZKShBpV6xZXIPEU6aMzkHXDKdBL7WF1dpxcNsgN1yJFsyf2BcKFFgEDk2+MI5+34wtO7/D2ME98flXp1QfPlnl0iLrCPKMwbCk853WbT6IypHZhqnzbGvWiwXhhRSwGug4oI5lM11VOBWRYebYPLgSrXA5rTjdFDDED4g9G5h/Bf37wNHTqByVjaVrd8ZFvSDFixUjsnFlJye+PenVzZskXuVpVhGubFYOTd4wRdxYek+JqW53SkkAy7GIRBU4bKLptyV+weKpJTjdFKBrsHg+i67LT2BNed+THCKm3XYdGADhqLqm2rH/JBZUFqHZH0JUkvHvd/am6EZE/y0ih8LMjBj8boDGD8cO7vmripddqEiSDFHkDFx4xE82i0/MLsuHApXSoyCzEyJRFSZYi/wzekB3un5MO0tsq9kfpmPrxbcPxLrqrVAA8CyDRm/YVK/i0cskaWDJgdbksRkfvXYNRmy+rCiIRBUIHId5q/W++dPrd2NhZRGeiKFYkLE75iY3GIAWo2pR5cixXTs60eQLo3zotfC2RPDdySYU5VwGSZYxr6Kvzm+OFz+IX3BxccYgLskl+aGkveNgPoC33G73XwAIbrd7JoBpAKa35+CamprpZvvW1NQcBFAY55i4234oaWs4CMH02rf3Y1i/bli0frupo5HmstNJl5AVzyjNRSgcRWKCgPkT+8LbEkaTL0ydj3SXDZ7mEAA1WDx+mIxZf/nEcE//+cffgmGABas+pYS/eqegAG9t/Qbv7VQx/EmiLp4R93iDsFt4PDy5CI2xRGJIwyFCpG3F0eo395mSz88alw+nXcCxWh9Wvb6XEuGyDAMFjA5eaebYPHTSdOoQIc6P9pp8rJLObDIkXWCLV+/AvIq+phUfFxPExrlEYRSMvOEaXXJi5tg8KFB0vFxEKkfmmC9GGAZcjJtPGxjleQaRqPnkebaFDc+zBo6/SFSKOwmTBCD57YmXduHxGf1NEx8W0eggzyjNBR/nfiwCZ84hKHBgWZjyVIkCi+h5OMbxIAguxMH+tULbEmn7LgszM1A6uCfWbT6ow6EXeJY6kukuG/72zkHqcPpa9OTW5HdtIoV0CqW7bFg0pRiNzSE0+UKmOvR9nRfOBBH3lubBlWgBwGB1jOR9XkWh6TH1jUGsen0v7r8jz5BAnFGaiySHSCv4Vr2u53/Y9PER3DbIrVtw/KmiEI9MKYYCgGMYWCwsPM3mXFc8x6BiRDZe3nwQw/t3g90qqGOEY+FyWhCVZQzMv4LCISY7RSgKdHwvs8blo/QmN+obg3TuKhuSafr+CDcL4ZIBw+D5BwcBCigX4MUYBIlrfxnlnHOQWcXi0rU7aQLXkCzjGGoPiT+w+AVjcE0bXAXiwxGyDANOAP7fwB406EFs7LrNB9HoDdPkX7M/jJZQBM+88qUp5GG6y4Zjp7yUzJ0sAGeV9aG6b1aENGtcPhgG8HiDWPnaPowb0gsLJxVBkRUIAovHXtxl4Nyp96hVo1XlBZhXUYgFq7ZTPXxoQiFGD+iOoyeaadFSp5QEsCyDQCiK+Ss+o9eeW16AqzonIhyRf3XFFjpREBeS84c7V/ZZ50iLwKK+KaSb6xdNKUIkqpjy+TX5wijMzEBigpHrqjAzA7KkYNFqPbzng8/qkxCP/e1zLLm7xBQWbMvO7wwBzfvv6E27JrX3H5FkuJxWXJbmwLyKQqzbfAirXt+LB8b1wanTLbriJuKL1xzz0IBKUoKI//zjALCxwjczuLAZpbnw+sOwizy1NUTSXbFOyzaOwg9RlHRJLkx4AHPLCwycgHarCjuc7NBDhHm8QQg8hz+v2417x+aiwROgCWstt+7Dk4rwz93HkX9tRxU267Qfz7zyJXKuSaWB4CZfmAbdHHYRTb4QFlYWgWWBhsYgFCiYfGs2ZAUUxi7dZcMD4/oYigBnlObCbuFReWsWlq75XDfXRGWZrhVIUpr4Y3WeAE43BeFyWvDgs9viwoyRtZ8gsFg+awA8zSEIwqXulPbIuWDP4kHee1siSHIItDtJu93XYix+IIlrbaBbG49YOMkcqphlGRRfdxksIouHJxVBgQpxTPSkbYHPnvrNIAAAIABJREFU4tU7UDEiG1t2fmdYk2n9CLNkostpga8ljEA4in/uVuHZfYGwrrCaZYGFlUVUR1e8thflQ6/F6je/QuWobCxY+Znu3C9vPqjjAdNek8RxrKIKck04lgFgwvAsQzDtXAmyi0HOVsBqpqvzJ16PcItsoBP56pvTGFjYVVfwvunjIxg7uCfAAPMq+uK9Hd9i8PVX0W9T59HypDvxfb0+2bfq9b1YWFmk4zEFVL/z2Ckv9R+r7y7B/BWt3ILxioFYlsGDy7fS9Wm8RLfqr7ZQf3XJPSUUmcVsXBKfWJYUA6/xc6/uxeTROXjmlS8xf2JfQFGw9J5iyLLejlffXayzyyT2t2hyEd765Bu89tE3Oh03S1pfEqNIkoJX3qsx+LaTRuZg7OCeeFkTn0h2WhCNSmj0hXXUInPKC1CYmUHn9LRkqw6uU9UlfQJ81rh8rHhtLx64qzcenlSElmAEsgLqz5JxIyt6Som7bulFEYTa6prTLuLVDw5jWL9uOHqiWbc2IwVJnVIdaAlGwEAdJwRVQ7t+ezgO56aWK5VwI7aEInj4eT2fNrH/gOq3N/nCumevKi/A2rf302Jkgn5w7dUpscIhBuBYcFCobxs/Lhm/qeCXHIO4JJfkhxJGUdo3ENxu9/UAKgFcAZWbb0VNTc22H/He/q9yJYCjZyXV5DjM1hALExiWcbdkwhdQk3gb3j8MACgd3AOXpTlRe8YPq4VHh0QLoDCQZBkKgIbGAD7/6hSG9r8aoZAEjzdkqFAiDkphZgYqRmTjTHOQXoM4mIunFmPlxr0YmH8FLk93oPaMH+s2H9IRqE8ZnYN1mw/hvtvzcCZWzbRj/0naQZKabFMrkW0CTp32QxQ4HayFmWEnHS6NvhC6dnTiyL+a0bWjU1fJSa6/eGoxPvj8OP7+PzUozMzA2ME90RKM6kiNtftqyXO1zyDwHHX0nAki3vz4CPrlXk4nhMLMDEwYngV/MIp6j8r3M3NsLiYvfd/wKVdUDQTfTl0+m6SlOX8uvtGViKe/PIfZ//mJ4Z1W312CKpPf/2NGfzQ0BnUO6+yyAqQmWxGJyqbHVN9dghWv7TF0y00Z/RtDkOu+23ujY4odyzf8r2H/ylE5ePPjIxhUcCXlz3pvx7f4XdHVqKx+z/DQq+behJUbjdetHJWDR9d+riM73vD+Ydx/Z2/Ue1oMyb5OKQmm72jJ3SWAAt24p9umlqglzCZiFlyLAqgy0e2zkaJfqLSXTPYXob8aIc+lcKzhXRZmZqByZA4kWYYosGjyhvHSZpUPatPHR+i/w/t3Q0YHu6mdWTS5CDu/OoXMbqm65ElVWQHCUQmP/30XLXYgVadJDhGJCRZseP8Q9nzdgEWTi9DQGNTZNzMbqq1+cyaIqqOpQOVWgTpH2C08Zv75n6ieWqzr1HJ3dWH6mFzdvEPmhHtL8zBn+Va6oHAmiKZjVpsEIja2c6qDQo3eXHQlvLEuc0UB0lw2zF1ufGdtzzPttuvAMIwhQP3B58cNXDLaJPiFJMl/Efobx/4uubsEiJ49vBNlGFRWbzH8/viM/mBZRpcQmVteAFeSBZGoAklS7U6Vid0i34vwpRRmZmDiyGz42iTVJwzPgqyoEOcWkYUkKbHgoAhfIAybKFB/gvg9d/6uJzI62NV7l2TMbxM00wbHyH2QhZslhgpgEVm0BKJgWQanTqv+TLJTxNjBPQ2dLCzDGPyIwswMjLslE83+EILhKK7snIjDx5pi41TEJ19+j745neAPRAzdtffd3huSLINlmNa5ZGT2edvni83+siIPbyAMjmFp0Y6kyHDaRMiazrf2JJXONs7jzZGPTiuBJAENTQGdvZtXUYjlG/ZQ3ijtcaNuuBr987pgXWwO0NqdhZVFOl4VoJU/qK2sqBoInmdx0oTvKckhguc42iWgHQdpLjtONviwbvMhGtDO6GDH8Vov0jvYIXAcAEWXeCTPS3x2gn5AktWXpTnwfb0PPMfimVe+NBx3b2keOqbaTe/18jQHpDbf6v9alPRL0V+ttHds/pBCxoVKcslAllWECZFjEJEASVHAMAwYKHj0xV0A1A4A95XJ8LdEwTKtXLJ7vm6g3Z5tu7cBYMWcQSDoesdrvejaMRFNvhDWv1uD4f27ITXJBoYBWkJRRCUZK1/bR9eLg/K74LZBPeDxhijnvbZIUPWRivF9vZcGkAkPPOly1e5LOkyIHxEMR+FMELHytX0qzPLUYsiygiZ/GAlWIe76VRQ4XJbmwK4Dp/DMf+3R+d//l0T2xa6/Zn6yuuYoQdWyT0wLd2eOzQPLAilJNqzcuNdQwPTAuD6IRhXdmu7BCYWwihwkSYGnOQQFClKTbHgoFg94ZEoRABhsUue0BJysb6FzOOluTnKISEm04rG/6Qt8AFDu37b2Xi3CyEM4IiMt2Y6Tp1tt7/139EZqshVH/tVM+X8/23cCV3RK0vnxLqcF72w7itc++oaed15FIexWHi3BqEHnSUBfO28Q32bV63sxuywfuw7U4pouyeiU6gAU4H8+O4qhJVeb+hTnq8s/I/0FzkOHzcRMV8n83vY7L5xUhD89t43OiwSB4qMv/oUb+3TBG/88gvKhWWj2h/DXN/Zj3JBeSEmyQZYVnG4KICXZikBQ0hVQPjCuD5IdFjQ0Bk2LcjzeIOaUF8Aqcnjy5d00thCVZNisvA5FZU55AV7efFBnOwszMzDp1hxEIjKk2H387Z2DVI+ILzx/Yl/wPGuIU5AuvyP/UrtQtZyx2ndzb2ke7FYeYIC6MwE4bIJhLXpvaS6mPGqMiz0+oz9ONwV16znSIdneeNn56PDPUX8BXLB/cDafCgAkMIhIMk40qD5cVJJN9VtbkLbsgQE6lAsAdM0WicpgoBbmH6/10oLFtvEHct57S/MgKwo2fXyExq3DEclUf1WEjBbs2H8SN/bpgpQkGwSeARQGZ7xBBENRepxaPMwgJcmG001BUx/9bHEDQLXrGz/6GhOGZyEYlmAROfgCYV1hERn354pBLJ1WghP1eh94/sTrYRV4RGUZPMsiGInq1qcXwgn4c9Tf9sbQfi7yU9xPWpoTw+5/vd37b3p8xHntT475MZ7jx34/56vD7eoEdLvdSTU1NZ8B+OyC7upnKBzHwh+J6qvUO9gwrF83XTcbCXQtWLUdK6oGgWUZPKapoNc6FH+qKISnKYT/2nIIw/t3w8OTisCwDBRZwV837aMJwDE3uWnwVRs8Ht6/GyJR2RDg0Abb6jxq+/Tk0TnUIdfu4/EGsaCyCKs27gOgLjwvS9NXQhCuAC3M0IrX9lLn/NnZA7Hq9b1xKzlPNwWR1zMDnx+ow8D8K/Dy5oMYH4OMa7tvKCIZuhSrygqQYBfAsWoF3fNv7MPIG67BV0c9uD67Mx6eXESD/m0rX9hLlR2IxuHHk2VzSE4ASHIIakdRLDjBsoCsKDrOS+25uDjdcgDwwqb9usqoFzbtx7/f2RtjbuppSDRaRRb987roxlRVeQFEwfw7yrJ5N+OEEQrlctLuzzIwVM+tfesA7r8jDy6n1QAPSTr9zJ45KsumBpHjWDS2RHQV3nPLC+BIMIf4uAQ1cP5iBuewfX8tJgxXuXgkSaHvv9EbxugB3dEhyUITCfFslT8YxcrX92PV3EFYNLkIkqxA4FmwLINotBUm9MDR06ZJieO1PviDKmxgPBva5FMDYhaRxfihWXjhTT2kJklYkg68dJdNB8NFKvjM5p2aYx4wTOvzLF69A49OK8Hc8QV4xKQjTPvsKqS0AklRcLzWh2hU0VXgLoxT0ZfkEAG0wnr6gxEk2FT74QtEkGDlEZVkFP+mswGWVNuVfbHC3Mazv+2B54tXsZjssEDkQN8Vz7KIKjKO17by0sSDKSKdFSlJVqyaOwhNvjDmLNsKl9NK4bK8LRHdXDq7LB8sC9z/1D/x2PR+YFlAUUCDg2adSX+8sw+W3FOCaFQ2QCyR+yD/T7AKOv9kTnkBjvzLA2eCFeVDr0Way4aopOjsM9FfLbQX8Ze0Y2NOeQE6pdnR2BwCyzLon3c5Gr1BJDmseGHTPt05X/vwMMqGZOKpdbspTM2lEmiAZYFoVMHDq/XzMssyIKOzvUmls41zmTHCdbucVjS2WZgT+0U4yswgim4pvpr6zY3eMA3YOmwivIGwYb7XwtISSXepFf2RqGzqN8y8PQ8nG3yGIEdUkg3BiqfW7ca0267D8g17aNDEDCa6zhNARocE3H9HHh58dptpoH5BZV/T49JcNkABUpPVYGIoHEWjLwSX06JmkTQSjxfl14SS8VMIGRcvmySjyfhIS0nAyQYfvj3pRbJTpAVL6S6bTu9nlObi5qIroShn695Wu1PsVp4Wf5r5K1XlBaj59gy1ye6uLgwquEJn96ePyUWjN6yz24CiS/YRMePCtFt4hCL6Tp6ZY/OQ7BQxbkgvPPbiLpogX7Vxn677y+MNYnZZASLRKDiOxfIN/4vSwT0xKL8L3tt5HFFZgeUHRNe4GOVcsGd1nlbIdleiBR2cVoQiUax56yuMH5aFkTdcg6gkU1QUu0WARWTBcyyq71bndkFg0ewL48Hl+vmb51tt+dq3DmDiqCwdgozVwuFMcxAdklohRwlyBKDGFeIhEMWDS0y0W2CxcAiG1GKH8qHXIhiOwmEXwLEskhwimnxhvPrBYZQO7om0ZKthXMwuy8eJBj/1yS9Ld6AlEMXjfzd2GHZJd0ABKNUJjblkOCivfNtOwTnlBeC5Vj5o3feSZHUby6hcgCwDnmMvCl0+V3LITFfjcZASHuk6T4BCxVaMyKYwgBOGZ0GJFVRW3pqFYEjSIZjMLsuHNUYH0SXDocb3AhE0+cJ4YdN+TLvtOqQkEcQpBvfdnocTDT4k2AWwDAwFnffd3htLp5UgGJLQ7A/DmSAYYhKNXrVgs7qNPbeIHFa+to8+mz8YQVKCaNrld98dediy8zsM69cNb33yjaFzdHZZPhx2tZN8+X/vjcX3Wn0F2v11xrz763RTkPrl5H6SHGK742U/JNrRL1Has4a1ciy6ZjhjieCgqX4DDBZU9oUvEAHLmFOJkOIM7Xw5d3wBOiRZdTZVe95kpwWKImPs4J4GFLYZpWpRpStRXRfdNsiN9A42/L9BPdASiOjGz4zSXPztnYMAVOjOjil2nGxooUlprY1ct/mQQU/bdvgRu759fy2OnmjGwklF+Me2oxh+gxoXJ0lzM8QP7VqS/C1Jiq6RxeW0xhpt9Cgvj04r0aG8RMKS4fulJttx+rTvQlXiklySi0rai8Fx0u12v+p2u0e73W7Lj3pHP5FEAcxf+RmdmKunFsNhE+KS95JEkxlR9Mzb8zCvoi+afRE8+uLn2L6/FnOXb8OkJVvw4PKtaPQFMXFkDlbOGYTKUTmIRGVUjMiGu6uLXmP80Cx88PlxCDx7VgLhdJcNwZBs4GsjxMXTx+Ri9Zv7MPP2PIwe0D3GdWYkHPZ4gwiFZZXHYfUOXaXmyQYf6jzxCWObfGFKNJvkEDEw/4q4ZPQsw9BFydJ7SjCvoi+efXUPKhe/h1BYxoPPbsP2/bWQFQXjhvTC43/fhUnVW/DtiWZDQEMbyCPXok74D6UYvwDh2HiE2MDbW4+q73jWAMyr6Iu3tx6FLCuo9wQxd/lWVFZvwdzlW1HvCQIKTHUj3aWSqpMEIKC+/yVrdkKSW5NxVcu2YvHqHfB4g2BZBh/HIFnItT/efdxUV6tX74AsKwaCbhJIM7sfnmXi7M+a3o8YgwPVkhePG9ILosCeN5m5BIYmoMgzPLJ6B6CcPyn6JTGXc30T7YKSBBb+VeunNiKeraqPOYgA4IstCldu3IuvjzdRMnp3VxdKrrs8Lhl8vSeA43U+UxsajsgIRyR8X++DxxvCn1Zso4tFcg5C3j16QHcKwSHG+B/SXbazksYTZ5pIzjWpCEdkOOwq7PRj0/thXkVfbPr4iIETLRiOwtMcQu1pP0oH96DVsO6uLlSMUOFgzN6ZwyaieqoKL+OwC3hq3W7c+8RHmLt8KxRFwfNv7MOrH3yNzmmOuElwIpIkg5HURC4jyRfFwjGe/W3PuCcQUcb5S0EkLNF3pUDB93U+nb8Rj4g+GI7ivtt7Q1bURB6phiZ8QMdqvQbdXrJmJxw2NdkbjcpY8eo++ENhem9mOvnY3z6Hoqh8mKte32vQN6KnhZkZkGQFM8fmqQEDpxWLV+9A9jVp2PD+YTz58hc42eAHzzHUPhMfxOMNoiUUwZTROVhRNRBlQzIN89Di1Ttg4Tk888qXmFS9BQ89uw1RSYEkSRjWr5vO5g/r1w3hqITyodfC5bTi6fW7AeWSfY5EFdN5ORJtHZ/xkkpRk/PFG+ekaEsrpYN7mNra0QO600QI4UzT+o1RSTbMAbP+8gma/SHIshocFHj12wo8g/QONqrP7q4uFUa2si8YMGAZxtRvqPe0QBQ4VJXpx2jHNrD2xIYS3qg3/nkESQ5r3Hmo9oyfFg+YjS0C4dT2OEVRMPs/P8Gk6i2Yv/JTMAyDqzonIkHgjAHnuAmCX0dx3E8lZFyQeT3e+OBYBlt2focJw7OoH2C2pgmHZciKgrSYT6vVu9llBeBjHOssw6B0cA/8ddM+FGZ1MpyrevUOFGZ1osdr53yyj3YtSa4RiZqv3cy4MC0ibzjnky9/gbIhmRTyljzX6AHd6f//eFdvVE8tAcsCTb4IVr6mFkpVr96BUb/tHkvOn5/N+TVKPD+Z8OcBrbbxsRc/RzAsYc1bX2FYv27403PbULVsK5555UsoCrBq4z6sfXs/whEZoYiEhsYW1HlaEI7Ipt8gEtFfgyQ6kh0WdEp14J2t36IlEIXAGW2+GjcIGnyf6WNyseH9w3HtpiCwUGQ1VrNg1XZULduKBau2Y8HKz1B7xo8mXxhJDhHlQ7Pwzy+OIxQ23vuSNTtRNiQTK6oGYsroHNSebonr658648fUR9/Hqtf34q5besHd1YV0lw3Han1YvHoHtu+vRbM/ZHg335xogqclAo7Th9RIEqVqmbr2rlq21XS/X5q057nMdJXM71ohMSWt1HkCSEu2Yk55AUbf2B3f1/vQ0BQAAwbNvrAhDrdkzU4ALBav3oFmfxjfnmjGus0HYbeqHNIPPfcppj76Ph7/+xf4vt4LhgG6ZDgRDEfBwBjXe+KlXZAkYPWb+2EROBw75TX1Y9r6UE+t241AMKqLp3lixWpm/oYkKRg/NAsvvn1A7VZlQOORJOkMMLCJAu4dm4tpt12HZn+I3gvxJdZtPmSIi00fk4stO7/TrR/TXSqMbnvjZZfs8bnXsGQ7xzJx9VtWFJw67QcT42c024dAamvnzkde2IFgSMLJBmP8Id1lw7/qfAiZ2OsnX/4C/kAUT778BY6d8mL7/lp1XXgmgIee3YaWUBQup5Xu/9Q61f7VHPNg1et7AYWJG5PweIMIRaJUT6fddh2sFo4WeGjtOjm2yRfCv11/Ff7+zkHUNwYwf+WneOi5TykUtdm70P7d1rcdPaC7Ycw+snoHJEkxfKe234+9FJ+7JJeESnvngmsAlAKoAvC82+1+DcBLAN6rqan5Ra4wiVGp86hVx6MHdEdKnGqLJIeIOeUFuiCEdru3JYwkhwUdkqyYOTaPdh3VHPPA5bQivUMCIlEJvpaIgfiYVNN7A2GM+m13NPniV0aku9QuOoE3VlfXedRq46fW7UbNMQ9G3nCNGgwrL8D/Hqoz8PI8MK4PAqEIFk4q0kEczSkvwPINewAAG94/jAfG9dFBv6Qm2/D6R0foe0lyqDnhUDhqqNyePiYXPK86hVt2foeKEdlQFAX33ZGHhsYARIGlzyHLig4OKV7FGMsy2PTxESyaXKQGc1hcFN0l5yMcF4cfj2Ox5+sGWj0HtE6gZvx71XeXAFAM39iZIMbtEJRlBfMqCsFoYMQURX33xdddhjqPn56n+LrLICnxA1NWC2eoJOV51kBy/e939obAs0hNtuo4BzkO4DjG9F3IsmKasF8ytcSUu2UuSSSbVDeG4pALy4py0XM+/BTCcSwkMHh4UhFOtLFFlGA+tqB0Oa0UtqVDjFS+zqMnSicE6KT6fk55AbbvO4nCrE5YuXEvrd4nfK/hiKRb2BOp8wTQMSUBf355N5KdoilX5bvbv0Wvq1KR3sEGh01AVXkBhS4mATFS5ey0qwvSlGQreJaF1x/GvIq+4Dhze57kEFFVVgAZMh6e1BdWC4+kBJVTUNtp+D+ffovSm9y4ue+VdCwlxrr5LAIHm4WnAWhth5cZ3+3ssgI0+oI0oLf0nhJdh02TL4Th/bth7vJtKMjsZFp9erF3ZcezvxzHQD7HwG9PZSnHsZA5Bl0ynJg5No92bHdItFDuPi3cVTgqIRiWVLtoYreTHRZDR3TNMQ+FGPG2RFSIrT9/jEH5XSikm5lORiUZFgtr4EMh8LBL7ymGReAp3I3Wz/F4Qygd3AOiwOGDz49jzGC3wWeYNU6FSPrm+2a88t4h/H5gD9P78HhDBtu+eGoxItEAtQlk4Tqvoi8Wv7wD0267Dg899ylkWQF3gd/+YhEpxmnXVi8kTTfr2ZJK7S66YhTDN26bUCPnTXKIcNgF2uVMAhLk+Jm355nam2A4iis6JaLuTEDXoXTf7b0hCAzuvyMPFoHXzdN/qrje4GdMH5OLD3cdV2H1E0RUTy1BVJLBcQya/a08Qe6uLkwclQWvPwyPNwSBZ/D/BvUAyzIxyHo9j9X9d/RGegcb5QUy63oxq66eU16A59/YZwjALbm7BAoYSAwDjmUhcmrF89n4mi9me/xTCxkX8bqXJBmoiyWTK0dlU07zePu7Ei1oaAzgg8+P447f9dTxYq1/9yDKhlyLTqkJkGUFXTKccNrVub3tWpOc+5EpxWrgizXvwr2ioxPVU4upn/Dah0be1plj8yCKrWgdRB8FnjV9Bn8wYuBx7ZrhoB1VTb4wEmwC7n3iI8OxAs9gVlkf8JzaLWU2V11C11DFjGdu+phcvPrBYUMHffnQLDAMMO6WTNolArQGdO8tzYPVwmH+yk8p398zr3wZF1XDGwjrbLnHG0Sy0wpJUeD3hShs44ThWVg4qQiyrNDO5USHCJvIgxdYLJxUBG9LGA6biNVvqghJW3Z+Z/CvZ5Tm4rEXd2Hm2FxTPRZ4nvJqE98BTOucRaBInXYBosBSbrTHpvc7q6+vfUeEtoSgFMRLWFlF3rTr+mLtzm7Pc5npakYHu+G3WePy8cp7NbrzF2ZmQFag43ifOTYPrkQrPM3m3VYtITWRnN7BhpREK64ckQ1ZUmiMa+v/nsCNfboY/HarYB5rkiQZw/t3U/XcYcGscfk6uNHOqeZFkKKgepdkjfjshj2457bf6Lg1CeQpxzJgYkmJdJcNdWcCtHPW3dWFyluzAEVBo691XXvrjd1RfXcxGhqDcNpF6uuSoimnXaDdX6WDe2Ld5oP0/HPKC2ATWETCrQuVs3V0/iA+4EUg8eC/yTsg2y5Ldxj0+77be+NJDV9659QEUxtObEzONam4+rJEPDtrACQZ4HmGJnnbog7Ue/ywW839iq4dnVhQWYQN7x+ivxEf5Kl1eg55l9OKy9OdeHb2QAgcCzlO3I7Ewt/85BtdnNHd1YXFU4sRjsioPePXocQQm5loF/HezuM4XuujKB5m9oCsccmxVeUF8Pr1PJ3xfClZxq9+bXdJLsn5SLvseE1NzQkATwB4wu129wBwO4BnACQC6Pzj3d6PJ6LAYuk9xUhJslHunIoR2aaLaIdNhKzItNpO62SWDu6BDokWeP0Rg1H/cNdx3NinC+rO+JGUYDVUtD+9fjfFmnfaRAQjKkb90ntKDLxQaS6VS+HZV/egdHAP0/v8V52P7k+qSqpX71AJhaGgemoJzniDSEqwwB+M6Bzu2WUFCIQiAKOgdHAPCiWnyDAEVm4uuhLeljCSHRaEohKSHBacbPDhH59+ayDQvbnvlfjjXb3h9Ud0EKgk+E4Ic3lOv7gklYFtnzEpQcSU0TlgpBgprPTrS7hIsgy7hdcl0OwWHizL4L7bexv4+qQ48HWSrEDkWQNM4H239wbHMijMzDBw8/EcA39AweLVeni2ZCeLUFgyEH5zTvPAFMsysAo8mtG6oLIKPBgGsFvbPJuVhwK1E6ZtctAi8KbJxHjOa1RWK7YEgdUdIwgsWI4x4IfPKS+gCfi2z8AwuCjhDn9KkWXFFG4k2Sm2jnGoE9X8iddTrlWykLp3bC58gQiiUdkA0SPwDG7ueyXsVgFprgREIrKuer/OoxLL31uaSzv92n7jhkY12DG99DowANWZJIcF727/Fr17daRwn4tN4Dk93iC1ZWkuG+aMz0dLQMKSNa3jZ0Flkem1OyRasf7dGtzYpwstkCDnJpBemz4+gokjsxGV1IXi6je/UhOo4wuQ7LBg+Yb/ReXIHCBGIK7tQiHPP2V0DuWmeu7VPbTbetPHRxCVZAN03eyyAri7ukwTr2MH97zok+Dx7K8ky+0K7EiSuh8PAJKie1cqTLkEz5lWPSfBuYoR2ThwtAG3DXLrghGzxuXjv947hPFDs8Dzenvr7uqCAn0whXxb0gW+Y/9JLKwsgj8Ygd0qUE5iM51UZGDWM59QqNGOKQlo9ofB8wxuKb4aTb4ghdkC9MG0Jl8YV3ZORFSSUPybzvhXrZf6DGnJVoiC+i5DYRkb3j+MZKcYN7FhFoir96hJoLbw6S0h1RdKSbLF5h5c3AraDiGd8m0DYqLAQg6rNvcHSSopwKaPj+j8Qk9zyPS8qUk2WAQGUkRG9dQSyhdIvmWTL2hIVlSVFcCVaEFEkk0LnRZNLsK3J73YsvPrNtCzX6FyVLbO9n246zgG5nfFxo++xrB+3bDo+e30OnPHF2DexOuxYOVnGDekl6mvIyQx6JLhMPgWPM9AlhTUnmnBjNJcU9hHbXW1K9FCYfoG5l9hgG+MRGUd1G5VeQFSnSL7f4F0AAAgAElEQVRk6VJR0k8hZFxo1yha7rOoJOOV9w5jxG+7QWA5KIpaOKeFACeS7rKh2R8GyzDY83UDxkT1nJLuri4dvzyBR9bCdM0al493th2FtyWs44GdV1FomAvGDeml053ZZfkY2u9qJDtELJpcFHs+FiwHRCIK7r+jd6wAxQpfMBz3GRKsArSS7lK7p1a9vhczSnOR6BBRd6bF9Njv631wOa3gWQaN3rDpXHUpka2KJMlIdorUvhAYbY83iBv7dKFzKcMw1B+OByOemmzDg8+qelQxIpvqWLy1t6c5hA3vH6Z2NC3ZhpZwFBs//Bq/H9ADL7y5D8P6dTPAz/7j028x5iY33t3+HW7I64KWYBR/XvcFLegbecM1akcWo2BhZRFYlsH39V5aiMayLOZVFOr4+0oH96BFSOR5lq7dieqpJbRYUMvzzbIM/q3vVbBbBSQ7LKbPFwhFDYnsy9IceP6NfTSuMrssP9adBd2xJN7SNjlysSZR2vNc8QretOt7b0sE72w7iuH9u+HoiWaqNxOGZ+nsYJ1H7W5aUNk3Lmyy0y5iVlkftASiaPKF4Uq0UA5qjzeo42Uj53xq3W6qMwZfVwHsFkFnexdUFqnFE3YRsqLEvQ9SZCHwDP4w/FrYLDz+9s4BzCjNhc0itKFNyccfhl8Li8Dj5VjygxQaMWAM4+nVDw7j5r5XYt3mQ7RzvM4ToB3A6S4bFk8tRuXIbIgcg8qR2ZgwPEsHkUjkXHCfv9bCIm1iVBRYNHrDeOn/Y+/Mw6Oos/X/qapek3SSTkgCKIGAEiAkECBBkgDKpiIgDg4EHSWOyBJFxpk7Cjh3VERQ5zd3RscZR8UNxws4FzcUHcQFcYMgKHtARECEhEAndJZOL1W/PypV6UpVI17FO2rO8/io6e7q6qpT53u+57znfS3ov28vK8RuF7nzsY/welzMmpSHrMim/A/URlugOcL8p99n/rQCpl+ey7npCYam2aiCLowtzjKs7wvKCrlpcj+C4QgLZxZR19IQXr52D9dP6MuR49a1i0PH/DqI7nBVvV6LACPlppYXRNdnF80qsqz/abrCE4b14HBVvR4vNVakp1/dydQxvUxTgVqdIt3r1sF9c0vzOXkqQILbYcjNN+86xoyJeVw/QUYSRZx2gVONIUPuHysGKCgqqLy9Btdu7XZGJt15553f6AMPPfRQZyAf6A8wZ86cP333p/WdWDLwq6amIG31byVJpDEYoTEQxncqoKN2q082MuOKXHbsr6EhENYD2D/frKRn1xTiXDYuHNiFgb3SsUsiEy/swUP//JSszsk8EDU63RAIs2N/DbMn9eO+ZRVcWpRFXUMzL737ueE8GgJhxpVkcdHALrxZcZAuGYnc8+RGXnr3cyoPnmTGFbk0NAUpn9SPp1/dxbP/quREXYBjNY3M/JnxPOeW5rNibSWSKHDzFPW/T9QFaAiEuXxYd558ZSd556Vxz5Mb6X5OsmHUuyEQZmtlNYV9OiLL8JfnPuHlDZ8ztH9n0+/a9tlx8s7rwND+5+JvCnLvUxUU9s0gOcFJ106JPLzqU17eoJ7/deNy+MdrexjctxN3P/6R6fr0zkph+IBz2bjzGH17dKDy4EkaAirRQPVJ82+8eUo+K96oZEB2OspZCvLx8c67zsqBv7nF9l+bSGNzmOZgRBcjdjgknHYJBRgxKJPxJVkMH9AFySbgtEt8tOOofm1BXTBHF3YF4O4nNpru8aVF3cg6J4kHV27V7+esn+XhiXPoRQTt/Vsqq7loYBddo0z7+479NYwanElOVqrpPibGO3ji5R107ZSE0y7R1BzhxfWf0b9nOr9/5EPWfPAFb1YcZv2WI2zeXcVFg7pw9+PG8/x033FGFGSq/98U0q9FUoITh03iw+1WvzkTGfjPNt9RsauKiwZlGgSbtd928QXd6NM91fS8JSc4kMMygqIgAoKioJyh2PY3tfh4J42NwTN537+9/0ZbSFFM/uTzBxiQnUFIVhAkEZsoqNoaNhv3PLlJ3+D/9Z+f8ty6fRTldjJMEWv3rU9WKkkJTl5c/xl9uqficqrb0+g4fKIuQL/z03iz4iDXju1juMcLygpJSXRx0aAuuuaKtnF12kXi3A4eXvUpV47oycOrPjX5/nXj+1CU15nXPzzAL8f3JRKRcdhs3POk0Y9rahuZ9bM8tlZWG54RFAVvotvy2L+4tDfVJxv5+SiVWm/lur3sPqCuGXsP1fLGxoNcNKgL53fx4nLaqPY1ckHfTsS5bKbfv37LEUr6n8Pv/v6hvmbs2F/Df1w9kKZAxLQGbK2s5oaJfcnqnMR9yyr09ap8Uj9SPQ7C4f99bP4h+G+s+BvvsqN8y42xIoocqannoX+q93zmFXn6/R9b1I3EBBd/ec54Pz7ZW82VI3riTXQSCEUoyu2s+9JNP+/Hn5ebc5P/uHogoiTQ//w0enVL5e4nPuKFd/ZTsesY147tw/ufHmHK6GzD83DbtQU8+/pu9h6q1f2mYtcxBud0oq4+yIMrtzK0/zm8vMGc55SOzuaFd/YxsFcGdfXNpCS6efylnfx8VE9e//AAWeckc/8zFbzwzn427z7Gr6bmU9yvM4+9uN3yuXx5w36OHG/QvyPd66Zvjw68/tFB/fnY8MkR0r1u+mSlUnnwJCMLMhmS24l4u/SN4/SPLf4qgmC5Xo8syFTH7AGbKFCQ04ktUXFpQVnhN7p+NlHgvMwUHojKIy4Z0pXivM4WxxUJhyIoioJNFBAkgabmMIN6Z1DSrzOJCU5sokDueR245IJu5GenYbeLPP3qLvJ7prPijb2G724IhBk3tDsOm0jWOcmG3PTasX2Id9s51RCkQ7KbxkCY7p2T+fOKLZbxfMueavJ7ptO3Rwe6ZCTogI/oazdiYBd6ZaVS3xji2df3sHLdXtZvOcInlccZnt+FR57fTmHfjtgkgeEDuhji/YKyQh57cQe7D5wkp3sq9z9TwfK1e/V9wJHqek7UBUj3uunVzcuaD77Qv3trZTUXDcpEiajghBEFmYwryWJ0YaYlbejp7Ifiv9F2ps/md2Xac/Hyhv1cO7YPDU1Bfj5K9ZmX3v2cil3HmHFFrqq5+cRHPPfmPnYfOMlFg85leP65Br+fW5qPwyGSkugiq3MyyR6nwY+j4z/AtMtyTHu3T/ZWc+OV/RnUO4O7lrbus9ruE2/6eT99XdE+u7WymgG90vE3hljyVAUr3tjLRzuO0icrlb8/v40Vb+xl+2c19Dg3mb+s/IRRhV3p26ODOZ9PcLCxZY+h79XWVnKoys+O/TXk90znxfWfMXtSP1Oe89+vV/LGpoNcONCcf2trlUMQzijm/BT8V0QAUeQP/9jM6x8dRBIFfeJyxRt7Gdgrw5CzFfbpaNhbg7pejirMZPla1dfGFnXT122rOohWX6g85GPDJ0fY/lkNPbt6iUQUsjonEQrLdE7zWOaqV47oyYMrt3LxBVk8sHIrlw/vTt8eHXhny5e8/tFBtn9WQ1FeZx5/aSevfXiAEYMy+Y8HN3CiLkB2ppfeWSk8uPITPXbP/Fku6SnxrFxnjvfjh3anf890NUa+f4DxQ3voz+WmnccoHZ2Ny2Wjr8V+zpvoYnVU7pLudZPTPZXu5yQxZVQ2A3tnkJLoomem1/AMa74uiQKjCzMRom64IIkx9t7G90X5wr+L/8JpfPhMf5eiKKb9cVv/rW8KMXzAuVzQtxOTR55P93OSEUSBF9fvN3xnQyDMqIIuOBwSg3pnGO7fb38xiPqmIGneOGpq1ebec+v2GfZEvbNSLGtwFw/pSlFeZ0Ncml9WSJxT4s6oeHrkeAM1tY3kdE/lrqUfsbXyuGUd7tEXtutr/+bdVfTvmcajL+xg8uieBEMR/rx8iykGjx/anfc//YqS/ufo+Ws4Ilvm7leO6ElSgpOc7qk8t67SnB9f15KjRWTkiPn6R5ssCtz52EemvfOIAvU+ftMc8N/Rf4FvFF+1xuidj33Eijf20u/8NP5reYy8sLKa3lkprPngC2ZekYfdJlDfGNLrBP9ct483Kw7xi0t7U9Ang2AowtD+5xDncvDi+n2s33KE/Ox01m/5koZAmP/4xSAWtanHbamspmdmCuGwzB+e2cxL737Ohk+OcOR4AyX9z+GZNXss69bR669Wi9DqwuleN/nZaazfcsQyL7BJApeV9DDV/1Zv2M+GT77Sj7l+yxEdkB8XZ6NLugeHXWR0YTeG5p9DTvcOvP6h2uRPSnAwIDudiwZ2IT87jdQkN0kJDuqbQjQ0hVn+r0peXL+fqpONjCrogiSrvhsJyzhtEp4EBxcN6sK4ku6kJbspzOnIlj1t4vAbleT3yrCMrZqdbr39d/Tfr8shvu/89+vs+zif+Hgny9dWfv0bW+yqi3t9o/drnzkbv+NsX59v6sNnBEbKzs7uDkxFnQBMB/4HmFZZWfnuNz7DfwMLA/7GIMFQhE5R1ETROiTdOnkIhRXWbfqCqy7phSxjQOREo4pijSbbWmjeZFnR9XzaIhcS3A5cToneWR10dL/2+QdXqhRXAhhEiSsP+Vj26m4WzizCdypAOCJjkwR+c/VAjhz3m0axxRaE5ZOv7OD30wcjCdaULh2S3dzxaOsmLBYlp8thoykYJqmFZizeaUcQBDqmxhtEX9+sOETpmJ6WQrjRBfVFs4qQJMGAYvb5AzgdEr8qHaDT5Wi/6/oJfX/QKLpva3IE7nt6s8mX7p8zlMamsGkS0BPnsKSvEyWBUChieW+aQ7KlJuDi8mLL94djTBtaTQKs3rCfGVeoDcVz0hKQREiMd+KJcxCJQbkb++8KKQkOXHZ1EkcSReKcIsHmSExUfHNMFKM1RZosKyR7nAZ0V7LHiQS0442+nYXCxvuanell/NAezP/beyZkokbLOv3yXEMhLFac6pyWwD/X7WXbZzVMGtGTxkCIpPhWFLCG3k9PcTN1TC+Wr92j01R4PU7Wb/mSNzYd4rZpg5AE0TD5cdu1BTp9dKz4f06amhDPuCKP5f/aQ2FOJzIzzBQyG3dWMeOKXOZM7o9NEvVnREPhWR3bE2ePqSWo0XzIMggtxbM0bxzPvVHJ1DG9TNMBpWN64olz6IUkbYKqIRDGm+i0/P7UJLehYFftM9MBnY5m5odsseLvveUlp/1c9PWwtUxbRIuYRyIyYVk2+HP0/fc3hkhKcFjej6QEB4IoUONromOHeJaUlxCRZf31tu9XgPkPvd/CRGCe3Jt+ea6eC2VmJHC8Vv2OicPPY2RBV4OfpCa56JCsPgunmyKYOqYXggBJ8U4isooefWbNbm6ekm+iK9PWmo07q6j1Bw0x2RNv55cT+gIYaHE1Oh3t+YhGoc4tzcfpkHBJwo/CB7+txaK2D0e+Hsn/Ta5frGNgl057XFESCDbKhph7y9QBvFlxiCsuPJ/6piChsKLrUokxEOsADruNJRYsHOoEQDyBYARZVshIcZ82nguCSoH28G0jrK+dLDPr3rcM/qg9I/6moK6jNWnE+fTMjGt5Rlt+v13VDGq7tkU/j0tf2m6g64/+7ogs69cw1pRxu303pvn0jIm5uoaThqIH9X7U1LZS02p/u+/pzfxh7lCd0j4iwwvv7GPbZzXMmdyfpS9tZ3GbqZS2vhjLN+samnUaZM20feLdM4s4eSoQU+4iNcnN7x/5IKbPab6s7WWt8vlxJd31ffPxWvV9ZeP66Dl0UryD6yf0pTkcYXF5Mcdb1orovWokBu2+QHvMjjarmOqQBKaO6cWBr06ZfMSKonhBWSEn6pp0X4tet7U6yOxJeXROS0AAwrJsmu54Zs1ukj0OZkzMQ26pUsbKVaP/rd3KWH4gtmguV/tU/dQ/Pmuc8D7d9Bao9Hkd4+NjanYuLi9m2au7DT687NXd/ObqVrrptjH8T7cMJxSWmf/XVhaEzh0SOFEX4K3Nap2jc4cEBATsDolgRFGniCTBJD3xY5jOtqL6PNPfpfnv4vJi/A1BHHYbjc0hEtwOGptD2G0C8S47d0wfzIq1ew21LLfLTkMgSEZKHHfPLEIQW8EBJ2oDyDImGRAtlsWqwVWfbDTkzOGIwvNv72P04K4mfx5Z0FWvi1T7WplUMlLiEUWBP/33FtM0qcth02Pxr0rN1LbVPnW6f/Puavp0T2VxeTHhsGygvI9+b1KCgzinnT88o+5BtPw4KcGBJ85BYrydYFOIM7Gvm+j8LnLAH5q1pbrV9mOx1l6XQ82Y05JdKAg8vGqLKYZorxmlNwrYsPVLBAHVl4XWY7b9Dm1qr+1rdfVBfR+l7dUOVdUb4qlaizBONM8vKyQYCrOkvJjkBPMev3dWB5Pe5b1PVzD98lzWVRym2tdEp9R4/nbrCKpONqjUqKKITRJIcDsIhiI0BkJ44uxcMqQb3kQnH3z6FWneeDxxdkJhhWVrdnHJkG7ctXSjYa9mxSaksb6JqP9EgjIJcfY27B7t9eF2a7dvamf6rHwKvATcCvyrsrLyh60LK4DdZuPhVVtMFKDaqLK2AZo3rYA4p92wyfN6XAaKxViFL60woTbEDlrq3yxbs5Npl+XELO6FIzJHaxpMx/f5AxytqdcD6LxpBbz2wecM7N3RkKzPm1bAq+99zqQR57PqrX2EwgrH/ebjpXvdJr2HWL8rEFRFZRubQibOdrtd5P5lm8k7rwNXjuypi8vHOo6/McR9yyq4Y/oQlq/dwx3Th1DfFKSuPshjL7QuWtMvz9X/2yaKEPkhp9DfzsIxNsuxtP/umzPUkjJTQKX+sbo3sTUBre9lrCKcJApMGNbDrF8oCowtztKLv5qv2iTr84l1ng6bwDFfwKTVlp7swguWyasU4ztiUaSJosCyV3cxsqArLgdqAvPqLmZMzP1B6zr8O5jdZrwXVo0trbmkUZO0TcZjxl9B4MoR51M6JptP91aT3S2FkBzRKX2iqT0G52Rw/YS++BtDnKgL8Pzb+xg/tAebd1dzqj5oLugtU5sU6V53zO8/ctyP3SaxesN+JgzrwdubD9P9nGxrGgsFA+Xn3NJ8lr26W21SWrz/dA0hrQEiivD3VSq95++nD2ZUYVcefXGbQZuirb9H05i6nTaUGJQ30Xqu0d+tbR6/jmbmh2yx4m+4pRBvZVbXQ7vHmv6lN86ODAaqk2jfWvXWPn411VoXLSnBSSgU4fUPvzD4dVtKOO39Uote1OmazFou9JurByAIgoGiJtpPvqqpJzMjkXSvm1Vv7TPlOfOmFRIKh4lz2wmGZL6srufNioN6ESmWHmcopFLPaTRH2rlH52Yzrsjj0LFTJuBTmtfNnTcMoTkYVjehHicSCkFo0VL78RczTmex1kBJEloETlT7LppKsY5xuuMGI2bNoT8tV/P1Uw3NzP/b+wC6xumJuiZLoFNNbVNMkEhYlg35x9fFcy2XjZXrCC1VHK3wuHBmEYeO+Xmz4iC+U80Auv5VRkovfRJTQ+/fU15MOGQdW7p1UnXcJEnU8/vo75Z+4jnx922aTyuSyEkLjSorn/N6XPjqmk2yEYer6nHYJea16L3/fvpgjrcUF5PaUBfG8s26+iCpSS7LfeLBY34WP7WJv/52xDfK9TM7enQwiLb3OlHXZKJEu2XqAJ2GfNGsYsCcy9Q1NNMYCHPX0o0sKCvU943R5xErx2+nbzaaBiaSJAEQiMgyQQQ6JDlZUl6MgnmP5nAYKYrj4+w8/ExrLrjqLaMupM8fwG6T+K9nVS2r++cMZdGsIk7UBfSCK6AD9rwel6GJpll0PNX+HQrJuiaVlR8A+rnEyk8am0MmjbYFZYWIIvgbgjQFwjHzY1lW8PkDek6hfW9EVlSK85R4ZAUeXLlV9/tkjxOnXWLhjCGG5v39c4YyrqS7paaVBlC684YLuLe8RJei+DHkHd+2ORSJyNgcEo3NYR2go1Id9zLRbEfnyE+u3sHIgq7c9/RmnREmOhYtnFkUM599cf1npsblvGkFJMTb8TcEOVbfYNjnWWmet/WpykM+7lq6kSXlxbq2drRpfq+9N5bshN0mclvZIAKBiAp0i7PHpDxMinfS1BwyAZafeHknv75qAJ44JyfOsAl4JnSfPzVgUdvGaNv4FSsvdNhtltqrsyflWb62sgWUG+2PWg5qFUO1/45+LXoftfipTdwxfbBlTPX5mxlZ0JWfXXQ+HZLcPPriNn2wZEFZ4df6uXbOWjMy3etuaWpu0WPkkvISbnuoNS+fNOJ8XA7okuGhORTh3a1fMWnE+frxav1BvYGqXasl5SXYUM4sjkRphkb/1h87Ve2P0TyJbp2pq92+XzsjOtCHHnroz5WVlc/NmTNn35w5c34o2UvsUVpB1ANyLApQbZR6a2U1w/PP5bk39wGtXN12m8Sw/HMo7NORTTuPmqiz5pbmI0kCRbmdeeGdz5g4/Dxefnc/V47oybiSLC4ZksXbmw9x0aBMXvvgAPnZGVTsOmaiV7hoUCZLX9xhOsf509SE98oRPRlZ0IVnXtvNuoovOVJdzy8u7c24kizGlXTnxXc+Y80HBxlb1I2h+Z2xSxKJ8U5GFnSh2tfIkeMNehHC3xhk276a01Jyzi3NxxPnIM5tM9EIbP+shjGDuzK4b0d6dUth4dKPyO6awofbv+LqS3qb6Bbi4+w8/couDlX5mTA0i0de2MHQ/p2Z99f32fDJEU7UBfRjjy3qxvbPaphbmk9SguNb067Fsn+jcfCY/itKEsdONjDtshzGFnVjcE4ngqEw/WNQYk0c3oPmoKzyk7fQ17mddtxOGzabyIBeGYZ7M29aIXEumyWd5pjBXcnpYUGl4nGS2yONbZ8d1//+66sG4nRIPLxqG7+4tDdji7rRt0cHVqytpLBvJxYuNfrP1spqLr6gKwN7tz2fAhITHPTulmL63sR4p2F6VTvOhQO7IKJO6ikKiIKACDrVmBXFhcMuWVKkjSjI5G+rtrHhkyO8WXFYp2IYV5KFeJZuflv7sdHRaeZNiiO3Rwf9XowryYpJm+wQ1PtW3xRk94HTUwcvKCtk2ZpdPPLCDj7acZTJo3px12MfsX3fCUYM6sLAXhmGIvOR4w1s3HmMQb0zCIVl+p2fRjAU4eILuiFJIs+//ZnpnK64qAcXDjgXSRIZM7gbNbWt8VSjuVq/5UuuubQ3D678hNmT+iEICoP7djb59wfbvuLiC7pSOjqbiy/oRliWWbfpEOGIzHXjcwzvv+3aAjp2iMMmiZZrRn52GmMGdyUQjJDTPZXVGw5Q0CeDvzz3CXsP1eprxKVDuhkmZKJpTIv7deaR57ezp4UGpG3sTnDZ+WDbVzHpgL6OZiaW/RD8N1b8LezdkVjObnU9NNqf1z86qF8bmyTgdtm4oMVHDnx1SvftQ1V+HDaBay7tY4pdLqfEE6t3MrKgq4Gy5lhNI+VX9jPE5fllhTQHI2ytrDbRcEMrtWblwZPMLyvEE+dg5RuVXDmipx7DX//wABMv7EFRXmf++/VKivt3Ij87nbc2H2bvoVquG9+H0tHZ5PbowAvv7CPv/DQcdhGHQ+L1D75gwydfcdGgc+nfM400bxybdpr9OPe8DowqyLSk3tJys/490xAEgXda6HS0dSHOZcMhSdhsIl6PkziHxAl/UKf4+WjHUQpyOhHX0uj+OvuxxV+3y8aAXhkmP0pOsBMOnf0UX5JEZFEgAjrlc/R9iIBlLjO2qBuBYFiP/xpd4p4vfBT27UheFFVoSpILSRBwOW2WcbJX11ZazXPTPQzJ60zueapvt6XYuu1aNQfZvLuK0YWZJkpELS/WKPUaAmGK8zrzt//5lNmT+pEQZ+PAkVMM69+ZqWN6c6oxSE73DlSfbORQlZ8te6rp3jmZpuaQYW3TznVMC3U7KBS2yV3mlxXicUnI30FO/EPx32j7PumQ2vqtQxKw2SQ2tolfJf06m+7jTT/vp09jgXG97ZSaQESRcdhEfKeCuiSDShXeSqEZDIUpn9TPFBNf//AA3TolUtCno2kv+/6nRygd04v4OBsl/c4xfbY5GGH7/hqTz40s6ML/e/ZjDlX5DQX5cDjC7En9GFeSxYUDuvDq+59z8Ngp5k1T1yErqtzxQ7vjdtqo2FVlWNOiY4/HJTGoT8dvRT/8Y/dfDUz0xsaDpKfEc9fS1vVsQO+OeFw2RAXD/kajBI+WPxiQncaGT46w91Atv7i0N4NzOiJJKjBzXHEW/c5PBwEG9s7gsuIsJEkgGFZY8vQmXv/oIB2SVN+5/xk1fzxRF+DCQecytP+5JrpXLZ6+/uEBZv2sH2E5wrD+5yAKApcWdWNYfmeG55/LJUO6cVlxFpt2HuPldw/wi0t70zE13jI36JmZwj9e223YV8bH2Sm/7212HzjJhQPPJTHeafnZvPPSGDGoiymGbtt3nNRkN06nxLI1u/j0sxq9NtLcHOHuJzayct0+Nu8+xvUT+nJBbidcDpuJwnZrpUrPvuGTIzQEwlTsqmJkFL3d6Xz538h/4esoxS2oPr+JKYiG/bYV1fGO/TX8fvpghud3QZQgMyNRlzRoS5XcEAgzsFe65fqZn51GQZ+O7Py8htLRvZgwNIth+V3Ysf84mRmJ1DeGSEl08+m+GmZekcfYom5IosCFA8/lk72tufOlRVmWPtW3RwferDhoojvWaHS1Wlas+L187R7OOzeZZ1/fzXuffMX5Xbx0TounoE9HE224J95OIBSmT1aqgeJ85s9ySUl0Eee2n3E8+S4o36Pt39F/4ZvRgbalutXqC1Z54YLr1AbahKHdkUTBMme9akwvJJtoeq38yn40NIW4aFAXJg47j6LcToQiEUosYuiKtZXsPnDStG5eNy6HQ8fquPqS3owr6U6HZDe55xnrcHNL85EVhYdXfcqFA7tgkwT+/vx2sjO9zLwiD2+ik4svMNYvLivOMuU00fvC264t4OV391Oxu0r/nWOLszjeUlPukKTKMLidNmySiMtpo8e5SSZ/VYC3Nh8G1LCXVlAAACAASURBVBy8qF8nwrKCKElI4ukpwP+3vttOB3p27X9zPkmJbsb/5iWWr608o3++Kb1nOx1obDuj1mtlZWVTdnb2NaiUoGmVlZUF2dnZxUB6ZWXlC/+L8/w/NVlpRXq0pQD94qjfNEotRKFlrr2sN83BCPcv22xAc368+xiLZqlUmKcaVAF1uyTx0jv7uXZsDnFuSZ80cTlsNIfCXFqUxXPr9rKuQg2CbZFtN0/J50Rdk2HcW0MIJcbb2X+kieff/ozS0b2o9Qf136Mh3JaUF7Ou4jDpXjeeeHUEe8lTxsmr0tHZ1NY3Y5dEXtl4yIDi9/kDJCc4+c3VKqWkKArU1QcIhiMoivXY+qmGIEnxTn7/aCtVau+sDqx8o9KAWlqxdg8/u+h8HUUSkY2owbboDm+iinrSaDt+ypgBm11gyuhebcSlC7HbrVFdogh2m3FmzW4TQFCfhXi3pFMUyQpIkooynTetQKe+0PzFbheJd9uNqFK3nXBE4cnVOw33+MnVO/ntNYMsUZeiIFj6T3NIJt5tM52PrCgxKVwsJ5IiCv5A7EkkKxRj82koR78OLddu/zsTRaHNvYg1CSro9y3Z47CkDp4zuT8Ou0RqkovHXtyuI93UuKROG1X7mjha00BivBnp5vW4cEcJwWtgi+REh+mcBudk4DsVNDyDC8oKKR2dzfHagGEN6ZDsxutx4W8McttD73HF8O4sLi9GllVavuff3qevAeleNwtnFPHo8zvUZobTzoo3WmlKE+OdvPfJl+T3SkcQME2/LCgrpDkU5uGWCcB50wrJzvSSEOcwrHnadKWVv3dMiefPK7YaaG3umjGEWn+zHrtnT8o7LR3Q19HM/JAtVvy12QXCMWCysa5HNM2LRh8lIrCihZrWE2dHVhSdFluWQRAVltxYQjAU4diJBv1ea9pMbb/HZhMM8VpW1CbPgrJClq/dw/yyQsMk9bxpBXjiHSycUcSTr+ygdHS2afrj5in5pKfE8cd/fIzPH8B3qpmEqHXBbhNxOSUyUuKZMTEPRFj++h62fVajT7888fIuZk/K48nVOyxzn9Ub9jN7Uj9mT8qjU2q8Jc2NLCs6JVPntAQUBZwOERsQCkZ09HIQ0TRZ1pa+9qdksgIOu3E6xGEXvxd66zOZEpZEkcE5GTolsr8xxJsVB0nzxmGTBG6/rpB7ntykT4pU+5p0qk0VfZyIXRJokiK4neqUVfTzOr+skFffUxt22jTh4y9t5+ejenL5sPNIS1EnSRsCIeobg7idEm9sPMj0y3N1n2ubi8wtzdd/o5bLVvuaWPLUJmZPyuPXVw+gMRA2UF1HU8554uw89couU0y/vayQQCisgwgG52SwaFYRCAKSIOCQVF9vt7Nrsfy2Q5LTtBZmpMSZ/tapg5kKvNrXRMfUeCKKwqLHN7K4vNjA5qHlMEvKS2gORag62UDFrmPcNaOIUw3N1NUHWb1hP1NG9+KR51WaWM0v07xuXn3vcy4c2MXAeLBoVpE+tdoUDNMUCJnWgJun5PPaBwd0P/OdCpCW4ubWawdS6w8apsLnTStk6sXqPrItvbv2G2UZXHYb988pIRiScdhF7i0vQREARdHj9U+Neu6bmkZVd8f0IabJkiVPbWJJeQlCS558b3kJoYhsOem5Yu1ePSYufmqTfs//69ktdMlIYGxxlmHvt6CskPVbDuvr8vihPahvChqO++jzO7jhir56rmK3iQiiwPTLcxEFuGRINx55fhvJHgelY3rx5xVbdDaK6MnRedMKOPDVKRY/tYnsTK8lg9Jz6yotGQK0a3H/ss3cNm2Q6Rm8eUo+T7+6i5sm91NpFyMKkYgq+zJ8QBckm4DbaeO68X2ZdlkOJ+qacDtsBt1yLXeYPSmPxLjTT8xo//9jyHu/a2vLqBFr6tPnV6foUzwuVm/YxbVjc9SalsX7V6zdy/xphSyJXuunFZIYb8dml+jaKUl/btTJw2zm/1Vdj++7qdjEjPLrqwayaHYxJ2qbCATDBMMR0/EXlBWSEGfHbuvGq+99rlPF1tY3I0mCgZlr6pheVOw6ZmC80tb/A1+dYm5pPooCf16xxRCvFeCr4/V6rr+grJCX391v8MkHVmz9WkmCtvZTpPv8OmtLdevzB/B6nMycmIciKCwpL0FuaXo//vIOfeJ34Ywiy9rFiboAGSlxhteyM73Etak1aLH1+sv7sqS8hHBERhAw0HhqdY60ZDdHTzQgKwprNx4mIzWBB1du1afyrepk98wuJhiKcOR4PYNzMkx7ugVlhVx9aW8kQQQUy/19fAuNb3R9RfudR2vquW6cKtNgdWwrf50zub9+Pa69rLchr7i9rJDk0zAHtftuu7Xbt7cz1QT8PXA58Bfgzy1/rgIeAH5wTUBRMDZLNNqrxeXFluPFdfUBPSDG0k9YcmMJVScaEQR0HYTSMT3Z9lkN6yoOx6RAmT0pj3UVh1lXcZjDVfU6HcXh6nre+fgwwwecy10zhnCqoZlgSEYUBTqlJrB8baVeOD7w1SlmT8rjrqUbDcfWGmoLygpxO+0sXPqe4bw1jmdtEzB7Up6p2Vhb38ztD39guH4P3zYiJm1jXX1QPz606hht3FllWDRARX5pi9+6TV/oNBptk/6bp+TrXOvtzReVjlIraIF2Lzdx740llpRYkbDCwqUbTfdqSXkJCPCff//Q8rW2jduVb1QyY2Ie6z8+zKjCbkgiRGQn6zZ9wbiSHiR7HIbzTPY4sMdoJtqkGDQUksCjL+w0Ff9mXpHHsPzOZHVORFEUOiS7GZbf+bRNo9MVfa0oLmJRpNlE4bQNj3b7dhZ9L6QYyafeXGrhhk/1OLj3xhJCYZmvaup57IUdeiPE3xA0xZpoPYjkRCdOm2S616VjepqeqyVPb2LRrCJTTLpufF/TOqAVBto2vI+daKB0TE/q6oNkZ3rpndWBE3UBUpPcPPDsVpOGhIJC5SEfDU1h/VnWfk+61809s4s52aIF67BLLJxZhCIr2O0Sj0XRfADc+/Qm5kzuj9fj5L6biklKcCG3aLZGa69En+/h6nrDOW3cWcXE4efpFHwA1084fQJ+JjQzP1SLFX+X3FgSs6EU63pE07xIokAwouDzN1uul0vKi/nT8i3cPCWfjqmSYQIaVPqqe2Yb6WQmjThfByxFf+/dM1Ud3hsm5mKTWptB/sYQjzy/nWSPgxsm5jJx+HkkxDksNdXumD4Enz/ALVMHIIhQdbJRP8aqt/bh8we4Y/oQHl+jxvORBZkU5nRi9Yb9TBpxPktf2k6Sx8G0y3IQRFg0qwif31jYFkSVWu/oiQbL/MnfGDJQMv1p+RZmT8ojM8NjuBc/5qb0/8aag7JhMhVa1/2z3RRtq7li1ZB12gVKx/Qy0Xy77ALBQJhktxp7iMrltaJwutfNrdcMokOySl/o9bhY+cYeEwjtkiHdWFdx2EBBXesPcvOUfG7/2/uma6PlynfPHGIJbKptKVZGN/e03+dy2IhEFJPOSrT2mubLy17dzeLyEhRZQRRVQNatf2nN3TfuVKeplpQXI0QihNqTkO/FTue3bddCp0PiyPF6Pa56E10c9zVagolEQQBFYeFMtTnXNk5t3FnF9RNk3tj4BZcUZdExJR5JBG+ii6QEJ+NKuhMKh/H5A/o5Adx3UzEXX5ClAzK1Y1ntFwfnZOh5RXRh+v1tR1k4s4jn397H9MtzEQRM2vX3Pq02peJdDo7WWNPdfXH0lK5p6Y2zE2lpWqeneTh+3K/n0T816rlvato6JonWINxobVBFEvnPRz4wSZ6AShMbkWXumD4ESRJw2EWO+5ooG9eHxHinqcG4+KlNOjWspuFrJaXyz3V7mX55LrX1zfhONZOe4qb6ZJNh7V4Q1XCefnmuScPt3qdVeZB1FYepPORj9Yb93HnDEOrqm1VwtV3khom5lF2WQ01dE6+89zmTR2UjChh0rYNBGVGUDbmNRmF+tKZRl33Rnpf3tx3lzhuGEA7Lem6f7nWzaJY1xaTLYeOrGP6u5XXa//8Y8t7v2trmxKfTk1760nbunzOUq8b0YtmandwydYAlXabPH6CxOaSDJhPcDpat2UnZuL4gyIZJw2h9P1D1ubVmNLTKqSwuL8brcWK3xeFvakayiYZcoiEKbAzowPvZk/JYsVZ9HtKSXTgdNkRRoGunJIKhMLc99J7helT7zPqsseK19jxG7xGqfSrFuek6f402e3vMNVrs5lJEz09FSWT+w8b9/5Ov7IgJOrht2iBDba50TE+9kax9Xt9TnWrGE+fggRVbufay3lwypBsTh5+HvzGk1zm0uLWkvNiQv2qUtG1z0y+O+nE7Jf7y3Cd4PS5+NXUAdzxqrl/cecMQHmgBAGdnevV6tMMhcfJUgBqfKlE1eXRPDnx1yvA7n1mzm19fPYDrxvU15BzR60dbf03zqmCpBLddb3xrr91zBiDNdt9tt3b7dnam9Y/rgcLKysqq7OzsP7X8bT/Q/eyc1lk2QbFsNDUHwyZEpErrKbJ+y2EVFYl18h0Ky3oQ0z7niXfw66sG8l///XFMlFPH1Hg9kfH5AyR7XCgovFlxUEdTWKHlNDR95SEf1b4mOndI0I+T7nXz++mDcTvsLJpVRE1tgDiXtS5K9CRCx9R4fQGJRtxFW7rXjU0SiSiyRXOnkDXvf65zqauTNRLJHqelyLPDLrU0ohTGlXTHIQnc+PP+hMIRE9pGawC2N18w6FFqphU0vR6nAd3v9Thb/m7kj1/11j4iigIKlq/JimJZiJ5+ucLQ/HNNWn4up2hZtBNFwbKZOHtSP+vmoE2I+b19unfQtTn1oqBTjDmx+E2LvrHEziUUUj0OXThcEsV29P1ZsjNBd0mSyAl/kMVPbcLrcTG3NJ9fTsjRi1dWOnrR3Pluh43HXtxumj6KhdQPRxRWb9hv3Pg1hSzf2zYOa8nxr6bms+qtfSYNC41iKzouii0o/VhrxslTAW576D39+EtfVAESj8wfycadVTofv3aunTrE428MEY4ohuLGgrJC5k8r0Bs82rOzYeuXarEu0Umc004oEsEuSWRneg1AjNMl4LGepR9D7I4ZfyPfLLZo9z762jTLiqFhrVm6t3Ua/pk1u5k1Kc/yHGTZmNvE0nYQBHQ05x/nDjMUGLIzvYwf2kNHZd53U4nlMWySoE7fOkQkQTRoudx2bQGvfXAAmyRYThEmxNm5ZeoA/I0h5IiiP8ulY3qS2dHD5cPO45HnVdTzbdMGYbM5TNNc0Y2WdK+qq3nXjCLqm4IgCIgOiWBIVgXrBeuG90+1OBeJpSusnL2maHQxynptBqckEonINIdkU8NsiQWIJzU1gdvLCrkn6rm6/bpCbDaRqpONLHt1N7dcNcAyp7hufF/TREHlIV9MjcqkBBXk9I/X9nDL1AE6taOWiyiKwqPzR3LkeL1Jo9LfGMLrccY8brQv+/wBIhGZpuYwqR4HzRFFf1a0AvfX5TLt9t3b6YAEgqIY1kJPXByeuCDhiKJrWR73NRjWAE0D6z+j1uR50woZnJNhQtk3NocZNqAL//n3DwzrR5zTxpY9VVxalMXdM4v4qqaeFWv34vMHcNgkhBjNIk2LR7ONO6u4blyOXpjOzvSq1HNxdmySwDVje3P7w+/z22sGWR4vEAzjdtpYsXavpSbsI89vMzRNf4rT19+FaY0TjTnHvJ61aoNq/mql0/vrqwYiCHDX0g9N2tCx1vukBIchPlodd/zQHix9aTvjh/bgzYqDaoxNcRtyZ29iaxyMleOqeofqb5o8KhsFhRfXf8bPR/XE3xBk0eMbW/PK6wpZ//FhXlj/uWGixpvo0kEgbQvzz6zZbah/aDmzTRKwSSKLZhcjR+QWJhprcKgWj9vmdRqYWXvfjyXv/a7NBvzul4NZ9IR6L9+sOGjay2v3cn5ZIXZJIDlOZZtobA4jNmDKCaM1BG+eks+yNTuZPCqbtR8d4NLi7lT7mvR7nZmRwPTLc/U11SZZ1wxO1LXut+aW5pOREmdoasdkVGmpp616ax/XXtbbsM9aUFbIFcO788L6VumLdG9sfda28To6H4n+vCQaI+uPWZv9bNrXNZescoGNO6uYNSnPEnSgKOBNdOqsJnIMJrX6pqBhb//25sNcOLCLIdfU4pcWg6L3eFYx+bZrC9iyp4rifudQ7WvSv8fq+/2NQa69rLf+DLkcNla9vY9xJd35wzObDT50T3kxkYgKKNbeLwoCsmDtw1b+euiYn6UvbefuGFqe7Tluu7Xb2bUzfb4cQG3Lf2sVk3ig8Ts/o+/DFExF3dUb9nPJkG447BKLZhURjiiIosCJOpVmqPKQj0uKsjhRG7BMCI/W1BsKFtqo8z9eU6nT0r1xlp+rqW1i9qQ8MlLiqTrZQGMgxOZdJ7hhYq5ehLNCy2kIYq1hd6IuwJzJ/emQ5Mblkqg9FdQn/zQ029dNImjn0ik1HrtNojkcZuqYXgbEx4LrChEFaG6W2bD1yzbNnT2MLOjKmxUHufOGC/D5m01TadpiMbc0H5skIAdbOadDEUhLi+P4cT8ADklEsamov+sn5GITBaQzFY39EZsUY4LHJgmEwub3222iieZibmk+DpuqaGf1mhhjckUUBQN6rtqnIjeX3FhiXbS7sUSnqtWs1h8kGJZZ8/4BFYkqooutTx6dbf29ghDz+AnxdgN9qM0uIMvyaYu+sdBxVg0oQG86tSfSZ9++LgGPRuRrsfbay3rrG7M3Kw6awBwThvXglRaqFllWG9yeOIeBVqu+0br5UutvNgl4x4qnbaeqtE2A71QzhTmdDEhU7dw1lKf27Nlsgh6brb4jeto6epJElpWYNB9NgbBpDVnc8vzcM7uYSETm6IkGPt5dxdD8cy024nu4ZmxvVm/Yz9QxvUAARRJj0m/8mKk6YsVfNbZYf6bt9bCJIqIEv7l6gOHaSJLImxUHLQqpBfo0PECt3zoPsUmiIbdJcJupbNO9bqCVau5EnfFY0chSIGZT8kRdAEEQOFUf1BuAoPrWfcsqWDijCIdDNPn8gyu3sri8GIBFj2/E63G15B0JSJLApp1Heeylnfp33ff0Zh1VrdF+ChhpcuaXFWKTBB3dql0zAaitb6aD121q3PyUi3OxCpuSKHA2OEGji1FWkynpXjdHjvvxelx44+w0xyiGybKKwtZiCkBKG4COTRL47V82MP3yXJI9jphTuHabGqvT21A1xYq7ifFO0r1uKg/5eHH9ZypNlwLhiMIL76iUzoNzMpg8KttAAaYVbeaW5lset0OSm0df3Kb78tzSfGrqmnj53f2mdUc7ls8f+Mk2sP+v7JtMt4uigMdlw+mQuHtmETa7+rwtX9tK7Z2a5NL3eNA6VbdwZpFhz/XrqwbibwiaplS03OHSoix+93djI7GpOcTfn9/O7CvzLM85EDRuFNK9bmSlBWzicZnASvOmFTB/WgEOu83yeEkJDmr9zZbSFU3NISOFswLSd3trfjKmgYnWbfrC1DCZX1aoghNbFjTNXysP+Xjn48N6rhsMRUhJcvG7h63rC7HW+6QEpyEv1aRUYlEbLppVxPotX1LQp6Oem6d73dwVRZsXK9ZKosAfbh5KnNNOMBQmFJaZeUUeB4+dMuUai59U15QX1n+u5xd3zSjiT/+9BZ8/wKLZxSwuL+ZEnXHKVfstGh10tL/Pn1ZIY3OIl9/dT+mYXpYTPloc9nqchjzXIQnMmJjL9RP6/qjy3u/aIhGZrh0TWVxezPEWX1jz/oEoOuM4BEFhxsQ83E6RpuYIsqwgCQJ3PvqR3tDT4mlSvJO6hgC3XDUAmyRQ3xRiZEFXnltXyZTRvZBEwXJ/pN3LM9lvPbBiK7deY6SZtZpITPe6OdUQZPrluWR29FiyxiyaVcRXNQ06neT8skJq/c1nHK+1fKRtPhttZ8K60G7f3GLlAqGwgsMuGfYZc0vzEQRoam65h4JA1QnrCWKrvf0za3bzq9IBpCa5+KqmXo87t11bgCQJJLjt+rG0WL9wRhF1LXUN1f+z8Te2+pbvlLWf1dUHWfrSdu6ZXcyxEw089couSsf0tPQhre5w85R8kj0OZk/K41RjM8nxLstjez1Gf40GY8SaqG7Pcdut3c6unWkTcC1wX3Z29m+i/vZ7YM13f0pn32xg2lzPm1aAKAos/9cerp/QVxd71lBDSQkObKLIW5sPWRaTHl61zfAd1b4mbJKoU1U99fvRlomkxq//9Ks7mTI6m5VvVLJxZxWD+nTUA2IstJwnzq5vEp9cvVPfaN0xfbApUX78ZfOoevQkQrQ2yd/njWT52j0crqpnxs/6MntSHglxDjxuB0++0sqBHf0ZUNF0XTt6uG5cDnabxAMrPjKcwwMrtrJwZhFHa+pxOiQismxIRCRJxOcPEBYEbKJIQyjMnX/7yNR4+ambJAmWtJ+CIFhSfN17U4mpAfDAiq3ce5PKH2/12pKbSiynZQUhBg1NLD09WYnZgNSocqPP9aqLe3HnDRfo1HKBYJiMlDiIgS6KRBScooBkF4jICnatcReJTSvJ16Dj2jagFKldT+rfydqi8Fop1IpRZFBQeO2DA7rO65Hj9fq03eGqem69ZhD33VRCXX2QVW/tpTCnE0kJDjoku3WtqWhfTfY4qG8MGgrNgoR5GmRaIQrmTcBvrh5IgtuOJFnrYHZMiWdJebE6uZvo5MsqP3fNKKI5FLZExmoTI9rnkxIczC8rZO1HX1A2rm9Mmg+r7w5HZE62iNbftXQjC8oKTU1+bTOiNW+0CbKva4b/WKk6YsVfSQL5ND/SeD0iyBHztdFyk+hisdfjBAFDYyEjJc7SVyOKzBUXnq/rSg3OyTD5kKrv8yWgrtnxbpsBUd12etAKXTq/rJBkj4Pb/vIet0y11mWta2img80dw+8UFj/Z2si/a+lGBudkcMPEXAb37UR+dgYn6pr4x2t7qDzk45w0T0vDVMTf1MwrGw5wyZBu/HJ8X0RR4OSpJpY8ZaST0ejOl760nbml+bickn5NOyS5sf3EAUVW6/vZWtCii1FW/hRdVF1SXhyzyKKgMP9vrQ2P3/1yMDapNe9J97p1VPGmnUeZMjpbL1S0/T5JhMyMRGyi8XmONZGw6q29Bv9RUJh+zzrD79y4s4rS0dmqbos3jqNRRZuwLFtqEyooOuVTIBgmzmmjsTlsSTn94Eq18eP1uH6yDez/K7Oa5r69rBBJEgjKgqngH4wozG9p8kXLQWjgi1gTV/7GIAtnFuFrofwWBIWM1HjL97ocNnz+5jZxTy3Q+fzq53/7i0H84R+tKP5brynA7WqlQ48GHy24rhDfqYAJuKHFUiuA1S1TB/DYi9u5Zmxv/TUNnKrtMTVL97YyHbS1r6Ota7dWMNG4ku5IktCSk2rNJyM7SbS/FuZ0MuSF0dNLbesLsaZJ/vXhAeZM7k9Garx+XEAF88rGQm21T232ntcl2bR3eiqKNm/VW/tMuZTKIAN2STQwztw9swiX4/SMRtr/1zcF8fkDzC8rVHN2m0Q4IhuakfOmFbDyjUoT6Knap8oAzJ6Ux/ihPVo0sPtx90xVmy06ps+bVoCCghCR9VwuFOFHmfeeDRNFAQH0/RK00mkuKS9GCKvAuGpfQPejh28dob83Whfyb7ddRGqSm3BEIRxWCIVlUpNcXD+hL4+/vANPnIPrJ/TVARNg3N+o635stgnt/fFuO0+9srM1F0h28R+/GMj/+8fH+ud+fdVAwhH5tNrrPn8zN0zM5brxfTlaU8+r733O6MGZlpOlsiIb4vUtUwfo+Ui3Tp6Y8bKdBv/smA1MDBRzS/NpDoVJtmDjOu5r5I/PbtFryjdc0VdniTudr3ni7Pj8AWRFweWUOCctgVuuGoAsKzQHw1SfbKLi6DFDvlrcr7OBjhNUyag5k/vrcd0q7kY35U6eCvCfj3xIutdNpxi5h7ZuPLhyK3feMAR/YzOKImC3i5a17tc+OMCSG0uIRGS+OOo31I6ttDxv/wmDNNut3b4vO9N14FfAs6jTgO7s7Gwf8BEw9Wyd2Nk0AypfgS++OsUjz2/X+ZftdlFPENuihuaW5vNmxSEdraQokJjg0At0mqV73YQjMgvKCklNchEMyazfcpjfXjMIr8eJLKtokukTc6lvCDKyoCtr3j+gTlkADpukF6plRbFGViSqCHqbzbipskqUN+6s4oaJufripCiQkepmxhW5nKgLGNBxAnC4qp5JI87nvqc365tYbRwczNOImrCrRm0Ta4OLohAKK/xz3V5mTMzVX2tFir9vuNZej0svFLY3XlQTIgppXrdx+s0mEAxFrAuuYetEMBxRKYws75NsPS0784o8BudkmDT7JEm0/rsoWDcgbyyxbNLZ7SL+RtlALXf7dYXEueyWz4BKSxix3HTFovD8pk299kT638usCsQ+fwABEBV103j58B6EIwqyrOhUhxrid/7f3jMkp6s3qGhfUEhIUIWvZVlBUaCmromnX91F6ZhePNqitzc4J4PrJ/TFE+dgcXkx9U0hqk82seKNPUwY1oO3Nx82IOFBoba+Ga/HGiEnRlG4KDJ0TvfomlQa0jWzo4dIRGHZmlawh/b5BLeDdZu+YFRhN8QYTfqYRXUZHWWa7nWfFnBS7VOpcbQi5k81JseKv8J3gFiMRGRSPWqxQtPHe/7tfUy7rA/3lpcQlmV9w6+4bIapU23KfnF5sQ7ciXfZiXNJutB8OKKwbtMXDOrTkVEFXbhwYBceWLFVn8br3EGdxov2FU2X547pqjZxSqILp0MkGJJbitZmBPXgnAwS3A7CsmyiAh+ck4FdEnWKw007jzJ8wLl07BDPqYagoflyy9QBvLj+MyRR4Pp71umgrJEFmfgbQ9hsAg5RwOuxbjZqfqsBkH7z1AYAHp0/EkH5CSNMFfh49zHDJP66TV8wLrXHd3L4tgV9ouKSNkEy/fJcMjMSOFSl6l9rFMYK4JSsdXgff3mH+QExogAAIABJREFUYd1e9MRGZkdR41b7WlHFhTmddF+q9QcNOkHrNn1BnKsL9z69iVumDmDZq7sNuc6a9w/o60B0wUIDLT06fxRVJxssY+rx2oDeeAb41dR8amqb+Otzn9IlI0GdvJYVIi0ThIer6plbmo+/MdhCta/wwIqtMZvr56R5cIrtDZLv26ymuQOhsK7XaAIqCug+lZRgpoKtqw9a5syJcQ4issJTr+zSWVNird+BYJhQ2NyAycxIYG5pPk2BMC6njTumD8HpEAmFZew2kfqmIHfPKlJzphbGmz/+YwvJLWtPrFha6w8iK7KhyGm3i9T6gyxcupG5pfn6bw5HZJISnCR7HCwoK9QBLdFNU6151E5bd+amgYlkQ7MJkzZoW3+NvqfRa3bb9Tt6vRdFOHaigdc+OMCFA7votZD7bipWgdOCYKCzjQZzAHROM1Psb9xZxXXjc/S1RxAF/RxFUaDyi5N4uqXQHIoY6Bq/qqkHrFkY2mrwpXhc3D9nKP6mIH/+7638+mpjjPcmuvifN/cysqArmRnWMgAuh02n8g2FVXrm5Wv3MK6kO78qzcduF/H5m1U64JbPtTeyW+1Mr8XppAOQRMIIBl8QLGLhFcO7qzrHj7aCgeZNKyAl0cnDq7bpMTYWDWNmRw/jSrpjtwstDY2gridIy/lp+7lTDUbN7nSvm0Wzilk4s0jXW39y9U5+OSEHAEWx9tm6+iBej5MnV+/QNbODIZk4t2CIr/FuGw6bxOKWHP64r5GnXtlF5SEf/sYgMybmEZFlEFUqW8M9+BFrs/9fmObTzbJCksfBvTeW6KxxKoWx6utue6K+V0OAunpj/vvYCzu49dpBLJxRREMgRGK8g6UvbTft7dO8buZM7s/qDfv55YS+NDaFiHc7qG1o1huId0wfzMo3Kplbmk9qkhuhJe/Q4ia0xDOnjaUv7mDO5P6kp8RR27JXjEQUIi1+q31vapKLR+aPxCaK+t9ixdxqnyov8cTLu0j2OJgyuheiiCGf1vLnC3I7k5rkMum7+/wBvIlOHdTSzvrWbu32/dgZ1bArKyt9wNjs7OxMoCtwuLKy8ouzeWLflwmAvaWJJgoCdy3dqCM1pl2Wo08Egpm+TdN0eOT5bZac+zabYNDx+931gwmHFZ0CRktU1rx/QEc/TbusD1Mv7mVAwN0ydQC3TRukN+S0zzUFQnpDbdKI83VEVCx6gsNVfoP2T7pXpeaI1sW6eUo+T6zewTVjexMfpSMYqzis8TyXjul5RpQix2ubsNsEfjm+LwICUosGjBVtwQMrWpuM2t/aGy+qNTWFjTo4ZYUkRY3ba6Y1GayKDaIgIMTSSpIEJgzrYZp2sdtFpozuZUDLzZtWiMshMmV0tlmbz2bNsx+OqHqP0cmuoigEQ7I+3aK9954nN3HvTSUmBPL8skIcDpFQkxkrFK0b17aoEItqLCIrOC02LgrtelL/TnYmenO1Lfd+zuT++r2zQvyu3rCfGRPzCEVkmoOy2swRBH739/cN9/vAV6eYfnkutf4g44f2MNBvqVMi+3QapOiYBfDIvJG8/uEBRhZmmtB/c0vz8TcF9U1dutfN4ijkqIZ0XVBWyO4DNUwelW2gCrvt2gIeXKkKeRfmdIpJaWOzmafX5k0rwOEQ6ZyWgE0SmD+tkNp6a5pJ7bgaXYlmP9WYbBV/nd/RlHowohjQyqD635LyYmyKogMdwrJgaHBrTRSAOJeNcFim6mQD56Z7dC1Vzd7fdpQ7bxii5zfVviadkva31wwy6WVqYChNlD49xY0nTqUaXfXWPsP71bwo25DDaBMhyR4HpWN6GRrxGuBqZEFXw+aw2tfEn5ZvYXF5MZKk+mE08ntwTgbnnZtHY1Am0kKF21ZLK3qjqhWc22M3uJwiwwZ0MdwjTWO3ufHbYW9jFfSj70/lIR9LX9rO7El5llqpC8oKW0A8xYZGYltdP61YG20r1u7lzhsuQEAw6R0/8fJObprcj3ElPQiFIzqVnaaFrVm6183UMb2wSYKpYKHlR44oxLOmZ9kxNR7fqWbuvOECXE4VbPfoC9sMv9vfGDQxkYCCyy7RGAhx/7LNMZvrqu+aNXLbC8/fj0VPcysoBuaNaFCMLCv4G0K679wxfbDpXu4+UGOpo/3u1i95Y9Mh5k9TdXlDERlQTMwDKkjSydOv7jKcY7rXTV1DM6Ig8MBzxvUe4I5HWyf9F5QV8mbFQUYWdKVsXB/8jSFqYkhe+BtDBmBo9GtaziMKgv4cpXvd3DZtEJNHZRvWknnTCnjk+e34/AF+98vBJLls7bR1Z8k0f21L/7zqrX365IXVVMiEYep6D3DN2N5s+6yGw1X1Km13BzVfBEx0tg+u3MrdM4uQFfjXhwe4rKS7JUCooSlsqjtkpMbx6nufM3xAF0ONRGssrli7lzlT+ltODkZr8N08JZ9HX9zG+KE9WL1hP9de1htBEAwxfkFZoc5Es6CsMKa/azUOSRRI9jj42UXnYbfZuOOxDw1rldb4b29kq/ZNmvqnk+Foe4ybp+TTEAiafOCyku4W1MoVLCkvMQD5reJwuleVUumcFs/8v77PLVMHMP9v75Od6WXWz3JpbA4bvuuWqQN0fXTtu3z+gK6nqh0zNcnFH24eSlK805JZ4OPdx+iZmWyqp8wtzTeA5rT4uvSl7cwvK+SV9z6n8pCPwTkZplx6QVkhiYkySgtlukMSTBNrP2Ua/G9jp/fpCErLBdWIWzVQuuiQSEpwRrEPqbWCcFjWJ/Y0gHL03v7mKfk89uJ2LhnSjdIxvWhoClFX30wwLBORZR3omRjvoNYfRFHQmSOi46ZWV4hzqjFKEARe/+AAY0u6428MGfIPjTHlVEOQxkCYB1eqANFYU4PQSn1becjXwiTUShVq1fgGxZJJp+pkA067Tb+e7f7Zbu129u0b1e4qKysPAYfO0rl8byZJIrWNIcPC+OurBhJp0RHTkBpzS/P1IBZdZEvzunlswSgiEUUP4tFI4+QEJ06HxK1/2aB/3utx4XbYuP1xc6Jyx/QhbPushgVlhdTVB3WaLO09f1q+hSXlJfyqdACCoDb5FBQeeX6HLoCckuTUpw6TE5zcMf0C7lpqpNJ85b3PdUSTJmpd3xSMyem/8Ay4+1OTXDx86wgT1V0sCjGHTTSd1+kaM9E0H22Ldz/V4kcYdN+FlkbZU5u498YS7rjhAqqjqDTTU+Jw2K0bdA67SCgcMRUXbpk6gIismNDxy17dza3XDNITVu277316E0vKS6y1AstLLP3GbhNY0VL4dTkgFFZY8UYl10/INRXuVr21j3BYYdfnNdwzuxhFURAEVTvqgr6dLYsEpysqxELH2UQRX6O5cZjagmQ+XdOp3b4/O53enNQGObp+y5e6f7cFMmRnehk/tIdhE6UJv8eKRVaNxOiJaKuYJQhw8QVZ/OujA4wf1sNyemvRrCK+OOpn1Vv7CIbMepa7D9QwfEAXE03k+i1fGvRNdh+osaTieGXD54wf1qNlugUEERRF4eDRU3qs6OB1kxDnMNFyaNOSC8oKWd5SbIn+fT+1hkqs+Pt1BcszXa801H50zuFvDOlUjdpxRGJrOEVvAKOn8qOP6bCL+qS9ZtW+JkRB4NEXtuuI0UhEpZ4pHdMTT7yD9z85QmrSuTz6Qiv46bUPDug6FAluh95c0o6pTeLJsmICVt37dAULZxYBWJ7PiboATrvEfXNKeHn9fnYd8PHLCX1w2m3M+2vrszu/rBDARFcOmoZhe+zWLBCUY2rsftuie6y1d9Eso9bZ7S2T/6Vjeppiqt4AaKFZkxAIKwJ/nDsMl8NGMBTmeG2ANysOmvRykj0OQmGZQDBsoiL/9VUDkUTBEPN/P30wv7l6IH989mODLzkk1UeswEeRiMwfn91C3nkduHtmEQgQiSgEmsM0h8I0h2zEuVSQ1YRhPQy/e8KwHoiSoE/e2iURCQXsEu4o4J1VDt3Wd9snqP7v7HQMEXUNzYZnYMXavabC19ji7gZwhv4MlpdQ0v8cwhGZSEShsSlEQpyDTTuPMmdyf1KTVGBfXX0AT5zDBAyaP62AxAQn81tio3ZsTbs7OsamJbtMbDe3XjvIMod4Zs1u/j97XxoeRZmufdfS1Z2kO0lnBVFAGWiQ5TNgEkmCzgAyCwgo30hQhwQNEaLCoOcIAWcARYI64zYedAAVcEaD5+AG6Bm2UVkUEJgPZGkQEHCQkIROpzu9V9X3o/qtVHVVZWFH+rmuuQbT1VXVVc/7vM9636Ujbjb0jbLsCSpUgRkleQgEI3i5Wh+mef7S7Zj31jbdSTXls7zWGowuhsQ2zrk8ASRbTbIvKogiHrv3FnAmBnabGcEwD5cngDMuqVHu6YcL0OQPIz3FIiEcLdyCp6Owy0o545Kg5F56bxemjeuPCC9oCiBGkIxVjxRh2G03auDsX12xG89MKsCpWi+CUdL7+VGdoQAEwjwmju6He37h1+QwCN/hE/cPUNlSJaxtS9B4JMcREQQk0JK/FHvvZK8C4oVsIu0t6pNitVkxacXqIPa8umI3Hrv3FiQmsKoGYqEF+6H0LfTsMCm2jBvWEwseLZIbo50nXPD6wxouVjIdunLjYZkqKD3FIjc5EdtX7/aDYxm4m4L4x1ffq/jnj//oxh39b8CxU24NdY+y+Z34652zrSgb1RfVaw+ifHQ/lI2Ups+IH6N8xs9OLpT3lSx7AuZMvE2DIhL3Ddov59KowjDSlDyJF/N7Z2Paff0BUQTDUPJerIeOQezYQyP7oK4hgOuzrbAmmgARcHmCWL7mAH73m1445fPq+tAkL7Hk470SPzotYszg7jIF1Q81Hl3dI3EfTVOYXTYQvqDUSPnMwwWgaAoQRRUf+9TiHESi+kSoJFqC/C8dcbNubrF0xM3XrL2MS1wul1yT/jUPSpPEe/HdnVjwSBH+WJaPWldzd7FRkm3aOImolZxD2aH++pODZagsoBmG7mxjQNdRMbEU5pYXYNfB0xjQq4PuMXVuP2a+vkX+m7L7ctWmIxg3rKcK737auP544v7+SE4ygwKFQDiMX9x6g6b7IhSWyJaVXUzkmrSCK8XIUX7hnZ0yj6AycU0gReZMHAi3N4hAKAKzicayNfvbVZhRBpXKBMi1nPwwcnhBAaGwGkqTJKz0CnQLHikCTdPgOFrlUHOcBAGg1x3fUrCu+3dR1ODszyjJg4mldQm6LWZGn0PQRKNLxxSVcztlbI6UCdfJ5rZ0n2ZaH2qMZoydPKOiU1wuj+jxzZk4Bv6wAJcnALc3hA07jmP0HT+T4Zsz7YkqG6NX0HulWsK3N+oMbgkukxyntFlTi3MQigigIGJArw6odflV09jy7xFEbNhxHOOH90JTIKxxoJUJQyUMDZl0JJ2lg3KuVxUKk5PMWLnxENbvOInb+l6H6a9tlvWdF9W2gsDXLVnzbbTjOwksQ4OmKJSP7guOoTBuWE9VwvFaLKgY2V9BABiD77Rnv2Kik9ux9nFmaR4yUszwBXm4PEGwLIXK0jw0eLQcTsrCNJnK1/NjyISesuvYlmhCqo3D3z47iIdG9dZwnfyq4Eb84Q1t85PZTCOVMkMU9Z+P2xtEchKn+5krmjjUux9CVj95TD/c0f8GDM3vgjNnmzk2yDmqlm7H7LKBuOcX3WG3mfHZ1mNyoDprQh6sURset90w5vDlzz/pbrT3UqB0u/076UDGKQsAemtnytgcbNhxHMXDeiLZ2gwVrkwyP3H/ALwSU4B48d2dGvjQp5dsw7xJEoRup0yJX8dsohAKREBzLFhWggij6SjvG0vhx1of+v0sAz8fcIMGDu9/v/oeI2/vJhUjw4JuwuOJ+wegc7YNGamJqK/3qop65LeQxFDzfUGju/EJqssnLUGthSPq9dXMW1wEQRDAsrQqRiRyxuVHKMJj6ep9uGtQNzzz5jaVL1+99qCcaJ5anINGXwib//WDbIPTUixwNQYQCulTA4RCPMYP7yXbWM7EoiomNnh++TdY8GiRPGlgTeCwdLWU9DNCmQmEIpg5IQ8pVjNemnYHal1+fLrlKMYM7t6iv0TWeRy27uKKXuOcBKNtUfskE/JAURRCYQGzywYizPNINLPgRRG2RA4NniCCUdoJntenKSETdNIUfxFWrNunsn8i9CEZeUFEY1NQ97Oz7gBeX7kH08b1xzf7T+OO/jeoedNK8vDWJ2qofKJnkm8m4p1PD+Cxe29BRmoCTtc3Yc3mo5g8ph86pCfB6w/jifsHIDmJw+n6JhnSlBSIRt7eDZ0ykwzpM/goykBL+9i1JG0t6guCKE+tsTSNpnAEcxZ/DbvNgt+Py9E9B2eSvOwbsm2odfkRjkhwhnq6SNPqd6Lkj693BzRF48lj+iHBbMIfy/Lx9JJtYBl9JKP0FK0vPbM0D2Wj++KHGg/++sEeGco5IyVBnqQdM7g7MlMt6NrRhqfe2GoI+W1LNMl5Qy1vswhGFMAL+hzzyjzjGZcfcxZ/rUERiUv7pS06Hdvoqcw1k6Zj5bSeMt4h6BhKJKEsewK+/9GDJR/vlely1m87jl8X3CgX/uw2C6bdp69HnTvYUDaqL1asc2LcsJ64qVMygC54dYUx3DxnYtDgCSAcEfHqiu2ae021cSgd0Qf/d0gPuBqDcgFPijHNcgGdFDWlPASHs40BlI64WYYIj80tkn3jWrSXcYnL5RK69UN+ehIRjIMvT1MYr6/cg8qFW7BszT5Ulubpdlm89N4u0NHARSlZ9gSYTDRoGvJnJNlMknGxx4cjImYv2opbemTjrDuge4weDBsJpIbkdtEkAl56bxfc3jBmL/oKtQ0+eJrCutxs2WlJSEky615TRPM0WOmImwEAT5cX4LlHi1A2qq9q1NztDWBGSZ58HtLx/Er1blQu3IK5S7ZhzuKvMSS3i+Z3EKNPYDnI92eV5uHG65KxqHKIXIghCRCj5Ie6J1zalEWGRoSiIDI0GObqV3mapvXflwjd7v5wC5yAoiDiuWXfYO6SbfJ7em7ZNwCAKWNzVO9jytgcmS8q9tpGf2dpCivWSUWJqorCqENyEMGQoJu4DkcEXT0VYjr6yPEwyOUyBmtT4hBsDoiVumWUlJH4ewRpKkGUSOCv9STylSYE/vWpN7Zi+mubseTjvbhrUDd89MV3uKP/9Vjy8V6YOVplY0jXmlLOuPxoCoQ1tqgyCptFJqKVQhxYUiTplGXDwicHo2xUXyxfcwBPL/kanIltcQ84Xd+EIbld8Er1bgSCEdmBfmO6dB6jwkp2WhLmTByIJAuLoXldsWDZDmzbV4P5S7dj+mubMXvRVuT17qjaQ4itbPSGNOssPUVy4Ocu2QaaomECgAgPihcQDvG66+ZaWwtG9lfJ7Rgrbd2vACnR/9DIPrrTUb4gL+t4ozeE6rUHkZ1mTNwOABt2HMdMAz/mlerdKB7WQ/4NU8bm4M1PvkXJ8N74zwcGyAVAcvyL7+5UFUFJ89P01zbjzFk/Xnx3F0To215rAgdXY9Bw/ejdz7Rx/bFy42GccUmNWfOXbpcn3fV+c2NTENNf24yn3tiKEUXdZD1NTTCBighx2x0VUmxSCtnHz/vcBnsvTUOzh/K80OJeDeivnVdX7MaQ3C6oWrodECiVTaIoKqr/+vY9Fj70jMsPQRTBmRj8+e87UblwM+rcQTAMDUEQ8cySbXilejfqGvyY9foWTHh6HV6u3oUxg/W7r4kd53mJb400U1Uu3IL5S7fD5QmANnBDOUYq7JPn4fIEkGqzIMFE6epuS4mpuFxc0YtZSFOMidWuL5cngJM1jSiv2oDjPzbixyh3pVKUvkCsblUt3Y6S4b1lP3r5mgOYu/hr9LoxQ7bBP9R48Ke/7ZR5s2LPTUU5uomNFURB9s1nlubB0dmOMy5posrEMnjhnW/w8nu78KuBXfH69MHoel0K/uOBARrf6IZsG3hBgKsxiKql2zF/6Xbs+a4OMNgLCEwzWectPcu4XBiJjWGIPze/ohBVFYWYWpwDQRAx6/UteOKVLzF3yVfw+sJ485NvcfK0FzVnm1RNRR9+fhgzSnI1MeLKjYcBkMYoQfZHif2LRET9/YGCoX+sLCwOL7pJsx9ULdsu63Ts98j/O0+44A/ymL3oK8xdsg3rd5zE3CXbMHvRV3A1Sn7Dy+9JOZEHR/aWcxzb9tXglerdMveX0V7V2j52LUlbngXD0Dh+uhGVC7egvGoDZizcDJdH4k4fM7g7Ttc3GfqRiz74FqGwIBWao9OclTH2Y0ZJLtxNWn/T5QkgFBYw/bXNmL90u5o3jWPx4rs70egNY87Egci0J+jeQ3ISp+uf/xCl3HGecMn+rMkk8V+7PAGs3HgYZo6FyyMVu43iyUAoYog6A1Gi0SE0JbHfNaJsiMv5SWs6TZrViD5XLtyCsKLZzqjpWBnvkDwD+W9iT4l+nTnrw4dfHMX76w+hY4bUPOc84YLbqx9XnTjtwfyl22UbHImIuCHL2qLupSSZEQpr83OvVO/GmMHdsW1fDWYv2gpXY1D2ZzPtCXjm4QIwDI3H7xsgFwKXfLwXnImBLxiWc8FzFn+F4mE9kd87W/M7jezlTzGPG5e4XAlyTa4kI0eOZWgZFhGQYKWq1x5Ep0ybbqAtisDUYnWhZGpxDswmBjwvYm75QMwuy4c9WSKFJyPSsY7Kh59LRt7MSVNZseecUZIrbwzK+yWBlFEym3TBsQwNzsToHlPr8uGl93ZpCnhTi3NAQZ3AmPX6Vry9+luYWFomsSXHciyDT7dInXWvTx+M+ZMLVR395HqEQ1D5O5SFmT9Nvb05cZdoghDidQsvLU1jENHblF2+8NW/gVCiboHOKCHUUuBi9B1RBFZtOqIq3q3adAQsS2sCP8L998T96uTAE/cPgAhogsBt+2rAGxTied64YKn7vkV957a1pIJeUS8exF250poT2FKiOCMlAfMmF6CuIYBgOILJY/pJBSybfvOD1xcCKAly6I3pg/F0eYHEYzqyD7p1StbV/8xUCyaP6QeWpfDnv+2E2xuUA0xpPYnyHhBra6eMlTgglPaaONAnarwS104LifWK5zdi2stfwhcMG3atKpMz5O+6yXAVb5p2YipeDIeh/QVlHGi3J1lPplz1jifJAwCwcCy27avBD2f0k8kkyCNTSUYTV9lpSbKNJ0kvtzeIiMG0mF6CmyQfnCdcWLnxEKaP1yYHl3+6DxwnJURaShx2yrTijRmD8di9t6i4MkkikEDptpRclp7tNa6nLQhnojVJs8rSPHCm8/eN2pvQb3WvbgEqnrxnpU0i+7iRzYyFDyV7PPFXlQV6sgb0EjhGUyvy9Imo39wmcf44caLGg9P1TQDLgOYYRCgKIR6oXqtumqpeexAhg2mouM9y+cSomYznBaQkmQ3e+yEAku2uXntIs4/MLM1T+QJKkYpzQdmPJrqqhB8nzRH1br9ubFrvlvhfO6Ql4aXf3w6eFyWuqYVbsOTjvfjdb3ohv3c2al0SDOTc8gKUje6DcETE0tX7EAzxePPjfSr9fGPlHtS6/Hji5U346wd7UDaqLxZVDonyfR7S3Mfj9w2QE34zJ+TJjQfxBqMLI635ysrPIwBYhsJL7+1Ckz+iQYx5dcVujCi6CfZkMzJSE1R5jD3f1eHTLccwf3Kh/L5JYzDQ3Bj13KNFcoEZANZv/163YOP1S1z1sWti+vhclX9gFCN2SE/S+BUE9pPkT4xyJSQv4fIEwNCUboFIEFreq+KF7GZpy7OIAJj31jbdQoMt0aRrH2eU5Mkc6B/8s7nwt37HSVCUiDkTB+KN6YMxu2wgPt1yDO+v0/qiM0vzwBo0LRMfk6KAYCgCJsqVHqtXXl9IV4/0YqpAkMfS1fsxtTgHk+7pB48vJBe79XKCsybkoVOWDZ076OcdBUFEBMCbn3yru3/o5Qrj/sD5S2s6rZeDOKVo9DHa0ztlWuXBCjNHY0huF7n5V2lPlfq1fsdJ1Lp88rnf+mRfi3EV+T4g4vTZJkPdm1mah//ZeMhwAlY5wU8gwGeW5mHxR3vx8IINqPyvzWBZCvMmFeCFKYMwv6IQyUkmNHpDsNss8nerlm5H+eh++OuM5n3D5Qno2sufbB43Lle0hMI8MjNtbf6fLTmh9ZNegWLonzgcjuvacgKn03nqwt3OpRGWoXSJSUVRm3jbtq8GE0f3RZZdH6rEbjPLUIqiCFyXmYh6d0AFVTFvUoHcGaEckU5LtmDFOifW7ziJLLs0yfX88m9UnGiE/++uQWpeETKanWVPQHJ0ki/2/jy+MPJ7ZyPFagZnog2PcXkCSLGaMG9SISS6e6DBE0Q4EsGT42+FpykkQ0XakjjsPFCDeZMKwPMiqCg3BS+KOFnjxdwl2/DGjCFAlIhbKbH3qtxEeUhBdWZaEmoDnlZhC8g0RuzvoWlKxqb7yUIlKQp0BGJl1aYjKB/dT/eZmKLd5bG8NpyJRiii5R/LsktE2Q/8phcYigZNASlWMx74TS/wEQEr1jlV116xzomH75YKIEpYUZaljGF+GP33RyYK2/p3I9+2Jd44I4nlzYjVz7hcHmkLlKJRojjFysFiYSHwIkJhHilWC976ZBecJ1xwdLbjPx+4FS/87RuVXU20mPD6/+zBmMHdsWHHcQ0s45yJt+GZhwvQ6AtFSbkDEASJ13LxhxJPKylGAM12iewB/mBYxQtIHGBl1zJZo9VRDj4h2nDySrUE/1E8rAc6pCeBoiiZiyLRbNJdI6lWM95f71Q1ZMQmwx2d7Sge1gMUBcwuy0d2WmJc743E0P72NfxKe+HOaIPjlV2+RE/0+BdmlOSCAjB5TD+kp1hwqtaHrLQE3XPWnG1SQdQS3eAFfb+i3u3X7CdzJt4GlqGx8MnBqHf78dnWYxKkbHoSTCYGEEWUDO+NercfX+z6AXMmDgQF4PTZJk3i0BeMQBQhc7GQgJZw9ARCkRY5J1p7tnEBwmEBp85UtrToAAAgAElEQVR4UFVRBF4QwNA0Dn5fj5QkrvUvtyLt3XtbO95o7RD9Z2ga4JstFUVLttLtDWj8fAKppPRBK0vz0OgLqu6JFOiZqJ+pl8AhibzY+4rwAmaX5QMiEKGAdBsX/W2Ay+MHTdP4TeFNKoh04s8/OLI3tu2rkSGfiTw0so9usBb3WS6v6MGSA5L9Vuo0TVN44Z2dAKT3lWI1o3hYD3y+86Qq1rMlSVDMRhzsegVspa9B4DqXrzmAiXf3UfnjKVYz3N4g8ntngzMxMJlozFyo5iR8dcVuzJskcf9M6NAHb6/6VoYfnTauP+rdfl2aAOU9mFgKAIUbr0tBp0wreEFqiA0EI2jwBtEhPRGP39cfp+q8eP1/9sjJP3uiSeYAjcPWnZu05ivrfT6rNA9zJt4mF0CUcsblR0aqZGP/XevR5DHSUyygKAquRglGjsT8yqLz9Ne2yPvzqk1HcFvf65CRalHxu3++8yT6dc/AyNu74ZMvj8g5kpQkM/5n4yGVfxAxgCGta/CrciteXwi/GtgVFCViwog+eGhkH4CiMLssX/a9V248DJcngPQUC15/cjDMHCOfTxMT0xR4nm9xr4rTRkjSFh/AKGbLTLWAM7FweQKynhH76A+GZV3Y810dfje8V9SHEeHy+OEP8HIjP9G5XQdrMLtsIBgaMLEMwoLEd6nHfU58TFEERADT/7IZdpslSo9gBU1JxbchuV0M8k+SfSdxwYYdx+ELSPccCvMy3x8pdr+6YrcM+X1dhhU0Q4EG8Pw7O/H7aFFH7xqCIGLbvhoZjp9cj2YoTa5wZmkeQAEiQ1+z+nghpDWd1tPn6rWHZP/MaE+vawggI9WCVKsZNE3JkKAbdhxXccLH8l//7bODsn/rPOHCR198h2cmFUAUAEEUsWzNPk3M/2N9E7b8v1OYPj4Xzy3fodK9encATYEw9nxXJ6MH6fnc5N/pKZYoD3xA9lfPuCQ48XmTChEK81j80V4Mye2CFCuH34/rL1OT2G0WiJD8pM7ZyXhy/ABA1MLdAy3lcYvAMnRcn+NyUYQzMbjriY/bfPyqP4+C5yLez8USSjSYpHE4HAKkfbCleonodDqNaHAut3QFcKy+3itPNxBhGBpNYR41UVipQCiCTllWMBSNOrcEhbJy42G5A/35xwbB7Q3i2be1jjUgGSlQgKdJKqgpyVYBIL93Nsbe6VCRY88oycOKdc38DlPG5sCWaMLUF7/Q/JCqikJ89MV3KB3RB4FQBIkWFk3+MBLMLE5HjXos3x/hiLq9/w2oWroddptFw7U2oyQXm3b/gFtv7oB/fnMSPx9wgzaRSFGqZN+0cf1B01Dx8QDSpkBIaOeWF8DrD4GhKdVvJvc0oqhbNPGkdQwzM22orW19KYksjR/rfJokYMeMRFCRKGQoRaG8aoPmu4sqh0j46DqSmWm7UuqDXdGC/uoFeumpFtQ1BDTFvg52C1zekErfs9MSkWzlIAhAXUNAw9l3XZoFP7q050pLNqNk7lrNzS6eOVTmLCOSZU/AgkeK4G4KocETkK+darMgPcUClzsg46WTYDQt1YJalz9mreQiKy0BtTr3k2HjEA7ppwti8dnb4gCfy3cuhbR1XVwN+quUtvwukaFRuVCrW1UVhaCi78bomPkVhWjyh1W2W4nD7+hsR8nwm5GeaoEoAr5AGBYzgzmLJF6KaeP644+LturaOkLcPumevmjwBmX9zkpLxPI1+2XbXlmSh/3H6tDrxgwsWKZvi0mCZOydDlAAzByL3c4a9O/ZAb5AGKk2MwKhCLy+EEwsq1mvK9YdRPGdDjQFIhqbmGzl0OgNqf7++H0DYDbRqFq2Q/d+ZpXmIfUydOJfDfp7Lny0hjbbxiHEixp7Y3T8F7tOoteNGbAlmhDhBSQmsHhu2TdyYfi6DCs4lgZFUwgEI6BpGr6AdB6996zUA+X6sJgZfLble41PQBIlqTYOE0b0gccfgt1mRl1DAC++q+YOZFkK/7v1ewzN66L6bGpxDrLTEvHCOzs1nCeVpXnwBcL45MsjePCuPgCAU3VeVK89JCeLOY6W12fxsB7omJ4Emqbw5ifNCesLzQ/8U7O/jJlFvTug2WfTUyzgg3ogtZdPjDgBV206guJhPTU+QISi8ee/78T44b2QYjWj1uVTNbHtPngGg265HjQNRHgRH/zzMPZ8VyfrNvH7qyoKwTEUPIEIAApzFn8l7wOOznY8OPJmmE2s6r6mjesPjqPx3LJvNLY0QgE/1vkQjvCaGIHsKQBkbm/lZ8q9Tu/5XCif5WrRX6W0dW1eSom9J5FhsOijPZqGIuKLEP6xVZuOoHREHyxd/a3mWGJTn18u6VZ+72w8NLIPPL4w6t0BbNhxHCXDb0YoLMj2nuwJ9e4Alq3ZLye/BQiASOGJV77U3PsbMwZDFCEV16NNofUN0vcBaGz2jJJcrFjnRIMnJO8vRj7OO59KfJhPvdGyP3cez/2a1t/WfGWjzxdUFEEEULlwswzHSAoviRYWGamJeH75N7qcwv/85iR+XdgVANDobW4YTrZKsI3KAt4zDxfgf786puH0m1qcgw5piXj+nZ144Nc9kZGaAFdjEBxHy/qul9fQW0fKf88oyUM4EgHD0Kipa0KnLJvmuolmFtVRziyS02nwhTWx6aXwh68g/QXaocPnInq6SHJlK9Y5NfavsjQPX0b9X8J3vvbrY7j15g7ISE2Azx9B9bqDGJLbBekpFtgSTfhs6zEM6NVBY69EiHh/3SHc84vuSLWaVT4m8U9nLtyiWQvWRA7BEI9Vm45om0PLb0MoJKj0a0ZJLqyJJvgCEXAsg4rnN8pcf6s2HZELJMlJZpg5GsEQD1EElq3ZB1sih18X3Ijnljf7aCRm8IcFPPWGfly6cuNhjBncHV2vSwZE8aL6xbFyJeovgEviHxjZ1ucfKwLPAx5/CBCh8WNJE/DTDxeg3u0HxzLYuuffGJRzvco/ryzNQ1ICi6deb+YUfHL8rQiFBKSnWsDSNHzBMBIt0kCKpymsKnJXluRhzZaj+PmAG1S6Z7eZwYsiRAGwWBg0ekJ4b+3BFn2VqcU5MHMM7FYznn9np6rYCEg51jc/0fow08fn4rOtxzT56pb00iiP+9yjRTCbmHbrc0v77ZWovxcih3Yp5VzuJzPT1u6C28U8/lyv0cYcwUV9X+3V4ZaKgOa2nMDpdAZbP+qySFe0lASJBs6gKDA04PaEVE4fCcjuGtQNqzYdwb139oDPLyURrAkmiCJAUxKHR4iXAqWZC7dg2rj+qFy4RXO9RTOHQhBECIKIBk8QZjON+gapKzLJYgJoQBREVWcmQBLZRThZ04jqtYfkxHXxsB7o3CEZgiiCAiCKAEUBFE0BAiBA+rvyfOR7HdKScPKMFxt2HMe4YT2xY/9pFPTrhLlLvtJce/KYfpopgfkVhSh7dr3mNxKjvHrzUdyZ3wXrth3HmME90NgUhNsbkq+X3kLhps1FQIbGomiXibJTpnx031YLAy0Fm1fQJtAVbdRfRGGnIhSF1ZuOYGheVwnKT5CgV+4a1A0z/muzboGOF0SsWOfE3T/vLn/nw88PY9ywnlj00R6d59sPlQu155pfUYQX3vlG1bm0cuNhTC+5FY3ekCaZnWW3oEGnMGnmGLz3D+39FA/ricW699NX912eS6L+SpafWhKaSFt+V1uK+SaOQb0npAnAkpM4XZs6Z+JAuL1BOTG8+MPmZMWbTw1FOCzC3RREchKHyc9t1Fz7uUeL8NYn+zB+eC8kWkyqhMTMCXkIRwTQFIUUKyfZZ0DuiuuQkYhEswmCIICmaTAM0NAYhD/Io2NmIk7X+SCIImiKwvZ9P8rBQL+fZWDsnQ7dYnvVI0WgaeDHWh9SbVJHYb3bj0++lJx9OTDsaFNNJYwZ3B2dO9hksnLVOS9AUq69crXor579bW+DAcdQGp2N7dpXHm820XD7wjInHrGZSRYTgmEeLE2DNVE46w7K55xdlq8qOJDiRXpKIgRBAEVR+HTLUfTvmY2MFInPmKIAf5DH00ukQtvj9/XH2caAbNPJOllUORSAiH/XenWLGo/dewv8QV63qDG3fCBmL/pKk2Tpel0yeF6EyxOELZHDP746hqF5XdHYFJRtfsWY/4NgmIfL0+xXlAy/GRYTi4hBc9H5yk/N/pKihN7+TvGXdwZHr6gFSM12ggjQFAViVE0mGuEwr37fLCP7O47OdjmpfLq+SU70zZtUYJhEW/LxXnkd0tEiYIMnBHuyWdN0pyy0mFgaNE3hyb9s0rGlkq/171oPUq1mTHtZW3ypqijE0tX7NYn2S+m3XC36q5RLmQRpa8E19p5MHGOYuH364QKcOO3B9n0/Iq93R3TtaAND02BYCnxEhD8UQZM/DHuyBQzdHOt5mrT+bYqNgyCICIYENAXCsCaYsHT1PtVkKbHNHTKS8EONRzMVRSZLSBzr6GzHw/f0kxuPSPERFAWGovDe2oPI691R5UfMLM3TtfuTx/TDDdk23fixpebMtsq1rr+t+cotfW6mKTRF91VlgnbauP7ISktE5X81Fwivz7Ki5myTKifx4MibkZ6cgFBEAGei8YJucngoGJrSjSGff2wQjp1yw8KxiPACaJpCUoIJtgQOgihKU4M0hdN1TThxuhG39b0OPC9AFIFGXwiCICLVZkaTPwxbIiehAokigmFBnoStjImD83tno/zufuB5ASxNg4Go63tdqmbQK0h/gYtcBGQYGu5ARIYEzbInqPZlR2c7xgzujhQrh4yUBHAmCmdcAVVRbMrYHHRIT8Tij/bit0N7SFDMVgsYmoKJpRHhBd347+nyAtQ2+GAxs8hKS0AkLMqICAwDhMIC/vz3XToND3n4dMtR5PXuiG7XJwOiRKnCMBQiEQF/+OtW/SJ6SiIivPR57G+zJnBY/uk+/GpgV8xdsk0u+FSvPYgGT6jZv2Ak/YwAWPTRXrnAIjfDZVhR6/Lhb58dhMsTQFVFke46u5hx3ZWov8DFKQK2J54LCiLKqzZgaO4NKB7mQK3Lr4mnnnu0CNNf24xFM4ciEhFUTWdAc5wfDgsAJSHaMQwlcZVSQIM3iMYoOoXFzOJUbRPSUxLkXAAgQRwaNaAt+Xgv5pTfBiHKZc0y0kS/xyc1etY2+CAIUPkKRjo2b1Ihvv+xUdcHmDNxoP5vM9BLozwuuef26nO8CHhxJV4EbFmutCKgIRzoFVzcuyBCYFwyM204c7ZJLgACzbAos8sGyvjjx041YmpxDry+MOa/rZ5Gql57EKPv+BnOuPyGI9+iIOLEaY8ML0eDwsqNh/G73/TCvLe2yePf08b1V8EZTC3OQSgsJaoJ1IbLE4CJZRAIhuHxRVRd9qTzLSmBA8eqcZ2dJ1yYu2QbqioKZTiXY6caoyPd+vwm+rxR+nAZ6SkWVK91Ys93dbh3aI8oMTwwZrBEfDsktwveW3tQ7rg7H6eaBTBuWM8WYZB+ylBJSv2trfWAByDQND784ig+/OKo6thfF9yo+24jvOS8enwh/LvWKycDPb4QQImaLh6J9wq6MGycidZ0/k4tzgFNUfqj/I8UYc7ir3ULk3u+q8P6HSdVf793qNgumKyfLBTsNShtgVIM8SLeW3sQj917i+z4ur0BXYhnyU6HULlwi5zkUJ63pt4Pe7IZ01/bLHMAxF471WbG+OG9ZIgXlZ69vR1PlxcgIgg4GU2ypVrNaPCEkJTAIhIRMfPNzSqblGzlkGgREQwKsv13dLarJhHX7ziJIbmddX8PIKLBE8bL1eq947dDe8gFTuIwA9IeolwTeufkBfGa5DNpi+jZ37Z+h8CdhUC3aKNij48wFBo8QTmIkzvZLSzMNAWXL6RBIiAcUUoJR0TMXNisf9PH54KmgJNnvOjROQXHTjUi1WrGnIkDQdMUBFFUcSUD0hoARAii/jXOuPxIT0lAMMzrfub1h+V9ZP7S7dJ9lNyK03U+DZxTKBxRNVaVjRRgpilkp0k+R9eOfeQEHkQxDiXXFmlhf7+comzeURXYGBosook6hkZTiNc0ECWZGGnN0FDBJP3l/X/hyfG3gmVoPDiyNzJSEiAYcBJ3vS4ZVRVFEAQBEQAMKE1SfGZpHt5bexBnXBK/GkncLagoQshA3+vcfkx/bbO83giEM5EsuwS15DzhwqpNRxQwrVcOGsG1LufTWBYO8aBofZ4dV2NAjgVj1yNBB1i37TjW7ziJRZVD8O9aLwCo7DzZOyaP6YfqtYdUcOGxPvMZlx8dMpLg9YU1e4mZY/Df6w9hSG4X+XiXJwB/MKyC5QMouBoDyEhJgMcX0vgRRvxH12VYwTIShHlsA0Icvvn8pTVf2fhzGkFBgIVj8Ur11yq9eum9XaiqKMKcibdhzuKvMX/pdjz3aBHmLtkGR2e7DH/o9obBsQze+GAvnvzdrbp0IBFeAEBpdMNus+j6NhFeQGNTEFXLdqCyNA/f7D+NddtPYNaEPLA0hdWbjuGXA2+EIIhwe0P44J+HUXxnT3y6RWpErjnrgzWRgy2BQ4NHneNwdLbjrkHd5MJg7HrWg/mNy4UTnhfQpUOyCl5RUEAqOk+45DzVm08NRTAsygVAoDlPN7+iEENyu+C/1x/CXYO64e1VzRNI08b117e5ngD+9tlBlN/TBz/UeDV5C87EoHhYDw0P8IJl2+Vc3U2d+sIXDIOlaYCSmufsNotu4RCUiBff3SX7vCQem1mah/Xbv8fI27th+ZoD8nWqonCHah+Al2hzoja9wRPC1OIcJJhNGmhxu81s6OPE47rzFz1fYM7E22C3mTG/olDiD6UpVVNBll3irryj//Uy1QGRLHsCrAkcFj45GKIowu3Vz8eebQyA50W8+O5OFS0Iy9DYvPvf+PCLo9Gmnb4aOoWdB07jlwP1c4G2RBPsNgtcjUHD6f3pr2mHW3hBxPyKQtS7A3JD5sjbu6HmbJMh/yrDaO1/S3qpl8cl9xXX57jE5fykTWvH4XDQAMoA3AEgA4pUgdPpHHZxbu3SiRE2eWNTUEXKmp6SoJqYIJt12ai+Mv9eUgKLGSV5qk358fsGoM4t8VOVjuiDtV8fw535XTFmcHeZW6hzthVDcrsgKdGk4osi49dP/u5WDQfRhBF98OK72zROyuQx/RDhRZkoO3azUfI3nHH54Y5O6ukdq8dBwdKUfnGNoVA8zIEHft0TDCTD7fIEMDsGTu/YqcbzLsa0CXP+HHjhrmahqPbz71k4WreYClD6vFd399P9+8N399MUQ16p3o2qiiL9Dd+A3D0iiJhVmqeBYmHbyalltKbjDsPVJ20p5vOCfpF4yayhunpDuNVIkkM5AfL6yj14cGRvZNn1+damjM1BY1MIr1QbB5mgJEeeJDWee7TQuGgYTd7NXbIN+b2zMaMkFwuW7YDzhAuiqNZjZZOJsptUEIDqaGKanPeV6t148ne3yvB2StJt5fMkPEJ6a4sBdUXC4/4UpL02KsKLujZ2fkUhWEbi4O2YnmSoL4A0+Rmb2Hhu+Q45sZGV1hOvr9yj6i7mBQHzJhWoJu/uGtQNLk8AvoDkH+jpT83ZJnTKtOp+5moMYuXGwxIfRaYVp2q98PkjquCYJHlmlw1UfZemKUQoEZ4Wpijj0ppQGj14dcVuVD1SdFnvijTv6CXTyPsVGQquszrF8MwkgJf4DpevOYDH7r0FmakSDwrhan38vgFgGADQ9ycgiqiMTloT1As9e102qq9qryG+S2tcnmS9zS0vMOT4HjesJ1iIoOIF7StKzrWxjEwMiIKI2WX58vQU0Bxj6dnlV1dI/FELlu3A7LKB8PhCCIZ5dEhP0hQ0yHesiZxq3Sx8crCBnkNGLyDffaV6N35f3B/Fw3rKXMTKRlcCKTejJBdvfrJXnlJ58K4++O2QHgiGeflaRs2w0jQLUDysp5ajnKEQjiv7eUlrvrLe55WleVj00R40eEKYNi5HV68avEGwNIXnHytCOCzZufze2ZpppASLCY/f3x9hntf1m4OhCLgkLddw8bAemrVF9NHrD6sKI3fmd4EgiAhFBPzythvxj6+OyRCRE0b0QSAcxohBN+F0vU+1R8wtL2jVF4o3il5aoWlKxQNKG+QqIFKGhRFBAFKsHIbkdsGqTUcw/je9ZVQrIzsU4QUUD+uBRm9I00zxSvVuPPNwAawJ+o0M6SmWKDLRXlUj1eyyfMPC4bOTC+HyBPD5zpMyP6EgAqFIBHf0vwGrNx9VTc1KcYAgTUbH+ACkkO884UKTP6IbE0jwvvrcmfFmi/OXWF/AbrPA5QnKje1KfxVotrvvrT0ImqZ0+ShfXbEbAPCfvxsAUdSPqawJJhlBJdY/nj4+F/uPuTBmcHcZRhRo9iXmlhfA7Q0a5oTHDO6u0aVXV+zG5DH9QNP69/PvWg84E6OAX87Fp1uO4WSN15DTMhJpn14253GLZLouJWx/XJ/jEpdzl7bmw/8EYASANwH8AcAzACYCqL5I93VJxag7Tlksy7InqLqUiJxxSV0UG3ackHn/CJFwp0wr6hoCYFkKLy5XG2uTicZNnZKRaOmmSXQog0QiyUkcnn/nG9VxRh0VFo6VuvceKZQTyrHJBuXvIhyIsU77tHH9YTI1O2XydSHKxTVBkFgj9HDH7YkmJFr0pwQuRDGmLd1611JHH8tQMvymkpeBoSm5M16pBwxNIaDAsAeaA6EFjxbpTgpQFHT/HuGNu86MNny9v9MUhVSdwi0gtmuqsy3TY3G5OqQtxXyj980y2oYF0kVG5IzLj64dbaiqKAQTLaZEeEFeM4Q8u0N6EjhWgtFrbfKbZWiVQx0KC/jL+/8yLBpmpyWhqqIQHl8Ym3b/gNllA2V4OeX5V248jKnFOfjkSy0nxZSxOWjwhFSNK9ZEDi8/fgdsCZw0SRN9ZsrnyZloTeF9ZmkeKAoI8peWU+JakvbaKCP/QxSBBo+UzCgb1VdXX4guGnVnpqdY8NDIPnjqja3o97MMmYtEj9uJ8DoMye2M6rWHMPHuPpr9Zdq4/li6ej9SbZxMQk8+m1GSi79+sBeuKE+sKIgyQoHevZFGJPJdAmOphJqJJ/DaJ0a6JAgiLifJNymMl43qa5igFVsohtMAWJqWO/0n3i3xSpaOuBmBUAQsS8m+p54/8eYn36rOa1SoT7Fyqr9JxWnJB4tdC3r7jdcfkgrgMowo8MT9/eONFlewnEtjmd7EgJJbp7IkD7YkEyIRff+ZTNQxDCXb58fuvQURXtDdOxLNJrwQjRMBIBiK6BZjjH6L3WbGyn8expDcLhh9x88QCEXAMBQmju6He37hl6HrGjwh3SL9Hx7KxzNvbtPsO8rYMcRrC5BVcdt9QaQ1X1n7OY1FH+3Btn01mFmahx/rm3T1qt4dkJEkGFEAA1rWR6OE9M4DpzXNor8a2BUigCfuH4A//70ZwahDTPMSENXHZLPMRUkKI2EeUcjbCBq8QQzO7YxIRIAvGEFtgw9ZaYkQRWj2iKWrv1X5Ika+kCDgsu6B17IY7csUJcLCsfqxFk3BbjPDzDG4a1A3eP0hlf+rh66VYjXDbGJQ1+DX1QGKAuqix8deL9VqRuXCzRofpXrtIfzeoIju9Yfx5PhbEQzxcoGS+MkfffEdfjWwqwb9iKVpQAeaXfmMjCauI4KElvFTRcK63BK7f+oV0GJRXdJtnNxwT3LE0mS8ZINJ3P7/Dp1BzxvTNfunxAlMG/rHpJnTnmw2jKPe+mSfrj/wzqcHUDriZt3vdUhPwprNRzFzQp4KBU/JZ1g2qq+UN1wm3cP6HduxcuMhTexXGZ18jb2H1pqAeF4Ay9Awmxg57ovrc1zicv7S1jrMvQAGOZ3OYw6HY6bT6XzO4XCsBvCXi3hvl0yMuuOU3ZBTi3NQ79Z3Cjy+MPJ6d5SLbWdcEkTQc48WwesPY0n1Xo2xJt3teokOPR4+mqYlmCJRRG10kxcMCiwen9Q5FwoL+HTLMcwtHyhNddA0GqOQYeTYmRPy8N4/DsJ5woV3Pj2AslF9kWLlkJ5iweKPpG5PebNiaTA0pGJatLhGMzQqF27V3/wUY/DxYszFF5oGaIpWdT9Wlkr8ZMvXHFAFZMvXHMATDwwABX24RFHQ6uarK6TJPt0JwdH9DIswegVIk4nWL0wyAB/SL9yS4LUtPFw/ZSjYa1FaK+YbBo+8qJv0UDZZEHtE8QIo0JhZmodgmMdbn+xT6fnL7+1G+d19MXF0X7lTz2hSUIiBIWUZusWiYc3ZJhlWbsrYHIR5HiaWgolRrxOXJwCLmUH53f1U/CZkfRJnnJz3xzovOmVaVQXA2OcphHi58K7X1KEsLsYLLRdO2mujWFp/L1VCLsfqo8sTQIrVjMfuvQUsQ8OawOmew5ZoAsvSUT6THvL0ftmovprglgSbgVAELk8Aiz/8FuOH98LTDxdAFERQFIWX3tslr7HiOx2qdZRiNePBkb3h9oawdPV+jBncXfZb9O4tOYnDC1MGIdFswvJPJX4rUoRXSmsJ+bg0C22AGkBTl3dlk8K4UXKLj3JjGCVvaQAMJDSBs56ADG1o4SQY3P9efwjlo/uB53nVvsDSNCgGGH3Hz2QOVecJFxo8+p3TKYppFuK7sAwl7Tc2s4zmkWI1Y9mafZr9xm4zI81mRkZqIurrvRBwbTSqXc1yLo1letODZNLk+GkPqtcdxN0/7w57snY6SmkTGZqCLyDFdaS4rZckDIUjqnN4/WGs3nxU46+P/01v/d/CUDIcP9n7//7ZATw8uh/MJgZef0guGOnFro/de4scQ2anJWJBRZGGp5Wn2gcHFpf2SWu+svLziCDIE822RBOWrt6v8WdnlOThrx/skd+RmfAhAy0mpJ9+uEBGTiI20p4sFV8gQoV4VNegn1upa/CrpmYjvIiK5zfKuvm/X0lQipyJgShCRhJ4/cnBGh3btq9G9kVSrBzSki36eyBNxYPEyyR6RWzCtfbe2oO6xQwYd3EAACAASURBVAMTA5gYGjRtwvy3t6sa4ZwnXBBEURdd69nJhYYoKBFexOrNRzXXmz4+F6BEVYMGEecJl6Ee17r8SDAzGqQLgkKjRO0ia4VmAEFHD5XPSIT+hBZDU9ccEtallFhfoCV/lexpIV6UfQGSI86yJ+D5x4owblhPGRmiY4YNcxdLfOxKCG5eEPBDjadF/zjFyiHRbNLVCY5lZLj5ORMHgmEoQATeWiXRhRithboGPz784ihGDOqG+RWFMp8hmcYjv5/cA/n3+h0n4fGFVLC2HENhRFE3ePwhzC4bCF8wDFdjENVrD6J8dN8W8wpxfY5LXC68tNXnTnI6ncei//Y7HI4Ep9O5z+Fw3HohbsLhcHwPIBD9HwBMdzqd/3A4HLcB+CuABADfA3jA6XSeuRDXVAoxLlLAIoKmAbOJQdmovhj3y54wmxhQAMxmBrMm5OHZFjgBleL2hgy7zXzBMGiDYEjPITAxFPhQBDRLw55swexFWzG1OMew65gkdNbvOIm83h2x5OO9clc/SdaZOQZf7T2FuwZ1w7FTjSqccjNDoXx03xYn/XheaLU7Vi/ROWfibaAoChGKkgloKV6MG/PzlEhE1O+wfaQILk9ALg4AiuSFAeyAIBq8V1HEb4f2gKdJgrcysRR+O7QHzGYalaV5GogfM0cjVZEUC4QiSLWZQQGwmBnV3y1maZ1diNJw3GG4tqS1902SHgxElcMdW3gh54mA010zSRYTXnhnJ1JtnKzvZFKwY4YVHEujtsEHnhdU0F8kmadXNKwsyYMvGIajsx3OEy6Z52LxR3tRfndfJJpZ9ToxseANJm/JhIpyL/iPBwa0qvctNXUoi4vxZN2FkzZNuCrI5zkGGv9jVmmenJQAoGrm6drRhpqzPri9QTn54Ohs13RnThmbgzc/+Rblo/tJEEkKfuCWgk2OpeUu61mvb1VNuSgTd75gM6S4iaVk7hciZE2s2nREt6C+5GMJdommKDR4QpL/YZDAizcXtVEMuH0vd3Wf+IsuT8Dw/VIGUJ5sNHnL8wJSE02wJnGGaAZAs80zMzRcPjW07JPjb4U/EIGZYzQd0LMm5IFmoLLJdptZ9mGTTAw6Z9vkKev7dPYbU/T6NB1vp7ha5Fway4zio7ONzb7FsVONqHqkUBexYNWmI1GIraP4dcGNyLJLMHCk8WLepAIAkk0VIWoaVWmawsjbu2ma7T78XOuHzCzNg8XMoqqiCGFeAEQgxPN4aGQfiJCK2wTZw2hfYBkaSz7+V7QBSwAUUH/kGcVROq4cUb4Ljy8Mlycg+w8k+ewPhmXoNc5EwxWF4SbFFiNd8PhCeOzeW5CRkgCTiYGJkeL9EM+D50VVo7Ojs123wKNsxJ5RkosP/nlYPj/xS8kU+MyFW+TEOSjoQu/WNkgTjVOLc7BinVMX/QiUVgeVflg8lry40hJ3doMnJBdxyVRy+ei+oHgBYpRzNTbGYhkas17fqrlOY1MIWWmJus3IH/zzMO4a1E1ueE6xckhOMmPt18cw6o6fYXZZPtJStD7o6s1Hdf3rliatUqwc6hr8Kj77ercUZxl5B/IzYmjNvvHUg/mqePZaQcK6lBLrC7REqUH2tFhfgNB5hMICkhM5PP9YEXhBRCQiyoVCZf6hqqIQ1WsPobI0Dw0G/nFGSgJAibq+fSgs3WPxnT3BmWhUr3XiZI0XD/y6Jx4c2QcNnoBmanb6+Fws+nAvsuwJslmM5YfP752N5CQzqiqkorqZY+T7iYW2D/MSp+XvX/xC80wfGtmn1byCUp9lqhKKitvkuMTlHKWtuTynw+EY4HQ6dwLYBWCmw+FwA/jxAt7L/3U6nd+S/4jyEP4NQKnT6dzscDieArAAwIMX8JoqaYxJBMyZeBsoALMXfaX6m7K7kYsWy/Q6cjbsOI6HRvbR725P5CCI+pN8LEtriiPeQBjJFhYhXpQ7QpevOYCJd/fBvEkFEAQRP9Y3yePZ08b1B82ou6rPuPwqZ+i5R4vQpWMKPt95UnZ0MlISwEKUiOzRyqQfWg/oYhOdnImG2xvCnMWbVU6X3WZGkikOwnE+YgTJSVHQwMLOKMkFx9IIRQRdh6Gl9xqJiKppw8fvkyYKkxJYzJk4EDQl4d2zrOTCJltYWLKTo91ANDgGEMI8EjgWjQjJ50/gWFAGSQA9WCUl5rpegBZ3gK8taSs8cFu4RPWCqxklufifjWqoZiUp9j++OoY7BtyAP/9dDT2zfM0BbNhxXLdoeNYdwBsf7IHLE5CDRVI03LavBg+O7AMRgNnEItVmxun6CF5d8S8UD+thMNHFybCiZC8QRREMQ7fJQTZKWpLuvniy7sJKSzprRD4fO10RiWnkIM088yYV4C/v/wt2m0VOdDhPuMCZaNVkCNG5slEirsuw4vhpjyoxqKdn1gRO5rAg50pPsaDJH1YhDcyeeBs8TWEVhMt/PDAAS1fvV+k8mSanKKCqoggN3iDq3QH53o6dasTzjw2SoUmVv6mtCfm4NAsFGHL7Xk4h9jnVxhkWXAB93mAGzeuH5wWAYQzRDJTJNT1+l2CIlwvn+b2zMW9SAShQEuRn9Huk0KcHuac3ZR1PIF/dci6NZW2hmjjj8uPMWT+Wrt6PslF9kRmND0FJtjUUjuDWmzugyR9W2fFPvjwiU1AoG4r+WHYbnl7ytZwAVyIaRHgBZo7Bnu/qcLLG2wxzbmJw8Fg9AKjW3NTiHPxXdHJmanEOOmUmYWpxjmHSMystEVUVhXGUjqtElO9CCeE6f+l2lf9K3pHAQ4M6YKQLrsag3FRstTCo9wQxf+l2/OfvboUtBpGATKjMryiE2xtCvTuA/UfrMHF0Xzw0si8YmsJ7aw+qIBOJX3rGJU2B68GSKqF3Z5ZK0LsLHimCPxRRrYGOGVZ4fSFQtLRmlZrbUuwZt+MXX5QxCUEjAaSiyLZ9NXLxgKAbxKJaZaQk6OqnLxDG++udmDzm/2D+5EKEIwJohsKLf5dQLE7WeDFmcHfYEk1IsZrx9qpvUTL8ZpnrXc8HLb6zJ77cfVKGZbQmcFi6uuVJK7vNjM+2HsOAXh0wZ/FXKh1LtXEIhQXDvUZvTyLoAnG5eKKX19Sj1FDuaUpfwNHZrgunnWrjcKLOY+gzuDwB2G1mZKRYdJvuN35zAl06pmDDjuMa337i6L6oeqRIQhUIhrHnuzqccfnxl/f/hbnlAzH9tS1wdLarvkdTgMsTkP1rQL135/fOxtg7HSqI21kT8vDmU0MBEbo6eyGagOI2OS5xuTBCiWLri87hcBQACDmdzm8cDkcvAIsBWAE87nQ6N57vTUQnAUfEFAFzAbztdDr7RP87A8D3TqfT2sbTdgVwrL7eq+o6j5XMTBtqaz0QGRqVC7eoDNPssnwVaTAgGSsCdakUPaNUWZqH/Ufr0LNruqoziDijNE2h0RtSd/ZPyENqshlHf3DL8AUrNx6GyxNAVUURWIgICiKeemOrvJlMGZuD5Z/uw5DcLrLx3rDjOEYU3QR/kEeXjjb84Y2tmt8xu2wgvP4Q0pIt8PpCqG0IoNv1yaAizb8tQlEor9qgfl+d7fjP3w2AEIVRCoQjuoS4esZY7zln2RMweUw/dM62ISstCbW1nlZf7sWSzEzbldKa3RXt0F8AEBgaM3We7fyKQvzzm5P4+YAbIIoSXNvnO0/ijv7Xg2Vo/PXDPRrdefS3t6DOHdA4GekpFvzXf/9Lc3wsPCG5NtFZvSJde7orjfRmQUWRpnj/U3QGlO+5leOuWv29EkSpkyYTjR9qvGAZafr6pXd3qQqAgNRIMf21zQAk51jJUwZIOjpvUgHCEREULcJsYsELImgKWPzRXhmKiRxbNqovlny8V7bN6SkWUBRw5IdGbNhxXF53gihBm7747k7V+jSbaJUtJtME5aP7yZAcLU2bMTSNyoXadUzu62Kvrbj+NouRzYv1PxiGRoMvrA5AJ+ThyEkXenbNAEMDNE3DFwzDlsBBBDBT5x1XVRSBooC/frhHnqAy4gR8f71TV3dXbjwsJ048vjBu6pSsuyeRyVKy99ttFqTbONR7QgiGeXlNKeXNp+5U7TGOznYUD+uBTpk2MLR+wHmh5Kdmf1mLCfUG+3skENYcfzmkJf+AfNYSNDhPUZgY9V1J17Ut0YQse6IKHjnWxzWy43p+//nKlbb/XS36q5Qr7RkCzffUEiegckJJaTtJ4hqUiIfmrQfQrJN2mwVTxubA6w/BmsDJyTciWfYEPDu5EIDEm8Yy2v08v3c2Sob3htsblBLTaYlYvma/zPGmFye+umI3XJ4Annm4AC++uwtP3D8ATf4wqpapf1enzCQIodZLeW1Zv+f43OP6205RoQ2YaAi8BBPK0jRoBqoiRFAQVbbS0dmO8cN7IcliUun49PG5oCnIOQWIwKKP9mJIbhd07WiDyxOAiWVUBWziq04c3ReRiABeAD78/DDG3ukAQ1OYoRNfEr/0mYcLcKrOq5uvmV9RBECUEYciaL4XZQxLeIYXVBSpuNj0/LD83tkt+tTnKleQ/gLt0OFzlbbovpEfTN492ZdFlkaDJ6TKtU0fn4uD39ej143pKl17/L4BSEu2wN0URJrNgqZgGCaGgasxgJerd2mu9fTDBThx2gNrgkn1OfFBs9OSUHO2CdZEDqEwL/vL+b2z8dDIPqBAwWSi4PaENIWijBQzfEFe1/YSaqD25DUupz25EvUXwCV5Hq3ls5S+ANFdvVzdC+/s1BQIiW28a1A3dExPRDAsYNkabc53/G9648PPD8uc7sp1sPlfP2B44U04eqoRB47V4dcFN0IQRLibgkhOMsvDLrH3QxraeF6AiWPAg0KEFySUOFHEH/6q1duWfOULUcBra2ysJy2tjytRf6+2HNq53E9mpg13PfFxm49f9edRF/X4c71GG3MEF/V9tVeH2zoJeMDpdLoAwOl0HgBQBAAOhyO1fbfXovzd4XBQADYDmAmgM4Dj5EOn01nncDhoh8OR5nQ6z7b1pOnprdcMMzNtOOPyaSYgLByrOxUBikJmpk1zntRUEX+aejvCEQEmloYtQeLWEwQRCx4pgigCgihiycd7ZWjNueUD8cKU26NGVYTHF4LfH1FBZRDhRRFZ6UlweQIy5IDzhAvLP92HsXf2xIJl+oGmo7Nd07E0oyRX5tchm8yGHceRndYTXTumyDBFsbBMxOkniT3i5Dw7uVCajDTRsCWaDWGO9J7zGZcfFo4FwWnSe7bXqrRVfwHA7Q3oQltwJgY335SOWa9vUf3dbGJAMxRKht+MmrM+ABJcW8nwm0FRwP6jdXh2cqFcONy+70fc1vc6XYgtI3hCXhDRFIpg3lvb5OOfejAfXToktwsKy0hveFFUdfGTSdU/Tb0dmWlJbT7/1SBX47poj/5ebhEEEafqvDhd3yRPYSdbOSz64FuMGdxdnnAikmVPgNvbPMnaEi+AslPuqQfzkWBmVUUUcmyKlcMfy/Lh9gZBUcDJGg+y0xJxfXaSZt09Of5WLHhEgrD+/lQj3li5B5Pu6as75XXPL/yY/tpmzfoTBBHHTzfK6zO/d7amw3DWhDykWM3409TbkZJkbNt/inI59dfI5sX6H4IgwhfxqtADRBFwdE2T9S6/dzaKh/VE5cLNuh3MU8bmSBwRgAYGKS3FgmcnF6KuwY/kJDPWb/9ehhBXFh0Jt7CSk3LepELd39Clow0vTBkEV2MQXTomIz05Ae6moApmLDbAE2KmVJ0nXJi7ZBvefOpOZNkTL/jzj5UrxU61R4z093R9E9ZsPorZZQPB0FAlXDtchb9TT4jvqjchorSBsT6ukR038vvPV65GvbpU0hb7C1yZz5DckzIuZBkavoB6WrqyNA9f7jqp0dFZE/KQ3zsb2/bVqNBcGpuCqFy4BVUV+rY1wgtyQi+/dzb+WJaP2miMRYp+Hl9Q/o4giGjwhODyBHXP5/WH8PA9/eAPhkFF10u9u3lyUckz/uT4W5F1Bb6LyyVXs/7qSaytdJ5w4ZXq3ZhanCM3rrm9ISz6cK8MIbrgkSLQDCXTSNS7AwiEIrghO8HAV+0u+6pTi3NA09LUeixUHUmMV5bm4b83HMKYwd119bferfZ9k5M4bNtXo/G/CaWLSEGlw7F+mKOzHXcN6iYX1881pr1apK06fK7Smu4LgoinHsxX5RDIu3/qwXxkpCbK+zjDUCqdInr40u9vV01DsyyFp97YojnfA7/upYl/pozNkRtAX5gySNcHraooxNwl2/DClEFYvuYA5lcUIsKLEAUJhSXNZolybieocoQkngqGW8iLof15javFnlwquVKeB/EFAkFe932LImRI5tllAyUkOI5FKBzBkNwuWLXpCEqG9wZNQdeG3fOL7vj5gBtw8Pt6zJtUAJcnCLc3hM3/+gG/vO1GuLxBmFgKhbd0wpuffIt77+wBlmGwdPU+DSLYUw/mIzNViqvcTUGIoOAPCypqqLnlA8/JV47Nlbc3r9DW2NhIrhR9aItcTTk0Ilfa/VwqaevvvpKeT1uLgMcBJOv8/SiAtAtwH4OcTudJh8NhBvAygNcAfHgBzos2V9EZWpN4MhrfhyjqVnKVnSAQRTSG/erOBoaWCzGAZLRmL/oKVRVS5+as17dgzsSB4AUDsl+Kit4rg/fXO1XOzqbdJ2VoulCYh8XMyIGmyxNAqs2Mp8sL4G4KyljqZAM542rG13/27e2qbgomBhaveFgPOXlInGHSwURgmfgQb9zRofOcs+wJCIQiQHQq9TJPAl62a+tJe7pAGIaGPYZ/z24zg48I8jsDpPf9SvVuLHikCADQ2BTWwHsmWUzodaO6cDijJBcADCG2jEb8ifNOjp/31rb2d9Ub6A1FQdcZCAQjqA1cOd0x5yvtmES5BHfTdrmauphojsHZxoBqLUwtzsGDI2/GW5/s1xROyEQUESPoxNP1TTr6r79eMlOlv5Fu0+YOeyvmrPhadZ7nl3+DqooiMBTkrkLCeRJ7XlKsJNcn3c4iQ6vWJ9kTlGTeLAA+FIEAoP4iTwnF9VchBjYv1v8QGVq3i3PymH7y34bkdpETG2dcEpT45DH90CEtCYIImVsFkBJupMPU7Q3hg38exq8GdsXcJdtkGBtlkdBuMyPRzGi4NqeMzUFdg1/3N5yq9ao6nBlBQjg449JyusjHUPowMkb+2IWUn5r9ZVgJElAJr5ZlT8B9v+x5xdjjtkhL74X4ri5PQOOzKH2QWB+3vX7/xbr/yyFXi/4q5Up7hoD+PVEA+AiPRJZWwbdxDIURRd1UE3tnXH48+/Z2zJtUgGOnGlW+Bfl3W/yNBk8IXl9E49+/vWq/ZhLR7Q3pns/tDWHJx3sxeUw/eH1hzJtcgEhExIMje8PtDWHp6v1ywae9a+RCv7u4/l5cibWVZJ9fvuYAUm0cxg3rqYL+nlmaJ8G8AQiGeJUePl1e0Kqv+kr1bvy+uD963ZghF527dLAhwotyYtwXkKDt7uh/vaH+kvO15HuT9aTR4Rg/bMzg7i3uJ+cjV5r+Am3T4XOVtup+ioVFVUUhBEHiOQUlonx0X7DR+wMk3Uw0s7o61eANys1pM0vzZKhvQJ3/eubNbXji/v4y1+q/az1ycRoAkiymFnUn0SxBmbu9IQ36l3LSiQIQifCoD4TBMDQoA982otCntuY1LvMk4GW5bmtypdlXxiC2YyhKhhV9dcVujB/eSzPRxzIUIrw+lRTZq6sqirB68xH0ujEDXa9LRkaqBX9c1JynnVqcg5G3d0OShcMfo5N8Sr5NQgvV0ODTTO1NGZuDBk8IzhMunK5vOi9fWbkO2vcA2xYb60krk4Dtu49LIFdTDg0490nAn4JcIZOA7TqebuNxmhK9w+FIAnBBsHGcTufJ6P8HASwEUAjgBIAuiutlABDaMwXYHiHY+Fn2BACSQclOS8SsmL8185KohYw4Vy7cgvKqDahcuAUun7TBEzHiW+IFUf6Mpims3/49ZpTkaq5LUSJEhgZrksjel3y8F5ULt2DJx3uR3+c6UDRgS+SQmZoAq4XDgkeLsLhyKKoqCiVeNjMNs4mB1x/SnUIh3aa8wuAosa8XVQ5Bp0xri87ws0u3SzAvLTzn2Gc6tTgH2WmJba5Ix0VfeF5AkolB52wb0lMs6JxtQ5KJQdhgSi/CCwjzIj78/DDKRvVFVUUhykb1xYefH0aYF7FinVP19xXrnJppDHIulqVQGfNeK0vzwLKUoc63R/TW58zSPLBR3kulkOJjXOLSHonwom6xPD0lEU/c3x+dMpOwoKIIiyqHYvKYfvhs6zHcNagb8ntnY2ZpHrLTJOjP/N7ZAKJroCQP1WsPqa5zxiXxdOrpM0VDhvhU3oMR36cgiKq1QXhdlOedMjYHKzceVn0vIuiTlQNSIZAXBLCiCCrKrRmXSy+GNi/mOCO/gnQRA9rpJtLB3OANwusPRQncAbOJxkMj+yDFyskQM2Pv7ImOmUmYXZaP0hE3g6YolP//9u48Tq6i3P/4p7tnssEQEpKAYCCI8HBVXPCyR/G6cL3+ROWiQABZFBG4XFFxQVDBhUUFV1QQVFZZXBHlKu6AgqCACMKjIqssCSHEAAkz092/P6p6pmem15nu6XO6v+/XixeZnu7pOuc85zl1qupU7bUdWz5rAxbMncXMbIbBdcOxnrCUTx29lMPeuB0XXnUn5//4LxPi8Zj9XjJyTpRGOA8zulZE+Zounzp6KacetZR5c/rJUWxof0h9mSwVj0smhdfNXC5LMZdlOJOhmMuO1LlLddfyOmtJeR2kvI57zodezZabzuX4QxVn0h75fIFMfvT6OjSYJ1+osp43GU49aje2evYGE67xv7jpPt6170smxGl5fWPvV249oT7x2W/9kb1fufXIe+YNzGLzTcI9w/j6S6nuULqenHb+jVCEk865ng+eeR3nXvFn3vq6fxupA+kc6W6lXHnaUUs5+7hXceTeLxxZe3rPl23FHf94jNP+Z/R3X/3ubbz/S9cylJ84EPWbP7qd4w/ZcSR2PnX0Uj52+K7ceMfDI99XqisPzOkfmWXgvkfW8LFzr+c9n7+GU867kQt+fCfH7PcSfnTdP/jgQTvUrfsWChPrEaWZkE56x85kyIy5loyvh81df0ZL7mmlcaWcmSsWyOTzZIYLE+5N8vkCs/uzE+uIh+7IwHozah6/Ug58z7Lt2WjuHGb3Z5mZDa+XP7U9sz87IeeWYuc9y7ZnKJ/n7W94wUjHDYyt445Xajdc8cTTFetj5U9HqV2je1S7t8tRZP7ADE49ainHHrA9z9poPU47eilnHPNyPnb4rlz+c+eMi28mXyxMaCMuv1YXikV2334x517x5zAT3fk3TWhTWDB3NsUK621+8MzryBfCuTV+vexSh/ner9wa23wefbksn3jnrpx42E7Y5vOmra7c6L2xiNRW85wxs78BRWC2mf113K8XAT+cagFiZ2Kfu6+O04HuB9wK/DF+71J3vw44Avj2VL+vmmqLvtOfa2gh+ErJ8pTz4lN18T01F0QlzDOfzWT49+dtwrW3PMiJh+0S5rHPMOYR7OMP2ZFf/eGBMU8C/vzG+3j9y57DKeXrCx6yIxvG0UdDcYr7eXP6GWZGxXKURjONX6A1ny+MzAtdLBuBUWv6u2qBlc8X2DDeRAwXimSzjMzVr8bmqSs/VuSL5Kkx6iibgQwVp/fMVJlu4PC9Xljxb+WHi2w8b1bZE0RZZs/MsvaZfPXvbnAR4NJ2lc7P8nVEyBcnjEwdvyCzSCOqdXAXCkX6isWRtW5m5rLMG5jFbX9/DIB9X2Nj1pv44EE7sN9rjCfXDrHenP6K04gO5wtsNDBjwrXlmaFqnX2Vnw7PZisvUh7yazgPv/aD28asZVj6HPnWLNIt7VGtTtLoQuvrBkebHao9NbJucJjNN96Avrjo+2Orn5mwts+d9zzG85+zYMwo/hMO3ZH5688IDdhl5c1nMhPW8ytNj7Ri1dqKa2uW6gwzs5mRXO73rxpZg7J8/bZG9ofUNzRU5IIf3zlhOr9jD3xpqm6kC4VizfVFSmsP18txpXpTDigMFpg3W3Em06daDs9mGXm6aPw1/p1veiHFTJFTj1pKId5L5XKZMfWNavdoA3P6gdHlHUpPA5TOn2X/uS3LH1878hRM6f5w+aq1Y6YNLTUKltb+1jnS/UrHuK8vS18uO9JZsXL1Wq655SF2esGzJqwTNVShXvv7Ox7lyL1fxLI9tp3wpMkDjz45EnfrBocZGh6ti/7ipvvG3POtWrOOeQMzR86H0jVtg/VmcsFVd1Ss+5bOpfIny47c+4WsXjPISedcN+FaMrbeUf96Ip0xNJifUEfM5TJ89bu3jcTF+rPHtn9Vy4Hlx73U5pAhMzILxrwNZjJnZj9D+TwH/7/ns3ZwiA3Xn8nK1esabhcrtRu+Z9n2Fetjb3vD8wHUrtFlqrY3AyvXDI7JhyfEtbo/8KVrR+LqzMv+xJFv3o5Tj1rKY6vXsvrJwTHX6mxmbH2h4gCjDMyckauZy6p9duGGE6fYP+7gHVn7zBAD6/VDMbQVt6v+3Oi9sYjUVu9JwKOBdwGDwP+W/Xc0sLu7H9SCMmwM/NrMbgNuB7YBjnL3AvBW4KuxM3J34LgWfF9V40dolhoRxr9W8bM1nvIrqTV6YUYuw357bMvXf/hnZs7I8cKtF/Kvp54hXyjy4bN+N2bqzlPOu5HdXrQpp5x3Ix/6ym9Hf/7m2E7ISk/l5fMF+iqMqC+NZqo3mqJ8G0amzyjTyGilfL4A+Tx9xQLZfIFCrelDZcrqjZqpNL1n6X3lSse20oi1XC7D8lXr+NBXrotPwl7H8lXrmJHLtGzETulcXDRvzpjzs/xJ1VOP2q2pBYZFSvrizX25RfNm0zcun5XH3H572IRRdp+64CZWPLGOj5x9PWdc9MeKufbrP7ydwXxxwrWlahnqnEfl16nCYD7m1yK5HLzh5VtN4hlBmgAAIABJREFUOF/74vpvGlGXbI3UPyo9Xf/e/V/KovlzRl4rXdvHx0F4Ar9YddTnpy64iRdvs/GE10/+5o2sHSqMmekARhuzy61as44MGT53yc3c/8iaip3iuWymoVzeaH1MauuLnQXldchVa9ZNyHVJV1pHstao+8nkOMWZTKdGYrTSNT48EZOPT8gUyOSLY64Fpalty5UPEClf3gFGz59ZM8K0eqVGxdJTBovmjV0HufSZ0pMD0jty2QzZbIaTzrmeI077BV+4NExfVyxOXKLhsdVrK8ZhPj9xTffSkyalOsqi+XP4xU33jXxm2R7bjgygK9UT1uvPQT4PRUZmSPriZbew58u2qnhOVXqyLJ8vcnKVa0n5uVep/UR15uQYf+3OAfvFaWo/9JXfcsFVd4yZtahaDiw/7qU2hxzFkSlv3//Fa/nYudfz5NNDfOHSW/jU+X+gUCgyMKe/4XaxUrvhmqeHKtbHFsydrXaNLlWpjlnpHuzk826cMBOQ37+Kd3/2GtasHWRouDDmWj0+x1VvU8iSK9bOZZXu5xbNm82M/r4J7YannX8jg0N5ctlM3Vnx2rX/RKQ5Nest7v5TADN7drum4XT3fwAvqfK73wHbteN7W62RJypqjV4YJjuyZs8TawbZ+5VbM2dWhr5ctmLn4qYL1h/5vkXzZrPpgupTHk2YPqysHJXmWK+VTMunAylS5LiDdxjzFMwJGq2UOLXirpCtHF+FQnFkbvLyYzs0lK/8BMEBL636JGy7R+xUevpRpFk5Ksd8jokxVYq5fKbydLelkfar1qxjYL3+MedLacTe29/wggm5uWoZisVJnUeZfLHiOqGZBq5Jkg7Vnq7PwYS1p2o9gV9tIFMY4DHx9VVrnmHB3Flj5oovNWaPfzJrRi68fsnVd1Vc769UZ1AunyYZOPaAl3LGxX8cOQ7HHvDSChP/J9vQcOUnp8vrvcpxknStitHx14L+/gwnHLojJ5fNEPOeZdtTKBY59ajdmLv+zIrnT7FY5N37bc9Gc2fx0GNPjkz5WMrh5fQUVG8q5Kk4fX6lNfd+dN0/JsThCYfsSKHKNLhLnrUBpxy120g95vA3bcfb3/CCkfNiaDBfsZ5QXv/w+1dx5bV388kjdiVDJtR5qH5O1RrIPb4zXteT9BjMF7n06rvG3INdc/MDnHjYLqwbHG5qRqvSsS/NbFF+PwcwXDYlaSOzE5XaDautg91HkUyxqLpwj6iWg6rNBDQwewYDcRmG0mwA43NRrTaFerms2v1cf5VlfjZdsD6FfP1Z8UQkGRodvLTazD5EeDJvM+CfwIXAZ9y91hJwPaNashx/4a/WyFWe/EtzMwOce8KrKyb//tzYxeX7+mo/1j1e+fRH5QVspKIxcoHIZZm/wUxOiZ2JfdlMaDBXZThxqsVdrsqC1LlMhg0rVA6GYWTEWvn7s9mJoz9LFelMsaiGXUm8UgNaMzf31QZ/lJ6SPv6QHckUMxUXq6+Um0tlOP2Yl7PumeEJZWj2PCpfJ7TaNqnjJf3Kb9rIhwa6AmPjpTQlePl7ylWL5dK6q+NfX/3kIPMGZjbUQFaapunwN20HGWretEr7FYvwvV/9bUzD2Pd+9TcO3+uFqbpR7+9rbGo25ThJulbFaPm1oDgIG84un9IOvvaD20Zmlik9BTDh/rIvy8bzZzMjl2HzjQc49oDtRwaSLNtjW+556F91G7iluw1X6cAjM3GJhmV7bMv89SdOfz9M5cbtXJwGt1I9plac1WzUzteO0Wamxtf1JD3yhWLFpU3+a9ctmTVjBhmaWxIhny+QyWX53CU3V/xMpSlJq9Vxy9sNL7zqTo7c+4VsumB9+nNZtaX1oKr3YGVLJYzvJM4PhxgpteVWGrBcq02hVi6rlk+r5e3+XLbqdaHWMlEi0hmNPp97KrAXYTrOl8f/vzG+LoxNlpN5fL/aY9fVpoHLMXYquQ3Xn9n2KSpyuSzFXHZk0WyAwmCebL5AXzFM8alKS7qURgmVx83I008VHrevOm1RrnL8TmYh6/Fx1uppBESqaXaKiUrnwwcP2oFF82dz6lFLmTenn1yT0wfl8wXmDcxqqAyNnCuaNkMaUS23z4ojm8dPafuLm+6rPMVRlXgrvT5+Crtm4lHXhtbIZWGvV2w9MkXWuVf8mb1esTVp251z12tNvVdxJUnR6lgsn9KuL05nVz5N9HEH71BxuvBMvhCeuCrL5eUN3JqmrrdVa7OgSMUYGR9LNe8nK31fg+fFZOu7mhq/O1WL01w2MzLFZyPHvTz+crnMhHaTassz1FxGqKzd8NgDtmfzjQeYlcuoLa1HVctBOYoTcupGAzMYhobqCc20KVT6bKN5O0ex5vkmIsnSaP1mP+Cl7r4i/vwnM7sBuBl4f1tKlkJTGR3WB5z0jp159PGnR6Zt23j+nDCdWwOjirLZTFunqMjlsqx6emjCSBTdAKZbPl9g/sAMTj1qKflCgVw2y4xceHKj2vsrLmicnzj6czIjhBVnkib5fIGNBmbwySN2ZdWaZ1j95CDX3fog/7XrlhQKBYbj+dGO3KxzRSYjl8uG9U7GxWK13D64bnhCjF957d0s22PbaX0CRPHeOpl8kflzZ3LSO3Yhm4FCEfr6MiPTBKdFK+q9iitJilbEYrX8DhPr733ZLMPFQtXpwivRU1ACdWY/ajBGxsfjrJl9FOOUBcVcdsxU5ivXDLY1R2uaz+4wPv/NyFV7imp0Cvp6x71QKE7Iyye9Y+c47XJhSrGifCol9WKxFCc52p8Pmy3njFyGwXyRQqHIJ4/Yla//8HZ+f8ejmi1AJMEa7QQsPQFcbpj4BLK0xtBQga9+97Yx8zbTn2uqQt2uykSlBWs1z3P65XLZpisT1eKsFTdQijNJm6HBPDNzWRbMncWCDWexaN5sPnzW7yacT5k4gq5VuVnnijSrXiNztdw+NsZns9Vm9dcPbjXFe2utXTs8YZ2QmXEt0zSZar1XcSVJMdVYrJXfS8aeLyGv15ouXKSSVq5jWYrHeQOzePzxpyrG8CVX39X2HK0OmXSrlv82Gpg4FW0zSyKsfuqZCXn5pHNu4NSjdqNPa/ZJCzWSg5JQZy0vZ7VOycP32g6KWvJBJKkanWfk+8APzGx3M9vSzF4BfBf4XttK1mOGYaRBBkJSP/m8Gyf0vHZKrUWzJb2qVSYmE3etmHZQcSZpVIp9iq07n+p+p84VadJU8n35tHKdmFZW8d46Sa9vTifFlSTFVGNxMvld04XLZLUjdqrF8Kt22GLM+5SjZbxqsTOYL04pToeGq69zJjLdklZnrXbeUUR1CpEEa7QT8Fjg98DFwN+AC4E/AO9rU7l6TtKS+nia57k7JS3uFGeSZtN5PulckWYlLd83Q/HeOmmOg1ZTXElSTDUWdV5L2lWL4bnrzxjzmnK0jNeu/Nffl1UdQRIjaXVW1TtE0qlmJ6CZLQNw93Xu/gF3f7a797n7Ynd/v7uvrfV5aVzSkvp4WjS7OyUt7hRnkmbTeT7pXJFmJS3fN0Px3jppjoNWU1xJUkw1FnVeS9pVi+F5AzOVo6WmduW/uevNVB1BEiNpdVbVO0TSqV7OOBu4ZDoK0utqLrLd6cKhRbO7VdLiTnEmaTad55POFWlW0vJ9MxTvrZPmOGg1xZUkxVRjsdZ5LZIG1WJ4dn9WOVpqale9JpvNqI4giZG0OqvuJ0TSqd69gbrxp0nSknolWjS7+yQx7hRnklbTfT7pXJFmJDHfN0Px3hrlcUAmA8ViquKg1RRXkhRTicW053eRajE8NJhXjpaa2pn/VEeQJElSPKreIZJO9ToBc2b2H9ToDHT3X7a2SL0rSUldeofiTqR1dD5Jkik+BUbjYOHCAVasWKM4EOkCyu+SdophmSzFjsj003knkj71OgFnAl+neidgEXhOS0skIiIiIiIiIiIiIiIiIlNSrxPwKXdXJ5+IiIiIiIiIiIiIiIhIimQ7XQARERERERERERERERERaa16nYBV1wIUERERERERERERERERkWSq2Qno7gPTVRARERERERERERERERERaQ1NByoiIiIiIiIiIiIiIiLSZdQJKCIiIiIiIiIiIiIiItJl1AkoIiIiIiIiIiIiIiIi0mXUCSgiIiIiIiIiIiIiIiLSZfo6XYBOKxSKFHNZ8oUiuWyGPiCfL3S6WCLTJpfLMgw6B0Q6rHQuLl/1NOSyOhelq+na0znKNSK9RzlXOk0xKJ2guBPReSDtNbDBbGbN7PnupVTo6aOUy2W575F/8clv/J7lq9ayaN5sjj9kR+bN6VdClJ6Qy2VZ9fQQp5x3o84BkQ7SuSi9RPHeOdr3Ir1H5710Wq0YFGkX5T4RnQfSfrNm9rHnsVc0/P4rz3hjG0sjtfT0dKDDMNIBCLB81VpOOe9GhjtbLJFpMwwjlQHQOSDSKToXpZco3jtH+16k9+i8l05TDEonKO5EdB6IyKie7gTMF4ojibBk+aq15AvFDpVIZHrpHBBJBp2L0ksU752jfS/Se3TeS6cpBqUTFHciOg9EZFRPdwLmshkWzZs95rVF82aTy2Y6VCKR6aVzQCQZdC5KL1G8d472vUjv0XkvnaYYlE5Q3InoPBCRUT3dCdgHfPhtO40kxNLcyD29UKL0lD7g+EN21Dkg0mE6F6WXKN47R/tepPfovJdOUwxKJyjuRHQeiLTD4FCehQsH6v4HsHDhAAMbzK7zF6dHT5/3+XyBLTbZgFOP2o18oUgum6Evvi7SC/L5AvPm9OscEOmw8nORTAaKRZ2L0rV07ekc5RqR3qOcK52mGJROUNyJ6DwQaYcZ/Tn2PPaKht9/5RlvZE0by9Oonu4EBMhmM2TyhbAj8kXynS6QyDTL5wtkQOeASIeVzsWFCwdYsWKNzkXparr2dI5yjUjvUc6VTlMMSico7kR0HohI0NPTgYqIiIiIiIiIiIiIiIh0o8Q/CWhm2wDnAxsBK4GD3P1vnS1V5+VyWYZh5HHuQqHY6SJJjxsfk5piQGTydD5JWil2k690jJavehpyWR0jEZkU5fvmKf+KJIdymKSR4lZEJivxnYDAWcCX3f0iMzsQOBt4ZYfL1FG5XJZVTw9xynk3snzVWhbNm82H37YTc2f1KflLR1SKyeMP2ZF5c/oVkyJNKhSKOp8klXQtSD4dIxFpBeWS5mmfiSSHzkdJI8WtiExFoqcDNbNFwPbAJfGlS4DtzWxh50rVecMwkvQBlq9ayye/8XuGO1ss6WGVYvKU825UTIpMwuqnntH5JKmka0Hy6RiJSCsolzRP+0wkOXQ+ShopbkVkKpL+JOBi4J/ungdw97yZPRRfX9HIH9hoo/XrvmfhwoGplHHaLV/19EjSH31tLWQyqduWSrphG1olLfE73TGZhG2ebmnc5rTEb9J0e45PC8VvZbW2uVtjN41lrxa/3XSM0lbe8dJe/nZqJP9CMvdhr5RpqrkkifupVXoh/7Zar29/0jSagycrCce7kfMxCeVsVJrKOh26dX80ex3p1v3QrDTtB7VBdK8kHLekdwJO2cqVT9ZcL2/hwgFWrFgzjSVqgVyWRfNmj0n+i+bNhmIxfdsyTqePRxJOynKpid9pjMnEbPM0anSbFb/doX9Wf9fm+FoUv8lXd5u7sH7Sdfm3S45R2s+/pJU/NfFbJmn7EHqsTFPIJa0uU2rit0vyb6sl8byZTkmLX2gsB09WYo53nfMxMeVsQCfLmsT4BVJz7JrWxHUkTTHcTrX2QxLjN21tEEnch0nVjuPW7P5P9HSgwAPAZmaWA4j/3zS+3rP6gOMP2TEkexhZE7Dre3QlsSrF5PGH7KiYFJmEuevN1PkkqaRrQfLpGIlIKyiXNE/7TCQ5dD5KGiluRWQqEp0r3H25md0KLAMuiv+/xd0bmgq0W+XzBebN6efUo3YjXyiSy2ZYsOEcVq58stNFkx5VKSb74usi0pxsNqPzSVJJ14LkKz9GZDJQLOoYiUjTlO+bp/wrkhzKYZJGilsRmYpEdwJGRwDnm9lHgVXAQR0uTyLk8wUyxAOYL5LNZjpcIul142My3+HyiKSZzidJK8Vu8pWOUWk6GR0jEZkM5fvmKf+KJIdymKSR4lZEJivxnYDufhewU6fLISIiIiIiIiIiIiIiIpIWSV8TUERERERERERERERERESalPgnAUVERERERERERERERKQ9BjaYzayZ6i7qRt18VHNAQ2vldct6etqOllgCPAgMd7IQ9GD8NkPbXNUSFL9doUf3yxIUv4mnba5qCSmL32bel0RpLjskrvxLUPy2hMrUmBaXaQmK31Tr8X2xhGTELzQZw5OVluOdlnJCR8u6hITFL6Tr2LWT9kNQYz8sIWHxm4Q2iFkz+9jz2Csafv+VZ7yxjaXpDoNDeRYuHGj4/eueGeapJ9c18tYlNBHDmWKx2HAhUmYpcG2nCyGptCVwb4fLoPiVyVL8SpopfiXNFL+SZopfSTPFr6RZEuIXFMMyOYpfSTPFr6RdwzHczZ2AM4EdgIeBfIfLIumShJEgil+ZLMWvpJniV9JM8StppviVNFP8SpolIX5BMSyTo/iVNFP8StrpSUARERERERERERERERGRXpXtdAFEREREREREREREREREpLXUCSgiIiIiIiIiIiIiIiLSZdQJKCIiIiIiIiIiIiIiItJl1AkoIiIiIiIiIiIiIiIi0mXUCSgiIiIiIiIiIiIiIiLSZdQJKCIiIiIiIiIiIiIiItJl1AkoIiIiIiIiIiIiIiIi0mXUCSgiIiIiIiIiIiIiIiLSZfo6XYBOMbNtgPOBjYCVwEHu/rfOlqoyMzsd2BtYAmzn7rfH16tuQxK3z8w2Ai4EtgIGgb8B73T3FWa2M3A2MBu4FzjQ3ZfHz1X9Xa9K4vFttcnEfdpN9hxJo24+jtUoB3aPXojfXsvByr/JPIbddFzM7ETgJOL5lLbyJ0VS4tfM7gXWxf8APujuP52u45rE+8MaZbqXCvsq/q6nzoOkxO90UL23t3U6R9YpW+Ly5yTKei/KqxP0Qo5Vbp2omTp2WvdDEmK703k9abk7jfm5l58EPAv4srtvA3yZcBCS6gfAy4H7xr1eaxuSuH1F4NPubu6+HXA3cJqZZYGLgP+J5b0GOA2g1u96XBKPb6tNJu7TrulzJMW6+ThWoxzYPXohfnstByv/JlNXHBcz2x7YmXg+pa38CZOk+H2zu784/vfTaT6uSbw/rFYmGLevoGfPgyTFb7up3iudzJG1JDF/VqO82pxeyLHKrWWaqWOnfD8kJbZV961fHkhofu7JTkAzWwRsD1wSX7oE2N7MFnauVNW5+3Xu/kD5a7W2Ianb5+6Pu/uvy166AdgCeCmwzt2vi6+fBewT/13rdz0pqce31ZqN++kuXztM8hxJnW4/jtUoB3aHXonfXsvByr/JPIbdcFzMbCbhpvPIspdTU/4kSUH8TttxTeL9YaUy1dFT50EK4relVO+VChJxfJOYP5spax2J2Med0Cs5Vrl11CTq2KncDwmP7Z6t+6YxP/dkJyCwGPinu+cB4v8fiq+nRa1tSPz2xR7wI4EfAptT1nPu7o8BWTObX+d3vSrxx7eNembbmzhH0qhnjmM1yoGp1svx2xPbrvybTCk+Lh8HLnL3e8teS1P5kyRp8Xuxmd1mZl8xsw3p/HFN8v3h+H0Fnd9f063Tx6BjVO/tWUnLkbUkOX9Wo7w6VlKPU9sotzZdx07rfkhSbCctryc1dycyP/dqJ6B03peAJ4EzO10QkYTSOdLddHxFkkvnZzKl7riY2S7AvwNf6XRZpOVe5u4vAnYAMqQoLjtA+0pSl79lynTet5f2r0AP51bVsTtCeacxid1PvdoJ+ACwmZnlAOL/N42vp0WtbUj09sXFM7cG9nX3AnA/4fH10u8XAAV3f7zO73pVoo9vm/XEtjd5jqRRTxzHapQDU6+X47frt135N5lSfFx2B/4NuMfCIvHPBn4KPJd0lD9pEhO/pel/3P0ZQgPUbnQ+LhN5f1hlX0Hn99d0S0z8TifVe3tTQnNkLYnMn9Uor1aUuOPUTsqtk6pjp3U/JCK2E5rXE5e7k5yfe7IT0N2XA7cCy+JLy4Bb3H1F50rVnFrbkOTtM7NTCPPgvimeEAB/BGab2dL48xHAtxv4XU9K8vFtt17Y9kmcI6nTC8exGuXA9Ovl+O32bVf+TaY0Hxd3P83dN3X3Je6+BHgQ+E/gM6Sg/EmTlPg1s/XMbG78dwbYL5aro3GZxPvDGvsKUnIet0pS4nc6qd7bm5KaI2tJYv6sRnm1sqQdp3ZSbp10HTuV+yEJsZ3UvJ603J30/JwpFovT+X2JYWbbAucD84BVwEHu7p0tVWVm9kXgv4FNgMeAle7+/FrbkMTtM7PnA7cDfwXWxpfvcfe9zGxX4GxgFnAvcKC7Pxo/V/V3vSqJx7fVJhP3aTfZcySNuvk4VqMc2D16IX57LQcr/ybzGHbbcYkjlV/v7rensfxJkIT4NbPnAN8FcvG/vwDvcveHp+u4JvH+sFKZgD2psq/iZ3rqPEhC/E4X1Xt7VxJyZJ3yJS5/NlNWlFer6oUcq9xaWaN17LTuh07HdhLyetJydxrzc892AoqIiIiIiIiIiIiIiIh0q56cDlRERERERERERERERESkm6kTUERERERERERERERERKTLqBNQREREREREREREREREpMuoE1BERERERERERERERESky6gTUERERERERERERERERKTLqBNQJjCzk8zsog5996/N7LBOfLdMHzObZWZFM3t2ld//0sz2bcffniwzu8HMDoz/PsLMft7Kvy+d02zMmNlpZnZuu8vVQDnuNrNdOl0O6by05FQzm2lmT5rZplP9W9JZZnaemX2y0+WYKjM7wMyuLvu5aGbP7WSZpDulta5RYmaXmtmH479fa2Z/73SZZGradc/UTmb2ajP7U6fLIck2ldg2s23M7IkG37utmQ03X8KpM7NHzGxp/HeirhcyUbfGZDNlk96VxvpGyfiyl9eH06iv0wXoJmb2a+BFwCbu/kyHi1ORmS0B7gH63b0jFZayspwEPNfdD+xkOSQwsyfLfpwDPAPk48/vdPeLa3z2tcCZ7t6ShjN3f2Wj7zWzG+J3d6TjWtojSfGYVO6+VSv+Tq/sr+mWpBhOSk6NdaP1W/G3zOwI4M3u/upW/L20M7N7CXG2pbs/FV87DDjQ3V/RuZIlWzwPq56L0t2SlKdFQDFZj7v/nNDeMmVmdilwu7unfkBLGqQltt39r8CGrfhbZnYasMDdNcg9gRSTU5fkskltaYl/aS11ArZI7Fx7GbAaeAPw7Y4WSKRJ7j7SMBsbEw+LN1oi007xKGmnGJYOyAHHAKd0uiDNMLOcu+frv1OktZSnJWkUk9KtFNuSNIpJ6WWK/96kTsDWOQi4Afg9cDBlnYBmNhv4JPBmwiiJPwOvcfe18RH+TwPPA9YAH3H388xsLvAl4L+Ap4FzgFPcvTD+CbrxT/fFJxKvBV4JvBC4Htjf3R8DronFesLMiOW4vtaGmdnOwGdjGe8DjnH3X8ff1fouzOwg4BOEkf+fB94OHEaIveOBjJm9Cbjb3Uuj+rYws99W+nvSOTGOTwf+mzBC5BLCMdwA+D4ws2w0yRbAtoS4MUIMXwa8v5EnUMufRIlPe7wFuINwnq0kjEz5uZmdAewAnGtmZwFnAyfU+dsLYrn2AGYCV7v7vma2ELgA+HdCQ+q1wBHu/nCdv5cDvgjsA8wA7gX2cXevt50yedMcj88FzifkpOuA+8t+1xf/1lJCPN1CiBs3s5cB3wI2d/difP/+hBy6U4XvuRR4lJBrdwVuIsTVScD+wD+B/dz9z/H9jxCehLoujpxbTJjm+/WEa8JB7n6rmc0C1gKL3f3Bsu+6Hfhqlf31RNyfh8Z9+lPgf9z9CTNbD/g64RzKAg681t1X1duXMqqLcuoNwE+A1xFi92rgHYTYei3wF0KcPjg+FmMcPgL8GyHmbyNc8+8zs20JI/T7xn3XmbHsnwf64j560t03ifv0VGBvoJ9QF3ufuz9jZpsA5wE7x/39p2aekEyJzwAfMLOvuPuYqXkqzQQR63AXufu5ZnYI4bjdSDjvHwcOBLYh1ONmEuLt/LI/u8DMfkbYpzcTcs598W9vS6jHvhRYQajfXh5/dx4hDrYAdgfeCIzcdFqYuvb97v7vZa+9B/gPd39D/PzTwJaEAXh/Ihzz4wh18EeBZe5+S/zscXHbFgEPACe4+/fj7w4h3PQurbdz4/66jtE676+AQwh1gD0JufAt7n5vA/vgdYTzfzHwL+Bz7n56rKOcR7imFAixvnus/9fajhzhfuJgwv3EGfG7S/cGcwn543Xx734TONHd8/Ea93XgxcAQ8At3n9T0wd2mS+sa6xHy5F5xO24FXhV/XfE7Gij7R4CjgPUIdZXD3f3aep+T5k1zTM4nXGv3IOSGcwnXg1nAcuDF7v73+N7NgL8Dm7r7KjPbC/gYsDmh7eOd7v6XCt9RqhccCbwfWEjIZd8GLozl/hFwcMxlY54+iHXhTxHaGBbH9x7q7oPjZwwor4PE/bc3sFfMrf/n7m8xs8WEesZuhNz8aXc/K35+t/i7reK+/Ka7f6jefpTGdKBe/H+EtrbnEXLuATF2x9Q/zWxrQm7eDvgtoT0sV/60kpkdymhd6dPu/pnYxvVeQnvXfsBf3H3HCmVZAnyBEHMA57v7sbEcZxGuCXngKuBod19TZ9t0n9YiPRyTjxDy8Nvjdl0IfJzQVrYT8DtCe9e/KpSt1nZMeHqs1J4BLKhUtmrXoVgv3jb+vB0wSMjjB9Xb19KYbqtvxM++CPgc8BLCk4+fcfcz4vW96bKnsX1BawK2zkGE6YQuBv7TzDYu+93phAaAXYH5wAeAgpltQUiQXyJUeF9MuBEjvjYXeA6hgeQgQqNMo/aP719E6Jh4X3x/BxdAAAASkElEQVT95fH/G7r7+g10AG4G/JjQiTk//p3vxg6Tmt9lZs8DvgIcADwrbs9mAO7+E8JI9ctiOV5U7+9Jx32MUAndjhDPrwA+4O4rCQ0J/4jHcv342hBwNLARoZFuT0IH8GS8HPhD/FtnEi4KuPuxhI6Sw+L3HtvA37oMyBAuUhsDX46vZwkV7c0JDYsQLhD1vB7YnnBDOI8Qv6pkt9+0xKOZZQgNEdfEz54OvHXc264gHP9NgLsIlXIIld7BWLaStxIq0NXsS8h5CwiDJW4AfhO/+ypChbyavYBvEAab/IJQkaqpxv56H6ESthR4NmH/lc6H0kCOzWI5j47bKc3plpwKIW73IeTP0g3plwn1hvuo3ZG4P/Ch+N6HCfulpti5827g17Gcm8RffZYQr9sRKvHbEDqGAD5IaAhZQKiTnNTgtqXJH4BfM/l6006EjtiNCJ0KlxI6hZ9L6BA808zKp3M9gHCDtoBQf70YRhqgfhb/xiJgP+ArsV5Ysj9wMjBAyJXlrgx/xrYe9/5vlf28D/Dh+N3PEAaN3Rx//g4hFkruJpwzcwnxdZGZPauRHVLBfoQ8vhkh719P6FCbD9wJnAgN7YOvE25SB4AXAL+Mrx8LPEi4L9iYcLNdbGA73kFocHkxoU7ypnHlPg8YJhzLlxDyeyl/fILQeT+PcP58aRL7pVt1Y13ji4Q68A6EuP0wozFW7Ttqlf1FhPu2FxNi8/8RYljaYzrrDhcTZjl6DrAjIa+81d2fBn4ILCt7737AT2OD3M6ENoBD4/deCPwgdmZX8yrCNJ+7E/LoFwkDlraM3713jc++OX7+uYTr2P71Nszdvwh8l9CYvH7sAMwR6tq/AzYlDGQ63sx2jx87kzAoewNga+AH9b5HmjLd9eL9GW2n2pAwk8IYMTdfThj0sxFwGqE+VC5HGET8XMJAm5PN7Dnu/gNCXeT8WOZKnS39hPbAOwl16MWEuCz5OCEfl+q1NQfmRbpPa52ei8kyexHy8fMI+f0KQifdxoQHPI6cynaMV6NsFa9D8XenEvLwhoTz5+x63yNN6ar6hpnNIww6/R4hr27D6ENSky176toX9CRgC1h4mm8L4HJ3f8zM7iYkvs+ZWRZ4G7Czu/8zfuR38XP7Az9390vi6yuBlbECuh+ht3sNsCaOzn8rodGgEd/0MD8zZnY5YYrSyTgQuMrdr4o//8zM/kC4mJRuDKt915uBK939uvi7jwLvmsayS2sdQEjEpac8P0kYeXlypTe7+41lP95tYbHq3Qkdbc1yd78gfu/5wGfNbEMf96RDPWZWempgo7JRdNfEL3iUULkBWGtmpxJGuNQzRBgNsy3wB3e/o5kyyaRNVzxuTXhKaRd3HwR+YWY/Kfu7w5Q1tJnZx4CHzGyWu68zswsIefRXcXDI7kxs2Cv3bXf/U/xbVxCeiros/nx5nc/+0t1/Ft97Ic0NHBnvCMJaYg+VbdcdZvY2QswvBLZy99sJnUbSvNTn1DLn+ujTT1cTRub9Jv78HULHRjWXu/vN8b3fAj46mQLEyv7bCWviPRFfO41wY/AxQtxuSXha5h+MVvq7zUeB35rZFybx2Xvc/ZsAZnYZobHp4x7WcrzazAYJDQqlAWs/dvdr4vtPAFbHpyh2Be4t/S3gFjP7LqFBt9TJe4W7/zb+e115Idz96Zj/lgEfj52B2xJuAku+7+5/jN/9feCospi+jHAjV/p75VP0X2ZmHyLcYF5B877p7nfH7/k/4Hkep84xs28TOtQgDBCqtQ+GgOeZ2Z88jM4vDR4aItxEbhFHvI48TVVnO/YBvuCjT3ufRny6K157XkcYALgWeMrMPgccTmgwGSLcx2waPz++U7aXdVVdIzY4HwS8wN0fiS+XP7FX9TtqlH0YmE1oKFwZ86u0z7TEZBys/HLgDe4+BDxtZl8ktFGcTxjg8GlGc97+sRwA7yQ87fHH+PPX4jXipYRZkyo5zd2fJOTKvxLaH0pPll9NGLxwWZXPfi7ex2FmVxE6pCdjKTDL3Uvb8Vcz+yZhm39DyJXbmNlGscGz2rbI5Ex3vficsuv5dxgdLF+uVP/YOebmX8dr/3gnxjx5k5ndRWg4byQXLiW0Ixzv7oX42u8A3P0uwmAMgEfM7PM00JmC7tNaqRdjsuTzZdv9O+CvPjob0RWEfD6V7airgevQELAE2MTD7F2/rfa3ZFK6rb7xJuDv7n5m/PkZYn6cwrmbuvYFdQK2xsGEKQVLU1Z+K772OUKP8CzC6N3xFld5fQFhGqv7yl67j/gUXYMeKfv304TRGpOxBfAWM9uz7LV+wsiTet+1KWG6ImCkUWdlA9/ZqrJLi8QRR5vQREzG0e5nEEakzybkm8lemMfHBIS4aLbBejGw3CtMo2FmA4SpOF7N6OLGsxv4m/9HqIidDWwWKzofiDey0gbTHI+bAivGNYDdR3h6pdTx8CnCaKgFhGnWMoRRRP8kNKjdbGb/Q2jQ/pnXnt740bJ/r63wc6182JLcGffvYuAqMyuW/SpL2K6vE/b/dyw8FXQBYZo7renVoC7KqSUdj1vCudpP6KwuvZYhNFBDuGH5OKGRfAj4irt/dsJfSTl3v93MfkR4AvLOJj8+/riVBsiUv1Z+fMrreE+a2eOE47AFsJOZlcdTH2F05oTPVvEtQrx/nHCz94M4GrRaWauW08LU9O8lNBQQf7egzvdX0+j31tsHexOewDrNzG4DjvMwO8dnCKNIr45x/DV3P62B7RhT5x737y0I58bDZedGtuw9HyDcWN9oZquAM9z9G7V2Qi/o0rrGs2KZJtx/NvAdFbn7HRamUzwZ2DY2Rr53XO6QFpjmmNyC0IaxYlze+Hv890+B8y08CbqO0DB9Zdln9zGz95f9vRm1yklr6xGTze9bAEvG5e0co9NVH0zIz381s78DH3X3n07yu6RMh+rFjdQ/S7n5mbLXHiDm5ig/Lt82U5ddTBiAVRj/CzPblNA2sWv8vixhxox6dJ/WAj0ckyVJuLerdx16D6H+eouZLSdMe3rRJL9LynRpfaNa/8tUyp669gV1Ak6RhXly9wFyFuYzhjDv8oYxSP9MCNStCGuWlHuAMIJ3vMcYHZVbmst2c0ZvwJ4C5pS9fxMaV6z/lgllvNDd39Hk5yBUUkbO4rivNppCWaRD3L0Y43sLRhNneUxWOpbnEKYle0tsHDyO0MHWas3E0QPAIjNbv0In3XGEabB2cPdHLTxaXnc0vIf1Vz5LeJJmE8IUHsdQZYSMTN00x+PDhHWvykfCb87oUxuHAq8B/oOwfs/G8TOZWNZ7YgPvnoRR+ac2up0tNEi4poy/btwe/z1mf8X9+0/gv8tGVY33UeCjZvYcQsXsDuJUgFJfF+XUdnqKULeaWXajW17fGV/OhwkdflvFEfpjuPtqQm4+JtbPfmVmvy97Gq2bnEiYGvOMsteeiv+fQ1jnCJqrP1ayuPSP2NA0H3iIcK39jbu/psZn68XZz4CFZvZiQqfGeyZTwDi69BzCU3HXe1gD71Zijm6jmvvA3W8C3hifzDqaMLXT4jhI6VjgWDN7AfBLM7uJcCNcazseJtRhShaX/fsBwmjXBV5hbYv4RNg7YGR2k5+b2TXxScSe1aV1jZE8SZi+qFzN76jFw1qh55vZhoQG6E8SY0paZ5pj8gHgSWBevNcZX5ahOPBxGSG/fD8+aVz67I/d/Yzxn5tm9dpMxm/XA8Bd7r5dpT/m7ncC+9rorE3fM7N58WkcmYIE14sfJtRFyuuii2l80Fy9us4DhI7nbIWOwM8QYvgFHqa924+QW2uK5dR92hT1cEy205icHOvA88t+Xykn17oO/RN4W+yw2p0wgO4ad79//HulOV1a33iAsHRCJZMqexrbF9QJOHVvIiwAWVqMtORy4CAPi/p+g9BB8FbCCIodCY0zFxPmmd+HMC/tXEIDwK0Wpn07OY76nU8Y+Xt6/Nu3Ah80s80J8+Y2syD1CsLIzucAf23g/RcRHiH/T8IouH7Copd/9zjlUA3fAW4ws10J69ScxNgbyUeB11Sp9EjyXAKcaGZ/IoyKPIEQHxCO5fjOtQFgdUyizyc0BtzThnI9SojnumIjyTWEdY2OIYxi2tnDdGYDhJFKT5jZAsII/bpiZ+Ew4bx8ipAHFM/tN13x+FdCQ9lHLEyNtRthjZDSNM4DhIEeK4H1qHxzdgHwEUIF/coKv28rDwtn/xk4wMw+TlivZxdGRzZX2l9nEZ5QeZu7P2Bmi4Cd3P1KM3s1oaH/LkJnwjCK+clIfU5ts4cIdZYDLExZ+k7Gjup7FFhsZv3uPhRvEL4BfMHM3k04J58NbOvuPzOzNxA6vu8h1J3ydGncuvvfLUyJ+S7CYDTcfUXs3D/QzM4mPNGw1RS/6nWx0+hGwkjcG2K++BEhf7yVsK4ghOnZnoyNqI1sw5CF6TU/Q6gH/2ySZVyPcKO6AsDMDiWswdduVfcB4Wb6LcCP3H21mf2LGItm9npCbr2bsXFabzsuJ9yA/phQF/lg6Rfu/rCF6fTOMLOPxDJsCTzb3X9jZm8hdCw+SOh0KtKl58YkdFVdI55XFxDy5MGEgae7ENa2bOQ7JoijpxcS1jBeG/9T/LTPtMRkvGe6Afh0rDs+RbhmbOxxqQ/CE9vnE+59/rfs418DLjaz3wB/JMTTKwnLoJQ/0d1utwIvidt9DxOnGx9f3yktYfJuQj14mDDNbZ+73xzbZX7s7ivNbDUhVyZl4FQ3SGK9uJSbPxzPg10IufnSmp8a9Siwo5llKjVuE2JuDfAJMzuZEE8vcfffEbbvfuBfsd3vvY18oe7TWqoXY7Kd7gTmm9mrCNMmfozwxFfFstW7DpnZvsC17v6QjT7BrSdeW6fb6hs/iN9xJGHA2izA4sDMSZU9je0L2fpvkToOJqwPcr+7P1L6j7Bw9AEWplZ5H6ER5ibgccJUK9k4QuF1hBG/jxMqqi+Kf/d/CcH/D0Ll4FvANwA8rPl0GXAbIdB/1Ghh44lwMmG9mCdiB0at9z8AvBE4ntDw8ADwfhqIHQ9ro/0v4YL0MKHRYTmh9x6gtLbJSjO7udFtkI75KOHJ1DsIsfpbwtzMEJ5y/SFwX4yr+YRR+4eZ2ZPAl6m+jsNUfQ44yMxWmdmn6747jCDpB/5GmKqgtKjx6YTpY1YSzrmrKn56og2B8wijr/5BeEx+MmsxSXOmJR5j5Xgfwsj4xwnTppVPM/F1Qm58hJDnKz09+m3COlqX+9ipO6bT0cC+hAbeNzH2ulFpf32a0En4SzNbQ1ifYvv4/s0Ia1CtIVR6rqJ953c365ac2hYepi06jPBU22OEhu3yJ1N/AtwLLDez0qCkdxMaPv5AqIj/hHDuQVhv61eEuL0GON3D9Ivd6uOEG6Fy7yDU4VYCzyeuOzMF3yIcn8cJay8cCBCfZtuD8KTEQ4T8+CnCTBnN/v1XE9ZKnfAEWyPc/S+EJyKvJ9ywbsc0rBnSwD54K3Bv7AA8grDuBoQpbn5OqDNfT5hW5lcNbMc5wNWEe4NbCHl5mNHGkIMI0+P8hXAd+A5hakiAHYDfx9zyQ+AY17puJd1Y13gXoZP5FkIu+ARhkGYj31HJbEJsPka431uf0Bkp7TGddYdlhPucuwhxeRnhCdGSawgNg3MZHVhGHAH/LsJSCU8QGq33Z5o7zDysX/VpwrqXdxFG+Zf7GrBD3FeXeliL6HWE6RfvI5wPX2V0KrvXAx7rxacC+8TPSGskrl4cc/O+hLrIKkKb2LcZbc+q51LCk0+PW1hXbfzfL8Xci4AHCZ1+e8Vff5SwZuBq4PuE2YYaofu01um5mGwnD1OUHkN4GOZBQn2jfNrSSmWrdR3aBfhj3N/fBg6PTwdKa3RVfcPDGuyvIdybLSd0pi+Nv55s2VPXvpApFjV4SaaHhamingC2dvd2PL0gIpI4ZpYl3NTtVzaaSUREpC3M7L+As9x9i06XRaaH6hoiIu1nZlcQZj7oxBIPIhMoJkWkUZoOVNrKzPYEfkEYYXo6YWTpvZ0sk4jINFsG/EuNciIi0g4W1t3+D8LTgBsTntD8fkcLJdNNdQ0RkRYzs50IT+DfT1hS4bU0txyPSEspJkVkstQJKO32RuBCQifgHwijU/X4qYj0hDi/+RLCtAQiIiLtkCGsrXIZYU22HzNx/SvpUqpriIi0zbMJU3HOIyyN87Y4RbdIpygmRWRSNB2oiIiIiIiIiIiIiIiISJfJdroAIiIiIiIiIiIiIiIiItJa6gQUERERERERERERERER6TLqBBQRERERERERERERERHpMuoEFBEREREREREREREREeky6gQUERERERERERERERER6TL/HwKFkxKrOH4BAAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "%config InlineBackend.figure_format = 'png'\n", "sns.pairplot(df[numerical])" @@ -637,9 +1151,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.lmplot('Total day minutes', 'Total night minutes',\n", " data=df, hue='Churn', fit_reg=False)" @@ -661,9 +1196,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 19, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "# 有时我们可以将有序变量作为数值变量分析\n", "numerical.append('Customer service calls')\n", @@ -693,9 +1239,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 20, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "_, axes = plt.subplots(1, 2, sharey=True, figsize=(10, 4))\n", "\n", @@ -726,9 +1293,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 21, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.catplot(x='Churn', y='Total day minutes', col='Customer service calls',\n", " data=df[df['Customer service calls'] < 8], kind=\"box\",\n", @@ -765,9 +1353,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 22, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 22, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sns.countplot(x='Customer service calls', hue='Churn', data=df)" ] @@ -788,9 +1397,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 23, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "_, axes = plt.subplots(1, 2, sharey=True, figsize=(10, 4))\n", "\n", @@ -828,9 +1458,264 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateAKALARAZCACOCTDCDEFLGAHIIAIDILINKSKYLAMAMDMEMIMNMOMSMTNCNDNENHNJNMNVNYOHOKORPARISCSDTNTXUTVAVTWAWIWVWY
Churn
False497244602557624952554650416453625751475453495769565154575656475056526868526737594652485462726552719668
True381149912598833959138411171316157141411659186141510911861485181058147109
\n", + "
" + ], + "text/plain": [ + "State AK AL AR AZ CA CO CT DC ... TX UT VA VT WA WI WV WY\n", + "Churn ... \n", + "False 49 72 44 60 25 57 62 49 ... 54 62 72 65 52 71 96 68\n", + "True 3 8 11 4 9 9 12 5 ... 18 10 5 8 14 7 10 9\n", + "\n", + "[2 rows x 51 columns]" + ] + }, + "execution_count": 24, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "pd.crosstab(df['State'], df['Churn']).T" ] @@ -844,11 +1729,156 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 25, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
StateNJCATXMDSCMIMSNVWAMEMTARKSNYMNPAMACTNCNHGADEOKORUTCOKYSDOHFLINIDWYMOVTALNMNDWVTNDCRIWIILNELAIAVAAZAKHI
mean0.2647060.2647060.250.2428570.2333330.2191780.2153850.2121210.2121210.2096770.2058820.20.1857140.1807230.1785710.1777780.1692310.1621620.1617650.1607140.1481480.1475410.1475410.1410260.1388890.1363640.1355930.1333330.1282050.1269840.1267610.1232880.1168830.1111110.1095890.10.0967740.0967740.094340.094340.0925930.0923080.0897440.0862070.0819670.0784310.0681820.0649350.06250.0576920.056604
\n", + "
" + ], + "text/plain": [ + "State NJ CA TX ... AZ AK HI\n", + "mean 0.264706 0.264706 0.25 ... 0.0625 0.057692 0.056604\n", + "\n", + "[1 rows x 51 columns]" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.groupby(['State'])['Churn'].agg(\n", " [np.mean]).sort_values(by='mean', ascending=False).T" @@ -926,7 +1956,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 26, "metadata": {}, "outputs": [], "source": [ @@ -944,7 +1974,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 27, "metadata": {}, "outputs": [], "source": [ @@ -962,7 +1992,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 28, "metadata": {}, "outputs": [], "source": [ @@ -979,7 +2009,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 29, "metadata": {}, "outputs": [], "source": [ @@ -996,9 +2026,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.scatter(tsne_repr[:, 0], tsne_repr[:, 1], alpha=.5)" ] @@ -1012,9 +2063,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 31, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 31, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.scatter(tsne_repr[:, 0], tsne_repr[:, 1],\n", " c=df['Churn'].map({False: 'blue', True: 'orange'}), alpha=.5)" @@ -1029,9 +2101,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 32, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtIAAAFBCAYAAABacEjaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzsnXmcHWWV979P1V177yydtRMSIAUJyA4igoKMyAyIo+P6qu/o64IybjPOwsy4Io4o4jKCKI6Cogw6OoLsS1hlJyxZK0t3ks7W+36771L1vH+ceqpuZ4EkBAjJ8/188kkvdWu53X3q1Hl+53eU1hqLxWKxWCwWi8WyZziv9glYLBaLxWKxWCyvRWwibbFYLBaLxWKx7AU2kbZYLBaLxWKxWPYCm0hbLBaLxWKxWCx7gU2kLRaLxWKxWCyWvcAm0haLxWKxWCwWy15gE2nLQYXnebd7nvd/X4HjaM/zDtuL1x0SvTb1cpyXxWKx7As8z/s/nufd9Wqfxwvhed6I53nzo4+v9TzvG3u5n/s9z/vYvj07y4GCvVlb9gjP89YDH/N9/57d2PZ+4Hrf93/2Mp/Wro7/VeAw3/c/aL7m+/65r8a5WCwWy/6E53l3AE/4vv/l7b5+AfATYLbv+5Vdvd73/V8Dv355z/Kl4ft+3at9DpYDH1uRtuy3eJ7nvtrnYLFYLAco1wEf9DxPbff1DwG/fqEk2mKxJNiKtGWv8Tzvb4GPAY8B/w8YAD7t+/7tnuddCpwOvN7zvO8D1/q+/3ee5x0B/CdwAtANfMn3/d9G+7sWGAPmAm8CLvA874PAKHAIcAawAviA7/vrotf8AHgn0AisAT7v+/5Dnue9DfhXQHme9w5gne/7x1RXyT3Pc6JtPg7kgTuAz/i+P+h53iFAO/C3wCVADfA93/cvjY57MvAD4MjonH8P/L3v+6XdeN/uBx4F3gIcAdwHfMT3/b6dbPsR4J+A2dH7dZnv+z+Jvvdm4Hrge8A/AwHwr77v/+LFzsFisRz0/BG4GonTDwJ4ntcMnAecEn3eiMTrc4ECcA3wTd/3QxP/fd9/Y7TtIuD7SGwvAz/wff+bUZz9JyTONgH3AhfuIt69GYlpPwS+iMS0TwGlaN9TgMt93/9mtP0LxmHP8zRwuO/7a1/ojYiu5ePAM8iDxFbgIt/3793JtodG78MxgAbujLYdiL6/HvgR8GHkXnYH8H993x9/oXOwvHaxFWnLS+UUwEcC3LeB//I8T/m+/2/AQ8Df+b5fFyXRtcDdwG+AFuB9wFWe5y2s2t8HgEuBeuDh6GvvA74GNANro+8bngSOBSZF+/2d53k53/fvAL4J3Bgd/5idnPvfRv/OBOYDdUgArOaNgIckvV/2PO/I6OsB8IXouk+Nvv/pF323Ej4MfBSYAVSQG8fO6EJubA3AR4DveZ53fNX3pyMPEbOQh5kro5uhxWKx7BLf98eA3yKxyPAeYJXv+89Fn/8nEl/mI8WNDyNxaAKe59UD9yBJ40zgMCRhBvgM8I7o9TOBfuDKFzi16UAOiWlfRpLWDyIJ+unAlzzPmxdt+1LjcDWnAOuifX0F+IPneZN2sp0C/iO6liOBVuCr223zHuBtwDzgdch9xnKAYivSlpfKBt/3rwHwPO864CpgGrBtJ9ueB6yvqpg+43ne74F3I4kywE2+7/85+njc8zyA//V9/4noGL8GrjA79H3/+qr9f9fzvH9HEt/neHH+D3CF7/tt0b4vBpZFVWDD16IbznOe5z2HVCFW+r7/dNU26z3P+wlyo/j+bhwX4Fe+7y+Ljvsl4NmdNUH6vn9r1acPRM09pwNLoq+Vga9Hy7C3eZ43glz/Y7t5HhaL5eDlOuAWz/P+LqqYfjj6mpHWvQ841vf9YWDY87zvIhXb/9puP+cB23zf/270+TjwePTxhUhBZVO0368CGz3P+9Au5CNl4FLf9wPP8/4b+ClS3R4GlnuetwKJw+37IA5X0wV83/d9Ddzoed4/AH8F/Kp6o6i6bSrc3Z7nXYEk3tX80Pf9LdH1/gkp9lgOUGwibXmpxAmz7/uFKPHdVYPHXOAUz/MGqr6WYmKg6nihYyDLi/H+Pc/7IlKJnYksszUgFYXdYSawoerzDdH5THuxY3uetwBJ6E9EZB8poDqovxjV17kBSO/svD3POxcJ0guQFaQaYGnVJr3b3YwmvD8Wi8WyK3zff9jzvB7gHZ7nPQmcjEjlQOJRmh1j5Kyd7KoVqebujLnA/3qeF1Z9LUDi7OadbN/r+34QfTwW/d9Z9f0x9l0crmZzlEQbNiD3iAl4njcNkZOcjqycOkiVvZrt7xs77Mdy4GClHZaXE73d5x3AA77vN1X9q/N9/1Mv8Jpd4nne6Yj27j1As+/7TcAgsvS2O/vaggR5wxxEZtG5880n8GNgFaK/ayDSY+/uuSM3nurjloGe6g08z8simr/LgWnR9d22h8exWCyWF+KXSCX6g8Cdvu+b+NeDxKXtY+TOkt8ORP6xMzqAc7eL+znf93e2nz3lpcbhamZt13g5B7lHbM83kXvL0dExP/gSjmk5ALCJtOXlpJOJwfUWYIHneR/yPC8d/TupSne8p9QjiW83kPI878tIRbr6+IdEzS474wbgC57nzfM8r45EU7073er1wBAwEjVQfupFtt+eD3qet9DzvBrg68D/VFVhDBkgi1xfJapOv3UPj2OxWCwvxC+Bs5Fmu+vMF6N49FvgUs/z6j3Pmwv8PdIMuD23ADM8z/u853nZaPtTou9dHe1jLoDneVMji719wUuNw9W0AJ+N7kvvRvTPt+3imCPAoOd5s4B/fAnHtBwA2ETa8nLyA+BvPM/r9zzvh5HG7a2I7m4Lsvx1GZIs7g13Is0tq5FluHEmSiZ+F/3f63neEnbk54is5EHEoWMcaYzZHb6INEYOI80wN+7huf8KuBZ5D3LAZ7ffIHq/PovczPqj4928h8exWCyWXeL7/nrgEaCWHePLZxDXpDak+fs3SNzcfh/DwF8A5yMxbQ3SxA1yH7gZuMvzvGGkf+OU7fexl7zUOFzN48DhSCX+UuBvfN/v3cl2XwOOR1Y/bwX+8BKOaTkAUFrv9kq6xWLZB7zag2osFovFkrC9lZ/FsifYirTFYrFYLBaLxbIX2ETaYrFYLBaLxWLZC6y0w2KxWCwWi8Vi2QtsRdpisVgsFovFYtkL9veBLFngJGTu/fbWYBaLxbI/4yIj4J8Eiq/yubxS2JhtsVhey+xx3N7fE+mTgIde7ZOwWCyWl8DpiHXYwYCN2RaL5UBgt+P2/p5IbwXo7x8lDPc/LffkyXX09o682qexz7DXs39jr2f/ZvvrcRxFc3MtRHHsIGG/jtlw4P/evdY5kK7nQLoWODiuZ2/i9v6eSAcAYaj326C8v57X3mKvZ//GXs/+zS6u52CSOOz3MRsOmt+71ywH0vUcSNcCB9X17Hbcts2GFovFYrFYLBbLXmATaYvFYrFYLBaLZS+wibTFYrFYLBaLxbIX2ETaYrFYLBaLxWLZC2wibbFYLBaLxWKx7AU2kbZYLBaLxWKxWPYCm0hbLBaLxWKxWCx7gU2kLRaLxWKxWCyWvWB/H8hieZUoFOCmm1I8+KBLU5Pmne+scNJJ4at9WhaLxWLZCWEIixc73HpriiBQnHNOhbe9LcB1X+0zs1gObGwibdmBQgE+8Ykcvu+Qy0Glolm8OMVFF5X48Icrr/bpWSwWi2U7vvGNNH/6U5p0GpSCRx91ue++Ct/+dgnHrj1bLC8b9s/LsgM33ZTC9x1mzNBMmqRpaYGmJs1Pf5phYODVPjuLxWKxVLNypcMtt6RpadFMnaqZMkUzfbrmgQdSPP64vc1bLC8n9i/MsgMPP+ySzUpVwyCVaXj22T37lRkdhbY2xejoPj5Ji8VisQDw9NMSl1NVa8yOIzH8ySf3TNtRLkN7u6Kvb1+eocVy4GKlHQcgQQBr1zps2KBobNQsWhRSV7f7r29q0gSBnvA1rUWD19Cwe/sol+H7309z001pymXIZjXvfW+FCy8sW82exWKxVKE1bN6s8H2HdBoWLgyZMkW/+AsjdhXftYb6+t0/jz/+0eXKKzMMDSkcB974xgpf+lJpt+O+xXIwYhPpA4xSCX7+8zTLlrm4riYMJchedFGJ2bN3LzC/850V7rknxfi4JpeTYNzTA7NmhRx77O41HP70pyluvDHNlCmabBbGx+Haa9PU12urs7ZYLJYIreH2211uvz2FUvK548CHPlTmxBN3L96eeWaFH/xApHdNTfK1kRHIZuFtbyvv1j7+/GeH//iPLHV1IgupVOCBB1KUy/C975UmrFBaLJYEK+14jaK1/NueJ590ee45h2xWMzKi0FpRLMJvfpPe6fY744QTQj73uRKjo4pt2xSdnYrp0zWXX17craaVUgn++Mc0zc2SRINIQ+rr4Xe/SxO+guYfxSLcc4/LJZdkuPTSDIsXu5RKr9zxLRaLxbCzGLx5s+K221Lk81AoKEolRT4Pv/51mpGR3dtvYyNcdtk4uRxs2yZxW2vFN74xzowZu7eP3/wmTSql4+p2KgVTp2oefzzF1q2vXBatNTz1lMPll6f5ylcy/OEPKdubY9mvsRXp1xgjI3DHHSkefVT0EW94Q8A551Ti4Pfoow4bNjgUCgrXFTlGKgVDQwH9/TBp0u4d5wMfqHDeeRWee84hk4F58zTNzTveBSoV2LhRoTW0tmoyGRgbkxuC2T4IoLdXMTCgCALF9deneMc7KtTXw/r1inXrHLJZOOqogObmffI2AXLt//VfaZYvd5kyRbL33/8+xZo1Dp/4RNlWWCwWy8tOEMCDD7rce2+KkRFYtCjk/PMrTJ8u8XHlSoeODofBQTWhUDF9ekh7u8PRR+9e5eHkk0NuuWWMJUscSiU49NCdx2ytYcsWxeioYubMRPbX2aniwofWMDCg6O+X/parrkpz4YVlZs/WdHUpVq50qFTgiCNCZs7U+zSW/ulP8KtfZWhuDslk4IEHpDj0j/9Y2iOJosXySmET6dcQQQBXX51h40bFtGkSIO+/32X9esXnPy/a482bHfr7FZMna0olRSYjyW5bm7PHFki1tTA0pLjjjhTj46KPfuc7K7zhDQFKwYYNimuuSbNli1RRmpo0F11UZsGCkOnTQ3p6FI2NsGWLQ7EowXnSJM1TT7l0dDjU1srHjY0a14X//d8UH/tYmYUL903JevVqaZwcGFA8+2yK2lrN0UeHLFvmsH69Yt683dcgWiwWy95w880p7r7bZdo0zfTpsGqVw7p1Gf75n4s0N0N3t2LrVonppZJkpKmUpq3NYXx8z46VTkvh5A9/SNPXB5kMvOUtAeefXyGdhsFB+MUv0ixf7jA2pshkNO9/f4Wzzw543etCbr01RX29pqdHCh+OI6uJw8OK738/w/HHV7jjjjT5vKw2/vGPcN55Fc45J9gn79XICFx/vST6zz+fIpUCzwvp61M8+aTLmWfum+NYLPsSm0jvh2gt1YGhIUVLSxhr3qSB0KG1NUk0W1s169e7rF0b4HkhoBkbU7S1SUAOAnAcCeB7WjW4806Xq65KMzoq1e0ggPb2NHV1Gs8L+dGPMqxYoRgddVAK1q+HL37R4brrxvj0p8v8+79n2bKFyLFDo5TilFMC6us1N92UolSC5mbN1q2Ko44Kqa/XXHddmksuKZLJvPT38e67pdpTLivCUJY8fd9h9mxJpufNs0HZYrHsGwYHobPToaFBM22aVGmHh6XY0dqq4ybradM0mzcrHn/c5W1vCwAdOWU4hKHEWaUUdXV6ggvH7rBmjeJb38rQ3S1JcBgq2tqkevye91S4/vo0Dzzg0t8vMTsMNZdckqGhocjf/m2ZBx902bJFxdXxchlOPDFg6lTN4sUud9yRZfJkjeM4HHJIyGGHhdxyS4qjj5bK9Etl5UqHZ56B0VGHclmO39aWYvLkkGnTXN785sCuJFr2O2wivZ9RKMAvf5lmxQonDhhnnVXh/PMDensVsLNgpenrk40zGQneY2MqCshSodAaVqxwOO64MG4gXL9esXatQ3Oz5ogjQqZOTfYYBNIcODTkkMuJ3np8XCQa11yT4pOfrOD7ikJBbhymSWbrVsUNN6T5yEfKfP3r4/zwhxlGRlxmztQsXFihVFIsXpxiaEgcRRoapGL+/PMup59eYXwcNm1SzJ//0oKy1vDYYzAyIg8BxaK8H/Iw4PCrX6WZP18cTSwWi2VvCUO45RaRboDEnoULQz784TJ9fQql2MGpqKYGNm50gIB8XuRww8NQqZjtNcWi4umnHQ4/PJFfdHcrli9XpNNw+OGalpaJcfLGG9N0dDg0NmpGRxWFAvT1Ofz85ynOOKPCI4+49PUpGhp0vELZ0wNXXZXhJz8Z57LLxrn66jQPPZRm0qSQI4+UYz/4oEtPjwJk5TEMNW1tioYGue+sWuUwc+ZLL0w895zDwIDcE4KAuEK/bZvi7rtdjjvO5a/+yhZALPsX+yyR9jwvB3wPOBsYBx71ff8TnuctAK4DJgO9wId931+zr457oHHzzSlWrHCYNUuS0yCAO+9MM2uWZvJkDUxMpqV5RTFpkgS2e+5xoyZD6fzWWp7qR0YU//APOVpbQxxHktX+fkmS02mYPz/kK1+BBQtkv2NjsG6dw/i4VMYB8nlQSnPffSkuuKBCV5fDlCniDOK6krRnMrKs+OCDLsuXO3ECOzoKzz7rUiop+voU5bKKLfVcV6rmW7dKk83eTOHavFnx5JMO7e0OkyeLhGPlSnELMUE5esdQSq7tmmtSXHGFnfplsVj2niVLHG6/Pc2cOSGumxQt/vjHFOefX0FriUHV1eVCAebMEfnb736XYmBAEYYqLp5IfNT8539muOsukTj09io2bxa5XjoNLS2aD32ozKc+lez3yScdRkYUW7bIKlwuJzF59WqXxx5z6e4WHbRpVldKJHwrVjh84hNZli51GRpSVCpSjFm92iUMZYU0COTeYxoPMxmR982Zs+eVc4D+fnj6aZeVK6UP53WvC3jqKZfRUblnVSoTS8+dnQ6//GWaU08NdrvXx2J5JdiXFelvIwn0At/3ted506KvXw1c6fv+9Z7nfRD4CXDWPjzuAUOxCI8/7jJ9etK84brQ3BzywAMuF15YZtasgI4OJ9ZId3UpDjkkYHwcLrssQ09PUsk2gTIMFY6jGR6G9esdhobEWSOdlif+KVNCOjocvv99+MpXRKu3ZYto5MbGiAKonJ/rKpTSXHJJlp4eaUYJQ0UqJdWR/n7R3W3bJiWYmhrRWbe3i0bbSERcVzM8rOKKebGoaGvTnH56SGvrnlWjFy92+fWvU6xa5VKJnPUaGjQbNhBXoxPk4/5+eOKJFN3d5fi9tFgslj3lgQdcJk0K46qzUjB9uubJJ13OP7/C619f4cEHU0ybJrri7m4pGBx6aMhll2V45hk3fl01xuFj7VonLka4riTIU6ZoBgcVN96Y5sQTYf58Sc63bXPo708q28PDyTl95StZtIbxcaksg0yuLRblfvDssy7FoqK2Vl63dasUO9JpeRAQO1VFb6+J61AoOLS2Bnvc17J2reKHP8zw/POi1Ra/6xSdnYkkpRqlFCMjmtWrHZYudXjTm+xKomX/YZ8k0p7n1QEfBmb7vq8BfN/v9DyvBTge+Ito0xuAH3meN9X3/e59cewDiXLZJJnyeRAYOyQJyh0d0rRXLEoFtq4Ozjwz4C/+osIVV2RIp6Wam0rpOPkNQ+LKdqGgyGZFQ210w6OjUKk4TJoU0tkJS5c6TJsW8Oc/p3AcTaUysVwbBFLl6OiQRhgTaLUWXV0+L0uBY2NS6QCpYsu5KFIpOZ9KRZNOa0qlpHI+POzw3vcW46rO+vWKbdtEOrJgQRgdR1xCenulCl9TI02KnZ3SvJjPyzWbm0+hsOP7rDWMjirWr4ff/c7l7/7O+lpbLJa9Y2xMxRVZE1u0lmrtl76UJQgkHm7d6pDPy2rZeedVeOABl5ERSRJTKUlWHcf0tQCIY0ZdncTpQgGyWVnhW7cOZs7UDA7CffdJIr10qYNSmnJZxedikKRaCiPGyclxFBs3ShFkxgxNb6+KB6+k03IdkKwaViqaVEpH9w5NEMg9601vqsTDY7q6RJOdyUjMNpKUnh5FR4c8QBxySMh116Xp7lYopWL3Ermn6Pgcq5GVVcXWrfCzn6U57bTiXlXBLZaXg331q3goItv4iud5ZwIjwL8DY8Bm3/cDAN/3A8/ztgCtwG4n0pMn77+eN1On7sHYqBdhyhRYtIi4QW/5cgnAbW0SSJqaoLUVFi6UJPXSS6G5WTqdR0Zg7lxZblNKXmcqHEpJsC4UiKsPIME0nZYA1dfnUFcH+XwNU6cmxzRJ7kT/U9mxSXiNM4hUTVzSaeLvhyETfJuVIq44BIF4S9fWwuzZMGcONDfXMT4On/88PPOMbDt1Kpx4IvzLv8BvfwvPPUesya6tleMWi+KlmiyNyv+ZjLNT32iphCtuuCHPhz4Ehx22z36MLyv78vdtf8Bez4HJ/hyzYd/+nN78ZrjpJolVS5ZILN64URLfVaugpQWOPVbi4cUXw5FHyuuuv15idi4n8cvIz8xKouNIMmtitonBUiyQynBjo7x26tR6+vqkkpxKyb6qY7bEahXJ6CRmG2ldsagYGUnivVJJ/DSYxD4I5PhNTZJ0ex60tNRQUwNf/jLcfLMcu6lJvveVr8CKFWJpZ2J2JiONmcPDsl11QlwqyRCZsbGddxS6ruL55zM8+miGd75zX/z0Xn4OtJhgr2dH9lUi7QLzgWd83/9Hz/NOAf4EvHtf7Ly3d4Qw3P+W36dOrae7e3if7vMv/1Lx9a9nefZZqV5s2iQ6ZaU0vb3Q1we+D6ecEnDXXWXOOiugUgGtswSBZtYsl40bxZdZJA3ilmGCpMg55FhjYxLU6ut11CHtMHPmCN3dmmIxQximaWgQfXWlqmhrpBmOo3DdMPYezWYlWVdKmhNNU6OpjkNyg5CPNbNnBxx1lCwxbtqkuOeegB/8IMOmTSqSpMDwcEhPD2zZUiGVEtcSE5SffdZlaEiWMkulRBKjtYPWDo4jXqSmacUgS4khlYrmv/+7zCc/uf9XpV+O37dXkwP9ehxH7fcJ5cvF/hqzYd//3h17LNx1V4bbb0+RTmt6ex0GByXW9fUpBgc1bW1w7LEBN94YcNFFkqXW1aVZt87hiCPEwaO2VlYNHSdZwUukb3KsSkX6PmpqNFprRkbg1FNduruHGRlxKZdzNDZK9blcTpJpWZmUmA2a2lpZmczliJJozfi4VMDzeSLbPYmZjlPdt6KZMkVz8skBLS2il964MeCss9IsWeIQBHLO/f2awUHNZz8b0tCgaW1NdNQbNihWrHDJ50VWYqrPool2UEqRTodUKio+fxPv83lNPq+5/vqA008v7rOf4cvFgR7jXuvs7Hr2Jm7vqzarjUAFkW7g+/7jQA9SkZ7leZ4LEP0/E+jYR8c94Ght1SxYELBggbhrhCGRtlgmVaXT4p6xbJnDli3Gc1ScPdatczj++JA5c2QwSjarmT49pKlJk8locjnN2Fj1zU2kFWNjkmB7HhxyiHz/mGNCUikdNaPoquQXGhtl/0Gg0VpRqUj3djotSXltrZxzf79UHaqDYanEhABfqcj1dHUpamo0v/99ik2bHLROlkt7ex36+uDRR1PU1YVs2KBYssRh+XKHyZOlYUdrsZTaskWxZo1DqaRiWYs0QibX7TiyRDlrltxY9tSr1WKxWAx1dTIYa/78MGq+1pHETsUrX2GoWLXKZd265IH+zDMD+vpE2vC614l0LZuVosLkyRKva2s1xeLEmF0uS8w2vvynnSbfWbBAU1Mj36+v1xNWJLNZTWOjsdaTwkJdnRRSamqkCpzPS7weHp6oUa5UiOcASLVcUygohoYkzi9eLANTgkBFkhHpi+nuVixbJjZ2XV3w7LMOzz3nRCuVmkpFs22beGi3t8tAGtMMnskQP1DINWhcV+5nmcz2fS8Wy6vLPqlI+77f43nefYgW+q7IqaMFWA08C7wfuD76/5mDUR89NAR/+lOKlSsdDjlE8453lJkyZefbFouKww4LGR9PnnPMMpws8SkGBqQqAbB6tcPDD7sMD8OqVS6ZjObkk0POPLPC294WcN11KX7zmzSOI40mJsHUWqofSsGiRRXe/e4MAwNw550pHnrIJZ+HgQFJRPN5qVi4rmb2bM2GDSrWdEs1WxJhWcYMWLlS5CLVQRjk2PKxpr5eli4fecTllFMCjjoq5O675VfSuICY5sRCwaFU0tx1VypuUszlNKCjwQGKYlES6nRaGjQrFTcKwHKz6OuTp81cDmbMSHxdbeOKxWLZnkoF7rnH5dFHHRoa4PzzKyxYsPMq+/i4NBiWy7B8uTTzGXmFWQEcHU0quz09iptvdikUwPddggAWLQo4+eSAt7+9wpYtis9/PofjyP0gWRGUBFIpcVp64xtDlEqxeLHLXXe55HIimwgCKYAUCrKa2doq2mPjzVwuy72gpkYS9xNPDOjuVqxaJSuhhYITJ85aq6hqLM2Srqt45hnFzJmKj3+8xPe+l4maEY2MkKipURLyJUsSJylT4OntFZmJ3I+kWt3cLJZ/+bwUaerqoDvKFLJZaGrSNDdDZye8+c37/wqi5eBhX8r1LwR+7nned4Ey8CHf9wc8z7sQuM7zvC8D/UhT4kHF1q3w8Y/n6epKmkpuuCHNj340xpFH7hiYjz464N57UzQ1JRUAs2RmGgfDUAJPXx9cfXWa2loZB7typTT9rV8vAadYVJx+esDvfpemUJCgmMslGrj6etnf8LBDeztcdVWODRvkxvG618mI2r4+CWJmiW/jRtHU1dfLJK5yWZLlpiY4+eSA5mYJlBdcUKZY1Pz61xkGBxXj47rKhg5aWkLe8IYgDqhGZ+040h1e3QVfLksVeWhIUV8v19/dreJmSJm0JedYV6c55piAzZtd2tqkmaa2VjrdR0chndZUKrLs+o53lHd7BK/FYjk4KJXgM5/JsmSJG/eC/M//pLn44iJvf/uOPsaHHaa55x4i+04dNQ0mkjoTsxsa5P+f/jRNX59i2rTyCEP8AAAgAElEQVSQ1aulqXrDBpdNmxQjI4r3v7/MpEma7m4VN3iblbyaGkk8t251aGoK+MhH4Mkns2Sz4gRSV6fo7HTIZjUzZoQTKsS1tbKKWCzK/sIQjj46ZPZsieVve1vAqadW+M53svT2SnNiqWRsV+XYr399hVwO+vuNM0hyXzMYt6hKRdyZamrkfRgYgO5uidnGxi+VkuR63ryQMJTx41qLe0hLi8gElZJtOzsVRx8d8K532UTasv+wzxJp3/fbgDfv5OurgFP21XFei/zoRzJpasaMJGnu7oZvfzvDL36xo87rTW8KWLLEpViUwCUa6aR5L5ORANPd7fDYYyqeRLVihUsQVI8Fd/njH2VMdkODpqvLjaokopvOZExzolRnV66EwUEVd2Bv2uRw2mkBg4Mi57jkkhLPPuvwwQ/myOUgl5NR5EEAvb1ELh9w662pOKHt6FCUSnLuuZxpghHt89atDsuWybJooUDszCENkNUNM3JjOuwwzebN8nAwPJxUaWQCl1RNJk2SrvKHH07Fy4PptAJCWlpEvzg2Jl9/z3sqvOtdZW68MUVvr+KEEwJOOimMmyUtFsvBya23pnj66RQzZ4axRGJ0FL773SxveUshdiQyHHFEyKJFIUuXOjQ1iQ0oSJJoXC8aGkQDvWaNYsUKaYJ++mmxnDO2dtu2Odx5p8jTGho07e0OlYoiCESGlkpJHHUcTUOD5vnnHUZHpaiRz0vMXrQo5JhjKvT2Kr7znXFKJXjve3MMDLjk84p8XlbturqkqFBbq7nnHpfhYcXChQG//W2a0VGJv9msxFPpbZEE/MknZbiL60pi3tio6eqS1cBqtyjH0cyaFTI+Li5RZkAMmIZzuU+Jr7Vi2TI3tkiVuB/S2Cifmz6ds8+u8IlPlHnoIZc1axzmzw8588wgdgexWF4N3K9+9auv9jm8EE3A58fGStu5Ruwf1NZmKRR2YgmxHZdemqGubmJ3cj4vns4f+EB5h3HYuRyccEJAQ4MEnLVr3bjxLpuFqVNDTj45oFBQ/M//pFm61GXTpmQUdnVn9uCgVDjOPjukthY2b3ZwXVnSa20NGR6W74+NKTxP0dERks/LPsplqQpPnSoV5o4Oh8suy0YTFo2NnQT3piZJogsFRUuL5oQTAkAkF2vWyOCXoSHReWst70VNDdGNQQL7Bz5QoatLsXx54getlLxXhx8eMn++BOVMBgYGJjaipNNJkj4+Lt+bPFnFOsKtWx16e6WRRSkYGXFoa1P85CcZHn/cZeVKlzvukGE4b35zsF8m07v7+/Za4UC/HqUUNTUZgB8AA6/Web3C7NcxG3bv9+5HP0rT2yuVVIOJO697XcCcORMvznGkr2TaNJGsLV8u8VgavEWffPrpFSoVWLw4xSOPuKxfLx7KJra7rsT30VGpIB9zTIjnyaogiD//nDkiHxkcVBQKihkzQgoFlyAIJ+iTDzsspL9f3C+++c0sa9e6VT8P6WuZOTOkUHBip45TTw3I5STmr1kjWuZCQc7PVNZra5OCSE2N5q1vDWhtDXnggdSEZu9MBubNC1mwIKRYFEeovr6JMdtM3DWV+2JRXKgcR9HUFNLb69DdreLVybExxbZtimuvTXPvvTI34MEHRdZy+ukB9fuhmcSBHuNe6+zsevYmblsnxlcAacyb+DXjFbp6tcM997hs3uwwZ07IX/1VgOeFjI1J4loqKebMkQBdWyuNLTNmhLS1iZ5taEgSSzHZF0olCVLSlCLSi4cecmlu1syfH7J1q1SJ16wxsghpXrn5Zpg+XQKaVD1kGzOu/PHHJaHP5UyToPg4G+30ccfpqDNcjieaZaKKtSTc5rySaV+atjaHT3+6RG2tLDMecUTImjVyo3BdOOOMMuecE/DAA2myWXm9CcTysSaVUrEeG0RfNz4uN6aB6E9Bzl0qOwMDsGGDEzmPmEYWzaOPutx0U4r3vW/nS4ejo+J3mslo5s5NdNYWi+XAoaZG7xCzTRI4PKy45po0K1fKKO6zzw449dSAclkKFZ2dDoceqnGcCpMnSyxtbQ3p7pbGup4eY0M3saFPa9Efj41JHHviCZdp0zRHHhmydq30iLS1SUzNZqWi+8gjKebNk6JHJiPxqLNTcdddLqOjimefdSmXVeTEIZXtYlFeXygopk8PmTZNVuGefFKmCprEeWBAxRaplYqxVZUizIYNDieeWGbePE1/v+a44wKWLhW3EgDPC7nwwhKLF6eYMiWkszOp0BeLSf8LJBITs5IqntXyLwwV6bRU24eGpOLuOHIOjqOZOVPcnq64Is3ll+88wSuXxSkkCBRz50oTv8WyL7GJ9CvAuedW+NWv0lESJwGzp0dx1FEBP/tZmnIZenocVq1yePTRFF/4QpGbb05TKonpfrkc8vTTLq2tIk9ob1ex5g0kACVNfElnNshy29gYdHSoOHmfNy9kxQqpREyaJDKRzk5JRAcHFU1NYr7f1SWBs7r7u1KRwCq+p4qBAR1ND3To76+wYYPL4KAk6rmcVGNMc6OpPoME8SCQZPfssyucd17AqlUODzyQ4g1vCMjlXLZtIxrnneLhhyV45vOSlAeBjivR0pAo+02lxJoP5DoaG0OWL5dKNMj1i1acyAVFPh4aUlGVRZotd5ZI33aby49/nKG/X25ECxeG/Ou/liZIdiwWy2uft7+9wv33pyiXiVen+vqkUnrPPSlGRuRhfOVKh6eecvnQh0p0dDhs2ODQ0qI5+uiAhx5KMTamOfFEKV709Sn6+6XBuqlJEsDqmA0Sd8OQqrHcEpPmzAlpb1dxL8q0aaIdLhSgowNmzxYt8vCwnKeRgUjfiRQQlJJmPjP0ZHhY0dISRs2Acl7GOURGlCf65VTKVKc1Q0Nw5JEhn/xkmeFhuP76NEceKSueK1c6sW769ttTTJmi6elRsaQwCJKYbRroTSUepNdl+nRobydePZT7S7LiaDyxi0UZ2jVtmubxx90JPyvDihWKb3wjy8aN8rrWVs0Xv1ji2GNtX4xl32ET6ZeR0VFpiHv3u8usXu3w5JNu3NG8YEHIjBmap55yIx1c0qxx4YU5Tj45iDvE58/XNDVVWLXKob4+ZMsW0Tr394s2WmtJMkdGkgqHBGMdjwcfH1d0dIhbRUeHEz35q8iiTsV2Sb29sn13t2j4JIhrOjslIJdKKmqmkaYVIycJQ82SJam4Ap1KqdhcH6Tqm07reFpWba00B55wQsjHP17BdWHJEpdcTvPnP7ssX+7Gy6L5vGbFCoe2NieWx6TTosdrbNRoLUG+sVHz7/9eor3d4be/dSkURF8oy4ISkAcHTUVEzkOmjqm4EWZ0VJYXt+e55xwuuSQbd4+Xy1LB+frXM1x5ZbHKZ9VisbxWKZdlNPZhh2k++MEyN9yQjpPdpibNeedVuO++FCtXupRKScz+13/NcuyxISedJJrqyZPhrW+t8PzzLtmsNA06jmZgwKW/X8UuRyJ3k30EgawmmphdKomUYebMkC1bZJKsjMqWeFdfLy8cG5PY1dsrVp6uC3PnSuVZZHuyf5OUlsvGcUnzzDNu3JMilWAVV7ulX0VHUjlJxvN5aQr8+78vUVcHTz0lMba9XXH//W5kWyqxvaPDYfFiCYxGuhKGIg/JZORekEpp/uZvKpx2WsDXv56lWFT09CTTb6sHiZmYbZxQgkAS+23bVFw1r06kh4fh85/PxQ8uYQjt7Q5f+lKW664bY9Kkl/3XyXKQYBPplwGtxTbptttSsQbsxBMDPvrRMh0dDrNmhRx3XMi73pWjrU0CTRCoqFoteuTnn3eZPr0SP61XKiKpWL9eJCBKSWNKb6+KnuyrfTV1bHKfTksAMtVf82RugreZgjU2puIltZER0UVv2eIQhpqeHkmoCwUdV3QnT4bBQUlma2ulgpFK6cgnOtmXeDwb6z4VO38MDUE+rzjkkDBubnQczfLlDitWJHqJ8XHxK+3sdEiljBd2UnEZHZWbQk2NnPMdd7g895xLT4+x+VOx84k4niRyE3nfTbMLE475gx+kmTZNc9JJ0shy1VUpymWx9wO5KaRSmuefd2lvVxx6aLKDoSFpItq6VTF3rubYY4MdmpMsFsv+xYoVDtdfn2ZkRD5vbdVcc80YbW0u9fUhp50WcsklGZYvdyNpmoqa5iTZXLrUZd488XI2A7FSKWk8rK2VZumtWzV9fSoqnEyM2ZBMF8xkZBuRi6ioACPbyEyBZKBWuQz9/Q7NzdL0BzL1sLk5jGxCJU42Nop3s5F6gIobzWUQjMTp/v7qmG2SYE2hIPeJ+nrNYYfJDUYpaVp86imRkJikfGRE7E8dR+R/28vxhoclMZ80Sa7vW9/KsG2biiUkJm5LgqxiKaCZumhcQEBieF2d5oor0sycCccdFzBnTsj117t0dytaWxPt9uTJkng/9FCKCy5IVh2LRRmxvmaNw+TJUuCZPNmuNFp2D5tI7wGlkgwZaWpKlqJ2xnPPOfzsZ2lKJak6HHKIZskSl9pacYoAYjmHMcc3lVYJzlLBuPPOVOx/LN6aEjxM4jk2Jk/tkhSqWDaitRjbgwTDbDZxtiiXdWx9Vy7r+GYQBPJxc7OOJmxJYlsuS5WjoSGMusuJzz8IFHV1iX5Nawna4+PyzySn5qaitWiZHUc0iE1NmlWrXLZtqzBjhmbBAtECmmqznHMyCEA64FV0rVJxmT5dxz7VQaC4//4UlYq8X8bLtHqEebXu0QRXqdjoeKBMf794Wzc1ab73vQzFotxcTOV95kwdJdKy34GqdoTlyx2+8Q2x+5s0SVNfLx3xn/tciaamvfzFs1gse0UYEhcCXsjZoadHcfnlGfr6JImdM0d0vTffnObii0tx0mYkcKYBzkjoKhXN+DjceafL5MkSs1Mp+f7atWJVun69JKxiPWeKByq2ipPzTWxGTZVVprImFnRGR1wqKUZGJFFtatLx9MMwTLz983nZ3swLKBYlgW1uFg00SMweHtYT+mwkZsvHZrBMPp94/y9d6nDKKSGHHRayZo0TJ8mSjEusN1Vtc31iayr7njRJMzgo1elHHkkxNiaTcTMZeR9NA6Tcl4iv3TxoyDwFicN1dVK5vu++FIsWhVx3XYq+PhW5O8m2ra3ycCNJuo5lkSAOWl/7Wpa1a0Xz3tysufNOuOiiEvPn22Ta8uLYRHo30Bp+/OMUP/95huFhsQ963/vKfPvbO25bqcC//EuG1avdODl+7jl4wxsqPPqoy9vfXomTzRkzZMSq0YkZbVwYyvd7eqRr3IyFPeqogO5uh7VrHaZM0cyYYXxGE8shSIatgBlmUn2Gie7M2MuZBFMpCa7DwxLgTcJdLEoloqlJglBTk+awwzRPPCFZtXRfS6AuFCTom+Ob18vNwUz6kqEulYosv23bJtaAuRzR2FgZ9x2GRP7ZKmrEIZZqVDcsmsrz+Lg8JGSzieVePq8oFnX8gGGcT0y1R2sdabkluR8cVFHFxI1/Juk0NDaKxZ9IX2DWLLlxpVJSaQJoa1N88YvZ+AaxaZMTSWZEV/k3f2O9Ty2WV4p773X5xjcybN3q4Lqa00+v8K1vlZg6dcdtv/OdNPffn8Ts5593OProkFIpZP16FSdU4iohyVkmw4TVLakMS/wzzkGzZombxubNTuy6MTAgemnYPmaDGVo1MWbL142VnsRFkCmAIt3YskUs9IzG2oz7njpVEwTSSC33G4euLrMyKEUYGZYyMWE0DYHmASKd1rS0SNI+NKRYt04SaZDiQ6EgRRpzbo6jqnp1iIs8cq+SVcV0WjyqJXFWcbzP56kaHa6iyYxJhTwMdayzrqvTsV7dOEuZB43mZs3oqMPQEGzcSLxqqLX0J4FI/f75n3MsWyZJdHe3Q2+v5sgjA37zmzT/9m+l+Bosll1hlZ27wS9+keLyy7MMDycOGT/5SYadOQfeemuKNWvc2GGjtlYCwGOPpSgWkylXtbUyyWrmzDCuIEgCquMGEzNZsFyWioNUF2QE7eioYvNmsVjanheznTLLdtUBInGfMKPIq6vuoo0bHRU/aUngZaDK+DhxBTgIEl2bqYCYY0giLDpp04RozrOhQT4eHpZqe329jisupgoM8p64ro7PXyQbcs4ytTFZEjTXZ25QoqeTj02XOEiAnzxZlip7e1W0HCvBe3BQqi+Vily3jBNXbN6sWLlS9Ivvf3+Jxkb5uV56aYa1ax2GhhxGR0XyIg1BMt3LYrG8Mjz3nOKii3J0dDhRQ7HizjvTfPzjuR3iY3u74tZbU2SzErPr6uTheelScUWqrtQed1zA4YdL8JCHfWniM37ItbVSjBgfl5ghI7jlobtYVHR2qrhPpJrdsQqsTkyBCRK9MDQe06bCramvDxkbk3OYPVusTqdMCaMqdzIQJUma9YT9m1VAMwPASOC0lmZHEInGpEmaujodDY2Rwo55uMjlkvM0Q2myWR3HbrkfmnNOKs5m8Jgp9pjCiZF95HJw1FFyTaILF2334KDMGDDvVTYr95HeXsXy5Q7t7Yrjjgs4+eQQreG//ivN4487DA8rBgedaK6CFEE6O5MHHovlhbAV6d3gpz/N4LrEOlfT0PCLX8CnPjWxweH2213q6pLlKPPHPDQkQcl4XToOzJ0bMjCQQmsdW8UZ4/tKBaZPD9Ha7EOzfLlieFi8R0dHpWFj9zDbTWxGNJjAlYyENcuBZuyrJMYLFwZ4nuxr2TKHujpZ/hweTpYctRYXkNFRqaqY44hPqGjyzGTCYlFz1FEhdXVw2WUZNm0Sa6ieHpFvSBAksnvSDA8T6fl0vJQ4MiJLpTKZSyrm8jCQ6Omq5RzVjT1Gi1guy+AY87102shFVDT1MRnTa96nUgnmzdOcc05Afz/80z9lWLw4Fb9vAwOSQE+bJh37hx5qu8QtlleKK6/MUCppmpokNpkJekuWuCxbJjafhsWL3di9x8SNdFoezLdtU7S2Jn+7hx4a0tOTNHALUjyoVKQKKlZzklhu3apieVyhIHHbrPS9MDvG7OrqN5iqrzSUm6Q0k0mKIum0YurUkKOOkh6NNWtCBgfla9u2OXF1W2vxuTa9K9UTCotFsZ8Dud8MDCiOPlqzaFHAz3+e5tlnpXlSVlaTYWBmpW9sTEUuIDpKbqUaPTZGJCM0lqkqskNNVgurr904RoEk50pJFV7uD6JFNxI9UHHvjEmoTTJeX6+54IIK5TJcdVWaK6/MxG4fhYL8jGbPFgnnzJnSNGmxvBg2kX4RtJYlI2nOSMjlJOiMjU1MpLUm1hiPj+toYpUEu8MPD3jmGZnG1NWluOGGNLW1krh1dyed0zU1UkXo7lZRMJKGDAkYxIHHaJbNDWDXTHyqrq4SQ+LbWY0EZUl6UykJejNmJJq3o4+WG8qZZ1a4++4UhYKKqxpSyTCauIkNNcbnubdXsWhRQGOj5h/+IcPQUDIG1lRJRBIiFerJkzVDQ07czW5kF4WCnL+MMSfymU66veUml0xINEm2aawJArF2GhpKlk63D+JhmHTDZzI6tmgKArHK+/OfXR57zI1lJkND8sBkbKByOc2ZZ+44Vnh3kSlhe/1yi+WgY+1ah1RqYtwzA0CWLp2YSGutouqsrCCZmFqpiO3cqlUOs2ZJ1fW735XpWTKkSlaslJJVNceRRu1cTmJGZ6fEsHxeR8WK6imBE4sZO7JjzDZVW3MtYvmZaIflQV9idhBI0+PcuTpuzPM8TUcHnHJKwPXXp2ONtMRSI9vY/tg69urv6VHMnh1y+OEB3/pWhjVrXGpqZHW0vl5W8ExzYm2tNGaPjsq1F4uS2Iv8T7aRVTsiKYfEbKPL3l5OYWK2WfXM53XsxGTuX46TFEOMvMUUodJpkdkopbjnnhSPPeZyww3peHXSTHKsrZV7ievKQJy9nZj4wj9by4GGTaRfBLEyCunrU9TVTdT91tfLH/HwsCwHKgVvfWvAM8+Ikf74uGJsTKqz2azG911Wr3ZjffLIiGL6dAlU0lAiUo5cTiqaso3p1pZgJcFSNG1aqx0S4N1hYtKtI5eNRBJhmgGN9VFNjVQinnjCYerUMO7y7u+X5huZRJU8dPT0JM1+BqOR1loze3bI3LmSwD78sMsTT4hFVD4vGkNT6ZHmFUmIp0/XnHpqhXQannnGZdIk0YfL9lI9Gh6ulnNIYBb9nhONLxd9nuiu5dqNH2mpJP+k0XHHATqQLE1mMnKNlYrilltctm51YmnL2JgcY2QkWeb92MfKnHrqnifSy5Y5XHFFmuXLXWpq4D3vgY9+9IUbXS0WC8yfH7J+/cSnT1OdPPJISRjNZNUzzqhw7bVp8nmoqwvjaqbofuFXv8qgtTShtbc7TJtmkkOJL729yRTZIJB9m4JCTY1pvpO4sLcJVlIskXuBSRzNvUQpSV5NEaKujnggS11dSFOTJLqFAtx1VxqtVXz/6uuTe1Fpu3kmsuqn4lHhb3xjhXLZob3d4c47UzgO1NfLBMXx8aTXJ5WCUslhZERz4okBnhfw+9+nmT49pL9fVhwLBSl4DA8nxzJ9NrW1csxi0YwcT5rP5WOq4rZUoyFZEd3+fSsW5bx6ehyCAJ5+WqR3riv3cGMbK4Uv+bmedVaFD3xgt5YOJtDdDd/9boaHHpLU6qyz4KKLJj64WQ48bCK9G3zsY2W++c0sIyPEcoPRUZgyBf76r/PxxL6TTgo499wKZ5wR8PDDbiwtMHZyvu9w6KFi93bbbclbb7RhZlT4wICxa5MgYsZfNzZKM1xtrTRomClShqTyumu2r0YbTOJoqh4muEyeLOO5e3ocCgWxOTJLh4WCvK6pyUhAiDvWjZOGGVVuqkFhKCPAZ86sMHu2uJm4rgR9kxAbXJeoIQW6uhSvf71m6VKXujqx/jMB0jTcyNKqTBHbtEn2V1cHrhtSqcgSpQRuHTekmIeEpGFIv+D7mAwpkHNta3Pj8ej5vFR2SiVp+slkxIv2C18o73HDyoYNcNFFOcplzdSp8rB17bXQ3p7h298+cEa0WiwvBxddVOLhh1ORzSZxY3NTU8iFFzqEYR6l4PDDA/76rwM+8IESv/lNJtL4agYGHGpqNOvXO8yerTn8cM1jj7lxxRUk9hmdcX+/9G8YDa+JW6YRr7lZEsC+vqQwAtUxO/na9kysRicOHtsn5SMjIp2rqZFhUZ2dcqIrV0rAlgKI3JNqa+Vfdcw2Ug9T5TX3o0pFCiRr1jiccUbIY4+5sZSkt9eJGgPlnIzbSLmcDH3ZuNEhl5MiSX9/MtVxfFyOW1+vOfxwObfOTkVjoyS1qZTIQqRKnkyarK2VazUSmRcrJpn7kBm/vnWrrDCbhsV8PmkOdV3NqacG/PCHxT2egFguywyIjg4ndta6915YujTHjTeO2wLIAYz71Z11zO0/NAGfHxsr7VYzxktBa0lgzXjsao49NsRxNKtXu/EfcEODJp936O01OjgZOb1uncM551R4z3sqBIF8r7/fYWTEobtbsXatQ3e3Q12d2KylUoquLhmtahr3IGmOy+eNLk8S2UpFJB1haHySdexGsbP3yMgVDEYrmFQ4qnVoUqnNZDSplHh8zp6tGRmRTujOzmTIivEDlSECKpalGF/q44+v0NXlAKa6INsbDfG0aZLktrU59PU5Ucf5RG/V6qQ/k4G3vKVCX5/Dtm0qcgeRgGpuAGacrRmBWyw6jI0R+YGqeAzt7NlhvBowPJx0eRvrpoSd3dhUfC2ZDNTWhlGnuSTz+XziCvKWt1T48pfL8Q1pT/jxj9MsW+YybZqcVyoFDQ0Oy5Ypzj23TEPDnu9zf6O2NkuhcOA8FGx/PUopamoyAD8ABnb1ugOMVyxmgxQphoYS3axhxgxJkpcudWPJQT4vFchCwWHTJlk96uoS7+DZszWf+1yJmhrNtm0uXV2KQsGhr0/R3u7Q3u4ye3bApk0u+bzEwqEh0TybmC0VUZGIzZsnkofhYUWloqKH66QJTrTYquo9Sk4+nZ4Ys1MpSSp3ti2IDEG2kSTT80IGBhyyWc3GjU7s328kiDJIJpFRmOPNnx9GE2dVbB1qhs4oBTU1igULQp5/3mVgQMWjxCVmyzlV99s4Dhx7bEA+L64lcr9LKvcyuEtclsbGFHV1RPrpZIqtzCeAGTNCSiUVW92ZIVqJJerO3xvztVJJxSuKs2bJ+9PSomMDAfOw5XkhV1xRYvLk3f8dNCxe7PK//5tm+nQdP4w0Nzts2iR2imbA2muZAz1mw97Fbau8BNauVXz0oznOPbeGc86p4f/9vyxtbckfpOPAZz9b4fLLx5k1K4ySbkV3twSaujrR2A4NiZb5lltSHHpoIoEIQ2mcy+Xkj3/zZhUFKYXvq6gaYBLkpFNZGizkiT4ZdiL/xsakstHaGuK6u14yNImrXEfyVG+SzmrtbdKUIYExnzd6P9FImydq19VR04xsZ/Rovb1ygxkfh3XrpApimkyqKZVg0yZ5L4aHJXEfG0vkLcn5SLNHS4tm1izNoYdqDj88JJuVCsLAgPF0lUTZ2ABKU6NUH8bGZIhNZ6dUjYpFWQLNZORBxlSYqt+jXVWGDGEoibxUoI3Ptiz9lsty3FmzNF//evkFB7EUCnDffQ6LF7vxEAjD2rXODg90xpd2+yVri+VgY2gILr44w1vfWsNf/mUN73xnjgcfnOiMc845Ib/4xRjHHCNN26Ojip4eKVpI0iZ/g+vXOzzxhEMQqLj3oVyWBEu8jRWDg7B6tUjQVq4U941yWcXOQkZuYNi4UcVFBRO3x8clVrW06MgBY+eJ1fbNiKZoYhw0UlXryEZrbYaWmHHexmmjrk6OY+4fo6NgYnaxKNfV1SUFic2b5T4j8wV2PKfOTvO6xB61WJwYK82xpkyR6zz88JBTTgmor5fj9/UlTYAmZptzF2mc/Ey2bVNs2iRuG6Ojci01NZJMm34aswpqViVfjEJBfqbFohO7Ok2dKhKc0VFJpr/61SJz5uw64Q0CeMsREKwAACAASURBVOIJhzvvdNm2beL3OjokLu94KvJAYzlwOeilHcPD8LnP5ejrU/FyzIoVLp/5TJYbbxyPmw3Wr4d/+7ccg4PEDYBDQ/IHbKoSIyOKnh4ZZ71mjUNdnTx9mwqwceQIQ83mzQ5HHBHQ1uZQKjmx9dDYWDIFyjRXiJ5LR5VOHT+JyzEdpk/XbN4Mu0oApTogg1bGxnTsxWwM9BPrvUSbfMghId3dcm0m+EqghtFRh1JpogTC+DSDBLbGxsTP1GCcNioVRWen5pFH3MiBJPGJBqP5k/MsFKQBaGhIrIp6esSSaGzMaJ11nGCGoY47v4eGJFE3VaLoLCmV4NlnRY4xMiKv0TppXnyxJNrsJ5+Xn1UuJ/vv6JD91NVJ5eHSS0u0tOw6ID/4oMtXv5qJrbByOfiXfyly7rny1OF5IcuWTUwMjAZw/nzbyWI5eNEaLr44yxNPuEydKn//fX2Kiy/Ocs01YyxcKH935TJ84Qs52tud2Id4fFzFE/pKJZEeKKXZtCnF8uUus2bJ5LtUSseOSeZfT4/C8wKCwIl7IWpqJEE21V0Ts80Ddi6nKRarY7b49M+YodmwobrKvCOOIzFfqremqpysrpk+GZDza22VkeP9/UnsFc9lSVhHR1V8fgaTpMu1SF+M8cI2rlMSG8Wn/557UoyOyn3B9NOYfcoQFvHt7+6We9bixS6FgiTERn4HUoxxXZHRlMtSaOrrU/E9pbrKHIYydbKuTkcuJUlMN309u4OxXC0W5Xdm2zbjW62ZP1/z6U+XOPnkXf9A2tsVX/xijo6O5Pfi3e8u8/d/L9K9OXPC+JwmSihV/D3LgclBn0jffbeMEZ0xI/kDmjpVs22bw733ulxwgUSd3/0uTakkeuDubtM9PHFfYr0GoOjuVnR1ScBuaBCdXLW9klKisS6XNbmcHKO3V5YRzThrs62pUIsnpwQ5k8gND+88kFR3hTuOVAnM0qJ4VcvNwuiQja6vUpFhKc3Nsu/Vq504sRcJhYqW79QOlebkfVD09jpRYpzITowmzXXlXAYHpXIh1VgVSTh0VO2QxkQzblxGuLrMnRtGjZEqlrWYBwFIKgvm3DIZiWjm3KXTPRlXvr0dXrWfqRl3u5MrZHxcHEgKBRmac8IJIePjoo2+8MIyCxfuOnD29MCXvpTBcUReYs770kuzHH30GLNna97//jK33Zamu1t03+WyaOLPPLPCzJm73LXFcsDT3i6+7C0tOq7ONjdDZyf8+tcZLr1UstqHHnLZvNmhpQU2bUpiaRiaaaaCqWYOD0NbmxREcjmpJJvtTSxuadHRSOowlj+sWOFGK1lmf0kxwEzyq1RE72sm9w0O7rjyVV2YkJid2L6FoY4lF7JSJ8cwco36eqkAay0eyOKpn9wDzCTGXcVsx5HkWHpKEh9+IwkxUo2uLhk93tvrxMmukcWVShKzjauS60pPyyGHhPGDjCnYVEv4SiUd/zyM/M6ct7n3ieVoUpQy3zPFGXNd5t6yM2TQlhSCcjnNwoUh+bwUqs45p8zb377rhvAwlIe3rVuJc4VSCf77v9McdVTIOecEvOlNAXPnSpOrKcp1dcGsWQFnn733rk2W/Z+Dfr1hyxZnB2sxE0A6OpI/SGPsn89L88P2MgQgSu5UbE+Xy0lALBRkApPY8Iid28yZIVpL8+DQULLcZazjamp09NSu44SvXCa2lBM3DzNGVsVJYHWlWa5FrPQaG6WxrqHBJM5SvTV6X601kycnRvt9fdJdrZQkpo2Ncg1y/IlyDfP0bY5vPpbkVJL4aumEdGbDWWcFnHVWhUmTEl22SfRlWwnIDQ3ScDk2pjAezqaBcXu/1SCASZNCpk4NaWkhttTL5YzvtvyMyuXq90kDOuq0l/e8pUWC8q7QWpJ56cqXn8HrXx9w3HEhDz/svmCVZPFiN37AMtTWynXdcYe8ga2tcOWV4yxaJI4xQQAf/zh87WsHjj7NYtkbOjtV3PRbTTar2bw5ictbt6r468bHeWd/l6awYZqPUyl5sK2t1eRyEpdMoppOy/eMu5DEgaRpTYoTJpZMdJIYHSWuUpsCgdwrJsZskERZ+nBg0iSihnYdeyybyX8NDWJLaqayiuREkvf6ehnkVe12Yai+55mYnUoR25gm48/NeyPX/sY3BrzxjTKUJp2W65VVvSQOp1Jyz8jnVSRpUZEeWkVyNR3v11TX6+tDJk2SRnwT27NZ4rHe1VVqeXiQmC3FKx0XpjIZJjzUbPeTjmWWqZRiYMDhxBNDTj89YM2a1AsOX1m7VopcU6YkXxPpj+YPf0jF5/XjH4/zF39RYWRE9PHnnANXX20bDQ90DvqK9FFHhXGzmgkuZrlo0aIk6s6dG3D33Sm2blW7fOKFJCAmiWSi+zIByhj0r1+fio+rlIMJDFLtVlEF1wQqMy7beIYqMhmRKtTWmqd2YxdE7J8pOt7ktaLnlSYd0zCXSklg7uiQ4GcCqDQFirQimxUfUNH5EZ9ndfd49TKb6URPp41pfqK/TqU0jY06fmo//viAJ55wY19QU0kxWj/R4cm21YF+fDwZ+W2WP83UrDBMlhKN3MN0vGudNJ9Uk3iwiiRH9NNmAuPEZVjzcFMsykOPLIfKz2LzZsWGDYqHH3bxfalOnH12wKJFYaTd3vnvj/jQJt9btCjkmmuK8edTp9bT3b3LXz2L5aDA9J+YB2rD+LjiqKOSZcLW1oBCQfoNdhy5nWCqpyZGSYyWaiKYBmZZbbr33lS8neOoKGnTsS2p8ZovFIwNXFLxlkRQKrYmsTL7MrFFkjP52KwqjoyIjZ7W8nEqJbHf6JbzeTkXc8+ZNk2GnuRyEhPNKHPRPxMn/wZzfNOknc8TF2qM3NBxYNIk6VXJZOD446UBfmREjpnPy4pZKiXXYFZsEz9/2bdxFjEJsmnuL5Uc8nkdN4aaBnCTbIMZzjXxYchxdLRSq6OClHEPSRL76im2sh9xcJKVCZF2uK5m0ybFY485PPGESyYDZ5wRcOqpQfSAEe1tu9BtHKcMU6bAN79ZAqTgYWP2wcFBn0ifdlrAEUeErFzp0Ngof3wDA4qFC0NOO03+AjdvVrS1uQSBjqf4VWM8MB0naTBxHLFVM9XXSiVZJpQAq6rGVicJtBkzOzam467oMEzcKKq9PqUSLcm0uGgkWmCQhrcjjwxYt86hs9OJjPJlC3HZUHF12pxbqSRBe2RErmvbtuTBwVznziYFQhKo8nmxmctmxWe1r8+J9HzVOmkd3wg9TwbUrFrlxOb6Som8ZGREGgrN8mIup+nqSjrORQtI7DYi5y5NkP398l4Yv21jT2WWRM1N01yDuYGaG6v5mlSMEnlOct1yU5w+PRmtWyjIz/Yzn8mxdatIS6ZPl6EOH/5wmTe8IeTUUwOuvpp4opb8LP8/e28eZcdZnok/X1XdtZfbe6u7tctSS5YX2ZY3MDEG2zPYJAyYQMwkxGAIzDmsA1mG+YUkDAHHwzLDkMAEz7DPwRjbYGPjgI2xbLzIki3J2lpLa+lW7/t6l6r6fn889X5f3e6WTTLOmUPrvufoSOq+Xbeqbtf7vd/zPu/z8Fpe97pKC7ASlXi5aGkBfu/3SrjnngSqq7XRcK6t1bj1VhbSs7PAE08kkEiQihcfBATKaRSibJRMaoyMOFCKFAcOwrFIZrGnDJWM9DttJDibmui4yu+Tmyza+XF6WBjymNZIRLi+PJn6eo0LLggwP+/g0CHHDA2m06SEKaVMsSqyc9zM2+7i6KgyA9fMS9p0NONFO2DzH1WOmIfq6nSk3iFrk5XEm5jg/W9tJTXihRd4T2TNE+fe2VkV5Vgd8cRZtMocihhcKaVRXW0VqwSQEDRfjGxEmUo+O9lkiDSsoObFovCv+bnG5VSFFiOyhbLBED75nXcm0dXlRBSeEN3dBET+8A99dHZq1NZy/a+p0eZ4c3MOrr3Wgh2VODfjnC+kEwm20O+6K4FHH/UQhhq/+7s+3vc+K1v28MMelCKSOzm5eECESVlFhSiH+mZnleGKATZhBYE2WtTCT5YEJzv0TAZoaiJvbGKCiU12vXHusyQUoZmInrXv003vfe8r4tZbfTz4oIevfjVpkhn5aHZ4hZsDaQFa9Jd0k3IEQKgr5Zw7ogBaW1dG32cyGhtTqK0NI+Sb5zk/D2MrK06JGzaEaGkJMTWl0NiosXOnG7UEWaxKgpycVJFGNd9T7qsk1fFxZYYHRaJPbMMF/SfVxJrZCAIui4+gN57HgRnZZNCsZeH94CLR3h5iZob61sePq4gWxEW0r48GPbkccPnlBXR2arz1rT7uucczXQvHAd70Jh+XXFIZSqlEJV4pPvnJElav1vjRjzxMTytcfbWP224rob2duWv3bhcDAw6amzX6+5ce6rMqReXScI5jub+JBFFOWobz69ksXyc84iDgUHRjY4h8nqog2Sxl2iTPx3X6mbOtVJ7k7EQixBve4OMv/7KE06c5PDk5CSOhJyCMIN3xLqqoDdkhw/LrlLxOW3FAcnYQsEivqtIRR5oUxKoqhVQqRCLBa5Zh+uFhFRlXAc3NIa67jhSa1lbyocfG+N6iLiX3dHJSlWlNC6CRTosjIqky1mVXQ5wKSc/jcKLM68h1iQweHYbLc7bvi4KJNZuR45VKtCd3XY2eHrpQHj7sGiR9aMjFiRO8v9dfH2DFCo2Pf7yIz3wmhbExew0bNwZ45zv/Ba5olVhWcU5ypLUGXnjBwZe/nMBnP5vEjh0u3v/+Ej7+8QIcR+GhhxJ4xzuy+NSnkpieBrq6qEeczzPhCM9XkqIMya1dG2LDhjCa7IbhQ8tDJ8mzUIAZHIlLJ7E1yN16Y6PG5s0B0mmhgvDhFYSU12H506LLWSoRtW1t1ejvd1BVBfze7/nYsiXA0BAd+WZn7c/Kjl4mtLNZbY63kM4g9064aum0THrbTYHQR1yXSY6ygMpMnVsqBlVSXnrJwQsvOGhr0/jUp4pYt47Djtdd58P3rc1rOs0W5fg4/25s5LnGF5PpaeHi2QEh4Vm3t4dYsUKjujqMPjddVoTba2OrVvjwUpRz4VqISAsHkPfCdYHLLgswPEztVlJS+OfMGYXnn3cwPc2fXbWKPO5EghScTZtCvPvdpUV8/UpUohKM7m6Fu+5K4DOfSeIHP/Bw3XUBPve5AlpbNZ55xsMHP5jB7bencOKEwpEjDoJAY3RURQN0lpcrz73jaKxYEWLdutAMBzuOQi5nEWN2vpQpXG3xLHlQGeUjpRS2bw8iCTplaFrx2RELWMhshe2CdXQwZzc0aFxySYjrry9hZMTB6KiKAAL+XDarDXiiFGkiyaQg30vP7oiqkvC9xU+Af7OI5lqiMDhIyoYAC3EN6vp6jZMnVWTKovGxjxVx6aUhGhuBf/tvfSNlChDxFcMrx+Ggfi6nzXUQOGKejDs0CghSXx9i1aoQ1dXy2ZXfOzmODDE6DtFiWUtluD6u3S3o+fy8QmNjiOlphSuuCDA+rqI1njxqpSgN+NxzruHk19dztkl8FDo6Qrz3vctD078S/3dxTiLSDz/s4qGHEshmKUB/+HACDz/sYtcuD5mMxooVbOf94hce5ua42+7uprlIVZW0grThvHkecPvtRbznPT7WrNE4cMDBJz6RwtGjtCItFMpbiSL3ZikSopghQyvA0aNOVIxazpwgo66rTbEoX7PT1XwTGSQ8fVrhk59M4YUXHIhrFdFzjWKRg5My6SxDIhMTPCdBb4V3vXDAkBJQMihoi8mpKbovdnQQ7aZ8nTYIfz7Pe3HffQk0NDA5Pvigh6NHHXzyk0X85Cce+vsdJBIaq1bx85Bp7aNHuXht2MCp+cFBhaEhJk1xgxTEQrjRQUDEgYMwtvguFss55USm7UBjfJOjtTbtVFlk7ZARcOutJVx7bYjvfteL2pSqjOuoNfXA83ngb/82iQcf9CJLYupPr14d4n/9ryQ+85nCv8jApRKVWM5x8KCDf/iHpOEGHz/uYccOF0eO0FlPpCYPHXLxkY+k8Id/WML0tGtoCwAwO2s7Sq6r8frX+/jUp4rYsoWF5Ac/mMLOnaosZ0vOm5+3KkGUA7VcYA4pAsPDCjt3OkZjXmgUzEPa0MmkIAfsMJ3jIEJkNcbGgDvvTOKBBzyDaAuS6vsa4+OiCGJtrplTlUFvBahZOAdCcxiYWRIBQ8bHme87OjRGRhT6+oiyCwWCGwqNRx5JoKmJdI1duzx8/OMu7rwzjyef9LB7N+mDzc1Ae3tgdPDPnCEAsn59CM+z3cjJSel+2kJazF+0JjVvepq5VzYwSmlDYbQ5GyAaz86t3F/57PN5a2ol97G2VmPbthAf+1gJe/Y4EYVQlQ0FhiHPYWgIuPtuD1/5ShKOw81BKgVs2RLiwQc9bN0aoqPj7IPplVj+cc4V0lNTwM9/7gHQePJJF7Oz5O9OTzuoqQFWrODrEgnywJ57zsWnP13Arl0uEgkiAblcuYteZ2eAG2/kwyQFc0tLiIMHqbccH3iQEB1oSSTCHZYd99wc+cy5nLWi5XAghytWrgwxMGA1rEU5gkOBLAQ3bQrw3vem0d3txKSClOGSSaKXwtJ1KQ8kdqlMPDIoU37+ca4dE5s2rTyxM/c8O/gShlaaLp0mRaOtTSOT4UFqaoBDhxw8/riLlSs1+vtD1NY6ZQlKKb5udJQcbM/jAppMAsePW2S+EKOsWdcxcW1USCZD05K1n4c2A4zyc4JuC0rf0hJgaMiqvJCryM/jV7/ycO21xeh3gAW9bHIEFamp0XjwwQReeIGdgtpaHnd83MHAAP9/4oSDzs4KvaMSlZDQGrj/fg+OE2L3bhfj48o484WhxkUX2Rwh0qVhKMpHfAarq2VDy2K4vj7E29/uY+1abeZacjm+ZnZWLxpqA7CIFiGKQLKhJn1Aoa4OZt6lUGBuSae5JszNAVNTHH6UeROqCrGYX78+xJ//eQo7dnhG8cN1lTHdKpWU4fnKhp7GUypC2a029MLBOMAW1sUijzM3R2AnCAh+ZLMazc3A0JDN2TK4Pjen0NCgI6dYggD9/Qrf+lYS27eHWL8+xOHDLhoawjIwQAr1iQluOKhGQr8FXpMuM3axXVAp+pXRgBb0mcW3NoOgcm8Aq6ACsLM7NWXXL5EUrK2l5XsYltDUZDvMQaANai3c+MOHHTz3nBepX/G48/OUPVy3LsRLLzno6KjMtpzLcc41koeGSJ145hkXk5OOKS5LJRUlaPtaQQJaW0N84ANF5HIw7lBEEPhAbtyo8f3ve/j2txPQWsxMnGg3rxZIG8FMCce/xsE7Jinhwq1cGRoNTml5BQFQWxtGidBSFzhQRyS9pob8r+5uB+PjFvUgR5mFbTKpIkk4QWyYnGdn5bjaUCdElu9sIahtNmvtw4Uj3tTEIQ2RlmpuDpHL6cgClgeV95iaUrjvPg9dXbQNHxtTZcOdMvziOCy69+93sHevgzNnbJEdl21aGI4jA5sqoozYF4p6SVzyTviT09MK7e2h2WBUVSGi3LDwHhxU2L3bwenTCldeGaC5WcNxQpRKdgJdFoLHHnNRXc3focFBojLpNCfG5V5UohKVsJHPk4f7wguuKZKlgzc3ZxFiwKKU+Tx51KtWhRFQwuff9/msX3SRxhNPePjSl5KYmaEOteSOdNoqBklkMtSAFm18AQ6U0mhoCE1B3dSksX59aAbSpAMmdA/q91sVId9njkinWcg3N2vs2+eagi+RUGaDr5Qy+TqbBRBJwIlyhusSKZY5mrNpRgNWV9/zWBDL2jI9TRWLtjZ+LZViMdrSEkaUuvKcXSgwp+3b56C/38HEBM1XJKT4TSYJEhw44GDPHgfHjll+djKpXjZnk+JnZ4kk5D56XvniFATkc4uUoe8TwMlmRcVJY3DQwYkTCrt3K3R0aFx0UQDH0WaDJoOOiQTwxBOe2RQNDfH6RGFpfv7l73Mlzo045xDpmhoK+o+MKDiOdZ+iTJvGxASMViTRBBZOp08D/+7flTA0pNDd7eDwYQebNgH5fIgdOzyUSsDu3SFWrw6wbh0Tt8i5CUdaEoHsoPk9ZRBl6pwyQVdVEQGortZYty7EyIjCwABRg82bw0in0jHJEIBJAsPDwMaNGs8/7yGRUGXosQyY5PO21SXH4P91ZMeqzWCHUi/vwAUwIdohEOq2njqlkE4zoa1aFeKii8hTTqeB7343gVKJbmGSkPJ5oLWV903oHAcPKqRSTO41NRoTEw6qqsgBHx6mVff8vJwjZfUmJ8ul7eILYhAQLa6q0vB9IiFaLy1JFx9qOXHCxaWX+lDKwdQUP0OaxvD7hw45eM970virvyrgjjsKeO97MyiVNJJJZfRWs1mN/fsd46hGlRcOpzY0EF1Zt65SSVeiEvFIJtmhowUzc1wyaalWQ0MK69ZZJQWt6TLX06Nwww0BLrwwQG+vgxdecLF2rUZTk4N9+0i7SyaZM265xYfvsyilrJ0d7JacLcGiytpsT0zwddmsNkhmR4dGTQ3PwfOAzZtZiM7MOJEUqooGzZmrhoaA9naNvXvdMh63hFhzywA1YAEamdHJZPj38DAMSPByeZvzGdafIAjonVBTw3Vo/XqNrVtDtLdrbNwY4EtfYmdzbIzXXCyqaBNCcKa6WqOujhzq/n4CNTQj4/lu3EjO+tQU1zq5rlxOx0Asi/bLtcmQYiLB6yMlhJuLfJ7fk4gX5KOjDpqaqHl95AgHCWmyI14CDj760Qxuu62IO+4o4OabXZw5w80LhxupAnX8OCl5VBRRUYeB6HwYUkK3Eud2vOqFdGdn518B+GsAF3Z1de3v7Oy8CsD/BJABcBLAH3Z1dQ292u/7m4br8gGLu0LNzYkTEwvsqioWWLOzHKD42teSUXuLu9DGRo0rrwxw6pSL48ddg/j29jp473szaGnRxqI6m2UmI9eL7yeWqsIHS6WYDEolIsr19eSBjY3x3FatCiNZPHJpr7oqxPHjCseOOZFBjJU60ppJbXCQQxxSMIs7lgwKyiIhXGsR8U8mYRJiOq1x/Dhhc8+zw3wLUdNkUhsqBCehmSynp2VwRKGxUeFv/qaI6mp+/YEHXBw75hgNa2lNnjzpoK/PMWiuWM7m8xxarK6mFa7WPJ9EQpuJcGq9WqMGUjN0ZIxjFz+A17pQCirOY49/jTw8bmy+/vV53H57xuiKJhIyuMPfl69/PYmvfz2Pt72thMcecxEERNXFZndkxA4JycBSsaiQToe47baS4RVWohKVYGgNoy+cydghNRaQ/B7pGJQu3bo1wOOPe5iaYpdsfp6v2749wPS0wq5d0qFjUfh3f5fEN7+ZMMN1mYyOlCDKc7Z0K4WuIbSCUok5Owg4CzI3R0paKqVRXa1QUxNi27YQdXWkMwi6KWCK+AaMjwN79jgYHlam40WDKLthB7iBKBbtYHkqpQxaXF8fYn7eMXma6hmLaSoEcTgY6HkKdXUEJrSm9Km850c+Qg55EAA7dvh49NFERDNRESWE9//hh8lHn59nd7JY5AwKkWHgvPNCpNPcEDGny79ZoLJDq4zjrFDm8nl+TVBt6RrYgcXFmtgCXDkOOxkPPTSP22/Porubik4CbKTTzOs//nEC114b4j/9pyI+//kEfF+6HNxo9fYKMMPcXSqpqCDXuOkmH6tXV/jR53q8qoV0Z2fnpQCuAnAq+r8D4HsAbuvq6nqqs7Pz/wNwB4D3vprv+8+JZ591sGFDgJERz/CGZXI5l9M477wQ4+NEQC+7LEBPDwvnmRkHhw8rUzTPzir099vEPjEhWprU+5RBlKmpuKRP+bAEYNEOselOpUKsW8eBE4BT3MePO9CatrSZDLBvn4MTJxyDUAhKIUoSADA87EQDJUwcHA5kW0spFrz5vDIDg7KTn5tjkhsbU0ZmKT7EIoW4RHzwLpGgmsbAgOViNzVpNDdTS/quuxIYGFB48kkvWvyU4fRJ8Ssi+b5vkYnmZkSosbX2nZmxUkjCjU4mNcRi1g5IErWoqrIIRyLBhTKTYbIXTpxsOOLKHFzAZKNDl7ELLwywZ4+H+XkeQ6b7p6bY7fj5zz1s3BhiclKZTkImo3DypKh42Hsnib+hgS3hSlSiEuVx5Agd5TKZcmUH1yWFrb3dIsHbtwfIZMjdra4G9u8nD7euTqOvj5tx2fiK6ZJSwPg484ZS3KDbATsAkRZ9PHzfFreuC9OFTCQop3byJDtOyaRFacfHHVOsy7MfpxLOzCgzzAywyzc/j2jOBmaAcX5emfvgOCxIyXUGenrcKIdZVDeZLNdTFoUloRbW1YmFOHnTuRzR8ZkZ4EtfSmLbtgD33pvA+LiKONNWYlRyquhAS36rq+NAnpiRSRdUQCveP16X4+iy+y2UOplBoU+AMnNJpMmJv4A20oASwqMOQ5GpU9i8OcDkpBtR6Wyun5hwkM9rPPCAi8suC7BtG4fYDxxwUF9POkpc1Uqu13WB6mqFCy6wHgKVOHfjVSukOzs7UwD+HsCtAH4VffkyAPmurq6nov9/HUSl/58V0v39DtauBfr7dVTw8eszMyz8qquJcBI1oCj+2Jgy6DGRXRanAJPQ3BwHJsSQRTSN2V6Lc6FVJOqORQUp23U6KvyshfbYWIif/pRtqbk5hb17FTyPwzLFojIKIAt1Q5mMuCGQBchxKC1XV6dx9Cil2ABbMM7M8P++z0JWnA/lvOgqaPWmleKunhJOytjj5vOO4fGJecDsLHDHHUkz8FdbGyKb5Wvb2ohWdHc7sSEZVXZvxHBgfp4bCXEylHPnkJBtF8rXeD1EwdPpEC0t/Jw40KgM8tLQwOslt7v8d0Y+V94jKreIJBOAyDKe5zw5qfC3f5ssM0hob7eOXUpZhEkMARIJbWhAlahEJcpjcpKF7SWXBIY/TI4qC8aqqiCaX+Bcyq5dLsbGnAhZta6nMpdSW4sIMUWUj6xJE2VGxeVQEGrm9/hwMmC5sa7L3Ow4Dv3vhAAAIABJREFUwDXXhAiCEI89RmQ5CKhPfOQIQQUx1Yord0hwfeHaQrc+/snlQrS3hzhzxsXAgNVjjlPSRFZVOqcyVMkiVJuCUtSHyCNnXqutDXHihPwbALSxHP/pTz389KcePI9rV1WVcLo11qwJsX+/C3HNjV+HOO4K+NLVxWQsGxBB4/m52Xsb15ieneW1NDQQQW5r43HHx7n2VFcT7Z6cLN8o8DjK3L/JSUSDgkChoM1QvfgkTE0B3/pWAvfdlzCShDU17HCKM2UcLBK1E+ppV9DoSry6w4afAfC9rq6uk7GvrUaETgNAV1fXCACns7Oz4VV8339WrF8fIp8HbrjBx9atAdJpHe2YNWprgQMHXIyMKKMrWSyyNU+ahBXGL5X4wE1NiWxROfLMwpyJqa1NG/UH2VmfLTyPzlTr1oWGVy06nDQHUJieBk6dciLkQccScjlP0PeZaDduDPCmN/m4/voQt95aQrEoTn+INLHtAIlwAz1PGbOX+I5f0NpkUkcOjEKZIMI9NOSYc+H9A44cURgbU1ECYtIdG3Mi5JmyUQt1Ps0VaS6kMzN8L3FfFCUM0lM0WlqIslOOkBuiXE6OQgSltha4/HKNiy8m51xQrEyGnGsuJPZ+mJ9WXGzSaY1vfzuBgQEHNTVMpDMziPRK+adUIq2mWCRSVigonDzJIZw4giOftSwa558fVmgdlajEEtHSwuSzfTtdQaurtRk+q6rSOHPGxcmT7ND19ztIp9lRk6FiushadaPJSURzFTpmm40IWGBeW7EiRDYbwvO0GUReOnTknsjBahpSsZOoNYe6BQQ5edI1HS8Ww+UhxfXsrEJDQ4gbbvDx5jf7uPnmAI2NXFNkEyEW2AIYiPGI5wntwOZRQc4dhzlRhjTDkEh+X5+g6zrqWiqcOKFw5oxQIPm+ExPK5LvJSeY6azQWuyOax5+eVtH72DkgK9eq0dhISgzA985mNRoa5L4IHxm47LIQV1/tY2aG+VbWqXXrQoQhjxEfOJS1hMPtGv/wD0mMjipks2E0UEkgSygvQcD1KZ/nDJXjkKYpyiWeZ7WvpThnd1JjzZpKIV2JVwmR7uzsvBrAdgB/8Wocb2E0Nla/ase66Sbg+eeplXzNNUyos7PAypVAeztw6pQdehsYcKPBEIse2NaOwooVwMiIg7o6tgalNcXBRUQUCu6aV68GRkdhisulQ2Fujg/z2BjVKE6dsnw+QVgSCf47m+Vg5NgYF4c4iiuhNXDkSAJtbaI2kUA+bwvRxRPHyhR4MkyXyfC8BdWoreUOf3TUSvbV1DBZyiCIICWCcsuxRSJPBmZEP3VkxLbMgPKWp0yTBwGn65uagJ4ee+5hyCQo7UqteQ+HhvgeYhgzM+Oip8fF614HfPnLwIEDwP33A7/+tUU1PA/YsoXXJtPwHR1AVZWLmRkXjsPflRdfJFoyO7vYtUxrhZERStxddRWwYwdtdcfGEDNvEGMc/o5s3pzEkSNJXHnlyy3aQHNzzdm/+VsYletZnvFq5uzGRuDyy4G9e4ELLmAufvFF5rONG/lcjY7y2ZqcLHeKlaJLulwdHcDgIJDLUdFIZh08T5lCL5kEMhkHK1dycLtQKJfULA/merqtOnjqKQ/Dw+VdNAIIMEN3a9fy2R8YOMsRFdDf76G/n7lnzRrg0KFyKc14kM5mwQ5K/wktj+9J2TleD8DcVV1NAENy9tLXaHO2UEYE5e/tdaNBxbj8H0OoeL7P925vVxgasm6HnA2xa5tSvF+SE0kN5PufOOFgyxbgkUeAgweZT3/8Y9sJLBZ5j4ReVyzyWleuVJic5GZg1Sqgt5frwuysPQ9rO64wOsq17uqrgZ/9zA5j0sAFhh5JZFph+3Zg164aXHstjDX6UrHcckLlehbHq0XtuBbAFgAnOjs7AWAlgH8C8BUAa+RFnZ2dTQDCrq6usX/OwUdHZ5bcwf9L4wMfAB57zMNTT7koFh00N3PYQtrzWitMTrK9Rj1RUjQQs5kulYBrrlF46ikffX2O+Vmhf5BXx2Ksr49IeGurxq5d0r9fWPTa6xsepog9wBaV1hThF6RYkAvqfoaR8odapDwhbct8XuPZZzVe8xofd93lYXYWxr5cNEflnIJAG2qEhCDAYgIwNaXR0sLWYXW1tYulm5iVkVrIKwSsI5c9Rx21Ru3PLC7utVkY02l2DqqrldF8DgJSJ+R9afEKFIsOlNKR5BEL5ZdeAg4f1pifL+GDHyyhsTGB9esdnDnjIJcDmppClEoK8/OuQaNcN4zoNgqNjT5aW5k4DxxwkE4r9PW5SCbLEZe5OaCvL4TjWA3bXE4ZLmFci5rmBiGOHtW44gq6ZS3lcNjcXIPh4enF3/gtjeV+PY6jXtWC8rcpXu2c/c53Am1tLp5+2kVPj4e2NqGvicESUcXGRo1CQQNwDQXCmnkAra0Bamo8HD0amsIWkOKU55vPM2e3tWlcdZWPJ5/0IC6GS4XWRMiffJL/l5w9MSESarZIT6WAuroAgEJDAwGThSHo6qFDGul0iHvuoelUsci1SQbF7fsrcx8kSFvgNSnFdSiTYf4m5YKUsxMnrOQrC+TFOTuObgMW3RYqSVzDOf5zccOyqiqNpiZqaEtMTWnDFy+VCEZxHXUgcqzFItDdDZw4oTE6GuDLXy6grs7Deec56O2lalUqpVFfTxMzkS+srQ0xPU0N6vb2ALmcxg03AM8/7yCbZXGulHWlFF52f7/GsWMhqqr4vfp6dlxlMyZ/MhmNkydDfOMbwM9/HuLjH+cg/cJY7jnutz2Wup5/Sd5+VQrprq6uO8AhQgBAZ2fnSQBvBnAQwJ90dnZeE/GkPwjgnlfjPf9vor4eePvbfVx+eYAvfjGJ8XGFvj4nogPQ0jSfV2hpCQ3PWRzzZDfueeSQzc0pY3ktiKpodEoS8zwYjlh9PVU2FnK6BJ1NJpmgUimbmNielKE3q7aRy2njYLhxo8ZLL9khFO7qWXQqxRbX6dMqUu5wzJDhbxLiamUXHToFCs+tqorqHrKZABDjGZ59wlopFqrr15NqQSoKF4b4z0kSC0Mi0ydP8m87va1i6BN/SNCOhaocwuW7554E9u1zcMEFGpddFuKyy0JMTXGDpRTQ0BCiUKDl7MAAB09e+9rAoMVNTTSbGRmhcooMpAiXHuDi0tPDz+miiwJkMsDOnW6E8mtj/Ts5qfDccy6yWWBkJMC111KyqRKVqAQjlQJuuCHAddcFGBtjYfrSSwQlqqqYW6TTI7MHIgEncyvs/mj09kqetcAIILJoOqJkcIaitzeBTEZHdIWl5eSyWX6Pm24V6StLAS/UBebKujrSEaamgA0bdDSAZ4/FOR1ybxsbmZPGx9lVE2OrpWLh1wVdFiRea6L2stHP5VhEC89c3luuT44n1xMPx6F6lO+TX1wqcT2L0/+Ya+MbE75XPCdL7uYGQ5s1Md4B5jnw7717Xbz73Wls2xbiggs0LrwwQKEAPPmkg6EhJxIFoOrW1BSpdq9/fWBMVFIpKj4Vi7yfssGK52zf51pdW6tx/vkhmpo0XnqJzodyXQMDHNLfs4c5+9QphSuvdHHjjRVB6XM1/lV1pLu6usLOzs4/AvA/Ozs704jk7/413zMexSLQ28sCddUqbR5IiY4OFpqJhMbQEIszopAsgGW4La4nKg9TTY3GgQNEG8OQk8NCK/A8HfHZ+FDLz0nCpBybNlqaDA6jSJtNZOGkaJa2mtidJpMaQ0MqolWwyK2u1uaBFy6X62ps2hRibExhzRpOlI+MvPx9E95dOfdaxQpiO0g5Pk5Odxx9Fq6znMfZBOvlXtkiHCbBxcMOZmrTYpNC2b5GFkomTGnxLgylyIEsFBQOHHCxbZt9UTrNc92+PcSaNSEKBS5ie/fSzVDMCAAeu6YGuPTSAI884kVfs86WrquMNFeppLBrl4tcjr+DYqlOYX9REeDP7tvn4sUXFTZufNmPqBKVWJYRhsCZMwQO2ts5KB0PzwO2bQvx4ovc3I6PE5FMp3Uk4alx8qQTbeSVmRUhdUDjyBErLScKGmFo3VZdVxlTE5FzK5VUZPbEAs0Gi14BT1Ipy8WmRjQAWCUOz6M06alTPGdxOxV5Nym2xbE1k+GGO5EATp9eWsu/XAouOqvY6+Qe8PvM2TMz2ih/SN62g/TlQ8+LC3eNRILggtBWZBB74fsKIi5SpvPzdo2U8xEOcnMz1a6ky7jw+mT4srvbwaZN9qQIYjloa9N4zWsCBAHz6unTRJ1XrQpj58R17dprfXR3J1Es6gXrCK3dpWP70kt0Oxan3mIROHHCiUx9lPm96e528OCDlUL6XI5/lUK6q6trbezfTwO48F/jfV4uDh928K1vJSItUI36eo33va+EVavs0+55wHveU8TXvpbE2rUhBgdZTN94Y4AbbijhjjtSkeuf3clTbYGJds0awPdDFAoOCgVtxPXzeYsc1NbSRSkMmdhZmKvIKcvyyiihR0vxRMK+n3CirdSPpZaI9nM+7yCZDNHQwNdOToqihjbIRC6nsXIl8MwzZ+doS8FOVFeZ6W6aEVhEGrAbirjkkUTcxlWSV3wQU67XdVU04GMNENiWXTqk0BW1EcfhwlkqcWEqFHi/iSTLYqnNggnYSfFSief55JMezj8/wIYNFjkSbqFI7LW3h+jpoZFCNstE/fjjLmZn6WSWyYhbpJUidJzQoOYylDgx4USLJOB5YUyqjz+XSnGzdeiQC6CSlCtxbsXwsMJddyXQ32/tt//gD0rYvr28mnvLW0ro7U3C90Mkkw5GRmh48sEPFnHHHUkkEtRWlmc8nkdra4HNm4GdO5lPZfB6etoWs3V17EqSSsENsjiQZjJEWCX/UULUFnzWG8DmUjkuO2qI8r4ygIznsbMVhtrkM9fle7W1aYyP67N2EOV9BQGWIXGiu+U5m91K2VwsPp4AFvGcvdDV1nHYhZWv8R6e/TOV+ZSpKZ4PVVa0kXwNApqeKEVQik6QIrPHY4huNekqGnv2uJiZoTa3gEUCmszOEoBqatI4fZqzUI2N3BA9+aSLvj4HDQ0hqqu5CZO8K3RM6Tr4vsLcnDb+D6USTX4OH+aGKQ5WKQUcP16RXDqXY1k6G05OAt/4RgJVVXYKeGKCZhmf/nTBFMcA22uf/nQBBw+yMFq/PsSaNRof/nAK+Ty50/39yiQUmVLWmlzmCy+kjF5cL1MSgOdpDA6yCE0krFkI5X4ASXRKaSOxJK0uSTqi5Sw612JSIhqdpRITcne3cM+4cZAWZ00NcP75Abq7Hdx7r4eJCYtALEQ45H0lofo+URwW0gsRZ7lGsaeNmwsger0uGyBUilQJ2n7rKJkLFUQS2tkpJzU1MG1RgAk4k7Gor0g7CSpRVSWIi72XwosT5KemRuPIERctLT6qq/l/3wd27nQwOsoW3syMwpo1IaamFI4eVdi508X8PIvq/n4VFcchRkcd1NVRlnB8nK1FIvvaFNW0eCdfmgOdlOOzDmNcyM+c4fm1tr7ir3slKvFbH2EI3HVXAqOjlKYEWAx+5ztJtLUV0NFhk1VDA/Bnf1bEoUMORkYUVqyg2+s3v5nAyIiDFSs0jh8vl1kTytfkpEJjI+UrJWdLDgVYlNFlT0W5RBtOMJFIW9Rms3x+WRRrI42aTEpBrVFXJ/KgzFUiiUk3Q8l1zF0yyNfYqLFiBbBuXYCHHvIi5Yulu3txOoUYodAEbDGnO44Ey/UKaFOuusGCXNanmhptzmGhzCrXrbPn7HSaXcKxMTuMXVuLiBLC9yXarDE15SCZFHqJNnxwOV9RJ6muJjjV3085V6LGGgcOODh9mi6E09MEj7QGjh1TePZZN/KHoEAAALS2hhgbc5BOU7Z1YkJhbs4xgJKYqok0Io1cxIuBHWC5b1VVGiMjXJM7OixdqBLnRizLQvrAARelkirT+q2ro9LDsWMOtm4tRzhqa4GrruIToTUHEo4cYetqdFSVJQoplCcn6UQ1MODi/PMDDA665qFKJq24/8wME2upxDZgOm2TiGhHKxXnyemyhFUoaFNc+b4yRbV1LYwbpxAdJd2EnL+NGwO8+KKLnh5a4or8kjzodkBPTAfstYYhz//sIRxDFoNxbptSOkJbRFPbqpZIgR2P+AAef35xoS/an6IkIlqfrqsNBefMGS6CVj9WDqIjmTpJ3hqdnSHm5ngfjx93UF8PvO1tJTz9tGck64pFHpccd6ECsegXTVHSbRTOPz9ATw8LcNFLFRTIdZnw83mgrS00iBsNdbSRI8xkNB5+2MX3v5+AUsCWLSH+5m/yWLMGlajEso0zZ2grLUU0YClwu3Y56OgoryBTKVI8JAYGFB55hCoSp087Bk0GbJE4M0Pk+fvfBy6+GBgdlc08KVdSgM7OkuJXLDKPNzQQiLGmIzZn26LPdvq4OebGn9xmHQ2ti/qD5ej6PqmEtbW81nweWLUqhO9rPPRQAtPTdhhQkG3p3slg+MKCuZwyePaQ48RNqNJpHa2bOpK8Q8R/XmxKw9y3NBVDQlxrg4DD++SI8/7KeVp6YLzLyfsqLoiuy+7j2rUhPI9fO3rUQRiG2LYtwOws8OijHmZmZOieG57HHlO47roSlHJRW4vIzZCfVT6vcOGFAYaGgBMnXJOz44oeNTW8hrVrQ8zNORElR0X62HxdMsnB0je/OQutScv5i78o4LWvrcy5nCuxLAtpKdYWhvCeF4YkxHQa+P73PfziFx6GhjgpbVHF8uO4rvCpFHbu9FAq8WtVVWzVBQEF7EX3sr6eD1jcuWp42Er8lE9ZC1WBCZsPMS3DbeKUARGbuFyX09s0UWHBfvQoNwKrV/O9h4YUzpyxbcw4ElFfz116d7fzsu26hSGC/NZdEaZQBFioplLanKvcv4Vtw4VapAvDJm1+s6aGC9DAgNVXFWRaa2UsaKWoluEX1wWuvjrARReFmJhQ2L/fDppms4j+JkLBdiPf9dAhF7W1MuBpnSyF29jcLJrfFsmwQyyksWgNjI/ThOa220p48EEv5nLGtunMDFE1rYGuLoUPfSiN++5bNJ1aiUosm1g48yDheUsXhmJsUl0N7Njh4u67PZw6RRMUsZku5+zC8J+LRWD3bicaTqQ8WzpNtZ66Om06i7kcsGKF3eTm8wozMzoy+yinjgDcBAs1rbmZhWNPjzKItyDZohfP3ETzK6GeFIvAoUM0llmxQqO1lWiu2H7Lz0luyWQ0NmwATp2y8zGvFAJ4yHpopV1JLUEk6ScOsI6jI0MbGHBAIk7XWyriKk2U5aMSiXVJRORTwBOfnbWShfwZbYbEN20K8Tu/E6BUUujq4rVWV2vU1hJBb24OMTbmGl654zAfP/hgAokEjz01ZUUDqEWuoi6tqFiV5+y5OW62RkcdtLRofOITRXzrW0lz/8U4hjldG5Dtz/88je98Zx7Nza/8eVTitz+WZSG9YUNo2nryQMouc+1aWxGPjQE/+lEC+/c7ZhhlaMjBhg0h9u93TAG2cOAiXqxls9xRJxIq2t2raMcdIpezTolBoHDkiBPxrC0tgc5OtsCkm5aO3Km4s+7pcWKDc+WLiu/D2JanUlZXExB+Gnf+U1NExtvbQ8zMOJictOiGtD5ra6kqsnp1iFOnHGN9fbbkLMWyyOPJ66Q9NzmpkMvxdbkckVc6c5WrckiUDzmW8/uiVxhTE4Bo+Q03BPjhD71oGJAIz9gYERDSOSyfTa43kaB5DOkvGmfOKBw44BmOo5yH43Dxk4WnVKIGrZyrJF4Wx+x2JBIswrVWxjY+ftxkEhgcVOjpcbF+fYjPfraAxx93EYb83B9/3ENTk+Vrt7TQZOLJJ128851Lfw6VqMRve7S368hZ0BaaMux3wQU2ARcKwEMPUbrU91lI9fU5OO888l5pc730wLLv83iZDEEGqnPwtXRj1cZm/NQptviPH3dMsZxKsds3NmbpbfEcRrUQPsejo85ZEWMxkikUOPjInK2jdUM6VKSUJRI0/igWQ/T2sismNDrye0lVSSRCHDjgRmDCYhfGeAh1IV4QS9E8Pa1QV8fcJ8OOVHt6pZxtZ2IWvMLkUqHNvO1tAX76U6JJdXWIOOIWTOL1WaCC56Yj4zNZR0jj2LnTmtxIji8WlZlzkWPyd0KZ13BdVzh8WEV0kRCJhIpoPTavi0zs1JTC8LBCKuXic5/L46mnPIyMKLS3h7jnngTa261VeF0d17l77/Vw5ZVn/RgqsYxiWRbSa9Zo/M7vBPjVrzykUto8UG95i4+GyFPR94GvfY2OR+3tTHgPP+yaATPhYS1Eo20oU0QCLMgkkfAhddDTo3HrrSXs2eNg1y4XjqONbJ1IGcVbSRKOw9aV45Bf5nlU6EgmlbGXlfB9DtjJ5HdVFbBuHR2cTpxQGBykdI+4B8rkuFL8uaYmHUkhOZiYIM2F1ARLPZHrXRikdNgklUrpKCnJ5DMdwsJQYcWKEPv2OWWcvsVBRQ0K4DOB1dQw+co5ZDIcLJmfJz/5wAEi3g0NKCuy5bOIt+kSCX5OYch709jIye6xMWUGgFxXRPe16ToAS28orN62jtB3sVfn5yB8cN8nipVMMslyyFBj924XF1wQ4u/+jr9En/984qzcuuHh36xdW4lK/DZGJsPBwu98J2ny5Pw8cMklIbZssQnvRz/y8PTTHtrbQ3gesHOnwuHDpK3V1wPHji0ebJYIQwIesgEWuoDMZczPc3Bw+3aN887zcffdCYjOfXU1c93cHGLDfDak0JOcLUpQovu/0GDK82CKeM8D1q1jsXj6NOcypqYURkZs15HoOVHu1lYOz4+MMK/PzDAX+r6dbzlb3ha+t8jiCfe3qsrOn+RyfJ/2dusNACyds7UmAOV51IV2HHZlaVRFpDed1oYCVygAL75IkCGbhZlXkmuU+yPFrzVFUZiYoHZ/sUgVE1IalTGDKRa5BldXyyCozdGCascHP11XGyqJUARzOQJAcizXJbgka8vgoItf/lLjr/+6CNcF/umfXNx//+JuiucBZ85UiNLnSizLQlop4JZbfFx0UYi9e51IMinAunU2Exw54mBgQGHVKj7k+/c7GB+nFfixY040GGgNRBZyv8KQRacku2KRXLcgUNFunwXqwACPl0oxcWazQgHg9xIJHSUCq/QhrcREgm8oVI183k6fS9LRmhrRYUjkO5nU6O1Vxr2wqckOQQJ2MESuZXzcmgUUCmwrxpPC2TcSOmpX2q9w8JAouhSE+bxCQ4PGihUaO3YsHoBZGMkki/BUiklt4XDNzIzVjw5DDgrKPZMhn6Xk9iSZAtxorVwZ4uabfXzpS0lTRMumgEooRLXn5uL25YJUlw/eeB6wcmWIVEphepqUEBnYyWRCzM5KS9MOqrS28h49/7yL3/99H8kkcNFFIe67zw6LAvZa4sVEJSqxHGP79hBtbQXs2uVgbk7hggtYRAu1anKSOuyrVtHo6NQp2m7Pz3NjnEpZt9GlIgxZ9DEH8jmT51hMm4S7/MwzbjSzwLzS1CTqDMwT1dV2AI/HVmU5JpPhcy4zFjKULXmXZloafX0sNPv7eay5OTGVIbdahs8F2RYJPjFB8X2aTIWhzdt2KHFxR8/z4psAHRX6lloB8PvV1RzEf/rpcmnThSFdPpHBq61lNzXuaBvPoYDGoUOO6RgDC8Ek+17xAri+XmPLlhCveU2AgwcVlHIMZaZ8UN3m7LgRjyhfyeftOKRaZrP8PyVhlflsgsBKD2rNOqClheZnIyOU11u3TmPr1sB0HOOOtMUicOmllZx9rsSyLKQBPiidnSE6O5f+ZY7rgY6NKfT1KTQ3s82fSBA5HBtTxr1JkpQkQq05/MZdrYqGBxfSLhR273ajIT/u1H2fgzUtLTyQmAVY0xMAkfYowPPIZvkegOVEMzHx9RMTTPhBwHMYHeX3W1s1Ght5HTMzi+XnpCilnrFN9qJtDFiOmyA3duhEIT4UAohsE4vruO52e7vVvLYo7uLQmjxiMXOZmrLIxEK+o9wnUfCYmLCOgksfm8lait7aWuCaa0L81/9qF8O4hJUcXynbJhUkx/O0mcCnLjSRp3XrQkxOKoO8MLkqbN4cYn6emwxx3SoWuTjEJ+avvz7A974XmIVGEv8b3uDjwgsrSbkSyz86OvSiwUIJUb6hTCY3/Q0NGjMzfF6amkJMTopLqlWmiAMBs7Oi287nq3yYmpvbXbs8zM0xfyvFZ35wUKGpSRvVJZmDsDQ0AUM4VEzNeGWGqOW8Zfh5cpJ87Joa5s3xcZ5BUxNd+qgG4iyiFs7PszgfGxOury5zJqT/AK9JrLfDUBt0uLz7qU0BKCCNdFTb20OMjp4NRCmP+XkODwpSL5KmZe8Uy9nyetnwLORdx/M9Z4+4hlRXa1xzTYCDBxNmeFOK14X25JYuaU3RyP+2alRVVVRIaWjQ2LfPMTWB1sB55wVQSmFqykE2C9TUhNEGRZt1EgBWrgRuvrmE++9PRveZx1+7NsRb3lICkH7lG1iJ3/o453oPw8MKBw86iDvvjYwoI8tDOR8mh6oqHe1KOcCXyVjqgOyom5rYkmPhaas9GWKJS+dxgIPHGBrijvi1r/WRy2nkciFSKXLDJEolFvypFIsuSXwy1CfJvKGBqPbmzdTWvPji0HBxZepbWpM8N1swSmJlgcxiVmuLLNjrgfn+4uDXfF+jWGSiWb06QG0tC+iHHnLx+OPukgYpEpL0RXc1CKwG9tnoDsJ1i5snyKJC6+14y02bRempp1y88IJCPq9xzTV+WTErnDrH0dHnD9M1qK5mR4GT+HJe/MyzWWD9eo3LLw+Qy9EA6IorAlx4IWk2c3N2gyb0nFyOsl1CH0mlgPe/v4jGRrZoEwlg7VqNxsazo2yVqMRyj5kZFs3cZDOPTk2piFZACpVS7MilUnzuxfQokyk34gpDoL3dIqgCAjBIC5iYkOeNdA2hYQwNUQpu27YA7e0hampCZDI2X15sAAAgAElEQVQiFcdEEwQEAObmFDo7A1B5QsfyijL0B6WYMy6+OMQll4SoraWe9Ows6XfZrB0cj3eopGgUIEXWsUyGeV5eK6js2fnSKsqzPM7q1SEaG3nNv/yli/vv95YczpeQc+PmQkWUPJvHl3xHJYUzzymftwi544hSS/nnpTUpHTt2eJiYILAgGtRyT2TDImpOwvnOZPg7Ip4NwqWW4cnNm0Ns3RqiuVmjpSXE9u0BLr00QCqlIp1pFtpKcRO2Zk2IdBplfhS33eZjzZowugZSRdev10ZqthLLP5YtIr0wfJ/8ul//2oPr6mjaVqG7WwpAB1NTRLE3bAgwM6MwNsZk9thjHhIJHSVvHcmZWaMNOyFe3pZiC06SHXfgVvZO4ZZbiujvd1BXx9fTGtxKAIWhMhSRhgYK0U9OWs1mEbvv71eorbVqINJ6HBpycPo0zzOOlkuLLX6+4jIFWCtumW6WjcDLTYSnUhbZqKoKDb9YJuvju/2FdBFBUsp1Si3HmSL95fc4fgy5F0ppg8a4LiL0lxzrUgnI5ZgEczmiR5/9bAqf/nQBzz/v4NAhN7LL5XtIYS8F+cyMKHPoSBKRRXGhAKxZE2D7dm2kuubniXTs2eOa+yYW71or5HIa7e2hsaqXKBaBRx5J4NprA6TTFqLp7VV45hkX69ad/f5XohLLMZ56ysW993rm+c/naWyUTuvInIndvYsvZs4eHeU8xkMPJRCGGrOzKlJ+sAjy2JiYfMi72DwotAkWXCwyJyZgFDquvjpAOk3Uu76ew8fJpJX4FPOqZFJj1SqNvj6NiQnHINJxuUvfZ1EvkcuR8tfT40IpbQbRgaVzr+PI0Dv/PztLxz0xhWKhffZijmCBBQWyWUtZqa4mWr+wWxeP+OCeUP0k14nah+Vsx9dJ28lzXfEVsPenrg6YnuZ6WVVFqkVNDe/bZz6Twr33zuO1r/Xx8597mJmRDqn9jKXjkM+zmG5r05ia4mdTVaUj0Ezj6qtD1NfT7bKvT0UiA25MxpDF+vAw64AVKwhQ/dEflcpApp/9zMPGjSFe8xp7g0ZGFB54wMP27We9/ZVYRnHOINLPPONixw4XHR0h2tsp5J7JAKtXa6xdqyMnKRZJTzzh4cABmmt85CNFbNkSYHoakcqDioYrtKFH0NjDDt9JxF3/ZKctQ4TV1Rr791P6bG6OxxEZOcq6se1HpQ2NL3yhgA0biIDU17O4JsWAiAu1MxlyDDF+WSyYvzjBLmyvFQpWP5ST0OVHWDhcIehQIsEFIZlkgqypsVQUKfQXtgul7Sa8P94H4eYRma2psfcyHuWqLMpsLkol3ldSKpiIOzs11q3jpqS5WePFF11UVQF/+ZdFY+VOOof9u76eyHRTk0Zrq46m2jUuuyzAAw/M49FH5/GGNwQ4cwZ45BEPvb0cqNy7lwmZQ4fy+Su8/e0lNDdrlEosuF94wQ7zCJUovaAbWFOjcfjwOfOoVqISAMiBvvtuD42NGh0dzNm5HDs5GzeykyPUhl/9ysNLL3G25d3v9nH99X707JNexcE2ItcTE8wbuZwtBiXEmRCQ4WQrmcoun2PmSaamrPuhyGdWV1PZI5HQ+PSni7j55gDJpEZdHf+IDGgupxfJ+lVXiwsiAYGXAy6AxWizIMJiIrNwVmSpzl46zQHMXC4EoDE5SSqD2H5Lzl6qiOaAvcylqIh+yLztedxoxCOOlFt3Xku1EYqjFL2JBLB1a4j16zVaWjQaGrhx2rfPxX/+zwWsXh2UUUZk6L2hgTm7vp4o++wsB703bQrxj/+Yx44dc7j9dh/T08DPf+5h3z7y4ffudTE9LV0OmAHMG27w0dkZRlx6hT17nDIO+OHDjjF+k2hs1Dh61HnFz7ASyyPOGUT6iSdcNDeX0xtaWqgkceGFITxP4/BhN0YnUDhzxsGxYy7WrAmxbx8gqhLz80Q0hStVKtk2V7xItLqf3DH7vjI0kYYGaoz29nJ4ZmbGMc520rakMxOns3fudPGudxVx550pzM4qg5Zms0RF8nm2vzo6mLCnpogqSLKTIvWVUIqFQdqBNk5dcbvteAQBJeBkCEj4fVVVMEL3cfk5DudI+5X3UMwOaHOrywTyhWco52/RaG2GR0T+T+T3SiXy8RIJjY4OOg4OD7NYzWb5c9/4RgI/+EECs7OqbGGW8/V9jUKB0liplEZ7OznnRJbIQ//4x0v4zGeSqKoKkEwyOctCNj5uFyHH0fjudxOoqiJKX18P/PSnlN276aYAVVW2VRlvR+fzCk1NFY50Jc6t2LXLNbQKCeGzNjQA2SwRRGtZrdDTo/HBD6Zx8cUBslkim8xBMJKX8Zxiecu2Yyb0sHxemcI2neamenxcoa/PxebNISYniZpSo1hFA+h2XuTxxz3cdFMJTzzhYHzcMUpAtbUhxsaoELJ3LzXjW1p0NGTNY0xMWPm134SnHA9RJyL4oQ1As1RRNz7O6xsfdzA6yvzZ1FQu+yrSdexaaqNMIl1UgNclczRyD8m7Fvc/ZdZDMTOxg93M6+k0C2gxHauvZx4fGKDJClFgjaefdvGLXyRx+rQT0SFtQZ9McoBepAyVUmhrCzE1xe+zSwn88R+XkE4T7Fi1SuNnP/OM++LEhNwdgmb33utFEoNATU2A5593MTGh8OEPl6AUfyfn55nTJebm2LFcaq2sxPKLZVVInzwJ/Pf/nsSuXR7SaY03v9nHBz5QQjrNhzWekItFToCPjNAEY2BAGRqC53FgYnaW1tvJpH3gRcRd+LfSRhNd5qWCKhv8ZqmkjJ5yEPDfW7cSEWcrzw7UDA6yYOvuVvjc55JGPi2b5cPe1KQxNsadAbUugakpB55HNHvt2jCyquZ5cnjkNxftjyPJYuXqOIvREsrescWWTlPPub+fSXFiQqxz7f3ha0IMDSmsXx+iUBCLc2044iJrJC3QuHV4nN+uNVBfH2JighxKccKyiw9fe/y4A8dR5trGx/mZ/vrXbnT/RBaL91cWUyJOLJ4nJ/l5bNgQRCokLt76Vh/d3QpDQw7WrKF+OdvO/Hzjk+cyZFMosPNx8qSHbdsC/PKXHq6/PkBNDXDFFQGeecZDRweHW2Zm+Dvz2tcuPYBViUr8Nsf0NPDVrybw6KMJ+D5w1VU+PvaxItra5Hmxr9Ua2LPHRXc3qVUnTjiYn1dGDWl+nj8zMADU1nLzOzurosFfvk6MkpLJ+GDy4sQdV9nQmseYnETEmZbCiaoblhcMIzOaSAD/+I8eisUEGhsJiJD3HKJQcMy8zPw88/upUwpVVXQ1DAJlaH7Dwzyf36SYjhfLHIwWtFdH3UV7nUJ9C0MCGTQPI/AwNqaNeokE5zVCnDnDTX0up3HsmBO9F6Ihc2Vynbg4CogUz9mlEt1dx8edsqFOIvR2UzM0pKINSHmB+9RTTiQ1qGLDn2IAxuKemxFej+MotLaGuOSSAA884OGii4oYG1PYtcvF6tUi9afR06PKQB+ARjik5HF96+nxsGoV83xvL1W/brzRx7e/nUQiERowZ3jYwbve9TJDQZVYVrFsCunhYeBP/iSDyUnuEH0f+O53EzhxwsF/+28FXHZZiMcfd40F7enTDs6c4QN/8KBjrJzzeWmLKaOr+cILjpFWK5VUJLzPn21pYQIYHQUAy8OTxCF0g/ignWgkyzGLRYWaGipQuC6RhEKBqGwmg2h6mIjpRReFGBzkg9rba3f/AFF01+WgRV2dxqlTTgzpfeWwiDFRGhni4HActTVFO1um1anFClM0Tk2x4BRElYsVk6Pl8nHxaWggUtzSwiR14gQ54aVSOQojE/giASWT8aUSk+bYmMjRLXah5AAOfz+U0ka7VT7DoSHH8NJlgHNuzqppEJVWpiXb2srFOZ/X+PWvXbz4oovxcTql9fXJoq7LCn+gXO0lm5XhTGDfPhd1dQHm53lOt9ziw3WBZ591zdDn+99fxOrVlR5hJZZXhCHw0Y+m8dJLbI0nk8Djj3s4dMjB97+fx8UXB3jmGVtIjY0pdHfTEe+ll9xoeIxF9cyMDBMzt+zb5xoZM1ETkg5TLkfJOcmfcdAAsIO+cbobTaf4fFPTmbmiupqFnCCwQnEjMurAdXlda9dq7NmjjMKIHJebe76mpoYb9tlZFQ0o2/v0ciGurhzEJNpbLCoD3pDSIvmXRSfBIRb309PM2XKMxQpUBAa6u5lL16whtcZxgK4udnFlAF7ynMwRyUYkDHVEIyE4MDLiRJ0/S8mIfhKeRzBmcJDrkABgQic5ccJBUxP/zc+Wjr7ShUwmOR+UTPK6m5spWzc0RK+Ez38+icFBhT17XEOVFJ+AeMfWDtgD6bRdW3p7HTQ3c7iVQ+UhZmdLePhhz6igvPWtJbzmNRXw41yJZVNI33+/h4kJhbY2PsnJJJHBZ55xceSIwhvf6OPgQQc9PUyGzz7rYHSUKACdkLQppFi82gTGolpH6DM1JauqNJqbVTTNvVjNQtQvAP7MQtSDyY7nOTUFk9za2oge9PQIMovIUQlG9uiyy2gZ3tOjIjRAGcF+Ig3iqqcjrVFlCt84/1cQWEliklx9X9BUcrWJNDChkdZg5fgEfWUisglosZazLQQFBXnzm33MzSmMjDjIZkOkUi5KJbWIjy1odpwPJwMyluOozGsX/izpPHYhIXLO5Dk8TE3nhgaixNw82OEV0Yqtrua9yuc5LHjggIu6Oqp0rFsHHDmisXevg1xOG762/V2w5yMtUxmsmZuzuq28n8Af/IGP3/1dH/k8+dhxmkclKrFcYvduB/v3W2tlgLbYAwMOHnnExdveFuDSS0O88IKLVIqUjjNnVCT1qVAoaASBOOfZAXDA0g4SCUSvk3zGAb9jx1QZQrtwmNr3F7sDCodX+MOUQlOorlaordWRcy2L9+FhZQrA0VHgqqsCDAwo9PerSNLNStGJugRNRZQp/mWIL55L7Hvz/0qR6z03Z6+hutpeSEMDNaq5NqiITsFjcphQmXxUPnxZvnEPAoIo113no64OGBhwIi64vS/x+yfIs+3SKvMa5mxKCMa1teM/KxsVWbfCUBmFlJkZ0myam7kZopKJvBHXcelmpFLkVa9axQKcDrjk3I+NBXj6aS9S5Cp3oVz4+1As2jknkUNcsUKbz+ANbwhwzTUBpqao7BIfRqzE8o9lU0gfPOia1o6E/NJ3dzvYtCnAJz5RxL59TN4PPOBGk8BiKsLkIYkljiBzWlyZYUKRM+rvlyLbWpeyCI3vzJeWH5JkmMlog/rOzQF9fdYgRagIxSJRalHo8DwHhYKOEFg7ZU49a43JSb6H65IuYWXlyqfA5T2U0tH7KKN6IYl0YkJFwvcW1SiVeC+qq4GaGmqgCnorhW15sre8aiI2Gum0xsmTDrZtC3H6NIvp1laNwUGLYsS5ygu53XF9VkHDlwrypO03pfienFTRZoYcSsfhcMrAAH8XPA+RRi3vC3VfgdlZXvf4uMLWreRhirSVKITEQ+QOxShGBjdlAQoC4I1v9MuGegYGuFisWVMpoiuxfOP4ccdQASSkK3bkiAPXDXDbbaXIhMPBk0+6SKe56ZyctBt3UftZuHkXkyXmZR3JiSLSnBeFB6FhlasCLYUCS3Elg3G+rzA3x+d5dlbMlnheQcDckUhwfXjuOek2KqMvHXfZm5hgnpRjx3O2FNNxgEI0jQGCGwISAKI8wp/r77dussJJrqtj141UQqt2IcWvvF9c6jWZZJ7s7nbwhjdwU9Db66ClhTM84i9QrnutEQeY7PFfTpIvTguR81YR6KDMrM78PHN7a2u5dbqYeM3PIxoy5OdbLFK5Y926EE1NvE8zMwRFqP1v1xDPs3RGGT4tlWC6FKUSsHGjPQ5AquDQEKXvKkX0uRfLppA+77wQzzxTXnUI/WL1aj6R6TRwxRUhhoct55nDaHy9FJFxt76FxyOKq4y5hxRxmQxpGIIux12bFhZ5cXRVa+DkSSdyw5NkYSWNBKmenISR7SkWhbtl24nl08v8DykMyiQG8vT4GntNOnJ9sta55cM3OhoYWYjOEBESeoUg1IvtZMt5wuLeVV0NHD3qRAkJOHGCrc25OWU0XLVeaJiw+POIL8JSdMYXVNJzLMLiuuWINzsX/Mw9j6hNNivdCcuVlAKYmrEa69YFaG7mMWdn+drmZkol8bw0xDRAVDiCgMlYUP4g4O/t7/8+uTfDw8Bf/VUKL77oQnSmP/axIm66qdIirMTyi9WrwyU3wGEI40LrunT1zOW0mfWYmFBmuFhy9cIulkQ8N5KLa7tCojAkQ9JxlHdhvrM5mwc7c8ZBf79CXD85DC1HOJMREILdLpFblYJfQILo6CDlQpV5DSQSKpJdtdci9BPO5zDXL8zZMhwodIv4vSiVKP8naiMCjpTT/ywqK3MqxSLz4ugopeIaG3UELCk0NobwfXoziGb+2ego8ZwtOXZhB5MUQXuvPa8cnKJsHiAOsK5L2oiAOEJ1AaxrZRCw29vebtfGyUl2I8fGytcEOw9kc39DA2eDgoCI9p/+KX+gWAS+8IUEfvazhBl0f/vbfXz4w6UlVVIqsTxj2XzUt9xSQjbL3bGI1vf1KWzbFuD88202OXjQwQ9/mIwKVmX4XfJwc0hjcXYX+gAdClnkdHQQXeVDzeQhNrWuy51pNlsuO1T+cDEBULNZtKDtLj4IWCjPzFgpOA6+UDvVdTm0kc3qsmMyESrT3iwUmNw4Ra3KkHsmUe7Mq6oQSftJUQlzHvJaey+48eBku4quRZch0vGII0by78lJhV//2kOppLFpU4Dubgfj40Tm5Q+HGIGlBoLKuYYi3r/05ycxP68jqg1bnKtWaZx3Xoi6Om0GRotFUjqGhvi33EMOyQTYtCnEO97hG/1TcsoVjh93QE68igpu+xlqjcjJjOeRTpNn+MUvFpDN8vt/8Rdp7N7tGEt131f4L/8lhX37ls1jWolKmLjyyhAbNoTo61OmqzM4yLb9TTfZym5oSOF//I+EMZeiLj6/J4WlFF1nUxTyPMqitbay88Q8KwU187DnUf9Z/i1RjpqTFiZmG1VVOpLutMWnSOPFZ2Tyeeb3VApoaKD5SnwtiBeXnJFhcU73XNJE4pzdIODchshrMufEudLl9EJ7L5izZaNADX69ZM6OF9jyvtPTdOsdGFC4/PIAg4MK/f2OQYDn5ng8uVcLQ+65FNHCSxblDfs6+7Pz8xr5vI6QcWDlSo2VK0OsWxdGqiOCQtP7YWpKGWGAuTkWwWvXarzrXSVDjVGKx+rpsVSbZNKqm4i5l8jQcm3XaG0N8alPFbFpE8/3619P4L77EqiqYs6uquJs1v/5P8sGo6zEbxDLZoVuawO++tU8Nm0KMTxM/teb3+zji1+01kyzs8D//t8JrFoVRm5F2kxyk7rAQmdhuxEoRzYohcaBCErqsTjj7pdtxESCC0JHRxjZp2qT6ORBzmaZyKhpqiOXxMXvrRT1SVta+L5XXkn3pWSS5795cxhpTp99MeE12IGSdNoW+ZLQxRWMMkdxvvDieyGRSMCI3FsKyCt/XjMzRPGnpzl939AgE+tWpUOUL85yNWV/0y6W9+fs7ot26Egp4bxzYntkxDGuWOSNWzQDsL8fpZLCxRcHuOUWH01NnPQmwkW0P640ImiJ62qsXx/gTW/y8ZOfzOHOOwv4+7/P4+6753HRRYRujh1T2L/fQUuLtb2tqWGBcPfdlaRcieUXrsucfd11PiYnKU15ySUhvva1edTV8TVaA9/7nodSiYYZgEVsWexqo1+/VEiuSqeZo+rrNVpbpU3POYkgIHKsFIvS1aupvmANVCzdIpkkzzoIiGY3NurIvKm88AaYFxsbCS5cfHGAa67xkc3yfYQakE7bjf9SNK44eJFIkNYiBlbyM6Sm2QL15dwIAZQ5PmqtDTUx9q5L/tzcnKWAHD3qYG5OYevW0ORJ39dRt/blc7Zcr4Ae2ezijmd01SDFRJk1mmst0NJCIEZrheZmXVagW+65Nn/X1mr8+3/v4+KLQ5w6pYwoAa3WldnA8J7yM2prC/G61wX43vfy+OpX8/jCFwq49955vO1tvinef/ITDw0Nls6RSnEt/8EPzvILWYllGctqhd66VeOb38ybgRDX5a50cJBDW8eOOSgU+BC+8Y0+/umfPCMvJKodxaLw0UQ30yZGKYympojg9vYikrCzznqWL82HemjImoQIrxmAUbuorpbpZCLPotMsCVTQTq3prFQsctCut9eB6wJNTWHE3xbNaBU5ci3NG/Z9Sy+Qok+cA6VoJLUkzpW2Sc7SVqxiRybDDUVfn1ix6ij5LVavkGMWi4gsfdlF6OjQ2LdPEroqQ68lhKMmRje8z6I7ytfMz798FS9DKTIg09cHjIxwk7VmDRfbw4fLP4NcThtXw7Y2jUOHXCQSPv7jfyzi6add7N/vYNOmEMeOOWXcR9mktLZqfOhDJbz+9QFaWoi+L4yRESt7GI9Egrz4SlRiOUZjI3DnnUX4ftGo6fg+82Y2Sx7vyZPUx7/66gBzc+Tp2mE2cY9VWOikJ3mbLnccku7vt/lZKR0NI9pn1fO4XshQXtyJVikBIJgfBRmfnS3fvEtRKhrFNOKixB3dDEVbWSORsNS3pdWVlNGVz2atJrYMlks+JJDzyjkbUAZ1r6ujfJ9QRXyf92jpQphrxMwM16z5ea4hY2P8LrngtpiVEBt2rgNyX4VKwfdbaEyzMOLGZgDnWEZHiSZzY2TdayU4h8ONTm0tP+PeXoU//uMSLrzQwc6dLjKZADt2uGW0EpmhSac1br+9hH/zb3ysX7/0xsL3uWa3tJR/P5VCxR78HItlVUhLpFJ8oB95xMUvfuEZbt1551knpMZG4J3v9PH00w6OHePuWoTjq6s5KBIf0gBYqIr1aD5PYfdikcYaF17IVn9Pj4OpKYXpaRZ1K1eGUAro6XHKikLfZyHZ0mKRUeG0WQk9GarTxkBkYoL61zMzbKUdO+aitZWI9ciIitp5uuy94qE1W2+OI4L5vI6ZGRbzU1M4axENlA+A0OqaLlLkGfJ6JydJi1i6iBY0XiOVUpGzo8K+fU7EZaYWtcj2xa+D98a2DYW/JpJ89pz5/aXalfGQLsEzz3CIKZNhws3liJSLlnV1NSJtag5WNjfz3uVywI03BrjxxgB/+qeJMl670E5cF/jYx4p4xzteXoNw8+bQqA7ItL9Mn196acWMpRLLO2QjvHevgx/+MBEVp3wupNDJZICbbw5w8GCIPXtcTE5yngSgokNcuUI2pOKaVyxyLqGujkVsKkVb8UJBYWCACk6Ss5ub2aY/ccIpK25l3mJmhk6JMzMwiLaAElbtSRukeG6OEqp0W1U4eZJDclVVMNr3ySTXlLOFgBZxO2/Jj/QHkFcuTnhxNY5cjtcm6kJ9fXYNYqF/9gJQDMDSaQ44Hj7sYHhYQBy+RjT8JReyUyjGLBb59TwrrWrPe/F7L8zh0sndvdtFdbU28yxVVdxcCI85l+N75/Ms3IWjnkhoXHlliCuvDPHjH7tmkJ1D9zZvX399Cf/hP7y8DnQyyS7q0JCD+np7kpOTWBIsqcTyjWVZSAPAk0+6+O53EygWyZfyfSbpmhruYMljJk9vYoIo7tAQuV5zc+WJSWR0PI8tuRMn+MArxQfp0ktD9PQo7N3rorMzxIoVGl1dDvJ5beTi4rteSSJhiIhXq83Amwi/UwPVPtwXX0wx/MlJFSVvLiyzs0BXl4qcvkgxSSRUWVIWpFOkonyfAyfU62RiHRujtudvGpIQZ2eJjnOKHjhyxDV230uFSNGJC5UMduzd6xpLX0F04hQRKU6tzBFDqCCSiBcOci4MuRfJpI6GjfiiK67wsXu3G9EpLAXEcbhQ+T4X2RUrNGprQ8N1Bvg5PvpoYtHQDMCFpauLxgPZ7NnvZ309cOutJXz724lI5oloR2triHe+syLsX4nlH6dOKXzlKwnMzJDHWyzSfpkGU4joeMD552uMjobI5SjzOTsLk+MZ2uj0JxIw1txDQ3QXbGkBtmwJkMlo/PjHHlat0tiyJcTRow6mprQZGC8UbHEnORugB0EiQRMqcqGZszMZW8SXSsD69SGqqjROnmTRVyhwvmZmhqh6JsP8MzVlc3k8RDlCiuOxMcToKaSlCHpuc58drF4qikUW76TSOAgCjZ4ex7gNni1ncpjcdi5TKeDECTdSz7DIs0jayc85jl6Us+M0ilcCPOTrpEDqGP1Q46abSvjFLxJmRkgQesch4EQQSkWKLQodHeWL0j33eNEQo1qUt4eHOUwqcrpLhVLARz9axJ/9WRrDw8zvQn/50IfOMv1aiWUZy7KQ1ppc6FOn6CA1MODE0Eu2ks47LzSoxWWXhchkNLq6Qjz3nIeFO2PZpRcKwOioQn09cPXVPlascOH7AXp7FZ56ykWhoHD4sGs4UxTlp65zPORcZNCivh5GPB5gkmDCJe82kSCPOJ9ny8lORVvkeHaWusz797sYHFwaVaB7H6fIq6q0sXkdGlLYvj3As8/ydTJoYZPy0sfjggeD+P//7H1plF1XeeU+5977hno1qlQlVWmWJZVsycbYBuMwGGKnAyFphgbCogOJgQBJCGR1oBO6YcV0AyGGXt0BFiQMwSwcSAAzecTBgAEPgG1sS7ZckiVVlaRSzar3quqN957TP/b9znlVKskDMsayvrXAUunVfffdd+93vrO/vffHkbwyTMbbM0my9GO+pR1JJKFY5OaFhah1tkU+xInkZHxt/luz+l6uzXLnwEWD13HFCoN3v7uOj388g/vvD9zAGXIwvSVgrWYxOakxP29QqfDcAeDHPw4wPb3YitCdleJ4+uc9L3hU942/+IsGNm0y+NrXQhSLCpdfnuCKKxro6Tnpr52JM3FaxLe+FeKhhwIEAV0xpNgKQ4tjxwzOP984ytzOnRbz80Qjr7sudI4ZSx06Gg3qSDo6FC65JMGmTdTjYl0AACAASURBVAbd3QFGR4Fvfzt002u7uoRDzY0zh6H4fLO00BKR3+wsUl2GQWsrQQ4piOfmFKamSFGZnxfrtmZRosXznpegWNQYGqIt3tL3CQJPNejqIu86CEgd2LjR0/pKpWZB94lR5UqFFAgZfd7ZyZwtdA66DfljiIZHht3UagJCWczO6pROAzdQSj5bs+h9aSwtmpcvpH2+l5wtwEqS8Nq/7GUJCgXg+usjyFyGIPBUGrqOUBg6O8v1qrOTb3TwoMIDDwSQwTFLr/vBgwpf+lKEv/3bkxfEL3yhwac+VcXVV0cYGVE47zyDK66o49xzT9IKPROnXZyWhfT8PFHAjg6ipTKtr9Gg8Gt6WuF1r0uwebPF9u1EmL/whQjj4zSZF96ucLOMIaeXFA2Fzk6Da6+N0N0NdHYGOHiQSV/Q3vFxdVLhn08aNJi31qZDYRRyOeNs1CS5ie3d+ecb3HVXkAok4BAQou4Wt9wSIp+3TvAnFA3SOSgwkXG2mQz9ihsNGsiPjGjMz3vk18fxH8C/xrpjd3X5YQVi7dTWxp9x2M1ipALgoiCIShQJH5zHFb4b+ef2UbjPTLoiDAL8ZgUg6lOryRhb8vk4wZALZq2m8PWvh45CUS5z4uHRo0S8xMWko8Nb9N1xR4BnPYuOKddcQ2FJYxngmGO+FW68MXzUQloptq5f/vIzbcEz8cwKa9lFzGTofSydqiRhLiuXFXp7DV7wAoONGykG/NCHOKGO3shEh6UAttY6N6apKQICjzwS4N57A/T1ASMjIWZnPRVkaoptf2pdvId/8/mlf4IMf6lWSYXQ2mLtWovJSeYSoTMAFi94gcEvfhHg2DH+PJ8XtJvF/k9+EqK720Km4TYXwkqJVSbfubXVYnpaultcx2Qi4eJzPD4ERBAecxhyM9DsmZwkfjDU/Dz/Kz8HqJ1paRG0l/qWTIavlemOxtjUCYQbhZNRRQBv79os0FYKKBQMGg1OneW6pFynlS4eCtdeG2LnToOLL04wOUlv7AMHAndNgoBrUHs7edLXXRfita+NEUUW3/1umIJAi72u5ZysVfjxjwO8+938zk4WF15ocOGFj6LwPBOndZyWhfTkpEqFECzeZKcsxRZpHApvfnOMBx/UuOGGEIcOaQwNaVjLh9UY3yqylrzpatVPOIoi2qUdPapdASWDXMiLU263Xq0uL94Qbp8fUc0WlLUWmQyRA47CthgcDDA6qlKzffGzbi7e2OKklZ74H3uUmxY/QsdQbtCKFL4TE96uKZdb2ipdHIJmFAp8XRjyfZda3Iknqhyn2VsbQEpTUejooJd0FNH3ulQiQt/WRu/PsTGF0dGTm/gDLMwzGX6+Wo2TJ/v6DM47L8HddwdOSDQ7S2TGGOtU1ldfnUFbGxGi2VlOIGtrs2hrM2ht9VSQuTlgfNziAx/IYsUKWiPt2qXR3W1x9GjzqFv5jtnFuPXWAB//eITzzze48MIEXV0n/Shn4kw8o0LQ5zhWqTCQfxcxYXs78PDDAa68soGxMYWvfjXE1BTpdNWqSgtTTwszRqWOGNwYxzGnJk5PKwwOMm/JRlsK7lpNbPg4pOP48DlbuNfWcrpiqUR7u2qVBaoxwOhogO99TzshYXPBKjmwXleYnPS+1rLmSNeMQATXoKEh2nFK7pf1TWgsjcaj+2lnMt5GjnxrtQh0qNWQOlmJG9Li60BqiEZrK3NfRwc7rqUSi2axGBSkfzlwofl65nLMs0KpzOcttmwJsH27wf793lJvfByu+ynTCW++OcTNNyu86EUxqlVgeJicZ9rQWTept1ikrunzn4/w3e+GKBSAoaHF2qDmc7KWXPiZGYWPfCSD5zyHObu//7E5Up2JZ16cloV0oQCsXWswNRU4ex+bZq6ODj4M9TpHbP/zP2fQ2UkrIqEcyK4dEE6vdQgC24UKGzdyB/7LX/J1UjD6YtI6FTp9MtUSg3zSFWQnbgx3zrmcdX9ft47INL2agVpNY9Uq44Z51OtLjeyVo4swiXD3LhQGojUsBjkm2yfQnh6LsTE0Xa+TX2OteY2HhnSKusIdTz5/raZSnuHxQRcTIhhhyEVMeG0i4GlvZ0t0fn75tqcPUlY82mKxbp3Ba1+rsXcvPaLzeU4j7OriIjE2RoTj7LMTVKv0aZ2aUrj++tDZQk1PawSBSkfqahQK5EUePBiivZ0L19AQz0+sFBcLhoiEEbkCPve5DLq7LXbsSPD2tzdw0UVnRISPOZIasmPXIpq6BYBFUtiOpLANSet2JK3bAfX4RkCqeA4qLsFE3UCQe3LO+Uw85ogiuuZMT7MwDQJxgWDBJiBApQJ86lMZ1GrMj3ffbR3tQIrP5mEc0tVrNOhwJO5N9DsGRLQsHTbmbAtAumjNw0VkAIrvUoYhc2cUWRw6FGDdOhbVU1PMg7WaQn+/cUUvcDxyzPxMBF387NkJ9Tm7XicgI9oeFq3GdU4fTVgt79vXZ51DUL3OvMf8y8/vXUp4Ds0h3O8ksanbE7uacQy3RhnD4rdYVGkXePlz8Q5F1hXyPT0Wr3xljHqdnPKhIevOsa/PYniYm4izzrKp2JPg0Q03hKm1rE2pLpKzxWvb4vDhAFpTSzQ+TrBNHKcEfZfPHATeSeQrXwlx000cnPWa18R49avjM4NWHmNYC9x2m8a3vhWhWFTYsMFg+3aDLVsMdu40j4r0Lw2h1hLgenLO+YnGaVlI9/ZaXHSRSac4hQCs2+3ncqQJXH55jNtvD9zAj/vv146XDPgk4JFpn1ToSAH090sBrZySWlABa0X8p9xEOzmmvK7R8DxjKsJJkWALUOgdfpxtHCPl4sJ5HYs3NMAkXKkoh9BQEOOTZBCQIyYjZbkQcJHq7aXgkIWgz8hae9S62cWkUCD3vL1d3EKEfuEpLc1cu+ODKP/cHK8BbfPg7AOzWVoazc/DofDLHkUBq1YZtLaSW53NWmzbZrF+vcHgYIgHH9QYG1OYnlbpAsDPk8vRyk4mkBH58T7bci68zuIuglQIysQuyXpykoi8TMOSxY8IFI/Z2cnrkc2yiP/ylyNs3VpDR8fjv79P11C1CahkHia7GgialJlJBa0P/jmi4t2wKoSuTwFT34fJrUXcfi7itvNRXf82QGdOfHCJuILs2NcRzd6V9pFD1Fa9Ao0VL1meh3Umfi2hNfDSl8YolUKMjWlUKtb5Ra9YYTE3p/CqVyXYs4fC5v5+CgWlcyd0BdE3iAAP4J9FlN3Tg9Tzl/+Wz3v3CLpnmFSgRjQ8k0GaS31eqFSsmwwYBBaVCvNgFJGPy3zuC3tu2rkWyChtudVaW1kASkevUuH5MYcwv3R2ElDwHUjm2JUrrRvaYkwzWqocXaI5b7a2Mse3tRlMTWnnarE035/Y8ck7WtXr7ByysOYbZ7M8z3qdOftEHU2Aa5U4qMQx3Vm2bTOYnlZ45BEgl9OYmVGOilgoUETf0WHd/SCbC6Q2guKsRDBLOdT92DGu51u22NQtCo4mIoJzGaBDPrhy5xiGCm1tnE3xH/8R4pxzDM455wwAIlEqEYDq6rKLuqzWAv/v/0X46lcpwi+VqBXq6rK48EKDNWsM3vWuOlasePT3SBLgBz8IcPPNodv0XnJJgle/Om5iGzy1cUoK6YGBgW4AXwZwFoA6gH0A3j44ODg5MDDwPAD/DCAPYAjAHw0ODk6civc9USgFvOlNDWSzEaamDB56SDsBSLWqsXGjQa1Gi7Z8noVgrabSpAaUSj4paS30Co82W6tw7JhKExhfJ7tx+XsY0oInjpEe3/N4s1nrRCTiwCGjXstllbp3AJLsm49bq6m0pSjjaHlcKc6b24ZEwH1xIMVzFNmUy+cV1Xv3kpe4caPByEiQfn6bti6PL6aJilv09Ij9nEf9TyZ2kWgWqIhtkTFccNrbea4zM7xu7e02dQVZXrgyO8sEu3WrQaPBltzevRQSzc9rjI3JoB3lhiu0t3NYAkCEpVzW7t7xQkt+FrmmogCXbgAV5LTxk+8on2fhLSiR1izWxaIvl+M51Wp0CXimoNKqcgRB7RDi3CYgt2rxP9ZnUDhwFcK5+2B1HjbTi2r/H7riNjP5PUTFe2AyfdC1Q0BAKEM3JgGVRTT/AOLizxF3vcAdMpjbjeyRaxBUDyNuOxf1lS9DdvxaZMa/DR2XkOQ3Iu58DmyQR270q7DhCsQd5/86L8mZWBKXXZakE/0U7rwzcBvSalWnfsAEAQAWxqWScsNYZmeto0SIPkO8kTmsg52jSkWmr1rXwQOQFsUEObq6qBkRt4cg4HMtxxdwRHK2UOOk+F7q3iObd+Heas335tAXyX18LakLXjhJygnfn7Q/EdXRanVhAdi2DThwwKPvPI738hfbOU409AO5mOcW5+xHQ7UrFZWCL9ZZlFJoTuT36FGNctk6N5ITcaTn53mtt241WFjga374wxCVCouuKArQ0WGdw1K1StrIypV+CqPYHcpo9aVrnUwslHVRuqNBwLV5cpLXl0NtCAQRGGN3sVDg73g7U4t77w2eMYV0sci6YMUKi7POWnxjxDHw+c+HuPlmdnC7uoDf/u045aBTrPnNb0ZYsYKbFA6dQ/oMktZ53XUR/viPfctifBz4ylciPPBAgL4+dgDuu0/jX/81wuHDGj09BhdeSFe0n/wkQDYLvOpVJ7eV/XXFqUKkLYCrBgcHfwQAAwMDHwPw0YGBgT8FcA2APxkcHPzpwMDA+wF8FMCbT9H7njBaW4HXv76BkRF+cUePKiew2LHD4JprQpx/foJSSTu0d3JSLHOQtg5YaK5YwUJO3CgAn7CleBJutfwbPZ9JT8hm/c6fHEC+ZvNmg+FhjUqF40nleNmsPc4KSYIFum1KvNaNEW8uYDkMhceSAthaIqfCf/PICFGGMJTdu025fFIcWzfEQESL09M6Feno45TePLY/5+Xajs2CQyIlFGgWCpzgOD6uF21mhBd4fPBcjx3jWO5Mhue6c2eCu+4KINxxKXz7+/1Y4vFx7VD+5oVMeIr+WnJxDUM49H1ykuhMkvhNloiIwtA66kez+DGTkQmQvJ/Ywj3NIymjsO9KZKZvBawBbIxG2wWorn8H0PUCIKmg7cF3IJzfDag8oEPY+iRyh74Ak+mDShaQH/o/UI0ZaFODMjVAtwAKUKYGXZ9A0rIJ0ezdrpAOp25F2+B/B2BhVQbh3APID38SNmiDMlVAaQSVg1BxCY2el8JEnYhmvn+mkH6KIwgoth0fJ9q5b592/N8dOwxuv53cWSl62dHzGoxCgbmpWGTOrtUowubEVQ9OiFd7GLJ48sW0TXMxkcuFBen8qTT30yq0VuN6ksn4TmFLC730m90mmj9XS4t1Xbcw9KjuUv0Mu5d2kftQpbJUUMhzoec/CxCZ1AiItzbRcvl5Wxs7fHFMjrOI+paCE3ItTkQVIU0R6O3lvIBMhmBUT491rhkCPAgqvsxREMdcl8fGNFpbDUolhXPPNfjlL7muEOjyUxvF8/uhhzRKJb9xARbTeSSUYg1QKFgnNiXgwutLQEQ1dWw9tZKbBVlv2OUslbhxO3bMb3BO1zAGuPrqEF/6UuQ2X2vXGrz97XW8/OX8/B/9aAbXXhshl+O6OD0NlEohOjstzjnH4KqrMjh8GGhrY6cgl/MC0CNHNHbsiHHvvRpvfCO/u5ER4K1vzWN2ltqGPXtCfOMbkdO0ac1a47bbFC67jHz1n/wkwO/9XuymSj6VcUoK6cHBwRkAP2r60V0A/gzAhQCqg4ODP01//k8gKv2kF9IAcNddASYnmYy3bmUCKBaB224L0d5uceCAdgI0X7jyCZEiR/w9rWVrzFov0mhvh/PfnJsTvpVKBYeiMFZuMZAiS5LU9LR2nLwoEou3E4kTGc0TuNjyY5traYi4RBw0xP6NRb5/PUWJ4hzCcxJUOAhYpIvam7xlIgjCbZakeKLwHtZ8rSQgFubkouXzcK4nHR38t4UFGdpi0dfHRWd5vp0XOVYqFvv3a3R2Whw5EqBYFPsoPszW8jtfvZpTqz74wSwmJlR6XT1CJYlZJqZJYudIdn5HlQrHeosav7PT4lnP4vCI8XFaFfb12XTQA5PxypUc8kCetcLXv57B/HwD//k/J6dtYs4PfRKZqZthwl7opATVmEV2+hYE5f1A8fnIYh2i2Z8BCABFpZQKWoDKCLJHrkZQGUZQHYNOKrBJDVAJrAoBlQFgYXUOunoUKp5DOPsLxK3noHDgH2BVFjZogTI1WGugkwVA58il1hnAGujGDHRlBEnLRqjG7FN4lc6ExMGDFBBmMsD69dblhvvuI0K5a1eA7m6Dn/+cBRWDuY1Dqphr5+aYvwoFleoupHNn0dqqAJgU6IBDwQHmGHKIbZqzmzt/MpYazuFDBITNE2mXK0KlBb1mjS+4F1uY8mfNOh0Rijd7WMvfxU3KGE5mDQJu7JtBGKW4lnR2ciKuoN3Z7KNPE5QhYXJe4qwk50IKIf911SoWoNPTOu0wAhs2GIyMaKfRWRz+740GRaRak1Yp+Zg0HXYQymXqmf7qr+q46qosHn5YNyH7fq1rBluIwMO5cAmVcmSEGwkBVs46y6CtjcDI9LRyFMf5eV67VasIuojeJ5cD/umfgD/5k8bj5vg+XeJHP9L47Gcpvo8iDu154AGN970vhx/+ELjgghBf+1qIet3PdchmgSTR+Pa3Q3z3u3x9raZT6g7v07a2xbTV0VHaBj/rWQk+/ekMikVSTEmN4vceRXxGKYDlfbdnj8allyaOknXaFNLNMTAwoMEi+rsA1gMYln8bHBycGhgY0AMDAyvS4vsxRXd36xM6l4MHOYWOnCuknGmkhQ9bSHNz3uh+6eRBabHNzvpdaybDqYhbtghtI8Dhw0gFF8dP4pOkGgRswY2NeU702BhfJ4VmGCrHxRMLITmO8K4BoLWVqMrBg34aohxHjtWchIWHtnQozObNvC4HDghVA2DhyJ13GHJz0NJCZGB0FJiZCVziosjn5N+BvI5OImzFyoQuaYsuLPCzzs8D+/cDq1bxf8WinzYo12K5SBImPXFVqdXE2xWL0Cil+JrDhzXuuSfCJZcADz/Mz8YE67/D5kVONk+AwurVbGPt2eOnjLW18fweeCDAq14FvOQlVIX/2Z8B3/gG8JOfcLEbHeV16OwEduwAtmwJ8OMfR7joIuD8xwiG9vT8hqksThZxGSh+H8iuALQF6jNAVABMBplkCkCA9iOfARADygKmASgNxDUEyiKauxOwCZBbCZh5KGsBkwBxEYjagLAFufJuoDYJZLqRbxwEMiuB6hAQtgC1MQABEM8BsIBZALLdgImBIOL7mAkABWDNy1E4Bdf2afX9PInxRHP2PffwmU8S5p0gAA4dEtSXz9zMTIDJycU529MplOMYy5S9MCQyNjBAV6DxcWBigvZnsnE+fiPLgnnjRlkn+IJmRNJa36WLIjjOrfgey/GtBfJ5AipDQ/7ngM/ZoneRnwnwIucHyAAtYM0aHmduTrnjA9ywC2jDEeBEq0ulwJ2v0AcXfdJlCn9xMqH2h9dUOm8spP2G5eBBYMUK5mzRFAHaDbVaHtnmmkOeszigePBH6ChIh3cdPKjx8MMRzjkHzvp1fFxhZsYDXUvRb673FBj29bEOmJ3lZxIf8H37NH7rt4BXvxoYHgbe8AbgvvuAG24AjhwBZmYIivT08F7YuTPA/v0R7rwzhz/8w8dwQ+PplxNuuIHrZ1ubr50EUIwi4ItfzGNuzq/jgK9P9u8PnF5MJgJrTa2TbLLKZY3rruNrrroqQk8P11NreS8Jncl3mkijFWHssWMaxWKITZuATZsyvzIIdSq+nydDbPhJAPMAPgXgVafigNPT8yk6+PgiiiLMz2tksxpzc1QTS5FZLFKo0dFhMTqqUzW0csio+A43GtYVtknCttmxY8DMjEGtFuLIEYMo4oNZLtPLUlBSwCeRahUYGxOxm3Ue1ZJohMclxan4W4ohPnltNkVQROAH52YhSdL7OPONazXl6Cr+fMQWz6RTwXQTNUPcL7x3NrnTCcbHA6fOXrrxWC6ENiKTIWs1XodazX9eWSz9d0Yk6dJLYwwNUSi4b58+rmOwNJqpMHNzgtKrpqEwfE0YWmSzBgcOWHR3cxedJBbt7VyIRLCkNUU+CwtIB7IQ/Tj77ASZjMWBAyG2bDGYmdFuIE2jAfzsZxaXXRajWFTYsyfGRRcBr3gFp1JedVUWY2Mak5PA7bcDu3ZZbN2a4MYbDdasefTphT09bZicnHvU1/2mhGocQ0dtAVA5qHoRyvA6wirANBAEEZJ6CcrUAWhAh4CxULYGY6ZgdAugQtiwEzrbT+QZCtbWyRKBgW6MI8msBlQeevZhwNShTBmoWShEsEEWQAAFA5tUYeIGVFKGsgDMAmxxH0xlCtXMDlRzE45//URi6fejtXrCBeXTPZ5ozo7jAJVKhK4upENK6FZE32aK2Xp7DQ4d0unCqlzXTUAFeV8ilCod8gRMTbErefRogCRJ0NqqUpG3UNgWuxUR7bVOLE6E1gsFBZygtZ11LiHSjg4C5nryuA2OHAlAn+XFtATJfywkrRPN+W6enwvAKbkGc3OBo+sh9c0WhFroJt3dJhVSK+c4Jfn/5DQ8u0gcL/m6WdQpU2lbWqRDarFzZ4K5OdIu9u7VTtR+opxdqch5MLceOqTchkLoKeUyj71ihcEtt3DCbxwHqZsL7WCbHbNkSNbCgu/wrl1LgeChQwF6eviexaKI2oF77wXOOaeBYlHh4YcTrFplceWVBnGs8KEPZXDwID9LqQTs32+xbZvBDTdYvOQltUct4p5uORsAxsZyUIodH6G/CLiUJBpTUwnimPdwFPEC1Oukxra1JbCW1Kp16xSGh8X5hs9gT4/F0JDnux84oPHwwzbtcvvvJIpselyF+XnjDBTKZd43t9wCXH55jD17Gul3+sRiue/nieTtU1pIDwwMfBzAVgB/MDg4aAYGBkYAbGj695UAzONBo3+VeOELY9x9dwabNxvs2hWgVCJv2Vqk/C648aKSZBb7HvvE1NKiHKUjjukfLUKXdes4WIRfvnLHA3ySEiRA3ke8o+V94lilhbScD/9RRGsrVljk83Sj2LNHpw4kHHYiYg1g8ejVpROb5Fy4wDBhT04q53G6WFDoeXm1GqkIzaLHRxOlpK9CEPDcGg3r/Knl2NK+EV4y/0vrur/4iwZmZ4H3vjfnNh3CvztZtLVx0alUbCq+sYs+i7WkaExNsV148KBCkui0A+BbhVQas11KRxA4gc26dVSct7Zy0RkbU+76FYvA3XcHmJsDPv3pDOp1IkO///t1DA2Rm5jN8jpOTyuUywG2bXviieA3OWzYiSS/CcHC3sX/YGqwUVfqsqFAWgeIPlsWyEAIE3ZBx6X0WO2w4TxsDMCESHLroWsjiPPbgCCLoHwQ0BmoeA6ASZ/ChAi2baR3gYGO53l8U4ZFCCgN3TiG/PAnEJZ+ifK2D8Hk+n9t1+hMLI4dOxLk8yGiyOLo0cAVMHHM3C25WIpMY0iLa/ZPlpydzUqeYe46coTUCmNotTc11WypqY7L2YKapn9z4sAkYZEm4r9Gww9/ATyims9T0LxqlcHYGLUkhQJz/Py8L9qbKWvMU34NEYoHC3UCMIcPazevoLmIbC5Y45g0iXpdOeqdz9knr/6IqCs3gIUzBVQquuPnPnbMF9NhyHz4X/9rA5s3G7z//Vns2qUcEHQy21KA/Nl83qJata7zJ5+f3yfpf8Ui3bUOHlRpp1Fs7jyiL9N/V6407horRaFqSwuRc/EJFwBkYQHYs0fjkUdUKpYkAvvSl8Y4cECjWGQnFeCa8MADAXbuPH2HZl18cYJrrtGLLOYaDd+NB3zXRWZVWMu1c9u2BLt3s6zM5egzLvfd2rUGc3PAunXUHB09qlLNkGygbLrxFZGwTddhjSDwlKW2NhbU110X4YEHNK66qo6dO59aAegpc0QcGBj4CMiJfuXg4KCM+bkHQH5gYEDk9O8A8PVT9Z6PFps3W/zRHzVSLlSCSoUPdaHA9ny9DgwPa8e/4XQm2/SlcjcuqC4LYOu4st3dQF+fQXu7RVeXd8AAfJuqOTyCoZpQWSn4FrcXRdQn/pjWWmzYYFxbrquLPLQTGfBTIGcW0UPERUJQ7mLRt2YkqYRNWytBxgHezPIaoU00h6if/fvLC2zKMebNLyb5zUKX5mEK1pL+0trK3WqhYNHfzwI5kzn5AkBFPZziemlEER/u4WGN4WGNw4f9brvRQCouWvw5xBaJE8kUBgYS/PVf1x3C3tZGO6Z6Hc6bdnKSKFC5TA71/fdrfPCDOdf6FdpOFMmG7vQspKEUypveQ15yUgVMFUjmAaVR73weC+ogD5NZQbcOxcLW6hbE7c9CvftyqGQeSMrQlcNAUoayMaBDBJWD0I0ZhAuDCOd2QzVmoOqzAJqR/QTKlgHEADIANJSZhzYLACygchRAIoSyMaKZnyK//yNQ9cmn4GKdCYDt4D//8zo6O+kgJBMKczku4lorjIzwmZF82WhIMWWd1kO82yVn0V0H6Onh8KeODnJ7m6lxJ8vZSSLUMW7OxSqzOcJQpTZtnC4bBLTmzGQIwrS2WmzebE4KQnR08HX8PD5nS1e0VhOOtQc1lnJEJZeKiFpcOpbzP27OdUHA85ZuY6NBWksQKCcqE32N8FOFOz0+rtJJtRTP9/ezuD45f5Wfk91XpMXq4hxPeqHF4cMa5bLCgw9qRBFcwbWw4IeNSZTLFHG2tfH3V6wA/vIv69i61TgAbdUqgjIU2Vvs38/Cv1RiZ3LvXo2PfSyDyUlvI8v7iL/TvHE63eINb2igv5+C+Hqd3PV63eK885KUJsV7tKVFLCr5302bDF7yEt7f5TIFuaIZEyODiQmNoSGKRkdHderi4TeVYslIRoBy04hpDymDmugVDlgcPBjgH/4hg127nlpz71Py7gMDsXUmYgAAIABJREFUAzsAvA9AP4A7BgYG7hsYGPjW4OCgAfBGAJ8ZGBjYB+BSAH97Kt7zscbFFxt86EM1vPWtdXR0cOqRjIEVC6DeXiIHzQgxC0PSA8SqjTws7oZnZ2m6PzPDB3x83IsvJEEcH9a1m6SN0SzU8y4Vyo033bCBk/VoMQS3s15Y8Dv95R5o8YeWFkkmwz+3tBAhBZC2t5RLQn6aof8dpZSz5GMy9m0/vvfiz8oFxKYCHTpp8Phs8ZTLCgsL6rgNQL3uOwF8SIFduwK0t/OayQTClhYseb+lx6H9nXAaZSGS6y+TD8X/VERC8lo/Gc3TbMpl3gNnnWUwPh5gfFzjta9tYGqKLcJCwaJQMOjttXjd6+quFTwyQovFWk2596xULGo16zYPmQy7DadrJB3PRulZ/4rq6tcgadkMk1mNRsdzoGCApIrqmjdSGKgCwMZkfUQroRoLyEzfApWUESwcABrzgCFpVNkG/wcD2AXypxEDqKbLcAAggIWGhQZpIxlYhLA6B0BBAVB2DiqZIy9b5aBsHSopIzN921N3wc4ENm60eP/76/i7v6ujUKBndD4vXGPraA8bNxrHrRX6g+RsEZsJAELhGjA8TIRbnDfodgScLGcL2iZghBSTiwe1KMdtXreOLhZKWTcVdeVK687hRAitUtZNYwTg8nc2i3SQFNz4awE8Gg0sWnfEtUg44lLYy7nJ+7jfSBFbrf0UXH98FpflMnOmgC4S3n+bUwJbWijAFp64eDQv9cpfmrPjmAXs7Kz/B9k8CB+6VvOgRqXihfPM734NE3Re+Nc9PSz47rwzwDveUXdi0kyGhX6hQLCN04qRTtFVTfMYVOr+IqAa17+urtM3Z69cCXzxixW89a0N7NiRoLsbOP98k6LIwOtfX0d3t3UdCQoJ2TH/+tdDNBp8zo4dE29vrrWcJszrWSpxIyQWl/Ls8jvn4DOxjMzlWFMAfI5nZ/n6TEYEtBbXXRc+xi75kxOnyrXjQZygXzQ4OHgHgHNPxfs80aDtEHczfX20spmf5041k+Gf+/stNm9OsHatwa23Rqkf8eLjyE5ddu1MMEi9TRdbLC3loeVy/Hm9bp238FIEW3baRFaYSFkU0g3kzjuZLWSi4SOP6EXeoYLoSjuzq4utwHLZOiQ+DPl5jWFbjAlLpQpcOZJKFck2balx2Mg555BWUi4LQpI20B1H27qFTXjZXiTpOYjiy7k06nUuQFu38h/b2nhusvGxlhsJmXzoLYr88WRUrggc2XaCQ6pyOdJ55ubE1lA45tYNZVna4q3VOE3r+c9PMDmpcOCAwl/+ZQN9fRb/9m8hjh1T6Ouz6O42+PGPI0xOEm3nTtxbZAlPsbPTpImALd4LLji9fUlN4SxUtl2JCq6Ero4iqByE1RnkNzwXtUMPIXfkGuh4DlAUsgbVAwA0bNgFGxagTBUKDbiWr20Alk2vtGkNwC5JQAYqLY4sQCGjDlME2vrftTWo+jgsIkDT0SMo73+yL8mZeJSggw+pX2vX0i5OBE5iNRdFwDnnJNi5M8H3vhfBGPJzmwvV5lxDZw9aXt5/v3a5If1XiHZEfkbAgBZ61gq6ah3dQ3K0CLyThN02Cth5nF/8IoBMBKRAXKNW8+8h5yd8biK/3tqOXTSkBTgpHtJBFYG7RDarUss76waVnHeewe7dHGIjxUqz5Zu1Hu2u121K6fB8bXnCmu343BVTPv9u2ZI4gRrA4nV2lscqFGxaiPqBVzKPAfAUEQFxtGYBL1qdchlobzcolTRWrOB6JpaAlYpKi+fFT79YEl52WYIgsNi9W+M972ng//7fKj73uQyGhuhAtXkznZ7279dO8B5Fyn0v/K4s2toMooj0zbk54HnPO32pHQA3bu94RwPveEcDxSIwOKhhjMLFF2dQLsf4xjdCHDum3b0zPq4wNhags5NAmGzmAG50eP8fr0Hw4R2zkFo4CveeP/ffb5JwCBo3hrxHjx713aenIk7LyYbLxaZN/NaslalKfGjGxzWyWRZUs7MBdu8OcOwYC2PZmUvSIR8PznlBEufEhHL8Wrb8FyOucpzmVtzOnQbHjikcPKjT9huFhBQssuCu1YQ7TZFJtcokqJS3lGn2cBbxibzPvn0aIvZbv96gUqH1Wl+fxb592qHKwnPyPGVfhJbLRElkWMvcnMLkJFEdGWsrn1EpCnua+Xp+bLZHfvxDIbZKMsSAlkZr1hgUi/SbvOceKs5l8yADBaKIiML0NC1yBB3xdn8WuZxyolERHhpj0dFhUCoFKBabF0QvepFhObJByOctXvziOB33rtywh9e8JsbZZxt84hMRDhzQWLuWdJpyOXScQnm4ueBad44tLTSqf9GLEpx77uldSDeHyfV7DnLUimj2dkBHsJkewFQ5uhsGFhZADJ0swKo8qF/OQaEGv1FlWCmC0r8rLLfINQCroGwCNuKar3kCwMKqDoSzd6PafuEp/tRn4olEPs/pfQsLcGOB63UCCNbSgcFahaEhjelpFl/SafSOF9Y5F0nOXr2a7kDSOaMjhWra9DMk1wsfe2DAIAjoziO0C7FEnZvj4i92p/L8i3hdQJhazWKp736z48TQkHZo7OrVLCqThP73Bw7odM1BWtwreHDH5+Bqlch0oyF0EotDhwiIiDYG8K8HWERLMePnHjQXL/In6Vb6yY2trVynOH6d9oWSs8Xr21qhWdjUmlAK4WZqBjcrzeL4JOFauGqVdRqnZsS+WQPT7EySybAb3dlJq7UNG3jel1xisHZtDV/8YoQf/YibnBUrDOJYZjFYJ6gk2MF7gwPbCHz091u85jW/GYNAfh3R0QE897nMlz09wM03a1QqGj09rElkkwfwPqJtnV/7TuQlvlTwuhRcI+gI91wvLcKrVYKDe/dqPOc5ySJ6z687nlpiya8x1q4FXvKSGGNj2rW9KDRTWL9ejPZVuotWi6zdZOcThkRoZdwzWw8q5d5xRKaYwDfTBWiKzx0+B5BwR/vbv52grY1CCEmI2axNnSVEsIK0zeELZbF5Avhe0g7xN5rnngmHlwNOWLzt36+bFgIuPIKC087NuuEhUQSsWWOQy/H6bN6cIJ83LmFRwW0dn3spMrAUxSC3yUd7Oz+ftCX7+w2yWeBf/iXCwgLFQVNTbAuVy/y8fX0mvZ5+IZFEGgQ2PR4XhNZW79uttUopLip12bCuOK9W/VPq6Sn8WRwrDA5qjI8TxT/vPH6oG28M8I//mMHu3UzI992ncffdIdrbeRyxipJFfdUqXtvOTm7m3vzmOv7+72vLchefEREvIDp2J6wuIMmvgwlXAJY7MwULlSzAWgNlqwBUikJb4LhC+WRkRQVXONsayJde/vVWh1A2gdVPYUY+Ey6UAq64ooFSSbnO0cgI8/fq1QQElGJx1Wh4JFWKTTlGSwufOVloxVu+vZ1FdUsL0il6gkpjURcsn+fxnvvcBC9+MV0d2tp8nmm2K1XK58RMxja5MPG95XgyXXExKsccnMmwcOZaxMJ3/37tivJcjgWE5CfauRHwkJy9ejUpgdUq8/fKldZdG/HwlyExsp7IpmGpG9NSKkZHB3+fBT9pK+3tFt/7XoB77w1w7rkJZmY4m2FhgQDC5s3GicXZOfWghUxKlM2A0FhkPRJXrExGBqkIfaOZouL5ujKM7NAhUi7LZeDSS/kFPPigxsc/nsUPfhBCKW5cbropRHe3dWuK5Ow4Jse9vd1gxQqu3S99aYwvfKGySIj3TIpaDfj5z7mhW7OGoJdszAC/MeLMDJVqEPyQHomldUBzNFMs2SU+ER/dOoBS7t2nKp4xiDQAfPCDdaxZY/Hd74aYniayWCgYFArkTc3OAs0ogR8NLYnPc+PimN6UlYp1fJ/+fouREfJhqTi1bhgIpz7xBgpDi+98J8T69Zw5/8gj2tmuWQusXWtxzjkJzjqLO/1rrgmd73IzakJ+Gzm64n7BkHYci++eHuOK0WZundyAIoBTCti8OcHUlE4/N1uapZLCK14R4zWviXHjjQF+/vPAGe6T8yRjz2WX6YU4fjfKUdoy3UtGr8p7GwNs326wdSuFDT/4QYSpKaqoZcEKQ4qIROzIaYbWtZAAsapjlErcKHR0MLGKA0dHh8WaNWwXi69oENh0UqFyntrysGvN9t/4OHDVVVW0t9N7/NvfDlGvW9epEFFlayuP24wUZbNUpb/sZTH+x/8g9/M3wUj+SQlryDs+Qai4hOzRrwH7HkBYug+6Pgmjs9CNMfKe3XESqLgEqBBAAKMiaFt2lA13PBgsRandIWCbKB42xUGWQ6xZBcVtA9CNVM1lLaKp7yM3eg10fRJx605U1r8dpnDW47ocZ+KJx2tew07Ql74U4uhRggF9fRSLVSpsKTcP/BChHeAnogk6XKuRGiYjv6mPMTh6VBBtKeZsqoXhn7NZFqrf+16Inh6LjRsT3Hdf4OgV1hLV3L7dYOdOg1wOuOaa0A3ckjzgUWiDzk6uN4uBBk99aGuj9R7doKQLCefWQbtWnt9ZZ9FFg5oLm04vVDj77ATveU8DDz2k8bOfBVi71uLIEZVa2bFgzOWIKgr/G1g8iCUIlOOnLyz4IkcsXHt7gUsu4XCML385wvi4xvCw5H+xelUoFr3AfSmtUdBMAG4AWHu7Tc/PO2v19xPwmJ72U2OjiBsW5mzvqKIUAZg77wyc0NAY4MtfDlEuW5TLFitW8DPWahq5HNzaJGtXJkMK4AUXJPjoR2vo6bHLithPh3i0YjSOgZtuCnDXXcADD4SYmOA6PDurFoFnxigsLNgUkbbOf3y59wOanwsfrL2kDiPI1nyPSIShQhga9PcbBzIqBTz0kMLVV0cYHAywZo3Bm97UwPOe9+R2fZ9RhXQmA7zznQ28850N7NunceWVGYyO8qmZnlapOI4uGn5KknWTdep1/luSsEVlLVuPIm7L5YwruukMYjE+DsD5fPI8OjpoEzM0xCmCFKIwwfT0EOkYGtLo7eVOeM0ai/37uVsWTh4LaoX5eU7vakbAhVsnhd3Rox5tIAKh3OeJY6Ls69ZZbNxIl4w77+Rn4iLB9/zmN0PcfjvpEF1dTChRxOOTZ774Rm8u9iUhA76FyIXAprxnctd37kwwNaWwezdtr6an5Xf4X9pccdRtd7d17hhigyWoim8LklcZhp7/mM9bbN9uMDwMHDigU+SfRfPGjSadRok0UXAnfcEFCbZvp+L74EGNCy4w+OxnI9xxR4AkoQjm8GEv0JmeXpyU5Ltva7P4m79ppAn8NAlrECwMIizdB1Wfgq4eho6LMJmVqPf+PuKOixdnZ2uRG/ksgvmHADMFBQNlFhDM7wYLYZ/wFBJa1Vnyo7VNAISwiI8rppcronmM5gjS49slrwhgsj2wURdUvACTWw8AyB79N7Qc/Bht8nQG0fR/IJq9HaXzvgRT2PKELteZeHyhFPCKV8R4xStilMvAu9+dwyOP8AGfmVGuKybuG4Ko0nPfOGuthQWFri7hWFOnMDGhMTEhns/MG93dLMCaucfiT3zeeQZHj5LW1ttr3RTFzk56Nh86xKmpq1dzTPKdd7K7KdaYgtxRLGfdDADJ2QJmNBoK4+N6UdEcx8oNwKhWiSbTq9di0yaNO+9kkSxAS6mkUKkEePvbc6nYkB3T2Vl2XYW+0cxblesnOVvofnRFUW4gBj2cqTG64ALm7CiiAxZHSivX6cvlFBoN66YGTkxoN8jK+4x7saAI0MQdhRxrg+5uYPXqBLt2BaljC79XFsjUEc3OKsepXbfO4PnPZ4G/fz+Boe9/X+PGG0O30Th82DtQyVwGfx2Ys6PI4p3vrGPjxuXzy9M1jhxRuOcezmkYH9eYmOCG6cUvjnH55YkTpkrcdFOAG24goFcsEpHeu9frfyTEkEEmGcvmUWuLpd1qef3Jguvo8VNAw5Cb2w0buBFcvZq6o127NN71LjpktbQA998f4N3vDvC//lcNv/u7Tx6v/RlVSDfHxo0GfX0Ww8NIxWvSKuMYWRmcIsXo/DynY3V0cJe1apXB9HSAsTGFzZsNMhnaqikFbNtGA/F8nvxptrTIT9NadvbklO3eTWeK7m4m9HyeY6QnJxWGhzW6uy2e/ewEw8Mh4ljEID4BWascl1oQ3uY2ipx/knjeknCAJTm+5z1V/P7vJxgba8Pf/I23+aEimzfv3Bz527wWClu3WvT2Whw+rJomdXne09IHpKXFuvYix8nyfNrbiaa3tBBVyOUobCiXqahvFrmIMr/RUCgUiDDXaryOU1MsWHM5z5fmeTCRi1+oUnT16O3ld9/bS4tAUZ/Pzqr0sxIV2rbN4IILTIoUWTz8sMbtt2t8/etROk1RfC9lwfGDdPJ5v8D39iZYt47txvb2R7OFepqEtciOfhWZY7fBmjqiufsBaDTang1lDfKHvoCKiRGveIH7FV0dQbCwF0FlBAhimMwq2KSOoH7oRG8CQMPqArSZT//u7/8TFdAnPpZtuksBwal1Yxa2UYQKpxBO/xDB/B5kx78JWECpGEgasGEbVFxCfuQzWDj7/zyO9z0TpyJaWuhxu28fc0616vNDPk+QQ9r6WnNktbj9tLYa9PcTEZ2a4rO9ZUuMRx4JUK+z8DKGAuSZmeaczXtM3ID6+y1+/nPm+ZUrmTNWrrQYGWFRct11IQoF4DnPSZwwmrMH/D1rjHIuUEAz9U8+qXUFseQ76SRyWAXwn/5TjPe/v44jRxQ+97kIcYzU59o7QZXLzI/8fYWODoNVq7gBKJdlCA3PCTiepyp6Gr6vTYdhUFg9Oys5mzS1n/2MQuGZGY/SW8uOrXDGFxaYs+n1zJwrOR/gJkEK+UbDpgJIYGIiQF9fgh074BwzSDVkoTs2xsl5xSKvQX+/waWXJg5JP3JEYXgY+PCHs6ljlHIdWVlThB6Yz3sqTmcnLWeLRW6ehLL3dI+f/UzjmmsysNbi/vt5/2/ezI7KDTewW//GN/rquF4HfvSjELOzBIk6O5lHH35Yn9CBxlrSfebmNJqdbh5vHD9ensHuvMIjj3AGRF+fwUc+EuGnPw0xO8tcMT/P/4ahxT/+Ywa/8zuVJ41GGVx55ZVPzpFPTXQC+KtKpf6oO5fHG0HA3ezu3QFGRuhnGMdIhXlsF3HaDl+/cqXFxo1EYotFjXXrLAqFAOedR8HZ+vXkgQF84BYWWAhSHMGbwQ9ZYaE1N8eCsbOTyb5U4hQ/a1lk9vRYzM4Ck5MaL395nAoi1XG7M9pBsYDr6LAQxwgJ2b2LAwbgRRkrVrDYv/vuEDfdFGDvXm+1x4VKroug9Co1SyeKzOJahtFgkerdA5F8/5YW8qqmp2mN09XFa7Vhg3Gin8FBjdlZlSLi6rjvnQiUF/zRW1VanixqPf2FJyD8clF5Dw9rzM4SSaK1kkJPj8XgoMbcHHfmYrF0ySWx48NNTJD28ZWvRCnio909s5RbyPHy1j245bJGocBhCvfdF+C885LUGeWxR6GQRbl8AuPwpyCC8n5kj34VJrceYfkAYC1s2IagNoqkZQtsWEBYegAmuwq6PgkbtkPXxpCZ/j50fRyBDmHqcwjqk0DqyqEQwW/INKAiWJ1P6R0G0C1QtnbcuSwujpcPlQoS5XUWQfozWupZnYXVBYT1I1CNKYQLj9AeL/13FZcAaKhkDrW1f3zc8Zd+P0optLRkAOAfAcw+vqv7tI0nLWcDtKAcGdHYty9IB7Xw2xQLU5leaww50Js3G3R2CiLNjfYFF2icfXYDa9aQTkYBOlJ7LlqgeQGbRz8BIr0CWsiUvF27NOp1Ft/SXRwe1viDP4hRr5OCJkOlJHyBQMCFw6q8c0EQCJhDPrfk0iThWlGtKuzaFeCHPwxxxx2Bm6Ao3Ts6BDWL01mQ9/QImMPXZTK8VsvlbP5ZYeNGbiSOHqXgrq2N9MNcjuvh8LDG2BhzJ2mN/vsS9w2A+d4Yb2cnXGcKJJuLeOXcn+QzHzmiMTVFZ5KODub41laL0VHakVJgyut87rkGa9fyl6tVYHRU49ZbQ+zdq6E1z1Gs1RZvHqSjyeJa0PV6XeOOOwKcdZZ53N3E37ScvbAAfPKTGaxcySKXI9S5Jvf0UHvw0EMBursNZmaU4/nffHOEgwc1okhjYcFgfFwjjmUaoTitCFXUpu4zBPpaWvzwoscb3Fj5rO0Hq3kaV1sbqavj4xyqUyxq5+QyN6dSDZTCq18dH0fNWe77eSJ5+xmLSAPApk0Wn/lMFffcE+ArXwkxMqKRz7O119FB3tv4uMbKldYVU0HARLZ7t8brXtfsUezpHOI7PTfHL7N5AhU500QFWlos8nmfpMVfmWNXaQXX00NR5AMPBDh6VDuBnSQATjgkJ6lU4m5fPBilNSh8bw5X8SNV83me++hogJkZi5ERYGGBI7ObxQDyXoImVypEdYKASEChYPHCF8a45ZZwkfCg+cGJY1rUNBpsySrFdtqxY9xVtrSwJTo/z4Emy+10+UCR/zY9rVION1wxK6IE4bV7HpanfZBvaHHkCNXxhYLFli0Ge/eqFNmij21bGzA6qnDXXQFe+coEMzPAz38eoKXFppO1dJPt3+LzFHoJeV1evLRlC1uxo6MK110X4U1vevSx4L/Joct7ARUASkPFJVid4d8B6HgWsEA0+1OoZA66cQyqPoW4ZTuC+UHo+iRQUwiSeXKhHbIsSIiFVQFM2A2dzMPqDItau7DsuTx+vCPgudqE76xysCqCNgswUT+C6jiABLABlI1hdSugLD9nfuPjfrczcWqiowP43/+7jgcfjPHd7wa4444QbW0Gx46RCrFlCwdJBAEdBqQwXLXKYu9ejRe9KMGGDXBT0oKAYEIYAkNDvjMFSM5WqTYDKeprU/cevqZS4e8QDSblbPVqumTcc0+A4WHtqILNvv8tLUgtwqxzrYgi69BcQfBE9CYzD0SITuqZTjf/nlNKIZ8HDgA/SbVUYkesWmXx8+xnJzhwgPSW5TqJSaJQLNKJY35eORpbpUIbv1yOa8i6dQaTkwIW2WVRRK2t44SLHaC3wVtKK/EbGMBbmo6OanR18ctZvZrXbXZWpV7jSLuMCnffrXH22QZJAtx2W4AkURgbQ1pUyTTK5rMj6BFFNrWfJVqfyShs2GCwbp1BqQRcfXWEK6+sP6XuEL9qHD7snV9Ie/SA1OQkN3W7dml85jPkdoyPK6xbZ3DoEHD4MGuHuTm9aJAR3bb4Z6XYZW40+Frf/Xhi57v09zIZoUhxQ8ipwzrttNh04qV0rW16DgptbSzun6x4RhfSABPU85+f4IILEnz1qxHuuy9AXx9b+ZdeGuMjH8midcnYdXngKpXFE/Roawb81V/V8dnPRvja1yK3W5NiShJHJmNRKmls3544jrUk8SRh4hdF+sICWyqLx8EyRNTBYSU2RaSJgrS2Im1TcgEolcgxThKFlhZ+xpkZ/u7YmHb2UuS4+eJTEp0Up2zLqTQBAxdeGGNmRi/i+zWHCGgKBfK/hWYi3KqWFqRiSP/ZlrfMYXCq1GIMUqZRLrXKUco/6PLzri4+4IWCweWX8zr89Kchosi3GVes4IZofFzj0CEuFKLqLhSAY8eW43d5caKowI8dY5Lq7rZpS4zf7T33aPzRHy0/bexpEyrvCmATdRF1DphSrI0RFe+F1a3QcRFB9QgsgMyxH0HFs9CmCigWqYvDgmmJD4qN2mBgoONZWGgonCp0x0BZ64khVkEnJcBqBPFD7jVAzNpeFwDbgEKCuOO5p+gczsQTiSAgX/nccw1uvNHgP/4jxOrVFBw973kJvvKVcJEfPOBzEP2VF4fWwJvfXMc554T41KcilysA8fbns14ocFHu62PhPT3dPHCCOSOK+B6lkkonMlonXm5+P+nQ9fSwIOzuNjhyRKdCZr55oYC0+CTSXigYZLMEH/J5iwcf1MjnmY8pNvfDaprBD2vhLABnZgggrFlDauMvf9nco/EhehrmS+pS5LyFWlcq0VJvbs7b3S3fil9suyfnyPO0y4ImgvrLe+bzXgx+2WUxogj4zncCVwhSdEh3ruFhhQcfVMhm2S2VaXxzcyfm5CrFTVoQ0Gav0WAHY9Uq/kJ7Oykio6MK69Y9ffnSmYx39+roAA4f5p/lHrn33sDdt4ODFNTefXfgZllwQ3h8Ydzs1x6GLHAnJngvLHXs+FXCF/DcDIqF7f797GAK717AQ4C1RH+/eVKdVp7xhbREPg+8+c0NTE/HmJvj7jafB778ZRZRXV3+taWSwnOfm2BhIXC8Kmk/XXppjI0bLT784Xo66YdcCkm2ws0qlZQrSsXlIUkoQFmzxjgRhIjexPaIY8yVQzEEkdWafLYLLiDKcNFFCX760zBFrNkSs1aGsTAhceAJUnTXq6CrVQU/yISfOY6tQ1VyOaLy/f3kJj/0EH1V29uZrJaqdClw5IGoZPcLi7Xk3iUJW6uyMIioE4CzlAqCxf6mzdZ3K1bYVLku1oOLH+JmO6XeXnp4l0oa09O0shLbo+5u695TFP5XXlnDhz+cxZEjNkWGTswNEwS60bCLvFbXrPGF9NIx5E/XiNvPgx2/FkgWkLScBV0bg2rMAEEeulGCSsqIO85GuDAIE+QRVI8ADXbKLAyUPV5JzUmEYlGnoZIa4JDuBNbqxc4eTzjI8/PLfnkR3Zp/1OlGoQZr5mF1FknLVtRXXnYK3v9M/KqhFPDylye49NIk7SJSULd/v8b114fO7QFgvl2zxqYcaD/lb2JCpUJni/POa2DtWoMPfCDrcpg4ZVCgRopDocDhHBMTtFYjX9qgo4PPtoikBaUuFGxKP/Mbek4/ZUdszRqL3l6D9euBoSHS2mSCK/MinA6mUPAbAvHRzee9/mNpzhaRYybD81mzxqQdRY1f/AKOXtYsrgSQUissslmCLIJ4S/dvfp5vImg8LVSxCIARoWS5LHnPF8eAaFzEW5s/kyKp2dXBGCLQYWhTJydqXKRbRN30AAAgAElEQVR4X73a/35rK/VG7353HXv3auzZk0Vnp3WUnGXuInibU68fqtfJBV6zxuca6QA/nWP9ena6Jycp0DtwQDlv7kwmwfh4iIEBgwMHAqfxKhaVK2CXc+DwOiZZ+7hBFH1Y83r/q0bzcZqH0TGESklkWgY49fcbvOxlT+4AnTOF9JLo7uaDKPHXf13He9+bw/g47duqVZLo//t/rwPI4AtfoPUQADz/+TG2bTP4938PEQQWW7dSbTwz0zyJSZSoTMI33RSgq4sI5qpVwMgI8NBDOkWR+XBv2mSbkBFJ3tZx27RmC+boUSbXcllh716NYlE5VIEJS/jbTBKZDJGQffuCtE0mwhafWITPJii68NAaDe4COzr4ukqFr13OPkcpFquVinJOH34DQDGgtXAiEFG5NxfSnoNMuoS1/uGU6yqDa+gJa5EkpKzIdcvlLNav96NHX/7yBlatYrvzOc9JsHs3uYYLC/xOSyWFl740RkeHtF1JT2lGSpZGGPJ/4nudzdI3/MILE4cUjY9zEMvTGo0GYDPdqKx/B3KH/wUqqSJp2QRdn4bJ9sOqAElhADZogYUilSOZ86O9AWARY1ks7NLBSUEnB7fYBpL8JoSlXdDJHF9jq8edy2M6X5WDeFE/NiqIAaDJolZ5mJbNSPIbkLSf94Te/0w8OdHaygJK4k//tI477ggwOqqc4Fdr4G//to6zzzb45jczOHiQ997WrQle/OIY118fYG5OoacnQV+fxdiYH/7BnOcF3bfdFiKfp8Cps5PHP3iQOZucY2pq1q83OHRIIYp0k5e0dYhesahSvYhBVxcHgc3P+2KY3E4Wy0nC3J3LMWdzkJdyNBYpfBsN5jnpXGazBrUa379WUzh4kIV9EFhMTWkndFyaz5TynGvJl4DPyWLrZy3zpBewy+/7DUNzyHuIKxbt6vj9tbRwo8KpjszxYchCiKg4cNFFBi94QYI9e+ieRE0LeelTU/zddessduwgzcNafo8yzOxEnc5sVsSPPmdfckniROHT08o5sjydIwiAt72tgc9/PsL4uML69bR/7OpiPbBpk8GmTRajo7wes7NE9ZtRZU9d5N9lMFwmQ3H+7Cz1U3Nz1lnULnX1eqwhg+6WagyWD+UQaXHi2bjRoLfX4PLLzxTST2n81m8ZfOYzFXzykxGOHg1w+eUxrrgixtq15C9v2FBLx8FafOITGVx1VSbd/fJG6+szmJ/X7mYg0snkWyiwqKxWFdauNenELuWI+7UaEYEtWxLk8wrz80GKLkgLUYaoeE7S0JB2SapSYUHX2kpeM2kLFlFEdKbRkBakKNT575JY29q4SNVqcCpzFrrKJeDpab5/FLEAFWRbEpbWLGxFDMjXeoRY1OzN3G/xCGV4NJ674eNbkUT5veBybo7XuKsL6Oigz+SePWHatuN5rltn8KY3xVi/nu+/f7/Cu96Vxf33Byl1hK3Xu+8O8PGPR3jRixLcckvovLiXbhikTRnHRMa2bjWYn6dS/QUviDE25luuW7cavOxlp8dkrKRtJxYG/h5BZYSc5vwGUjZq4yg8cmXKQ7ZQjRmoJAYLUyOMTAAKVuehTIVotFKwugWmZRNg60hanwXYOkxuFVBP+de1CbDI9fDIyVKsIwHZKoAIHOhyclTbCxINFIiCq8Y00HIWrIoe93U6E7++6OsDrr66gk98IsL994fYsiXBW97SwHnn8Vn/8IeBPXvqUMriW98K8ba35TExwZwdxyyCm101xO4tjtnFE4eQri6bTqFVThBYr7Og6O01OOccg5mZENWqLza4LthFPOHZWZ3OMEBKFWFBIHlYOKx9feR5Tk4qx9kmsg03UpnDweg6VK0SsJHcK37UpZJKBZYGMzPaDZPxIm2bDuWC45xKvmdxbF2x7wX0fkCXjPrO5eA2IovaPeB505WJXdhKhetKRwf9nXt7E+zfr91mYmKCVIQrrmjgRS9iUVQqAe97XwbXXx852kg2Szrde9+bxZ//ed11XJsH9CylGXDtoWWhaHguvDBOB8ooR2+54orG0x78AEgtfN/76jh0iPcGhaP83v7u77Kp+xSvG+/t4zdEFMd6YWw2y+NorbBpk01tgQ2M0e732UlvvviPXlxTX2CXPYflw3P9k4Q+4J2dalF36smIM4X0MjE4qPDv/x5hcJAJ6+GHAzQaYkAeYssWi9e/noVQFPHGvPrqEDfdFKaFq09WY2MUK65cybHcQl2oVCjUA7gr/+UvAxSLTExK8cGVcdK//GWArVstzj47wZ49AWZnSRVoa7M4cIA36sICFemkNzDhk0rCkawydCRJaL8WhuR8TU56LqFQDmTSVVubdQb1K1eSgsHxrh6hoLpZinRfDPtQmJxsHj0uUwWbxYAqFQIoJxpMEjjFMKcR8rWcZnX8AygPs7RLGw0i5MeOKezeHaYLI4vwvj6L//bf6q6IHhtT+PSnM+jt5efI5fzCFUUWN90U4oUvrOGiixLcemu4aGcui4uUa1pT8FksUhi0dq3Fi1+c4FnPorK4q4vuL8sh90/b0Fkkha2LfmSzq1DrfSWyY9cCNoYyVVDgF0JZz3O2MFCmSq9mGL5GZwFTg406YYMcwtJeoDENXZ+CVRkW2yoCkIEyDVgdAlalHObjOdSq6b82dQd59EjFU1Cw0IgL2xF3XQxdPYywdB/irkse/3U6E09ajI8rXHttiJ/9jF7G+/bRRUKEeStXWmzf3kCGtw96emhj9+UvR5ieJmWCo6ypR2ltBdavp0MIPWsJXIyNKbdxf/hhnXKTVeoeIJ01TmDMZoGBgQQHDigcOcJ1YMsWg/vuC5DPI7WQ4/suLNDG01rmuHIZzkIzSbipz+VoO3fkiHa5kx71QmNTbhNAnioLS64rviiPIgIj5bJy683iApNIt3QMm8GR5gI6m+X5ivaHawULesnZQgNZnjvNnJ3NGlfoytoyOBg4yl+xSGDk7W+v44UvZDVVrdJ9QiiKYagcxa+72+K++wLcdVeAP/7jOj72Me812oyk+vzNdebYMZUWhAYbNhi87W0xDh2ibmbLFuPWoNMhtIYbmy4RhsCb3tTAZz8boaWFGi5ypxd7QJOOqdzwH/m+ZU1bu5bPzcSEnwXBzSCBQU9x4nVvHqK2XMiQnccScg6kcFr83u/FmJ5W+MEPgkWWfqc6zhTSS+L22wN8+MMZzMxQ/HfkCKdpFQpMJMWiwic+kcGOHQaXpVTJOAZ+8IMQ1SofOmnhRREL8bk5hQ0b7CK6wsKCb1ew/eTbXFqzENeaN2wcq1QoQY7fwAB9rH/xiwCZDJNttep3fcLFDkPeTPPzSGkGwPbtCYpFhSNHFMbGlCueOZmQd2E+z0Jvfp7JsLWVvKpHHqGdTb0uaIp13OUg4MIzMeF3sUIHIZfJOjFiMz8a4KZBFOx8TbPHKQvuahWLHEGWC/kcq1dzOMHEhN81d3byfVevZjvwlltCXHIJi64f/jBwLUAZpiNcx40b+R3cfnuAj3+8hre8RWF0lAlGuO7kzvG/LS3c0GzZwhbZwgKnM/7BHySucH+mRKPnd5G07UA4fRta910JJPNQpgGfdpjYbDpp0ASd0KYMqzJIClthgwLC0r1QSRWAhgnboOMSrM4BSR1KJfyzjmCCAnRjGjAxPE2ER2+OlBj0GM6+6TU6CwRZQGlAZxDUjuD06CecHjE8rPA//2cW+/YxVw8PBzCGGhdR7X/rWxE2brSLFtNbbw0wO+uRWmOEeqZQLlPjIDagALUjlQog99bCgnbdK2uZ52mbSqDk4Yc1du1S6OxkLujrMzhwgLmjvd06GofY7i0swNmeRhGpClpbbNtmkMlwLRoZ8YNaosi64k4myYl/fjbLXDcxoVw3dH4eaVFs00ErCmedlWBigjRAydlSDAOeviHdVIB/z+W4FhWLokPxOV7AlFqNdL4TCc2s5VpjrUJfn8HcHDAxQZvQSoXFs3QI4hi4+eYQb3hDjGwW2L2bvt1E0pXzeJ6fp51bNgv8+Mchrr66igMHNG6/PXQdS7GQlc+TzZIe0ttrcP75vNbT0x4AeybFwIDBBz5Qw733BrjyygwOHdIORJQOCNIBc/U6tVK1GuuW/n7aAN97b+CMAzo7/cwIdmyU61TQZ9p37xfz5BfHYxUryuuCgCg7haac8fFkxmnQqDh1Ua1yhOj8vEp9Fr2XZr0uyYrJ9Dvf8XsQ4bNJEmkWuwn3zFo4Rw1ObuKkPi+E8y0JoWWwrUSUtrOTBd3hw9zpDQ5yqh5bkkRDyRP2oghBVy+4wOAtb2mgp8fi8GEiNaOjfBiE/0aEga/fuNFixQqD1avJ8+vrYzFOEaIX49Fjk9egViO6LYW57DjFC1qEd+S++SIaYCttYcGrvpNENh1UXksbtKODMEwUefGM7ISVAs45xyAMyQ+n5zOPUSjwnCoVYN8+ep5+9asRHniA13xoSKOtbTFKLN+beJ1SYAlceWUdF1+c4OyzeS5hyITAQT0Wra1ErOTcymXyzp6pYXJrUe9/AyrrroDN9AJBBjbIQ/jHLKozgM5DmTpgDXR9HNGxXyCa+SlgAdUoQsclosNhK0ymB42VvwOTWQkbtQKwUI0iSNkI4NNaU/ZVWVhFZdVjbQbYpv9X8Vz6gepIsmt+hStyJk51XHttiKEhnaKx/O6DQDkxEvOHwvXXh4t4wOI12+zS4TtMzDlEO21Kk2POXqzZ8Dm7XmcxTZoZ5wPEMW3bxsYU9u8nNW92llxe6V4JVYJibyLJmzcb/Jf/EmPHDovJSY3JSZ2O9/Y5OwhIA2xpIZWku9vn6/XrjQNomlE6GWQhgMboqC/MvRCQ4E0UeYtRfk4LGaNeqSAFm5TTq4ijQxD8f/a+PM6Oqup2nVPDvbdvz2OSTmdOOnNIgAASEkEgIIpEEEFUPpxQnqggfkZRUXF84vREjAhCEBUFZBRlCkKADAQyz0mnk+500vN8x6o6749Vp+p2ZhACAfbvFxK671C3btU++6y99lqczeFwpLZdD3NiLs2ipoYI8o4d0ndGJGCiiyDXpQNtU5PAsmUG/v53rru7d4eeCLpwD783rkO2zWO67rosTj/dwYwZHkxTBbKnpaXcbOlOAsBz2d0tMGLEOzdnFxcDZ5zhYv78DEaOdGHboXQcrzuu5+yW8Frq7qaL4OLFLKL7+ngvZLMimGGYM8fFsGEeior4Wv39VL3J7XDnBv0sXu1GRj+eM0oAwa43GsR6F5HOieZmTqhqdHJfd6l0OuSNaVpGVxd3wSee6GLlSroE2TaTpEZmS0sVWluZHGpqyIXm8AeTip50zU3MuZFICOzaFWqDUoeayTidZhtRc5T1c3t6hD9AoNDQIDBxIl25enoUGhpk0KKje2NIqdAtytJS8v1aWmSgOcng8ADtuuFL6RF56O0duMDA16ym25ZGO0KBft0S1OeCsnoqQNb1edHmJomEDH6mBxspL0iEpL+fRW00Slv0oUOB7dtDPp5ulxoGb/Srr47hjjuSqK5WWL1aYsQID1u2SN8xS59rIj9nn03UdPJkD9/8ZgavvCLx+99bWLPGCFRMlAqlq6JR6kUXFCicdNIbO+jwVgyzazmijXfASNbDjQ4FPBfwMlCeB6kyNEMRAlAeBBzAczhqaBRCeFkItwvC9eDBBeBCyQjVO9wMZLoZEAb/1sWzjPs3jgMlIgBUjnGLASUsCC/hjxkefthQ6efBBLw0RLYDMrUbyi6HUzjtjTlp78arDs8jOmmaRIyp3R+ixIkEN8C01g6R34YGgZkzPTzwgJaWYzerv5/3PR1XSY2rrfWwfr0RgCW2HQ5e5/I2c/N2NkujEg2uEG3mgJ/rUs83GtWKRbwaEwlem/G4Qn29xHHHZdHRAdTUCDQ2iuBxNAzRBlnM29EoUFHhIS8PqKvj44gGhzM3LG7D+ZWCAoXOTrnfxiBE6EOkWc+m5KqOpFIhX9xxQvqGHmwUQgXGVvo70TbohqFVN8iBra5mZ2HQIIU9e+BvXjjDA9BUK5NR+MUvbFRUqEBHuqyMsnh9fSLH4IrfzfvfzxmKykqF+fMzWL3awAMPGHjoIdM39VKBuoTj8DunPbzAeee983pOO3cK3HGHhVWrJIqLFUpKPCSTMpA81BsiPQukQbJ4XPnSkLzmdPFrmrka5gJbthAITKfDGSQgVIIhnz48Ht4fr4YDqYKOCwdxRdCROeOMN/b7fMcX0pkMsGkTC9HiYu5G9WCYdprSxbTmbNm2wsknu1iwAHj22UiQKIYPd7FlixEMqlDXk0V5JCIwa1YWdXUG4vGBFq1smxz8gtGItv53WxsTv+YVp1JAJiP911OB/Xdbm0BXl4EhQzxs3SowaZLno9mh4D8HUkLuWzQKnHmmi0suyWLNGgP33EPL0KYmGRTaSgn/eWx/lpcz+WUyYgDiA4Q0Do2G5PLxcuXrKD/HnW9vb4jO69apRktoN6sCzW5tkKONdIqLEcj6FRXxu+rrE8HNy+NXKCkhGnTnnRY++9ksXnnFhm0Dkya5WLOGfHXKVglcfXUGQ4d66OsjWlRRoTB3rouyMoWf/9xGc7MMvh8KvxO5mjbNxdlnu28ba9kjDavlX4hv/TagFJSRB7v9ScBzkSk+FVb/BqhUAwg1xwDVl/NMA8JNQkkLnlUF6bRDpvdAQIAFs6lVq2EmNuc8zwG8bihIDiwqFxAGFGz/eRLC6+d7wgLgQcE9ZDHN8sHXkRY2ZLYD2eKZyFR8ADDyXr+T9W686lAK2LqV+vpjxngwDCKrVO8ZKAGXzWppOCrnPPWUgeeeAxIJG65LDvPLL5uB8pLncWHX5lhTphDFjMe1WUdYJLDAOPDMBhAWrJ5HdE5Lpeq1JNc5VyNyfX10LCwp8bBxo8To0czp9fVm0FbXXUCdTz0PmDrVxXXXZdHWJvCXv5jYvJluvXotCosU8olJdQu1oXM3Abl+ALq7qt9Hf26NXGezVNvQer76nGtlKm3BDajAxpwzKMqXLBUoKuJxjBzJxxYXw9ft52tqykksxly/YIGFu+9O+dQSgeOPd7FkieGvs5S6+8AHsjj7bBc9PVrVhX4RY8Z46OqSaGgQgQtkcbEKaAgjRng45xwHo0a9sygd9fUCV14ZRWcnRQbq6yW6u00cd5yLaFT4g58iKJhz54R0R6OignKGNFzTBj6al66wbVso66IUwSwhqCCjrzUtKsCuh+5w8820tOLBQ/j3r/IppQQxL700GzhdvlHxji6kFy2S+OlPI+jq4pdTXk6JHc3JzU0uHCJhsTd7tofuboH16zmQxp01L4Bzz81g1So6TnV0cIAkP5/Pe+4502/5qaAl5nkcxjiUAcm+x0G9ZRUMeGhOmSbxa4tTtsa4s9y82UBNjYNVqyR6ekKFC/2ajqNQWCgwf34an/oUD+aMM1yMGOHhi1+M+Eh96NLoeWwxRqOhdN2BDGOYdFVANwlRjnD3KSWTWDw+MCHnfmbdQiosDIcwXZe8rJoaJsD2doHKSraDdu8W2LDBQHExXRg9TwWLRiTClmIqRanBmhqFL30pgwcfNJFISJx9dhZVVQq1tQpTpzp45hkL118fgVbcuPhiB1VVCtOmeZgzx8XKlcq/Nvg5rroqG1A/3nHhpRFr+D0gTCirGMLpIm9ZCVj9m+HExsFO7QLgAV7fPk+mmobwMhDZdghPa04bvp4zEabwChH+H3KidfGrZAyeXQmZaYVQGbiRGhjpXf6NpCklh+8SCEjK+EWHwo0NQ7r68v/y5Lwb/21s2ybwrW9FsGMHK8/8fBVQxkirGPh4xxHo6yPP+IQTXDz0kIVx49jV46Ir8PnPp1Ffb2DjRonGRraii4oAQGHLFg69DR6sfMtoXQTonH14xEwDApoemEjAn6UJdaiJ0IrAxS8/nwN3paU07NK25bnBIhaYN0/gF7/IQAgOodfUZPD979tYvtwI8qZW3QAIEBQWKuzaFUrC7Zuz6SwYDudp4CWTM8vLtY1rjM7buaCMnh2xbQIdumNaWEgu7fDhdDscMgTB75cuNVBYyE5vf39ItxOCw3GxGDWwHQf40peyePhhE6tWSZx2mouKCg5zTp9OQOuGGyJwXaLWF1/sYPx4D1VVCh/+cBaPPmoGhVokovDhDzs455x3XudQxx//aKG7mx0BPYhqmsDWrQZmz3awdSuBun1l7PTar5TyzePCjZaWSGSEz8uVWtRUTssip7+/nxz2IUOoKKMNlI6UI63BtkGDqPV+9dWZN9SIRcc7tpDetk3g+98nWUu7FzU2imBgZN+WArU6FYqKgK9/PYXf/jaCTAZ44gkzKMRLSxWqqjz86ldpXH55FLathyD4+vX1wucZs82XO62qC9uw2Dz4sXOwRdvXkoeWSoWohuboCqH8nSIH+bZuJdeMCVIEu0Cd9C66CLjiirCi37FD4CtfiWDlSmOftp22OufQo2WF7lS5rwkQQQhpHSoHiQ8/u279aCtejXro3+mwbYWhQz04DsXkd+4UmDzZxbnnupg508WPfhSBbTP5Dh1KesqSJQrjx7t48UUzoGBoS+DuboHqah74qFEK116bHcBzdxzgZz+zA9MGIdiuvflmC9dfn0E0CnzmM1ls3CixZQt51tOne6iooA7nggU2li2jrfgHPuDgkkucAU6Yb8eQ6RbITDsACaN/A4SbABQNVkQqCSvTglxqxb5liHZKlH4RzeLYzWku54ZCyInT//YpIEYUMGJQrktpPSMO6fRCQZFKcgShdDvb7UFi8MWv6jy8G69/9PUB8+dHsHu3DJxf2S2j6s9A5YlQoSISUbjuuowvbanwj38AjY3WgHb+PfekcM01ESSTEqWlId9W68q3tQmf+hHS57QK0qGGpHIjtwvJrmTYactmNaVCBQPPJSUK69bJgPa3rxmIUsCoUS4WLJD+ECTXhfnzI/j3v82gy8nBv7CbCNC8Sw8+7puzYzEWNhxY5wZiX+RdCHZa9e+JGvL3uXQXIWjcFYkApaWer12scO65Ds4+28Udd1iBElRhocKpp1KZqqKCWtHpNIGn8nLm/95e+PMoPM4rrsgO6HQCwD33mFi82MCQIfwcPT3AggUWvva1DKqrFc49lzMua9aQ1jJ1qofhw1lA3nGHhX/9i+j/qac6+PSnnWNeO/pwoRSwfr2EbXPN7+5m10MLDvznP0aO9Gzu3+HzAeHTP3kdsHNz4E3mvptdKdldFoL0HKqDSRQWKp8VsP8G+VCfRUtKzpuXPSpFNPAOLqQfecRCKiWCIhpgS6O5mTtky1Lo6BCBM5SURAkyGYV16wzU10ts385izDSZXFtbgb/+ldPhTU28Sfv7yd/T7X3P08mdiYDcPQ6LdHfLYEAmt5jWqEG4Ow+1lbWTn07qtq2HYpiQ8/KIWkycSBF/jYTr5Jk7iHLGGfz/PXuoUvHXv5rYulUG0+x6elsfn21zEIdyTAe+ceg0pbB9O19H3yjAQEkkKqJoO1gEIu5hoicvTinK902f7qGyUuC667LBIME55zh4+GELRUVecB7mzHFxzTUZ/PCHCv/+t4nCQj5WS0JpGUMduYvV9u1yP0vYykpyzjdulJg+3YNpsv07ZUp4p7e2Cnz2sxG0tkoUFSl0dUncequNbdsM/OAH6WPeHetQocw4lLRh9G+B8NKAiEBo7jI88p+PQDVDXxkK5hEXvoC/IYVgAQ8AMgpllcCJz4Td+gSE6j/y14IDpQTSZWchM+ijR/y8d+ONidWrJRobJSoqQqSSakpcuIcP97Bzp/T5tMwb+fnkc65aZWDXLomXXpLo6EDAMe7uFli2TODmmy1s2EAErLmZxbEeQtPdNEq1sX3d10c3w54eGbiw5iJwmo6QOwQnJQtATafTXOdolO1yw1DBgFxxsYfJkxUWLWLxnzs/Ew7XCRx3nIf8fK5bS5ca+Oc/TbzwguGDLCGfWR8bwSAPmzeLwG1237xtWcDo0R527CDwQ+fdkCudS53p6eHfWh2BZiqhJ0FxMb8DzxOYOpUOlJdc4uDUU1ltX3CBg9/+1kY6Ta5zTw8wbhzwf/5PGsuWGfjBD+zAYVbLBF5ySTaHDz1wUK2vD1iyxMDQoSrIs4WFXHOef97ARz/qQAjSSEaODCv+bBa45poIVqwwUFjI7+Xhhy1s2GDg5ptTA5yN324hBFBWBqxfr+eIRLCR8jygo4Pf6b4b1YO8WjB0ql/7cM/ha2tJPa7/tg3Mnu3iqacoofdqVDuyWVI0v/GN/aVQ36h4xxbS7e0IHPx0xOM6CfCm7uwMUWOdvGwbeOghCy0t3IFxspnPdxy2Nm6+2cbevSTja+WM9nat6kHujk5uSpGoP2WKwqZNpJZks6RvaLTN80SgTqEUkYqqKoU9eyQ6O5kkiovJN9P8Iq3aUVRE1LiuTmLCBA/ZrMKmTQZMM3Q91BPXu3cDW7ZI/O53HIfetUv6xzHQGlQX7nRGpO5pLt8vNzo6BNrbJUyTu/49e6Q/uKDgeSHXWauaaJRJc8Apis8FLBLh55w6le3Oigo1gPs0dy5dyZ591kB/v8DcucDUqRnEYsC3v51BXh7wxBMGEgmBigoPV1yRwcknH3yr29PDxQo5hZ8eRL3/fgsbNriYMcPD+PFesFgCwN/+ZqKtTeZY1/LYX3yRyPXbmfahrFI4hVNh9q4GBFdyJUwIlQUpFUf62fV5P3I0yGevQrh9fkEfgcz2Q5n5cPNr4XUth8z0HxAnOQR+Ajc+BjhCHdN3442Lzs6BsmUA84I2OonFmKczmRCw0Bv+5583EI+rQOJSF1ma3rFggY1Mhhxl2yYAQaOtkAfKIWg+z7aBMWMUurpYvB8oZ1tWWKjG46QzdHYSQe/vZ97zPOXrOSMwRikoIO2hrY3qHQDVloRA0NHSEqvt7QJtbeycdXdTsSiREPu01QcO+JWWclBQ85D3LVLonUDOOdFY4fNZ+fl0xxOg6VUsptDYKJ81KzcAACAASURBVIKCLJ2mLJ4e2rQscrgBfsaJE8MCdvx4D1/9ahqLFpnYs0dg5kwXH/kI1+YLLnCQTAILF1ro7ORw4Cc/mcXllx/Ap9oPrbS1L1jhusDTT5tIJNjFnDbNC2ziAWDFComVKw0MHhzaTOflEUxZtMjAhRe+vWkf55yTxeOPGwFopsUHcodQD1fM5na3c/99uCDoxWuLwBNN5KqraUbX3n6wMvXAWdvzqGV9NM1z3rGF9Mknu3jySROuG+5cWdRqmkK4A5NS+QMo/Pn69QL5+R48zxjABaIKBvWLNT85mRRBMQqQK9bZqXf1of7iyJGUhdm2TWLdOgl9keROVEcivMlHj/ZQVQUUFHhYt46UAlIiVGCxTUTFw7hxHh57zEBnpwwKVX7WUAvaMGjtWVcn0dhoIi+PFJbiYk6Z73szcGqXCH4qpYdfRDAVHhqtINAazc/nDVNQMLClqakp2SwXpo4OEdiZK8XXmj7dxWWXOXj+ecPX+KRW6Gc+M9BpSghg2jQP06bxAFKpCP75T1JvJkzwcO21GXzpS1wsi4uVbyJz8Bg8mHJPuajShg0SmzZJRCIuUikDL75o4MwzXcybF6Kma9fKAQL1ABeejg7qd0+YcAQX6DEc6ap5iLQ8Skk6lQYgoUSMXGe1Ly963xAD0qM4Ai4zoMsXA1AZAJLuh8oF4MDo2whl5EG4/f4rS/+Pg9xC3SeV5FiYAxASefW/gXASSI791hEdy7vxxsT48R7y8lSg8KMjHld+PgvNngyDOUrrPu/Zw1ykKRI6X+vBOoIizIfptMih3algYDlcFwi0TJ3qormZsnvLlhnQOVtLf3qeVjhiN230aLqd9vXRmEvzjvUMCQtl8nzXrpWoq2Nu18pO2gJcdyQrK8lRvvdeUuOGDqUq09at+7fPdTexoIDvm0wiZ45mIB2DKD6RSQ5H0rFOF+JcW1hojRvnorVVorycBbjecAwZojB/fgqLFxOUoeSrwBVXZPdDd0eMUPjUp1gcd3UBK1YAa9daqKnh4N+FFzro6OA6cbhWfWmp8rXAw2J/zx6BJUsMDB/OAc4VKyRqaz1ceWU2eMzq1UaO/B/874XXyvr1b/9C+qSTuNHbuTOUR6QEofKNig6NLucasR0pDQO+MEImwzqgv1/4TqHsIj3zjOFz73n9HYjPr+V4c7vbpgk8/bSFq64SuOOO9FEx0nnHFtLvfa+Lhx92sWqVgUiESS+TAc4/P4tUSvjox0C1CoAoQ3u7RCKh/PYSv0gWu6EGckHBQEk7w1A+B5gFZ34+AiSlp0eirs5DIkHpIiGIJBsGkV5ePCowVXnpJdN3E+LEtH5tDtoBo0e72LNHYPx4D0uXmmhpYXtO24jbtgralJbFInrsWA+WZaKuTqChQQbKJen0gXZ9vLiHDKHbU0mJQHe3Cqag9fkQQgVFr21TEqmiwkN7u+HfrEQcUynSI6ZNc4Nhk7FjPSSTwIQJHr7xjQziceCDH3Swc6dENAqMHOnl2IjvH2vWSNx9N5DNGohEgJUrJV54wcAXv5g5Ys5bdbXCiSfymEpLiRxt2CAxbJiHsWNV0DZdtMjAyScTDQfIuV+3buBrae51LpXoUOF55OynUgLV1d4xxa124+PhFEyGTDQCZhxQHozEDggvicOj0gqUnTu0qsa+IWBQsUNbhyv9OnmAl4HZtRSeVQLD7YESzPoiQL2BQNNa2IBKgNe4STMWaSPafD9Swz4NFRn8qs/Hu/H6xKhRCued5+C++yxYFhfXZJIc11GjPNTXS0SjAps3hxJbAAvCRAJIJIxgWBm+VJbrhohsNKo1/HVBrPwBahGoSeiiN5sFXnzRxODBLHpdl2hxLMaiO5slH5qW38D27Qa2bzdgWcpXrlBIJMj9jUSYz3p6iAC3tRFZ1sV2Os3iNpkUKCpi92vYMIUZM1x0dQmsXk2t5WefDc1XDhwClZWeb4JCLeDcoXp9vvr6RACkOA6L03hcqykx76VSPNYZMzysWsXzO2GCB8dhx/Tb386gpkbh/PPTqKujhNrIkV4geXagaG4W+NWvbD9XElD6z39MfOUrR56zIxHSRf78ZxOFhaQ4LltmoKhIYepUOiiWlQGbN0usXi0xcyZzUXW1FwA3ucW04wDDhx95B7G5mcNy5eWkNB4rUVWlMH26C9c1kJ/PdbmpSQYUSOBARXRYGxAYPHhf70BB18zQgVnTlqJR/r1+PddaIUJ1mX2DggehMo92WYzFgI0bDTz7LIGuNzresYV0fj7wi1+k8dBDJhYtMmBZLNTOPpu0gWefNbBihcQ//0nyvU5+ts0kAlBCqKODXxrbiUwyJSVhu06rXISc5oEXBFuRCs88YwX/pq4zKR96wCLXnlXLwej2ZXu7xPDhHkaM4B9SIDit3NLCpKh33tRgJgXkooscP1lTV/X884E//YntnfBYQ2RGfx4p+bNEQqCoSPlWoQcaQOC52ruXCHB1tYfOToGaGhdNTdRFjUYVTjrJC+gOo0d7OO00D7NmccJ6zJiwRVNYiAFc5IOF4wD33GOhvJzHDbCdWV8vsWKFEdjMHi6EAD72MQejRyu8+KJEV5fAiBEepk/3chZp/r1zp8TgwXzdiy5ysHixgc5O8rnJnxeYMsU9IlpHW5vAr35lYd06cjaLioi+f+xjR3TYb3qoSCWSQy5HvP7nEJlmSKcHWpHjQEV0bn7meJ97UFLHgdO0hGeVAZCA1w/hJgFYUGYRYPhwhNsDeIqPUQYgPEB5YLEt4FllkE5nwKFWwgSEASVoIKOgYPZuRPbdQvpNCymBa67JYvp0Fw88YCGRII/ywgsdSAm8+KKB5csNdHQAe/ZIWBbzSySifM40c2o6jaCtrxUqSkpUYORB9JUoWTRKRC5Xpk7r4K9ZI7FxowyKUctiS7qkhDk7m80tPsKNdzSq0NHBHD1qlIcxY4hwZzK6ayV9oxA+UyPhUgKzZrkYPpyv1dRESdM//5m61LpIDdFlv09j6OerwKVRa/jvqwilqRttbWy3T5vmorNTYvBgKuOk0zw3U6ZQBcUwWCBXVQEf/rCDkhKF8eNDy+9IBEdMZfvnP02k08Do0VTsAFiYPvqoic985uB0jn3jPe9xUVKi8MwznGWqrPQwY0Z4TEJw/V+71ggK6VNPdVFd7WHPHmoomybzcFERB8UPF+k0lS+eftpAXx8HU887z8H8+Ud82G9qmCZw5ZVZNDTQs6K7W+7D+z8YoMbQA4e5wOJADfL9o7hYA2zsCJmm3ozy94kE1WB0p0B35vW/CwrYPdJKIaZJBD0SCd07V62SR6WQNr773e++4W/yX0QxgK8kk5kjJpu/mrBtohkf+pCLD3zAxbhxPPn5+cCkSR7OPNPF4sUG9u6lbanmypWUMBFdfLFEJuOgpUXCMNhmKyzk63Z3h85abC1QwSOZJGo8dCjR5N5egf5+VopMtJqHJoIhFi27BLDVRvMAFql6wravT6Cjg8hzWRmTckOD4UsciaDg03JGGh1NJgX6+gTOOMNFXZ2FlSuJ9Ng2AvtV16VcUiwm/KJZtyH5WRsapC+8r3zFjbAxz6JbBJuP447zkEoJHH+8hzvvTGH8eIU9e3gM3d0CY8d6+Pznsxg7ljt6ceQb3CCam9kWGjzYQDabexPx8+rkeaDo60NgqqPP17BhCqeeSqfHrVvlfq3Jnh6Bk05yA9SkspLc7Q0bJFpaWAzPnu3gxhszh0WWlQJ++EMbzzxjBnzQri6JZ5818Z73SBQVHb0Biv8mvHgtssUnw+x6ATDicAumAm4WhtsdPEYM+FsCsMG9vf7OJBFhJSGgDjmkKLwsIA26I8KFMguo3KFDZaCMEkAix6jFfw8jjkz5XHhWMYz0HgACysgHJKERz66E9NJIDbkMKlJ10GOIxyNIJMLvRwiBvDwbAH4NoOtw5+xtEm9ozpZSI9MuLrjAxfTpXuCYN3q0wuzZLhIJdu3icQRUD503J0/2MGmSgfp6z6c6KBQX02Cqt1cPKYaueXl5CAb3hgxRKCmBn7O1K2JolEL0mKiwztl6viU/PwRSurtZfCcS3JwrxdeWEtixQ6K1Newe6s+sKSklJR6UolHJ+PEehg718PjjFkhB4efVKh00yuBmQBdFevi8vV34FEN2WXNzts7bmQy50See6MBxSB357W9TmDfPwe7dlFHt6ZHIz1f48pezmDqVOfJQncJDxcKFFiorFSIRM8jbsRjPydy57kHXglRKz9GEA/QVFQozZ5Lmt2aNgZKSgVS7nh6uNRMnesH7TJ/uYvNmiaYmfrbaWhc//nEaNTWHP/Z77zXwxz/aSKVEoGe+bJmJkhKJceOOjZxdWalw+uk8B4mEwLhxCpWVRKb3zdY6otFwUwZouqaeTwi1wA8UmUyINGcy/A7y88Pfa+prRYXuhohg8Ne2FU44wcPkyVTvcl0+V+u001Ze4H3vczF16sHX+31zNvDa8vY7FpE+khCCKPXGjUawm6VzHgc1zj0X+NrXUqCuKPDzn9u45x5ycsPExBs9FlO+E6BGRNjC07spzWsOd3Lw7WP1xSMCyTwahfBC1AOTVBcReOUVDkx0d1NLcfBgMcBOVQhetDNnuvjBD9Lo6hIoKyMn+oorgPJyouLaUSoWU8FxhPw68uWGDvWwd68MVEIikZBvl7uIxmLcoHR28oK/+uoMZs92EYsB8+Y5mDPHwd69lLuprn5txXNuaG3rfVtB6bQIbMb3jfZ2gf/3/yw89piBri6JoiIPV1yRxac/7QR8zPHjSbHo6iKaBPDf+fmkx+gQgqY2s2e7/uZGDUgQhwrN58vPV8HOPBZTaG4WuO024HvfezVn4k0MIeBFKuHFx8GJDgWUQrRrOXShTGIFB6n8J0DJKIRKAcoGETzJe0EIHz0+WPDiFk4flLSgRAGAXEKf38GJliFd9VlEm/8Bo389hJeBZxRCWYX+cKSEF62GSLcCwoMyYvDMYginG07+BLgFk96IM/VuvM4xd66LhQtZWHoeqWUFBSroCv3whxZaWhLIZID77jPxi1/YQYdMRzpNVIvgBgtRPYNCzX4ZdMpy0TeCH6EDoLbK1og0c6fO97wuN29mC5umJB76+oiq59IMHIfD2L/5TRo9PRzGrqxU+NWvLMRiXJe0uoFW68hkCN4IQSDD86ifn80y3xkGcwuLGTVAaSESYU7XgMzHP57FmWe6KC9nr2jSpDR27pSwbQ5GvtbiOTeIMA78mfZJONCakE4Dd91l4u67LezdS1O1c8918PWvZ/3j5DkaM4aKVXr4W3O5Z84ciFSOG6fwhz+k0dbGtfZIlTo8D7j/fss3AeP7cvBVYcEC4P3vP/DxvxWjqoqf+9xzHdg28MgjZqDgoesTXTQLEcok6ntND3qqw+yi9TXKjQdBRd3p1k/NZDindOaZDurrJZYuNdDTE1qONzcLtLRIDBkCtLUp354+7C4VFYWOxG90HJVCura2dhyAhQDKALQD+OTmzZu3Ho33/m/jgx908Kc/Uay8sJA7944O7mZnzjQCQf0XXmAbqayMu2MtvwTw72SSFuOFhdwpbdwooV20NIk/s9/GNXSD0ratRKi1naxuKep2BydeN2+WOPlkSgw1NRG90M5arktu9He+k0ZpKflvOmpqgE2bmHzKypRvesLCWTsaGQa1Sw2DyMzw4R76+2Ug27R7t/DdB/ma8TiLdA5/kMYxd+7Ai5vHcWTtv2yWC09nJxGDvDyiRrm8tpISth63bUOgCUv3R7b9DvSa3/++jX//24RSTIK9vRK//CUl7G64gWYHeXnAF76QwR13WGhs5PkvK1O44opMUPQqBSxeTOnAHTskhgzhpPmcOfsjKrwu+P3qjkF3N7/bfbnUsRiwbdsRnaK3TCgjBha0+px7voKHb4oiTcDLksZhlsAzCyGdDgCmb+cNCOVBKV5MB28SZv3fSyhYyBZMhdW9DAKd0EW0Mgqh7CHIVp6D9NDLYbc9gWjDHyAzrYCwoIy476oYQ7byfBiJOshsCwSycAtPQP+47wHibaxb+DaK8ePpPLtiBR0C2dXhwnr++byWhCDVa/FiA8XFzJt6qArQw9gCra3Kn2fh44k2h++llQ0YLJh1m9mydDHKISrt4KaL6kgEPo9aYO1aiZNO8nDppRys6+5GoNShQYFrr82gvBxBkQhwjgNgm7ywMBw2TySk7y/Ag6uqUigv5zD3lCmuX3TznDQ0hGsDAF/zWSGT4WZ+8GBvP5nQvLwjp2woxdmfxkaJ4cPdgBe+7xDYGWe4uPdeE6Wl/H/XZXfxoosOTK24804TN99MFFgb3Nx3n4WGBoHbbksH39vll2excKGFbdt4Pi2LP9OyqQDXlIULaY8djyvMm+fgooucAcoeOlKpUGEF4HfT2ioDa2wd0SjzeV8fjpqe8esRJSWe76ehTYTCTZaWwdUqW6WllHcFKMWr56T2pbDuG7rukZIbudmzHbzwgoU9e0IgMRJRGDTIw+mnezjllCzWrpW46SYbe/cCrisDM6B0WvidKIH6eqqC1dR4+PrXM6isPDo89aOFSC8A8NvNmzffXVtb+3EAvwdwxlF67/8qCguBW25J4ac/tbFtG3e9J57o4ZprMojHLV8TmYV0Novg//PyNKIcvlY0So3qWbOyePBB7vT6+ohK68JTT1J7HhNDLEbOWXOz8OWYlD8BrYKkr7lB+flMzB/8YBYXX0ze4HveQ4eflhaBzk6ip9dfn8aECftfYJ/+NPDEE6SLFBVxQWhpodvRvHkO+vqEbxZA9KO8XOHss7P4/e/tQKN6yBCFhgaiMERK4E+AE5UeMuS1S7/V1wt87WsRbNnC98pk+HqDBnHhfO97XZSW0mzg0kuzeOCBKF56KXT2uuyyLEaNIpVk0yaJ0lKFCRM8bN0qsXy5MUBeyjSZAJ94wsDllwuMHMnzNWKEwne+kwmMbXRLVseTTxr42c9sJJPk1b/yisDixVHMmuXgqquyOP54Ik+rV0s8+KAZSP2dc46D2bNdDBsWTp3nKhNo7uAxFUYc2eL3wOp8Dl5kKJRVDuE2QgmT1AnhQXm9YLGdpu40DHiRShip3XCj1RBeCjLZ4Nt173/NquC/EoAB4XmwEhsAIQEFCAiqhXhpuHYFvOgwQAhkKj8I2b8Vsaa7oAwDwu0FZBTZolMgnC70Tv4tC2dpwYtUHzuQ0rsBIYD/+3/TuOkmG0uWGPA8cpG/8IXMAOvnl1+WPseSnTI965LbUeMwtcJZZ7lYvJgb+HhcoKcnBEt0zga07bbCxIksRvbuHagxrRWPNO8zP58Sn1OmeJg/P42CAuBDH3IAmKiq4oyLYQAf+1gGl166Pwgwd66DhQs5g1FWxtzR1sacctFFTiBvp5U4mpoEvvKVDBYutLBxo0R7u8SwYQp1dSIwhSkp0WsL6Xq5BeerjZ4e4DvfsbF4sYlUish4SYlCTQ3nYs47jy6xFRWk5XR1CSxbBt+Ig529OXNo9b16tYRlkXbpecCjj5pIp0VQMOvCdt06qilpNLKoCLj66ixaWjicOniwCjrMAIv8b3wjgj17BPLyeC5vuCGCO++0cO21GcyZw+5pY6PA/febfh1AXvV557FjOXSoh1275ABgqr9foKoKByzG38px5pkubr/dRjTqYehQIr+mqXx/B+HPbLGA1QXzkCF0E66u5vrW2CgO6dacq3AjJbBiheWj2FxMtQ57fz9pk4YBHHech09/OotvfjOCSIS1k5RUYHMcgU9+MovRoz1ks9xgHg21Dh1veCFdW1tbCWAGgLP8H/0VwM21tbUVmzdvbn2j3//1iFGjFBYsSKOlhcMoVVUDiyeACZRyS2KAlmluRKM0YHnhBQO9vbxpS0r4s325RDRrEb66BjnVBQXUYR49mhao/f0iSOB9fZx8HTOG+pv65r3iiixOOcX1Jds4Ub52Ld32pk0bmCBPOAG48cY0fvUr6mAbBjB3bjbgfJeUhEOOfX3ARz/qYNo0vt+991rYvZsXPgcYeY5SKaIvsRinvN/73tfWakmngS98IYotW6SvJsIFats2ie5uhTVrDNx1l0JtLWV8Lrggi7POAlzXhesCkye76OiQuPLKCFatMoK6aNQoDx/6kIO+Puz3nZomP09ra1hIA7zJy8tJf8lkwoI3kwH+9jca/ZSUMLFo+s2yZQZaWyVOOsnFmWc6uPtuC2Vl5FInk3yeEByeuuiiLP7yFwvxOAJ5oHhc4fLLX9Ope1MjPfgiAIDV9QKcvFGwMq0QXgrC7QMrXQOeUQAYefAigyAzzQAsOPFaCLcfSkahpAXhKZ8Kou29c69dG5AxQKUAaUFmO6BgwI1PCApgpVxYvSvAYUfyqLy8kXCKZkLJKAtmqxQQEsLphvAS5HW/G8dkFBQA3/teBh0d7PBUVqr9ChrXFb5KB/+f4MVAFQvL4j24dKlEczO9AQYPJpihJfL0422biByHncjtzM+nFfeQIaTBdXRImCaRuGRSwHFYfH3+86GV8VlnuaitpfMeixGFlhYDTz6p8L73DdTHLSoC7rwTuOYaAgIAdZpnzqRDYK7OfnOzwJw5LsaOVfjEJxz89rcWXJeUFy1BahhUapKSIE4kAlx88ZEP+u0bN95o4+GHLZgmAkvuxkagt9fA5s0GHnzQxMiRyh8yd3HCCS7icaCuzsXEiS6Ukvjud20sWmQEA+3l5QqXXZZFV1dIodFBiTQCJbltfWpcEwDKZDCgkP7HP0zs3UtgiHRLorF1dRK//KWNhx7y8JnPZHDPPTaE4FrsOMAzz5jo7hb41Key+Mxnsrj++gg6O0MZWNcFLr104HsdCzFjhoeeniwee8xEebk2yhEBSAiw+xGJ0EiOFDxSH7WONykful7QMwTh86UkWKfrpd5edupHjfIQjYaiCq2tEnv2iGAzV1lJtRrdAdYDi3v3cgM5e/abo5RyNBDpGgC7N2/e7ALA5s2b3dra2ib/50dUSJeVHSHB9A2Oysr9f1ZRwex31llAU1OINOiErPUVpQT6+gz09OhkxQIpLw+YMQNYs0bz8kJeHRVCBDo72cagVB1wyimUpenpYUEL8PGuC1x3HTBy5MA+0qBBQFUVMG8ej1HHnDnAP/4xsMX2sY/l4aMf5YVZVATk5xvYuRP42c/o3AjARwqAM8+0fbQEOPFEYMkSTt8efzwf+6Mf8f1iMWDaNODHPwZqal5bj+vf/wa2b+cCqSd8uciwDZqXx591dRmYOJEoCNuwMfT3sztQWsrj4saE6Hxjo4FHHrGQl4fgu9GfEeA5GDMmjooK/j+REP7RRjYf/CBw3nlUcOntJaqdyZAykpfHnyUSNLz5+99NPPFEBJMn8zsB+Ph4HHjuOQvz5gE33ECJpn/+k5+xrIz89ZNOAoQ4hnqEAIACoOrzQPbjgNMHJJuBZZ8BercBhg0ZHQRZPM2fEJoFuL1A+yuAtIBMO5BqBTAEyHQAngN4SUBpmTsFAQOwCgC3DzBswCwE3D4IKEi3AygYDSp1eEC6GRUlJmD5+UROBvqeAeIjwsNVHqAsxIaMBaKv/lzrfPBOj7dKztb37f4/L8D73secxY6e9gnIdQ5ksdzQEG5oAc5FTJ1KqlVHR6jIpIcUSQmRwXsPHgxcfLGBP/+Zj+vsDIuLRELg0kuBU0/NH1AQVlYy13/oQ8DLL4c/Hz4ceOwxDBiAq6gAHn/cQksLj6W83EBvL3DTTcDOnSF1cOxY4BOfYJewogIYMwZ4/nnm6HHjmGe++11g/Xr4ahzAN74BzJr12r7Llhbg8cf1wKae4wEAFmX5+fALbOb1P/0JuOUWTcOLoaeHObuzk5+hspJ/OjuBe+/lMKn+zPrckYoATJsWRUVF2NJ7+WXgrrvg21gDJ5/McxGL8RxpM5++Ph5vJsPjaGyUaGoC1q+3UV4euv8CXBs2bbLgeVHfRAa4/fZwDTjvPOB//geIRI69nHDxxbz2tOHbt78N/Otf+vqSmDiR30VJiYHjjwcefBB+h53Xk2kCu3bxtfr7Q4EDDtBTSUzPCxQVUa0G4L01Zky4+UgmgZ6e/OBemjyZ53bo0IGmO4bBOupg9/uh4vXI2cfEsGF7e59vQf3WioqKArS28s488URg+XIbmzZxlxrKwWiSvvLRSUBrmAJsteXleRg6lBJ0gwZ5iMXYgmpvp3tfXx9QUUHtYscR2LnTA8CdfE8PFS/IYwLi8RRaWwdC4Z4HXHJJDLt3SxQVhZy7RYuA+fOzmD8/s9/n0RbbWmXkuuuAjRsl+vvJPxo5khJOOkpKOFShY8wY4OGHSQ3Rk7dChMX4q40lSyw4jgVABAOYmjOezSqfz0VUZc0aD3v3GojFJCoqnEDKZ9cuBBP+u3dzsCca5aZh2jQPTz9torubi50eepk5M4vi4kxw3M89Z+DXv7YDU5nycoXbbwdcN4sTT3RhmlEkEtKXKyT6oSf8k0nSZZqagO5uhcGDnQH0jYYGgf/8J4uaGg+f+ARw/vnsBJSXc9JeiPD7OTYjDnjVyI/Wwis6HfE8G/1JnyznJiFa1qB/7A8hYztg9G8CRBRO4VRE9vwd0V23QJgRHy1OEnGOjoKRaQA8B0LmQRl5gBIsrqGgXAdeOkHE2ekBjGJ0dzqA8M+hNxIxWQOjfQuUVQYoFyLbgWzZGUj3xsJV9wgj9/4BKKP2Vikoj3a8VXM2EH5PgwYBp51moq7OQmur9KkXWraUMqTauMW2+Vmk5KLf0OChulohkaBluZ4RaWpiN4ocUErbKQV0dipkMiZqapSvu08kznEUhg7Noq1tf9T3f//XxvLlFgoL4dsuA3V1wCWXuHjwwdR+n0cXzDpXff7zdChsa2MOqa31kE6HvzcMgim5ceedLJ5SKa49pvnac/bSpRLpdAyRCHxdbQTnMJtVORRGhY0bPTQ2UsFq4kSJbdvIbW9s1PeRQns7u7p5eaQbnHSSwpd3HgAAIABJREFUg507LXR1sRDWZjBTpriYNi0VHPeuXQLXXx8JnCqLijic1t3t4n/+J4vKShsvv2wi9IIgp57CAKQk7t2rsHevwMiRzoD5lf5+gWeeyWLSJIVZsxSOP57IaGEh7dEjkWM7ZxsGNznV1RYuvligoiKCRCIdbDbr6yU+9akUJkwQWLeOnhsTJnhoaQGuuioGywIiEW6clCIFJpGgaoptk4KkjW8AXg+9vaFbqesKFBcn0doanvOTTjLx9NMGSkp4DWn53yFDMq/6Wt03ZwOvLW8fjUK6AUB1bW2t4aPRBoAh/s+PqUilgKeeMtDSIjF2rIsPfSj8XX4+8NWvZnD22Q5uvNHG6tUysJSNx3mD9/aqwEmKHCBKy9XXU5+4ujrUY9aGLXS2YiLZsYODg+m0gZYWFs/FxSqgh6TToZpEbtTXC+zYIQN0VHOXlALuv984Iq3LeBw44YRXx28W4sgNSA4XlZW0dNXtx1zLcn1OtY5qc7P0p+wRbDQAPiaZ1INAbCvZNjW/Tz/dxbBhHh580EJPD+1o583L4stfDt0Tm5sF5s+PoLlZBAOeTU2UlHrkEQOnnuriox/N4kc/igSblVQKwcBoLKZlqVgg19dLjB9PGa6XX5ZobZW4/XZ+L7Nnu7jgAieYAn/7hPSH9hSHDQXbr0K5UNKHIWQUbv5EcpOlhVT15bA7noVM7gIiVXCNOLxoDTyrEFl5GqJ7/gKlXB9NzkDJCAcaPdpjimw3hNuH/uFXDxwYlBaSw78Iq+M5WF3LoYwIslUXwCmeefRPy7vxhoTnAUuWSGzebKCiwsP73he2+6UELrnEwUknufjNbyw88ogVmKjQ1IGtftNUgYKGlAKOw6LKtmnWkUwSIDEM+PJtbEvHYtxE19VJbN1K7q2mhRUUsFBoaxOoqjqAtroCnnjCDDb1emMvBLBmjYH2diLIhwrTpNzfqw2qVfz3eaeggEocvb1cq7QcrJb1zB02I01O+HRADrJr50mallHGr7+feVQp0iK//vU07rzTQlMTqYtnnOHiG9/IBOtgfz/wzW9G8OKLRpCzIxEF2yYnfN68LC65JIunnjKDYVPdLdaStpkM9cfb2oB16ySqqlwoBWzbJrBmjQGlgIceAmprvf2GGN8uYdth3aLXQ4JZrGlK8tow6ORueHYlYOZj2DDy959/3kBpKbsyNTUeolGF44938Zvf2Ojt1edbwTBIidKU2ESCKl9nneUMoCcBVPoaNszDc88ZSKcFPvjBLGbNct9ULvobXkhv3ry5pba2dhWASwHc7f+98ljhR+vYuRO46qooWlqk39qxcPfdwM9/HmofmiYwfbqHBQvS+Na3IsjLIwrd1SVQV0d+XXk5LcK1VnE2KwJtzylTXDQ1SRQWhna269dLNDcDTz1lIpVCgHykUpyCTiZVUHRPnkxDln0jneZN0N/P4l3fCJkMXRr3dXN6syKbJec5mSQPLXfi9sQTPdTWeti40YC2yuUgJxe+nh4uANQSVYFcX1MTk3duAshVR9m7lwn1mWcocfipT2XxkY/Qxlbzz/v7+T38+tcWmpqEb+qg9bv53k8+aeKrX83i/PNdpNMZLFhgoaND+AU9v+OWFgTyVK4L7NghMHIkh2i2b5eYNs1DURFRl0WLDFRVKcya9TazppUWssWnwO5cDMTH8GfKg8y0IF1xPmJ1P4WRZD9ayRhS1ZfDLZyKvvE/RWzX7yEy7YAwoaxCJGs+C2WVQ2ZbYLc9yWJaxuDmT4YSEkayDnB74UUGITX0OmSq5u1/PEYeshXnIFtxztE9D+/GGx6JBPClL0WwenU4E3HLLQp/+QsCaTMhOAPzs59lYNsimJXo6RFobqadd2Wlh64u6Vt+w7crptHLhAnkhebns2iMxxU2bjSwc6dAXR31ojV1JJMRaGoiillWRqR65EjvgACFLui0QoiWBqPmrsLevYcvpI9GEJXkIHxZmQrMYgDOnxx3nIsXXjCRzbIg1pxvIYD2dvhypzQ3a2jgeezuZn7UwInnEWBKpeA/j++3aZOBtjaF973PxSc+kRowRJjNAlu3Stx1l4nly40glycSIjBMeeklA6tWGZgzx8VNN6Xwk5/Y6OyUvg07NwIdHVwfdHNq9252i/fsEXjpJQPDh1OVqqhIYcsWib//3Qrszt9OcdppLm67zcagQfx/pbh2HjclgfLOhTC7X+KAN4B0xXnIVpyLr30tg/JyC1u2yEDF5sILHcyc6aK3F7j1VhvZrAiGNYcP97B6tRFsZC+/PIsrr9z/XErJeuDEE1+7cMHrHUeL2vF5AAtra2u/A6ATwCeP0vu+bnHjjWwNDRni6wQoYNUq4NZbLVx77cAvu7KSwxD332/CMJQ/Tc1CurVVBi1DTd53HLbgurrg28Cy8Kup8VBT42H9etNPIiKY+Lbt0Kp20CCFigoamRxosGHsWLbDurvFACqBUgIlJRQ0zx2mezOiuVngJz+xsWkT6SMFBQof+UgWl1/uQAiqZVx5ZRZ/+pPCqlVGzuCD8PmLHOo591wHe/YQvQBCfrrnEYkA4A+FsmXZ1ycwapSLLVs4id3czCGIyy4jbL9li8Stt1pYtUpgyxbe5HpAIhZjwtcLxz/+YeLKK6mYcuGFDlatkrjzTgsPP2yiq0vryorATjWZ5KKxZQu7Bbt2SezaBQwb5mHYMA//+Y/x9iukAaQHXQCZbQcSWyHTRJIzJbNg9q6CzLbDiw716R79iDX8Hv1jvgMvNgL9434AmWoAlIIXrSGiDaBv0i2I7L4bkdbHoGQESubBiw5G35RboazydxU33qGxcKGFlSuNAdr0LS3A174G3HbbwMfS2S2D3/3ORirFoli7Gu7eLeE4wr9nQxmw+noZuB5Go8ytxcWkUKxbZwSOh7rLGI0qn36gUFLioaKCakhawi43pAQmTHCxdKmJaFQEx++6LBabmw1MmvTm5oZkEvjNb2wsXkx9X8tSOPVUF/PnUw40L49OlIYBvPSSEXDQdc72PJ7bU091EI2yuNV2z6bJ4jmUfaWUX38/17HBg100Nws0NRGcymYt3HADEZL2doFbbrGwZo3ESy8Z/jCpCIbe9bn0PIVHHjFxyikuTjzRw333pbBjh8ADD5i45RYb3d0AjW6Er8tN0CaRANasIaDW0yOwdClpBscd52L1al4TR+obcKzEccd5OOssB0uWWIHp0PDhHj55+n0wu5cxHwsJeFlEmx+AilShqOh4fPnLWezdG6ql6CHBq692MGOGhz/+0YbnEQyMx4GFC1OorfWOuZR9VArpzZs3bwJw0tF4rzciurtpJ1pRESY8ITgI8dRT5n6FNADMmeMG0mpSAmPHuvjf/43giSe4a9McI51oHUehqYnFdkcH/ElUA4ah/PZWeGVls0ReCwp4cX7ucxlMn+4ekNYBcJH4yEeyuO22SLCrp16ywrRprm++cnQK6dZWgUceMfHccwYsS+Gccxycf76LX/7SxosvGgElIpEQuPlmG8OGsV0nBPD+91OtpL3dQypl+GYDLEjLyoj0Ow7F5LdskVi2jIWvlFzACgqAwkIiSKWlpMTs2iVQX28EjmD19eTHXXghC+nbb7f8AQrhW/6GNJG+Pm5opFQYN87D+vVEoKJRboSOP97D9OlpvPKKRF2dhG2HnPn8fHYjSkvZjaisVMH3Ul9Pt7CioqPylRz9MOJIDr8a+fFOJJt3w7MrIbwkrO0/gRcbNuBxyHbC7H4Z2cr3U+EjNmL/1xMG0kMvR2bQhyFTDVAyyseJt0Cb5d140+Lxx6kRnbsoV1QAGzawoN53eHzUKIUbbkhj40Y6tY4c6eHBB038/OfWAOtwosKUhmttlYHEqW3zz/btBlKp0NlWO+LaNjtTVVUKF13k4L3vdQNg5kDxuc9l8fLLtM3WucEwBE44wUF7+9GrNJJJnsvHHzeRSFCO7OMfz+LRRw088IAZSKAJIfDQQyYKChSuu45r4qRJHi64wEFrq0BXl+G7TVL1pLCQfyeTArNmuZgwwcXjj5vo74fPV+ZmpqwMvrKVwqRJLrZtM9DaagRDhrt3Azt3Clx2mUBtrcI995jYs0dg504ZOFUCWk6N35FSdKzNZsmhHjNGBd2Jr341i/p6alLrIhrgxiASYc62bQTKFQBpCNu20V6cknxvL3qHlKRUfPjDwNq1WeTnKwyvSaNg0/PwIkPCXCsteFYJrPan4RQdDyFYo+wbQgCzZnmYOTOF+no+d/hw75hTONFxTAwbvtmhC959d0k6uR0sKisVKiuZZVtb2bY66ywXq1YZiEZZ+NH6UgWcac8TvtOh8tUpxD6i/4C2oFVKoarKw3vfe3D7VB0XXeSiri6LXbsM9PXx4p4+nbqd2tr69QrPY9tHKb6PplT09gI//KGFl182IaWC50msWmXgP/9xsHKlRE9PyEP0PNJibr/dxIknuli2zMDq1RLPPmsE0956QMG24Sdk4PnnTaxdy/M7fToweLCD3l7K5zQ00JI3FgNOOslDQ4NAb69EQUG4sXEctgR37QJ27jSwfLlEfb30hyX2P8mZDBdX7YbV2UmVFP19aIvxtjZAb1ZMkzzJZJLIRnW1h/Z2Fs+GQeRq0yaJU05x8a1v2fA8gZkzHVx66YHPd1MTkZmKCmq+HhO7eSGA+HC4BXRfkH2bDlz4ChPS6Tmil1RmAdz8ia/nUb4bx3AcKDfrnx3MLCI/HwNaxk1NEhdc4GDxYhOWRVSURWz44px1YYGYTIoBUpo6rwCklBHZ5mb/cM55M2d6OPtsB42NEi0t7FTOmOEinSYl5PWO1lYih1VVYYGoFPC731l49FELjkMaxsaNEk8/bfh259xQ6JztugJ/+5uFq67KYt06iZUrDTz9tIlYzAva+wCR4USCz1m3jnNHhgGMGOFh6lRg7VoPo0Z5aG8XaG5mt3D0aA/jxnlYutREfn6Y/12XhijPPmsgEnHx6KMmdu4UgenMvqFplakUu5Lt7VQoyVWBqK2lxJsOw2Dh7LrA0qUGRo70sG0bi3nLokRcXZ3E5Mkufvc7GrhNnMih8QO5Pvb0sBOZnw+MGeO9Ls6QRyPKy3N4904GUA4gBh68kjaEc2QDlrY90BX4WI1j5Ot7c6O4GJg0iVrMGsVQijfgRz5ySNXxILSTT20txeRpYEJEVStk5PKXKaHGQThdxOuCPvc1MxmK/h9o15cb48d7mDHDQ1GRQFmZB9dlC+y009zXbSAQIM/tF7+geQ1Anty112YwfLjC8uUGVq40EYvpFg8T0/PPm+jpYYEbDgwIf5rbwE032ejspNFBS0tocKBDKXLZMhlSQkpLeW42bgQmTyat5cUXDd+OlO1XbcqiX6evj8gIrVAVvvKVKACBtraBSPSBQghyqNevl5g718CoUR6++tUsTjuNm6jJkz3U1UkUF4cUE6W4kGi6z65dAum0CKS0IhGFvXtpHSyEwtNPm2hqAj73uTAxd3YCX/hCBCtWmIHT1Pvf72D+/Mwx11p0o0P91mAakDmruJeG864197vxGuKss1zceaeFeDzcXHZ0AOPHI+B6Hiq082hlJc03NmyQfnGmAoc3rSBEuh07hR0dRKBzu47ha/Le375dHnZ4u7SUXbgnnxSYMcOFaQIdHRJDh3qYMuX1Kz56e4Gbb7axbBlNxSoq2OV8z3s87Ngh8MwzNLUpLmZuLCoiDa2nBwAU4nGdG5krOzsFfvMbG7t2hXMqnmf4fGcMAFY0R7aoiBuRxkbOCJ1xhoPlyw00N5NfG4uxmF20yAyk1JJJ8s316952m4XHHzexezd8Cs6hEYVdu9gpXLnSwODBwOWXZ/CJTzh+B9lDYSECh1/b5vHt3cvj2b1boqlJ+M7GWjtcobqamuXFxQpr10r84AfA1VeTPw7wOL/1LRv/+IeFbJafa8YMBz/5SeaQ3Ym3ZBhxuLFhkNl2KKs0+LHMtCNTftYhnvj2C+O73/3um30Mh4piAF9JJjOHRH6PRkyZQnm01lYOK/T1CUyaJHH99ckBvOODRV4esGQJk8nQoQpDhtAJKZPREncsqvQ0M18znFzmgNrAxFBTozBpElUfDjedrZ2BYjGF5mbaoH7gAy7mznVzivcIEgnyzNJp4C9/MfGTn9i4914WuhMnDtw579pFXvD995t46SVyxm68MYL16w0IQWvxrVslnn/ewPnnO3jySROrVxsBZUHbovf3E5nXRgbaBUwPfQweTLfClhaJ7dtZMGupI9NkQclNCZMy+XpcdBoa2GJta+O5a2+n8klTkwyGL1Mpvqbmn9NSnM/r6RG+XNPBkzK/NwHTFAFF5OmniaRXVdHF69FHLSQSKuBhNzZyQWxrk2hvD+WhPI/vq6X1WltFwBvv6JAYN85BZSUX4499LIoVK4jORCJcWDZsMBCLqbfUIMbBIvd6g7ShZD7sriUQbhLwUpCZVjhF05Etn3tM0DQGfB7weszLswHg1wC63qzjOsrxlsnZkyZ5WLHCQF2dDHJ2YSHwu99JxOOZwz5fCKClRWLbNonqanZ7OjqA7m6aqKTT4eZXW37rXKpdAnMpeQDpZXPnOti+XR5RJ7G21kNVlecDCAJz5tC6WisUAeF1pxTw1FMSP/6xjTvvtLBjh8CYMd6ATXVnJ/DYYyb+/ncTzz5L6tuf/mThX/8yfWRdoLFR4JlnTLznPQ46OwXuv99CcbEa8NmIvBPx1QYanhcOE0YiNAfr6qI6UVdXKAeqXWOTST4/P58qHXogXg/RNzZK2DbpM/39Art3S3R3C39wUfiGNjwmKQnKJBIC6bTMod8c+Lzq7q9hcK2IRhWWLDGRn68wdaqHmhqFp582sHevCLrHu3ZRqUVKoKFB+gALX0t3GgoL2Uldv56brmRSIi/PCZyEf/QjCwsX2oGKk+MQVKuvFzjvvHAtfqvGgBwnBLxoNezOFyGcbsDLQmbaoOwKpIdcBhhHUBi9ybFvzgZeW94W6s3OdoeOEQB2vFU0Sfv6yInes0dg3DgXF10UR2fnkWtELlok8fvf2ygo4K5++XKqNbBQC1FPzb+KRhWKitgyMgwWSkKQQmDbtPKsqGCi/N//PfzCcLjQmoqex2n3pUuNgOvV1ycwfbqLBQvS6OsD7rzTwn33WchkiACPHUsd0C1bJEaOpM5nW5sMhmuOP55ybrfeamPQIHLT9u7lQqQ1JrWkndZytSzK3p19toPnnzexe3foREZLX7YSNWdcD7i4Lnntti0hJTcPLS0sUG2bdrm2zfYakSMRJEXTVAHXsbzcw549zGxsQw48X1JyECXX3TAWo2V5Oi0wezaRBgBYuVLgm9+MYN068sDjceVP8rNoB8h/1AiWYfD31dUeCgp4nF1dJi67LIWrr85izRqBCy/MQzQ60FCntxcYNcrFww+n3vJ8swNqeCbqYHUtA9wE3MLj4BRMC4YK/5uQiR2IND8EI7EVnl2BTMW5cIpmvq6DiIfQkR4JoP51e6O3dozAWyhnuy7w3HMSmzYZqKxUOPtsB6NGHbm2b8feTiy8pQV7u8rh2kOwY4fAkiVGoGGvjVVMkwOKsZhGbEWAmipFlQ8ahXg45RQXDQ0CN92Ufl3uUX3d3XqriT/8wUY0ytmNnh4O4t11VxLFxcAjj5i49VaqCeXnc24nm+XnGTHCQyIhsHu38K2fFQYNUvjBD9L4/vcjgYJSSwsL6HQ6lPcUQgRIsxDMm6eeymFALQ+XTIabC73emSbzZkEBc15XFwEfy5KIRl10dIhAWjAeZ7GbSrHY5gC5CIxeDINUjFiMQIrnaSOQgfe3EBz81yCMptIVFbFzWVys8Ne/phCNAs3NlM5btMgMcnx+vvKLdfgUTOHzuRHQPMrLKTBAB2ATI0dmsHBhGqkUcMopeUgmxYCNUDLJ477vvuQAC/u3YhwoZ4tMK6zOJZDpvXDj45AtOgEw//uWqMh2wG55FFb3CigZQab0dGTLzwTk66dzdxgd6SPO2+9SO15F5OcDF1wQUjmOlNeUSABf/GIEzz1nBlJ0ZWWUSiouVujokAPQm3hcoayMuslDh3oYP97DK69In0dGZKC2looeW7dKVFR4WLTIwHHHuSgtPfhx5EZzM7WlLYtT5rmoxcsvSyxfbgzgNxcWUi3j+ecNrFjB3xsGW6SpFLBxo4GCAibjri5qOVOlguj21q0SixZx2KSzk8WzlnbKy9N2oMIvonky9FT0s88SWY7HQ6RaIyAjRni+nB05cVoLVCMVhsFkpxHlTCZErG1bwXWVP5DC13AcJnbDIAKu22+RiPJ56UzG+9oC63pM/22aRBpeeoncvcceM1FXx5NZXU2x/r17RTBYY9tMwqbJRSaREDAMz5fbCjcKa9cacJwsdu2S0FaruUHprnAA6lgLL28U0nmjXvsLKA9Wx/OwOp6CzPYgW3gcPKsC8bofQ7h98KwKIJZErOEPSLlpZMtmv34H/2685cIwgNNP93D66a+yQ6MUott+iDGNt+N7p6WQSNpoTozA/MY/oqxsCtrbB+Zsy1KoqGC+HDrUw6RJlOpsaJBwXd7XgwZR2WHPHrqxPvWUEaCfRxK9vVR3cl2B0aO9AcPvPT3AXXfZKCsL+c35+aSw/fnP1v9n783DLCvLc+/f+661p5qrumvo6nmiGLqBprGxIaJAIsYoGgHFMUY0amaOGo9GSUxOjrmu+GmSoyYmEnNFTyIO+QzKhxqiEhQQGhoaGigaeqzuqq552FV7Wut9vz+e9a61d1V100CDTbMfLq6u2rVr7aGq7vWs+7mf+44WJz0KBdmJCUPo7/dYvTqMnYjkuRI5ZSgmJuCzn02zcaMseadSiQ2c7xMHoziphZO8FArit3zokI4kEcIOS9qvZdUqOU9ks8I2u3RekWhIE5zLOXmGYPnMjFiOZrM21qoLCShNfyol5xFnOep58rmEYdVitvhRJzJK968k84kLx759shT/0EMyRV6yxLJsmbzPU1MJZmcyNnaFmp1Ncg7c/5Jk6eLlk52a6vJ9OR9K039qN9KLlU13Uu6+6jkdw5t5lPTI9/CKhwlzqym3bqMxskK1fithbjWZo/+OVxqkuPL6k/TMT17VG+kXoD71qTQ/+pEfMY1yxXP0qPwBLVliY/2U86ucm0uaxCuvDLnqqoDHHtN85Sspdu/WbNxoWLHC8rOfeYyOKjZtsnzlKymUSnHDDWW2bDn2ScNauO02j9tuk/ABJyN5//vLcbzmI48kziKunOTkzjs9jh51zLk8b5FTJIz68LCK/ZKdvnvJEstTT3l85CMlbropzcCAaM2amsT1olQSTZt7HEhkHnv2eLFGXO6XBNvI+ExYi2owcrpFGRvWvgfiZ2rj9MGGBjfqk6Y7n7dR1K+AsbAoNpbZVMedTk+rmJ2S16LI5WwUj6r45CczPPGEjtObgkBGhCMjNo4ddq85lyNOUgOLMSp+3Hxe0d4u73k+L8tGzsDeWQq536H1600N43FalLXowj682SfByxE0b8amam1qVHmC7MCX8SfuxjSsxaoU2cP/ij+9E3QK6+Xwwjy6MkqlZQvpkVuotF98Uhjvep1elR76f2k8+EWwBk9Dc0MZT+2hufIQG3oaCMMNUTKhyBIcdm/ebNi2TRLzRkYU3/iGz+23+yxbZjn7bMOjj2r6+zUbNhi+8Q3JIrj66grXXHP8K9/duzU33ZSK3UKUEheFyy6T73viCR0HP1VXQ4Pl3ns1Bw/KYptjjX1fGsGJCSE83C5IsqMiLG0+r7jkkhDfV/z0p9IBipTMRm4iyYV80qjK83F4KURFQsqMjAixkUoJZov8xcbnjJYWmJzUNcv8wn7baIdFZICplJA0Yi1rGRtTcUS4TCvl+xx77I5XjdmNjfIAzc029oz+u79L8cADXk0Ij7iOEGdDyIJlMol0jLW8J3JMIUNEmjgyoli3ztDWJh+n08l5rlQSYmXlylNfjvdMS5WG8fO7wYYSspXtrb1DWCR99LtkB/8Nk+nBpNrxJ+4m99RnQAXgNaPCWVQwSdjQhz91H7rr1zCZE1hyeAGrfgZ5nisMRZPmGi/PS8b4LrGpo8PGGjvxTDZs3Rry4Q+XY4Z5yxbD+eeXuPdezQ9+4PP447KseMEF4oEsOmP4jd/I8slPlrnmmmDRqfW+fYrvfU9cM2ZmFG1tome76aY0W7fKfY7Hajc0SApRc7MAoruC1pooWEaOK9GvYmGUyci4c2xMQOWLXyzy8Y+LFV9/v2ZiQsf6cOf17FIfBfDFjN8BoltqcYs7wuAmYzbHLjt2d75OUUpF7IGMBAsFkVgI8Cdavrk5xzZBW5tIPZzGWxgIAexiUZjy1lbD4cPCZF9wQchPf+qRywnQutQmkAuCJUtsnFpWqSTsiYw7bczkBAH09hrOOstjakrGnGefbbn44pAf/9iL2ZVSyZLNKv74j0vP4Df0FC9rwVTIDN1MauKnsiWOIuM1UFj124RNZ6Iqk2T3/TXZo99GlUZk9Dd1P9bLooJpoALGgtcA+KhgGn/2CQK9CRXmsfoYvpH1eslWduDLWOv8bD3QiqnyEuZKOVY3P8RAdgUh2UgzK03nmWca/vRPS7FzTkuL5WMfq3DttQHf/a7PY49pBgY0F1wQMjAgDkL5POzcmWX37jIf+1hl0XS2uTn4p39KUS5Ls57LCY7++7/79PUZOjtlQdBN6aoJkFJJdi3CUCZ61VJO3xfs6uoyURqsjRhhef5dXcLc5vOaf/zHIr/921lmZoTJPnw4mfI5aYWbJhaLopuemxMcddMxwT4hTXxfbEY9T7DLSTCUsrFkY2GpyLdf5BMOG12zLlancs/pacHXri7DgQOabJYII6UhF3ZYzg0NDdJET0+LDd+BAzqeBE5PJ5jt5CiZjI3ON8SyRDlP2XgCOj0toWpbtsDwsI1tTt/xjgp/+7dp8nni85O1ihtuKJ3QrtWLpmyIP/FzsoNqpudqAAAgAElEQVRfixNm0WlKPW+isvTVgumHv0bu0BfxCkewSknqrBJ/SWXnUFZjtIRsqXAWr/AUJtWMKo9CvZF+aVUQSIPmfEhdOUZVxl3EV8hKQU+PYelSu6ChVUps2y66qMw//EOK/n7NQw9pJiclDVASD+HTn07jeZY3vWkhy3HHHR533y0G+m7buaVFNof37ZMm+pd/OeALX0gxOppsG09MiOTh6qsr/NmfZQhDy9ycfE08kWWs1tPjvEETlnb1atG2tbbK0uCqVTIGve02H98X0PY84ibWLe24ZratTYB+vlOHUipuPmXhI2H8rXXjO8XxZA6y8KhqLKpAwHDpUgHG2VlFJmPir/X0mHjBRi5ihAEBYY6NsVx6aUhDA/HioIs1d89F2HS5va1NZD7j4zpuyhsakmji7dtDMhnL2Bi87nVBrIn+7GdLcbTx3Jwsk37yk0XOOusEfjFfBOVPPUB6+Dt4+X682SdAR2kKJgBraJrexdyq3yMz9A3Skz9DYVG2iA3LKAJs6KEwyAA4hCCPTbeDVajKBKgU1nuR2ZvU6wUpXZmImuhkRNbakKezZZSh6eU0ZqaZLmVj1rW7Wy7Ie3sX2k9u2GC54YYKd93l8a//Kul4o6OyYO0Ilm99S2wub7yxvGD0v3u35u67NSMjOiYT0mnYtClk927NeefB6tUiG3ngAY+eHmFLBRsVb397wHe+4zM0JAt24+PCgBYKEoKSywlO5/NCXmSzlpUrbTxR3LIlJJWCiy8O+Mxn0jHOuvOX+zyVknOd7ztyYjHsFfYYEsx2+KiUHGt8nONitlLSpDtppWtGtZbY8GxWmuUwFGx96inRbTvb1AMHZMHbxYWXSqLPPueckDPPlKmBUsnU0e3ugJwHtZZzmYTHqFgamM0qGhstxaJl61bDsmWWyUmfTZtM7Kr1W79VoaVFJsgjI4pVqwx/8AcVXvvaF6kWb17pwgEyQ9/Gm3kEf/rBZOHQWCwhjVMPUpx9Ej13kOzQzUKMmFkUWjCbBLMtBhUWsDoNykcFM/J56hSI9JxX9Ub6ea5MhljLXC1bcDIPa6XxdFenvb2icbv44uOPeUR7pZidFe/P6s3pXA5uvjnFFVeENaEe1go7Pj4uT0KibkXzdffdPgcOSCPd2Ah/8zclbrwxzcGDMqJbscLwJ39S4uc/9+jv9xgcdOyvXGkvWSJNZzptWbZMceCAAIwk94m13VVXVVizxkYgZWN2OVmwEwCtVMSv1L1f5bJjLWrfg1xOms7qBtvz5D2XrW5ZdDyWDZJLzxobs2QyyUkNhIUuFOREVCoRyzOsFRcN5ytaLMryZ1cXLFsmDipPPaWj6HdDKiUNspwwbM1rkJhzeX5Kyehvbk7Fiyqzs4ps1nDnnbLhf/31cPbZyQGamuBjH6vw0Y9W4vfvdCl/aifZg3+HTS8FU5YkRKswqQ50eQhlyoCl5dEPgi0DKRQBYEh0hgLH0eAYZSvYsAIEYBWl7jfWZR31WrQqrRehZ59EVf1+NKRmedO2f+fT372RiakcFYh2KiRZdutWU7P4O798X9jY8XEduxS5CVprq1h0PvGE5qyzarH/Jz/xGBzUsVzNZQvs3Onx4IMhb3ub3O8v/7LEJz+ZYccOWfBrbrZ8/OMl0mnYtcujvz/RdY+NyWPmconFm3NPKhQk9juTgfPPD9m2TTCnqUlYYNdgAzUBNY4IEjmFiqUX1ZXJEFl/JrdVSyQcMXKsEsZZMT0tS4NO/ueyGMTRyVKpaEoly+ioRxhKXLtS0lhPTUmzLwuXBmtlUjs3p6ImWd4fmZLWapaFhbfxgmVvr6VclguTVEpY9rY22LHD42UvC7n6ati+vRKf9z0P3v72gLe9LYgXHk+XUqVhGvZ9Fqs80Fl0ZRJVrmD8NlQwjTKzYEMan/yUsNQIC+0aZynB73jWbcsQFkFnwRQJWi/EZJf9Yl7gceo0+jGeuvWJT5R573uzFIs2dmYAOP/8gOXLDfffL+lQK1YY1q61bN8ecuGFx79CveiikNtukxjaaluibNbGyU2HDmlaWxNUGh6WhTxp5pKxnDTxijvvhC1b5L5nnWX4xjeK7N8vx1qzRhriL3whg+9LyMjUlOiT29sN111X4Z57fPbuVbEcQpYCFaWS2P1NTYmrR1+foVxW9PQYwlDFxvzOl1U0yDbWnLklv+pyjbVYGNkaEBemXfTKLS0SbDN/exuqJR9qAeCL/Z187N4v9xzdQszYmLw3mYwAdPJ+WnbuFOukdNppCecbRNjoJKCizXd5P2dn5WLGBQacc44lCCzXX19h27YMIyMLfxdOdcukY1ZQQM/tx3pZbLq76irTkD30j3iFASgPowqHUWEJsHjFfdFvrgIM2BBpkyNgRqEiWK5+uwWYKxDOgPIp9b6DypLLX7CXWq8XV82u/yPSo7ehyiOAJ+d8a3jFhQOUOh/kf/zdxUxMiLPFhg2G9estb3jDwoTb6jrzTBNfoEMyhZRGUgiGJ55QCyZKDz3kEYYiz1BKxexvqaR44AEvxq72dvj850sMDYnGeP16Q6UCb31rjqkpxerVIrubnZXvf//7y9x1l8+ePR7lcqKfFps654Jh+elPPV772pCZGbnIT6cd9jq5hEwAjUn2YqamFjbE1cRI9fIfJL7b4JyXbOxmVF3JMQWfE7Y4sUSV5XK51ZE0QSBN8thYwuh3dCRL7dms2O7dfrvbxVnsJ2jjRr5YJJKVCFHS3CxTymxWWPE1awzXXhtwzTXpRTHb6dRfdGUCdOEQWIPJrgCdsDfpoW+h84+hdAbCEpg5QKFLA0hzrIAQTBncpNCGgEYhP1jBbg2YCMlDCGfBBlRat1JY8/sv9Cs+oXox/ihfdHX55SFf/3qBG2/MsGePLLedfbb8ob3hDQFQ5oknhKXo7ZUt7qdz5TrjDMNb3lLhxhtFa2ytgENnp7AeLS2iV55f7orfLV+4BrS11bJvX+2DilxD8/jjmscft+zcqSkWZektnxdN9NKlchW+d69maEiSwFwT6sZjEiQgPqB/+7cptmwxpNOW0VFpot2I0FlJVTOrYngvr81JKyBZ/hMphwCaGxW6UaOTzDQ0LFw4TI5TG5pQveAiYF+9TJN8nAC6jZkdkMfJ5+XnWCgQsRyJ3tk9L2PcFrt8X6Gg4sZ8YEA2/EVnniwcnjZlLanh78KOv6Utvx+LxWRXU+y9jrBtG970Q6Qmf4r12sEW8UpHwJYgGvstVvKTcSBc/Wa5z6OTpi1hMj0UVryP1OgPSI/9CGUKVFq2Uu56PTZ96o0N6/ULqGwvExf9F02P/0/S4/8NyiNoWE+l+/VcdNGvc+fbCuzbpxgelljoE0mna2mB3/3dCu9/v3gMO3zs7hbSobdXrC7nlyMCpJFOJnOZjJwnJiYSzJQmVrNrlwREhaE4dyxZQtRAJ+4Tu3Z5jI8rhoYgn9dx8+l0voWCZXBQ89WvpuIAFnebk3Q4HNRammORwhH5S9uoyXbnAht/LBcCkegqOlY1zrW01O6UzK/q6WE1ZidL2oKx1bjpJqDuNba3J0v+4+MqnjA6wktrW3MusVbVnCuCQGQ6IKTVypUmkqcsZLJPh/KmH4FH/ozWsYdQtoJJLaHU/UYqSy5HmSK5wX+TJtlrRBUGUKaITArnk4KuaXaVNM/UfMVGH1WwuoHC6g/hT+8iPXIrujxM2LCeUvcbMA3rn8dXfWJVb6RfoNq2zfD97xcolUSS0dRkayznzjnnmW3sKgVvfGOI1kX+9E+z0aaysAPd3cJsr15d+8fsNpkdowHEjWe5LPGfropF2V5+/HHN3JwsxQwMyOjP2RWB6Nm0lkZyYEDHCY7VgON8mp94QrafR0YkZnZ8XMUb2G48Byr2QXVMcCYj7LRbQnHHdeyD79tFAlPk88lJt8Qit85vSKsf1zEmCVBWA2Lt8Z2uW7TPKmJQxPKuoQGuuCJkeFjx6KMes7OWdevkOUoaljA+1cAO0nS7k6tbgkmlbCQPOn2A2Z+8m8b+j4GZAZtC2zLezIN4e/ox6S50MIlJtUetr4dVHhoDx2iia0vuYyEaB5YjJkTGiGG2F2yF5kd/G5PpxWSXYf0mUlP34c/2M7v+j0+KB2q9ToPKriB//tfAGmGmdSpOcFPAunWWdeuembb17LMNN91U5D3vyTE3J/KLIBB5RXe36Jyry028PE8mXdWNp1u0a2lxzSt8+9s+//VfXozZskRHTULuxEQyuTt4UMfMbzWuOmb60CGRvoWhpNYODWmsFUx2EhNwMdnEOx5a28gNiVhD7Agc8VtOdlPc47r8gLk5J/1w0eML30fxKE+w3U0jHRkjuL2QjXJez24HR2uRdRgDl14qATmPPioBMq2tss+zZ49mYkIwXpYgk+M6iz5jLEeOiORv48YQpRRnnnn6uHCo0lGadn8AigdRygcT4s/txdv/t4SD30SHUxi/Xf5GVBqNidjlxaj9+ecyITrk1mwk0fMBhVUa43eC59O4988x2eWYbC8mswxdPEzDvv+HuXUfxeRWP6+v/+mq3ki/wJXJCNt4suqqqwxdXUW+9CXZBG5rs5xxhuXd764s0MweOaI591zD3XdrpqZsjZtFsQjf/S5cdpnm4oslEeyRR2TLXDTKlkJBMzEhkbmuEXeex5mMbFJPTy9cMJEQFZE5BAHs3SvA5PTRpZJjVFTMYGstkhAZBRLrA53O2BiiRlPAez5jLdZGctvxUgmTslQHwchrSH5O8xtwWS4hNvLfs0dHgTnyM/jBD/woREekLg89pOMJgVu2WQzo3QnHgXy5DB/8YPm42ssXW2UHvoIO8+A3ggkhqGDx0GYWgnEwBl0aBsawOo0KZ5/R8cW0JQ3WACmMl8HqFAqFDmZQYRGvPErQWMRme7DKx2SXowuHSE0/QKWj7i1dr6pSGpvpPmmHO+MMy//9vwU+97k0AwNCqvT2wrveVVmwYD476zyMLYcO1U6xwlCsSr/8ZXjrW6XR/a//8jhyRDE1JVhaLComJhStrcTEjTEivchmxZljfFywdv7EzQWzpNOSTjg6quL7OOeNxItZzhGO1TZGbEQdMeCet1skdw5NjrEG93Vpnl2DevxJnDTLzjUjub+dx1Inlc3aWH5x5Ig4dYC8x/fe68XNfrEozh8jIyqyLT3+c3GY7XnyfddfX6G7+/QhPzJHb8ErDYKXAXxUOIlVPsoW8SrDWOujw2H5W/GmZJn7GXhiR8JIrFZg/WgRPIuw0hVUZQZVHkZXJqmku7C+xqaXoEpHSY/8gOKq33peXveJVr2RPg3q5S83XHhhkaEhFaUBLi4NaWwU1uC66yr8x3948RW058l4sbER/vf/TvMf/1HkwQc1Q0MC3A0NEpHq5CBTUwkoh6Fsqh86pCMLJlmsrG4SXWNdqQgznc/XNrdu8x0EkCYnnZ+pjOWNEWZYGuqEASiVVLwZXr2446pUEr1xPi9pVLUlIOwAuNpuybEbSqloKfL4jfjSpeJg0tUFo6PyWiYnVdzgu6XSYtGx3rUAk1gjyr9r1xpWr5bX+9a3Vtiw4fQBZABvbm/026EhzAvjR/QDMOVIN2ekETY+EBzzWPNLuA2PStuleMVDeMW9qLCMCj05pspE/2pUMIM//QCVtkvk11Wn0cVDJ/fF1qtei9Tq1ZbPfa4UJa4KubKYNCSTEWnar/1awN13a3bu9GLMbmqSSdUXvgCXXioExdGjiqkpTUuLxHPLlEuaWueMEYaCWU7q0dcXRkFPyeM6FlimgDYO0aquZPooDh1iD6fiREvPEwyuxuRCIZlQ+r5dsEReLiuWLpXnNT0NtWSDYLaTnpTLcmDHtLvnLEvri2O2k5D09hr27dOsXJnYtU5Pi/Y5lUqW/13YlpwHnAShFrPDUBrzLVsMYQivfGXi7326lJ57AhsRE4QlsGGE03LSVbaE6J/FaeOZYXYk4GjahNJp/PwuMHMoU0KwOoVMjA1WgT91L+Ull4NOY/xWdPHAyX/Bz7DqjfRpUr4PK1Ycv+FascKyerVhYECjlKKjI2neurpEGnLwoObAAWkwjx4VqcboaLLNJqM4i1KJN+n4uObOO8VXtL1dRnoCgtUlIDg3V2snVH3cpBKNdSolDO78MaCzUWpsFNumajbaVRDIguXienO50S37uHIjz1TKxp+75z6/ZmcFaIeHfVIpS3OzsPLy/jrrOxs11CJLSaUExAcHVeTWUmsB5fuiYTznHIlcb29f7Lm/uCtsWC92dpVxlKkg3tCRw4YpRSNBdyIKj+G5snhFl1mk8g8TNJ2FV9gXH0sRghWLJaxFl45gMOIl7TeBKWMyK07eC61XvY5TSvG0rGUqBZdeGvDDH/px8+wmbcuXi+xrehruucdj+XIYGhKLvH37Em9+1/C5JMBsVi7Sf/xjnzCUqVpbm2VoaPEJmZP+VWNgrXzPYamKF/mCIGGbqzE7DIUVDkMVLwDOf7yREWqCXqresehx5i9uJ2ywODHBsTC7UpGGWdhn0aX39BiefFLHVqieZ+NgF9ekd3aKdG98XCQtrvl3OzotLRLGc/iwiq3uTqcKG85AWSCYQpkgwuzIIckUI/mcNM/2aZro+T8ZFck6/Lm9VFovwNo0imL0tRCXHWCtQs0dhkwXujSMya1ABdOETb94v9cX675/varqgQc0b3lLlnPPbWD79hx//dcpjhxR7Nyp48QrEEC4/voKGzaYGBy1FkB2nsVOv1YoSODK8LCuWipJ0qncckw+L04gTt87OqpjIJPNZJE+NDUJkIsP6rGa29qy1tLVZeMFnIWgK/Z/8vGxR2/HG8n5vgCjXPEmS4NBoOIxX3WlUkl6mLVy8dHQIKz1/v2aTEZOTPKe2Yi1UbGmWt5bzdKlwjQ1NFja20Wi4qz7WloMExOKlhZJKTzdqtjzZrAhmApEEJxo5HysSlIp1DMYDybfA6oyQmriDqQRV/MWXqLG2syIC8jcAXTxCDbVSqV167N8VfWq14nX4CD8/u+n2bKlgQsuaOCGG9IcPAi7dmkefljXsL+vfW3Iq14VxoFRzrfapfI5yUSpJOl+0kwnkgkn8QsCRWenLMQNDenIi1kxMaEjKUUixZAQLUmdLRapkZS4x1ysrBWbPBdfvhjLPjurqhjvYx+nuqpdj7S2UaquPGenIXfa8fnSQnk9yTJjKiUXJJ4Hg4Oy5L9smUu6TXTQWqsIk+WiQC5ixGe7tdXGx3SyRufGsnXr6YfZ5a6rsF5aMNtWL3cDaKxOIjWjjZRj1rEukbTJk564A2XzgF+D2XJ2MCiK6NJBvPzjqNIYypYpd1753F7cSag6I/0ir4ce0rzrXVnm5mRJb3RU8ZnPpPna11Js3y7LE0uXWj74wQqdneJ3+Tu/U0Epy+c+l4mSqARoxsbEDaRYhHvv9chkiKzZ5LGEgbYxq3zggCQqurARkJSsQ4ek4/V9iW91f3CSAkYU5HJiPKNsTrtx4eKMybOrRNrhrI4kJtzGqZPOMaM61EViw2UMKycyFUd1GwPDw5pcThpjYaWTEaizGmxttUxOit3h1JQmk5GT4uSk+G17nrA2733v4klnL/ryGghaL8ArHYDSONaAqmI45OPnUraqAQ+lMbchjt1WEDXrFmXLpKbuZW7dhyn3XF1fNKzX814TE/CWt+Q4dEjH/v/f+laKW29NcfnlAem0YOX111c46yzxpr722oCVK0Pe854cSiVpqIWCNIUve1nIJz6RobnZMDkp0jrHJjc1GYpFmdoND2umplS8k5LPiyRtfFyem1KSjuq+N52WRnJq6unxulpi4XkihTi+LO7YuyKLHduV76vYUlRkgYmtrMPsags8seUTFrypSSaEqVSi1T56VLFihY0uRpKGvNp1qqVFWOr16y2Dg/K+NDXZKPlQsWSJYWZG8e53V05LRlpRIWzZgl98EgpHsaYE1rHQBmWPb/14YmWqSJVU9NsRomJf6RToFMoU8Gb7qbS/nOKKD2Jya07CYz+3qjfSL/L6m79JUSjIMon7wy8WRZaRzYZ0dlqGhxVf/arPDTeIMfxjjym+850U1sr9jh4V4OnthS1bAj7+8TQ7dngEQTKacxZujY02ajgTRtulNhojaYLCbif6Y7F6s9Fmt2Vu7tiDEMc8iHMFjIwkjPqzr8Wa8EiXFaU7ugVDB7bi9mEXHTE2N4vmOpUS/1TPk3Hp9LRidFQuHhI/a7eck7A6Bw44pw+5PQgUy5YZtm0LedvbKqxcaVmxwp5WASvVpcwcJrsSel9BcWYSVJrU+B3o/OOgrIwOE0v+49YJXZJZYTNqbwtBeZhUF/gtBB2vkPCXetXrea5vf9tnYEDT0pJI6woFsc2cnlacf75hdhZuuinFpz5VorFR9lI+//kM1soEcGzMcuCAorXVct118JnPpPnxj/045U/KTbpU5M2c2HE6+01nV+ckCum0c72QYBN34S864sX/2qrDRoJAXkeyyH68v9Cna7IX/7pr0EWuIljb1FTd7C/MHfA8aZQdk22Mjd5XRamkOHhQnru8f8RL8M4eNQhkcVxCuuRrPT2Wvj7LtddW2LzZxFKb07FUWMCkO6D7LRRnJkB5eLNP4k/ciTIluYg6Qcw+ocejUh3LEt1m5T+vGZteStB4FmHjhpPyeM+16o30i7z6+zWpVNLwiQOGXI2PjCg6O2XM5rauly61fPrTGfJ5xTnniIRg3z5NpSJX1//2b2mOHEkshJRy7IS4bgjgC4BVN3rlMlFkqgBNJpPIFebmiPTBMDOjY3BajE1ObIwAVDwukzo2uDrpxOJN9+LfI4xE4hQCou2rtvdzerzq5+xCbSoVWRqamEiAu6VFtNITE3KinJuzcfqi0xC6C4liUSwJPQ8uuijgz/+88uINV3kGFTasQxpbjfWbwQQYvxntN2P8FigOoG3h6Q5zwqWoZUvkR6XBa8CkO6NFmZfAG1+vU6J27NDxTgQkbkNaw+HDmvPPNzQ2CnO9Z498ftNNKfr7NRs3Cru8d68Eq8zOKm6/HQ4eTEULfNXx3QrfN1irYi9qx0RD0jRWKuLCkcvJ7ouEQamYAHFR5tKALnw9Dncd9gZBtUXqsRsrN6VbbGfmeDjvSBtZnpSlw5kZpwFPArMSz35p7kFe59q1JtqrEZY6m5Vz5OSkEFKFgshk3PujlOiqrZXzUUODTAy7uy1f/GKRlpZjvsTTpsLsclA+mIrsk1grcg6dJUx3oysjqGDRFJsFVf0bcexLKbPgaxYFKotJL0WWEE8dpql+9niRV1dXrY0dJH/8TU3yK+sYA0njk3TBjg4bMb4qSnaS5nt2Voz/HbNRTcjOzYlxv2vanV5PmFbZ3BZHDgGbpqZEX9fUJPIFOYEcy7De1gC1a46rX8N8YJb7PDv21oGsYx8kDdGNB6H6z7w6FtfJOSqVJD3SvcYrrwzJZIj9n7NZIiZExVvsYv1E9B4qikXF/ff7NQ386Vwmt1acMmb3oef2kR65FW9uL1YplCnMA8hjQ+2z5T4UGggwqQ6UqRBmVxI2rH2WR6tXvZ5ZrV1rY9ypLmuF/a3+3EnKfvxjn5YWwY7hYUW5rGIJhnOXcBfr1VUqqZj4cI4d1ZhdqYjPdLksE8OWFhtNGy25nIlCS0QvDLVLfoKRdgF54SQTUgtZSncR4dINT2RfprrkuavIBcpG5IvC82rVucmCpTTcbhfmwAFNY6ONgr4sl14axGEqImWR81cqJdNFt2hZvXxYLKpoMf8l0kJ5DRR73gLFI+jCQVKj/4k/dT8WjQrnwHqx+8aJ1NPpqBfHdoPxslidwXo5go5XPLPX8DzWS+S34PStD35QLudnZ23stVwuS/yp02pNThIz076fpEfNzqoomjVpuFMpG8sVqt0kINHuLl4quo9IOiYnYXBQRamLAvxuIdEZ51cDqGNSRJNsa0z2HbPg7ifP10aMuUuwsrEDx4kCsztRSbytSxKUk9mmTSHZbHI/xxrlcrLhvnKlhKw4D9Pt20Ne/eqA5cuFia9UxJXDMfTiAiIMfzbr3EgEuN0FznOXsLxISilKy98BG38Pb7YfVRqGcA6rslidBuVjdAtWZTguo8XTyzoskV7Ua8Gke4BU/BxsWMSkmpg9489An6Yz2XqdcvW2t1VoahIW1eFbpSJTvE2bhElwLhRu2dhNqsTyM8E5x+o67HcTNDdhc8vj1RiaVCKFSKeFtR0aUkxNCWa7x6rWGldjqyzuqQivbeTzL7cnxIYjTwT/IMF196/7vhOtasx2GJ7JSAT7kiXJi6xugDs65Px35pmGdFokLhddFHLppSGbNsmSucg3HCOdZCVYK+c00bOrWAaTz/OSIT8Ago5LYNONUJnBKx5EBTOg0+DJ8pPxmrBkeLq28ulPz5FIRDdg0suwuiE+pjIVIGBuzf/A5FY+59d0sqreSL/I69WvDvnkJ0vkcqKjCwJYvTpk61ZJ1jt0SGyQ3vlOkQ20tcHmzSFjY4n1mzSQlo4OYgbC2Ril0wkQNzSITuxYC37OM7mxMRmvuVjVXE5uqz4JJGb+ssDX2ir3cycGx9o4VkZM/1W8KNLaKprtat/QZ8puSElL5ra03WtfudJEjyUnCs9LGt+mJlni7O21vOpVIVddVeHgQc1998kW+NycLPAUi/J/Pi/HzuVsLBFJtuklxOV0tLo7bg3ehlcYkGUSlUKb2cgOT2H9Zkx2RRUwL7JoWnWb5VgjCSOrhzqDrkwgjh0W8Cis/j2mtn6PsPnck//a6lWvY9TKlfClLxXp7TVR6qC4cFx4YcjMjCTIjowo3vzmgLY2+Z7XvKYS47trVisVaQCXLk1w3PdtNBEjbv7c8txi5RwtnA+/w2xjksZRyJBabHVTtfZ2Q2OjjXHRYXbyeIKrLojFBVhJcq2Tipz4bKmW6Zb/3UVDKmXp6ZHjy/1sHPxSqSSyu5UrLX19huuvrzA7C/fdp9m/X1MuyzghvWMAACAASURBVML97Ky8Zucu0txs4/fcHdOdn06n9MITqqlHSU0/IDsm0eKfCksSzoJH2HgGVucAj8UxW8/7eGEL6vybrNeIqkxGftLSl5SWXMHU1lsoL7vmeXl5z7bqGunToH7jNwLe8Y6Agwel2V26VMz59+4VC7VNm8LYQg7gxhtLfPCDWfbtk4bPha4sXy7sg3gzS3PqmI7mZkO5rOLme7FmOpeT0JGELVFVKYECzGLQb6MxnCyzyMjRctFFIWvWGG66aXGripYWw7p1lsOHZeFGLJrgyJFky3rZMsvgYJKMVVvH3xL3PBlTTk8rdu3y4qZaFgaF8Z6eVtHrkOWWsTHFD37gcdttPkrJciQkCz/Vlk3OSklYHmGBXLTv+95XfpYXAS/O8mb3wNQuIADdCEqjwgBVGgRAlWewOof1MljrRwuINtI7W0CDyoKdI/l5ejhru4j7ij4y6MoIDtwtGquyZMZ+QGnVe1+4F12vekW1fbvhjjsKHDkiGNPbK+4Rjz0m+umzzzY1HtPvfnfAAw943HOPF2Gz4MmqVQbfF6mehEEl3sxNTbYmVGSxtL/GRhsl18rnSimqtcUNDW4h2jljJJitlEgL3/nOMp/4RHbR15nLGVauFPx78kkJAHNpis5jv7fXMj5umZnRsdd1UrWYPV+j7V5TsQiPPOKRTieZA3J/yRlIp6FQEAemI0cU+bzmQx/KorVlZETHFxDumHIBYGNSJ5WS4zonq2IR3vCG4CVFfqhgBga+g2B2GkmPraDKY5FLUoBX2IdVPlY3oGwZscpzmG2j7yniLoIsGoWJt5/cgqGFCLN1/L/VaVLTD0W2qadW1Rvp06Q8D9ZWyTw3bjRs3Jh8bq1Y5d1+u8fu3ZrhYQG15mYbgaZiYEAWRS66KKSjw/DDH6ZiYJqbE3kGJOM4x6xWs8uQsCOOdbUWZmZUrBtub5dG3VnrJbpk2UQ/lrfo3Jxmzx4bpRlaRkddqIn875xEnLZankMCzL5P5MgBizXT7oQDbnnGxea6xp9IQqLYv19OOC0t4kPd3m45elQWdtwFRzrtTjgiB5HnrejosPFJxPfh1a8OuOaa0ysJ6+lKFw4KqKoUENmZhHlkycTDeK2YVBte6QhhbiW6NIQKp6qOYJEIcI0sLqqqVVQVDQc1FgMRUMv9PGyqgzC3Bm9uH/7kvTKyrFe9XuBSCpYvTz7v7bX09tbiwP79iv/8T5+HHlI8/riAbEuL86kX5np8HHp7DW97W8BXv5pCaxVhrmOGBcccRrsms1pSkUo5iVxyW7EocjPfF6/7MHSL5slCo7ViuTrfu9kxiMWiZnBQfJjDUDExITjY2CjEShC4ZUVhlt0xq5+ju0hYDLOrH7c670DeXwlXccd04VxNTcKgd3TIgr2buErSIzUXImI1qGhpsfGxlIILLjB86lOLpICdxqVLQ9EHjahgCuulUJVpIEChsCpHmF2FLh7C+s1YpdGlw7jGWIYEEoglHtGJtEhF5IiKMdvG3tEizWsibFiPDibJDP07xbV/8AK/+uNXvZF+idRPfuLxzW+mmJ217Nzpxb7TXV0CDuWygHNfX0hHh2LLFsPKlWW+/W2ffF7F5v/VQSVOmmCtBK6Uy0k8trDOxFKMVEr8p1/5ygBrFYcOiSXT4cOamRn3HFPR6FJANQHGBPRnZ1XUvKvoc1vFtEjDLw2qpbFRFlLcImF3t2F6enGZgKuEyQB3jZxOq8gT20ae0/Je9fSI5dHUlI6f3+SkirXVDnQ9TyQxTU2WrVtD3vnOgLvv9ghDuPjikEsuCV8Sbh3VZVNtEvGaXY4uHpERYeRFarWczbSZBuWhS8Oo0KVnVTNUUUohHlZlUC5WHA3Kk61yU0bZkoCyzhJm12BT7TGlpiMGvF71OtVq717FX/91mnIZHnjAY2xMsLS720bpq4bhYcWmTbB0qcH3FR/7WImvfjXFnj0euZw0sM7LvlaPbGPZRxjKdC0MbTxFNEbY6tlZWLEiZPNmyyOPeKxcKQmIExNyHti7V/O5z2UwRlhft8joMDaVEj2yw3HxgBZPZofZhw4RpRzaWE44OyvPq6vLUC4fH7Orv+amh74v0hM5V9jYjrS11bJ5s0wtfV8ea2amevk7ObcVi2IvuGpVyCc/WWbHDo+JCcV554VcfnlIa+tJ/oGf4mX9ZrCGoGEDfn4XOpxD2bK0vCqF9TLoyhgKjQpmaqaC8hPS0Wda/tdpsBZlDTFD7eXAlsGEQAXIYLI9mEwvKI0NZ/CKh34xb8Bx6jk30n19fV8ArgBKQB74g/7+/h3R17qBrwJrgALwW/39/T9/ro9Zr+PX4KAAbxDAunWWNWsMt97q091t+NnPvFjj6zyUy2VhY5cuhfPOE7b0gQc8tm0LaWuzURQ3TEw4VkJAb+lSGy+iZLOW4WEdL+2BgFKxaGPbIKVk/JbLyXKd8+OUhT0XguK+N2GBpXGvHdm5DWtJ+5IbU6nEwURONHIct9g4OHji3apzAxEpS2SYFtnkOasn57MtIK04elRew9xc8rydfjudFp/pq64KeOUrQ175ypcWAz2/guZNMN1OkF2NbyqoyiQ6zCPLgB5ib5TFagM2xGoPaESZOeJoWgJQaSotF6FsEV0eRpeG5WsqGoUojSGDsiFWN4GXjcSgYfQ8zv4FvQP1qldSU1Nw//0eU1PCTG/aZPje93xyORgaElxpaJBf3Xxe0dJiOHpU09VlOPdccZ84elShtWbJEhgZEXKjWBRv/7k5wdCODsG12VlpyA8fdtHYkS7V2girRScMMDLi8fDDJkq6FdmcMTKJk/TWWsyFZBqY7Mok+AnitgFOTpcEwEAyXRSHEh1rk59uTU0khTZmzKszCayV80QQKCoVadyMkRwF5/dfvbsShhL7PT2tuPJKwyWXyP8v5TLpbmjbjJ2bxmZ6MJVJdJAHFOgUYEH7WDJYawXPvWYIZ3G7KTZiooOmzRh/CV7xKVRlEkyRxK1JY3UKZUKs1ww6BypaNrQBQcv5v5DXf7w6GYz0bcAf9vf3V/r6+l4H3Aysj772aeC/+/v7X93X1/dLwNf6+vrO6O/vf7bOVfU6Ts3NwZe/nOKb30zFYSkrV1rOOiukUJAlQDdCc4BRKAhgOpBz4LNsmeVnP/NjKUZTk9xnZETYaedukc9DRweMj6v4mK7ZdHZLvi/f704CQ0POtSIZJc63gwrD2nGhu4/7WBiDpPH2fRXLTWRrPVlslEb4xBdbErvASK9l3dKNMDxO6zw8LBrp9nYiVt/p/txJScWjy0oFVqywvPzlL+0GOi4vB2f9EeHDfy9KueIhVDiN1Y0oAtBZYZcVGL8dr3wUAKtzwlxbAeZK07lMX/hd/KkdNOz/LGFuDampB4TVcLIP3YDRGVSYB1NB2WlUME15yeWYxrN+ke9CvV7iZQzccovHF7+YZmpKxbrjc84xjI2JVd7kpI4jwDMZwet0WphmsXVL9Mp792rCENrbRQJSLBJJKeTjlhYb2+wVi047LRf6LjwqCIRMaWpKFr+fekrHUzPHODvHJFfOiq+a7AgCG9/X95MEWEgcntz3ODJFGnkbN7cnWg6nqxlo50biFsVLJfj5zz3a2oSJnp6uxmwVvz6xuJMl8Ne//qVip/Q0pRRsfD+Vog9odHEQVZmSJlepyPlIgTVYvxFLiDJFrM5GmB2gsBivjakLv48Kp2h64o8JwwKpyXsEny1ACMonTK/AC8awtoIK8qhgmjC3ilLXVb/Y92GRes6NdH9///eqPr0bWNHX16f7+/sN8GaEjaa/v/+nfX19JeBC4L7n+rj1Wljf+pbPrbf6pNPCPISh6MJ8X1MqCQOhlKWlRXRtzkdzZkYW91askNtTKWJNWFubs0MSlwxrZSzW1GTZuFECXXxfgmEKBacxtnHYiVKWXE6OPzfndNFJfCsQg141aGazNrJgcreomvtX/wvSmDuLumrHDwf2uZyNmOKnZzWcVs8lHopziIwjfV/s7YQNF2AeG3OjU2HRXSqjY1KyWbjoopCuLvuSGwcetxp6Kaz9MCqYAuWRGvk+jXv+BILZiICyWL+dsGkTevxHKFPBpjpEpmECoIzN9KBMnqB9GwXzATJD3yQwAf7Mg0BIkFlN0HohOiyAmUMHU1idobz8Nykuf1etFUG96vUC1733av7lX9IUiypeLpyeVuzbJw1zZ6f471cqMD2tY9ZX/Ogtzc2GuTmPUknR1ibYtHy5YXBQiIhsViRoR45IM75hg2FyUtHba/jxj31mZqqbRxszub4vGF8oiMxC64U66ATjpDzP0tBAfEwX5gW1uudq/bPDakj+dZidzdrYH/t4Va31lrRGl1MgRI97Xe54QeAi0VXsMy2WpQlme54s6a9caVm1qs77xeU3Ulrxm5SWvRllyui5QzTv/i108VDUBFus30DYeA4qvwsV5LHpJeLQYUMwBcLGM/BKBwmbzmJu/cfJHvwSldaXkZragQrzhKmlBK0vA50hLI+iqKBMmVLnr1Jc9VuQOvUScE62Rvp3gVv7+/tNX1/fEkD19/ePVn39ILCSZ9hIL1nSdBKf4smtzs7mp7/TC1AzM7BrFzEbUR3CYq1Hc7OMDzdsgD17ZEw4NZXIDzwP+vshmxXHDKXg2muhrw/+6q+cLRDxcsY118BrXuNx882wYwdxAmHi65xo4IIAMhkV6d4Wd/xwfsyuAZ7vMw21rHS5XH2700EnW9zuMYJAk0olmupjgbL7PgfKEo0LGzZI2tVdd0nSmPNqTaflPjIyTb4n8aaOj0y5LBZL73gHTE+nWb1apgPPpk6V37eTVZ1dLUAEjMveA12rYcfvCihnu6DlbPxsF8zsAFMCygLIXgqWvAIv20m2FWhohs7Xw/pXQX4fhEWYfJj0+A7SXg56roBlr45GkJABno938nT7+TzbOpUxG06dn9M99zj7y0Sn294OU1MePT2Ctxs2wM6dcp/hYcGlcllweHzc4+67Qes01sLZZ8OHPgQf/zjs3SsX+44IufRS+OhHNf/8z3KciYkE85xNqcNfh93ptDyHxXCzepnc3b/6fq65dbdJZoH7mmPUF8Nsx1bXEi7HK3cB0NICZ5wBPT2K++4TmWPiSALNzYLZLv7bfW/tRFRex6OPahobIZ1Oo5S4YT2bOlV+105Wyetxr2kV9Px/8NProDwK6Q5oORO/cQ0cOQpmFlQo2K00tJ2J17SRjqYQOpuh8wJY+X8g/xSEJZjZgx79GamwDJ3bYflVkBb2KQ08H6hyMn4+T9tI9/X1PQCsOsaXu/v7+8PoftcBbwMufc7Pal6NjeUx5tS7KuzsbGZkZOYX/TQAYZJLpTTG6BqQMEaA8KKLxFv6P//Tp1LR5POKXE4sl9wyiudpmptDOjuJNMUVrr++wpEjPn/xF+nI+k3GjoODlptvluCVgQEfx/RWG+1LCTvd02MYHNQ1FkPzG2p5Ds4mLol1deElzgjfjQvTadG8tbQkThzVxxRGRNicYwGya9bdUkouJ4/d3Cxep7mc4eGHPXp7k2hvx0K7UaE7CWht4xNS9fGNEa3it75lOXo0xPfh2msDLr74mck8TqXft5NRi74ebxuZVR8mNfqfwj4HPt74HmjZhjKz8t4qjcmuxPoNqPIMszMZmK0+TmRf03oWtL45uXmsCBRfsNejtTrlG8rnq05VzIZT6+9oaCgT6ZFriQNZTg655poKt9/uk8loBgY02aw0xsWi4Pb4ODQ1aZYuDfB9xcyMoaOjyO/9nuYjH8kwMqJJpy1r11qamgx///fyvbt2JR6+1QSEsM4i/+juNuzfrzAm0qdWYaXTLAsDLNiXyRA7O1UHwKTTToOt8H3B7KYmYYvnS0Pk65owtLE16GLlSBEXad7UJBauqZRYtT78sKa11TIz41EuiySvXJbn4nZxHObPZ9vd66xULA88ADfeGJDJKF7xioCrrw6eUYruqfS7djJq8dfTgb/h0+QOfgnjN2FtI3piP6TXoJs7sCoFVLCZXky6C106zFyhFVNznBXyT8N6WPWa5OYpgOfv/Vvs9Twb3H7aRrq/v/+Cp7tPX1/frwN/AVzR399/NPq+sb6+Pvr6+pZWsdKrgFNv5fI0qPZ2sShassQyNqZpbhYEKhbFmu3SS0Ne9aqQXA7m5nxe8xrLbbfJJngYqhgcZmYUHR2GK68MGR3V7Nyp+c53fEolt5Wt2L3bw/NCurtl23t2NtG/QS34ibOGjDCrZRW1umgbg1cYqtiz01nEEUVyl0rSaGstI8v2dsvUlGPDa+nr6gCBxZr26vtls3LcdNrGDXy5rLjkEkOhIBcczc1iYee04KKJltcgceF2AWvjAmqqlw47O+W1fv3rPitWmPrYcJEq9VxDmF1FevwnYAoUu95A0LqFhv3/BxVMSLR3WESXhigte0c9lbBeL9ravDnk0CGfyckkFGVuTkiCzZsNr3ylYdWqCqOjabZtC3jkEc3dd3vxxM73BV8zGcWv/VpAoSBN8s03pxge1hHLrdi/HyoVzXnnGTKZkOFhrwaD52N2EMCDD9ZitlvCS0pcPsLQxqEoDs9FTkc8KXQJi93dlvFxJ4VbKKtyi4vJsRYvCf4SzG1rEzemYlGxfr2hp8eyd69j7g0HDyYXDA6z3VJkpVLbRIuVqq1i6S3NzdDZafjJTzx6ey2/9Ev1PZf5FbRuZW7dh0mP/hBVOkql7eWUl1xBZujb+DO7sOkOwOIVD1Jp347JrvhFP+WTWifDteN1wGeBX+nv798/78vfBD4A/K9o2TAH3P9cH7NeC8vz4C1vCfjCF1JMTorHcqUiSYGveU2FSy6RP/477vBYtkz8Mw8f1rEcIQicy4ViakpTLsv9//u/PR59VDM7K2wCiK/mjh0el10WoLVo+AoFeSyxwEtYiQSILdXWZfP1dkqpiMGyUbMvert8XkVNqiz+uSVG5+cs910IyEnSlzy2s7OTx6i9f6Ui+jl3UunrM6xcKZvd+/cnr3v1akOlohkbS3SF8n64pr32uKJRFDbELT2m08my5P33e6xaVV9kWVBKE7S/nKD95TU3z637CKnxn+BPP4zJdVNecgVhU911o14v3nr1q0MefthjehpGR3V8MX755SFvfrOM0e69V5yOUinYvVvHDXS1nG1uTqw/lVI88YTm/vvFVlQkDwpjFHv3WlatMqTTmpYWOQe4fRDHJIue2EnzbOyC4SR61eV5Kp46NDYK9mcy0oSWy4nFXRiqOHSrWJQJ4ujoQgclh9lOXicOUCp+DoL7tdjr+1AoaFavNqxebchmFbt3CxkDojGvVAwHDiTNNBDJShaeC5yuXJby5XFzOXlNS5da7rjDqzfSx6iw8QwKjWfU3FZc9T5S43eRmrob0BQ7X0elbftpt5tyMjTSXwHKwLf6+vrcbVf09/ePAf8Tcer4DcT+7p3REmK9noc65xzDJz5R5qc/9XjqKU13t+XSSwPWr08Y39lZYajFqq5Wz+z+n54We7quLsuhQypqomUBxVWxCEeOKDo7YfNmQ3+/F3mIJk2ykz4IKDoP4MX+gJIgE2stmYyNAdMdo3qrOgFZGy2WVB1J1UpLJLlLRqVuu93ZMrmyVgB+5Up57GzWsn69pVKxPPKIH6c9QjUjk1wUyDFUrNNzJ5wE/JM42WpdntOV1+vEyqbaKXf/OuXuX/9FP5V61eukVGen5WMfK3HPPR67dol0Y/v2kAsuSLBCwqyk+SsWnbNGLZNcKIjmuaNDbjh6VMeOHpC4HD32mMe554rM76GHPIpFFTe9gsMJZkuy7bFoYXdOIF4Ib2pysomE9EgwWyaMxaLb4UnwfD5mZ7NCOsiFQSITcQSNfI8cq6dHprDWitVrOm05cEDHvv3yvqkY991zB2rOMdXTVPdcrIVly0ycCuz7xJaA9TrB0hkqSy+jsvSyX/QzeV7rZLh2dB7na0PALz/Xx6jXiVdvr+XNbz42y3neeSEPPODR2ipaZKddq14aMQYmJhQf/nCZf/7nFNYmW83V2jffV6xYYfA8YZH37hVJRLms8DzZOj++fZHTzTmPVEm9GhtTMUAnQSUJy93aapmcVIyNuQ1zG580JI0wuf/MjNMs2xiIHYC6kosJiwuWmZzUTE0Z2tpg/XoJPXDpXbLBbuPN9sRVhPh9EibcxlZO6bRl/XrD+eeb+H6FgmLz5vo1Zb3q9VKv1la48sqQK69cnOncvDlk505Ne7vIP9wFuJPjuQb08GHN9deXKZeloZVJYXKBD4pCwbJ1q+GppzTbtoX092tGRlTkbkGUQDj/GSzOHqbTQjoUi8J+i+45ad7j71bEDiAzM/IYkCTSOrtQR27IeSNp4kXPPJ85ls/LZWGMp6cVQ0OK1astK1ZIQz00JM9ldFSaeJF0qBqHKDcdlGVxG+UAiN66o8Ny+eVhTNSMjiouv7w+QazXwqonG77E6ld/VcBzZkaY6dlZFevXnM/yWWcZXvGKkA0bhNH++tdTpFIy3iqVVJR6Bdu2hYQhfP/7XhyvnctJjKwA13wAXhyQhQWX48ryio0adjWP1ZDnODXlGGzZws7nVRySUislqZZyqJoGe/62eKXiRqPSJIt2TsD0wx8u8b3vpfjZzzSjo1603FjrgV39WtavNzFr/iu/EvJLvxRyyy0+Q0NyUiuVFBdcEHL22fVGul71qtfx6/zzDT//uaG/X7N2rRAh1koj6xpMyQswXHZZyPi4SCyc7aeEVonM7NxzDdmsZccOWTg3Rm4XOYcQErW1OGY7HHMaY62d5Skxuw0Jzs7MyHOxVqxV83khIRZidrV22hEfyeM6yzyQc4W7qNBaLhpAvLY/+tESDz+sueMOj8OHFT09hrExHZ9j5keL9/RIwNj0tEj7fvu3y9xyS4rxcU0+L4m2PT3SWNerXvOr3ki/xGrpUstHPypxpytXGr761RSFggBLU5PiZS8LaGqCNWukyXvVqwznnhvy5JNeHETiNp5//nPN3JxicFDHQS9BIKxuGLJAU1ddidyjtql1YFooLBzFzfcbtVYY4morvOpyC37u42rP0uplRBeZGwTCzAizbBkYUFx+ech551nOO6/M3r2K3/zNLKWSoqHBxmEC09PJY65eLSxNEEhD/c53Vli/XhaHdu4UrflZZxn6+swz2v6uV73q9dKsTAY+8IEKu3Zpdu3ymJxUjI87T2nD+ed7rF0bxLKOjg5405sCvvtdv2pKJhO5oSHFLbf4HDqk40AXY6Qpl8XFY8nvFi4bep7DeHlcF+tdrWV2RIVI3qRZn50lanoXlmvkrU202tVEhTtPuHMGOBLG0tJiOXxYsWqVYds2w/bthne/O+DaaxXDw5pcLmGdZ2eJ7feWLRPCpFRSrFljeNe7Kmzfbti0qcTOnR5DQ3L75s2GXO5Z/xjrdRpXvZF+CVZzM1x2Wchll4V0dMA993gsWWLp6Ulz9KgwGNu2CXql0/BXf1Xi05/O8OijAkgOdEdHNQMD0kCLFZ00x/m8AFY6LRq12dmFlnC1ZaPGW5xBenqEkT5ypFY6Ed/bumCXYzfR81lsYU2qn4OLuHVBKrBiRUi5LMswYShx3ldckZw51qyxXHxxyD33eExM6Nj6aflyy/LlhqNHFdaKnnHdOsPb3y76dJBt9de8ps5m1Kte9XrmlU7DhRcaLrzQsHGjECBtbdI8ZjIe+/crrrsuYS4+8pEyYQg7dngcOCAY7VjknTsTaUUYCnMt9nuC176fsNiuFjof2WgKKMdeulQIlMFBcXGaX9aKjNA9h8VLGGWtZTnSJQy60lqaa2ngpWHv6EiIC2vF5vX1r08s6tJpuPrqgK99LcX0tKTT+r5MY9evF8x2e0IrVxpe+9qAyy6TF97cDJdeWsfsej191Rvpl3i99a0VGhos99zjcfiwmP6/971luroS1Fy/3vI7v1Pife/LRZZDKrIREgBzS4jVTW11RHhrq40t6oyxVCpujCiLJ93dhslJWZAJAhgaUrGfs3PEmA/ixoi2cGYmAXzHUjj7pvlav/ne1s5KT2sZN27ZYnjLWwLOPtvg+7WNN8jnf/iHFb70JXj8ccPAgKZUUmzcaHjd6wJe//qAyUmJJl+2zD7r0JV61ate9TpWXXJJSLkMP/iBz8iILHy//e0Vzj03oW7b2uBDHyrzrndlSadFG5zPQ6FgKZd1HM89N5cc11qRs1UqEo0tumnB6iBQsW9zLgcrVkiM+J49QiiMjcnxm5pELrhYiRyldiHdNcpJVHhtA1+N+25S6AKxGhpEzvKe91S48MIwsjFd+LjXXBMwO6u4917NwYOa6WnB58svD7nmmgDfh/FxRUeHLC7Wq17PtOqN9Eu8cjm47rqAN74xoKUlTalUXsAY5/Pwl3+ZYXJSRZ7J1SyzY3Vrv8daFTfS5bJ4MM/MCBB2dlpaWw3Dwx5bt4akUpbbb/fjMJNy2S1BSjkTfzmuPKYsBy5kt2sTqmpLNsBt7Ovc3CyLKp2dlksuCfnwh8vx4sn8JtpVd7flj/+4zIEDslTZ1WVoahJgh8Tdo171qle9no/SGq64IuTSS0Pm5mDNmjQTE7UAbC184Qtp9uzx4kjxQkFRKOhYzrGY9M5h8MyMjQgOAcK2NsOSJZaREcW6dZZ160JuvTUV3z8IxEs/CdGykevHfDxWC7DVff+xSppjOUg2Kw10e7vl7LPFpWrpUjkXHEsq19Ag0pg3vEExNaVobze0tlIj06g30PV6LlVvpOsFCEC1tsLIyMKv7dih2b1bx9vgErktH0toymIeo8m/Z5xheNWrAvJ5xcyMAGmlAsuXBzz6qMf4uIpY6mSZRDR2Nt6qdgy3W+JTShEEsiBy9GjtUooD1dZWSf4C+b5cTnycZRxqefnLDQ0Nlu3bQzo7LR/8YIbduz0yGfiVX6lwww0VmhYJOPI8sVpKLPDqVa961euFrVRKMG6+SwZIYu1t9aeA5gAAIABJREFUt/mUy4K1ziGjWBQm2piFaa/V1py9vZaLLgpZuTLg0CEdBW4pSiVJeu3v95mdTcKmnE+/C9fKZomdQOYvCC5dKo4abtcGiLG/qclWOS0laYUtLcKGX3xxSGOjLE2ef37IZz+b4s47fYyBCy8M+chHyouGXCklr6m3t47Z9Tr5VW+k6/W0dcstPlNTjsVQUVMrgOR5whg79gEsqZQim022o9etM6TT8Od/Xmb/fsXPf+7xD/+QYmAgidyuXiRMWGaF54l8pDolEOTxg0CxbFlIuayrXDTcsoxISJx8Y9kyOZFkMpajR2F4WKO14qqrKpxxRsh739tAqSS+rkEA//EfaY4e1Xz+84uItOtVr3rV6xSuu+7SDA6q2JFI9MY2ZqMbG6VhdeV5CUNbKglmK6W44YYyo6OKhx/W/NM/pdi50yMMxULOLYtXyzSsTfyiPU9w27lBiT5apH5A3ExLTLccQ6SCsmzY3S0XC7mcZXJS5Bd33eVx+eUBF18c8Ed/lGXfPs3SpdK833efxwc+kOHmm4ux93O96vVCVL2Rrtdxa24O7rrLo1IhWgJJFve0hrVrDVu3Gu67T2yGCoWEQXYenw89pOPlwfFxxfe/73PkiCCnhLDUPma1QX8mQ8x8OH2eWBkpZmZkXNnWJglcwr6I7KOtzdLZCQMDwowsWSIuGY89pimVhOHIZCxf/3qKW2/1yeeJ2QrPEyP+++7z2LNHsXFjncWoV73q9eKpW27xY1cOaaadI4ZM8S67LGTPHs1TT4lm2GF7uSy4PjCgKBQUjz6q6ey03Hqrz+7dOrIkXTiBdI2wmwYmNnMyBezuFu310aOyKC4+zSIHKRaT5r6z01IoaI4cEXzu6rI8+aSKZYXt7fCTn/j87GcSJrN8eRI21t1tGRzUfP/7HtdeW18SrNcLV/VGul7Hrdtv9xgY0BijFvgld3Ya/uAPyuzY4dPZKfGspRIMDIgdnhvpjYxo7rpL8f73Z+nuNjHIBoGKYslrNdbuY/f9sqAiTEVTk2V6WpxDtmwJWbNG9HHnnx/wN3+TYXAQQDEyopichFWrhJk+fFjYj2JRtNFiUyceqo88ohdo5Jwn6sGDmo0b66Bcr3rV68VRIyNw551+TRKglGDeRz9aZt8+zcSEpVAwrF1refJJj3KZKNjKMjQk/sl/+IdZzjgjxJjEN9rJ9qqb6WRSSORcJAFXbpG7UJCvrV1reNnLQmZnFeedJzrr++4Tt6OpKSFH1q6VlMSxMRUlNioyGbG1a2iwNDTAE0+oedPLpPbv10Ads+v1wlW9ka7XMcsY+Jd/SUVa6IXN7tq1lmuuCbn66pAggB/+0ONHP/Lp6rI8+qhHEEB7uzAgqZQEqTz4oMf555t4HHi8RRNjxE86mxW7o3xexQ2uJCB6HDxoOeMMw+c/n2F4WMeaPAlVgYMHFf8/e28eZtdVnvn+1trDGWuUSqV5sGyX5TGxzWBjY0aThgQCzeUhcEkM6ebm6dynAzRJOlyavk3HCUkIIQxhDiQBczHEQNLBbQZP2BhbeLZslazSrJrnU2faw1r3j2/vc06VJJtBMrK83+cpqc7Z09r7VK1697vf7/1e/vKYzZsNu3Zp9u7VbNpkW38MtBblo7N6Hdrkftu2rGlKhgwZnj34h3/wWtaK5QkYinze8Fu/FbVy9e+/X/Ptb7usW2fZudMlCOSp3+CgoViUOfvhh3UrxjP1RJ8odlTmc4lHbc/TYhupVml1id2+3bJ/v+bRRzVpZ920OH3fPrj66pi+PktXl+WWWzw2bTJ4XlvsyOUkv/94Bednn53N2RmeWWREOsMJcfSoTHrpo7q0qCUtLHFd1fK0eR685jUx114b88MfOnz+8zA2punubk9+naS1UJB4pba3WtBp6wCJkMvlpEgGaFWFp+kbS0uK++93WmQ8ncDT8aYpHq96lajXn/60Xlbdba10afQ8y+SkPDqMIlFDXvKSKCkqzJAhQ4bTH3EsXuFU+EjnbGtlWV+fFCKuXy+WiMsvN1x6acCePYq/+RvFnj3L5+y0P8DEhGLVKsuRI23PdYqVc3YuJ9a5mZm05bdKLCO21Yb8iSfSJ5aqNWenthBrxUv9ghdIQeGdd7q47vJ52PNgwwbJre7vF+V7dlaxbp05Yav1DBlOFU4Q8pUhQ0oyRb11nLYXLSXEvb32mMpvz5Oq7BPFx61bJ8WAO3bYVlEJyATqee18UNmXortblAyJrpPJWjp1yUTs+zKeVIFJvduSnSrHlIzomNe+NqS72zI11a5aHxtTXHCB4R//scHznmdaRZVve1vIn/3ZCbu9ZMiQIcNpCc+Tp3gpcQWZP4V8HpvzrDUMDMj3K+tV4lgKsEslGBqSrGaZs2WOT+fsrq52F9r+fpmPU2LcjhNVrYYqudzyVI/OfclxFa96VcSv/qphx46YsbG0dwFMTSl6eixf+lKN3/iNiGZT4lhf+tKIz3ymQbF4ii5shgwnQKZIZzgh1q+3nHWWZf9+S73ezgS1Vibk886TiXUlhoYMmzYZDhyQwj7fl6JFz7Ns2GB5xzsCHnjAwfc1u3Y5LC62J//+flFARkZkspXIvXab7ziWdaWLokzEuVy7S1cuJ7mhjYYUMvb3W/7bf2tSLMr7n/xkgw9/2OeRRxxcF17+8og//uOAvj742MeyhI4MGTI8e+E40o3vscd00kSl3fK7u9uwdq1h3bpjrQ+rVlkuuyxmZESxtKQol21CXIW0vv3tTaampM32/fc7TE1JJ8R0zvY8IcbpHJ0+QUybY3meCBdxbFtCR9qhEBRdXTaZs6FQULz3vU02bBBy/tGPNvnwh31uv90liuCiiwzvfW+T7dvhAx8I+MAHnqmrmyHD8ZER6QwnhOvCu94VMDqa46GHHIyRSbCry3LRRYY3vjE6brFHLgd/8Ach1iruuMMhjqVI8KyzDG97W8illxouvdTwH/6DVHG///2S3ywKshQHbt5sk9xSideTYsd2W2+lpMhQslFhzRrL3JxYTdK24qtWyUS7Zk17bENDls99rtmqTj9eBuvpDmvh8cc1d9/tUKvBpZcanv/849/UZMiQ4bmFN70p5LHHNN/9rkujIcq078MFF8icfbxsfJDuiEEAX/+6x9iYwvdh61bp2nr11QatZftGA/7yL32+/30HY6SwsF5XbNpkCAJpVJWS5SiSp5lpI5ZOIaRUkrl9bq6tYBeLcN11cM01bbLf1wfXXx8QRdL2PJd7Ri7jSYeuH8abvQ2nOU5UOpew/8VYr/+XPawMJwHKrnyWc3phK7B/ZmYpubM+vTAw0MXUVOWXPYyThhOdz9IS3Hqr5s47XeIYXvhCwzXXxKxd+9SfibXisz5wQFEqSRHI8fI9Z2fhf/0vlzvvdFAKXvnKiI0bLX/3dz4HDypGR3ViLZFc6Pl5eTxYLkuWdBzDpZfGXHNNTBhaHnjAYd06y+//fo4tWyrHJfvPNkxOKkZHy8zN1ZiYUNx+u0ujYZM/VKLSvO51EaWS2GeeDed8pv/+aK1YtaoMsA048Esa1jONrZzGczac+T93IMru/fdrbr7ZZXpacfHFhpe9LOacc8zTzg1zc7B7tzRh2bzZMjh47OfYbML3vudwyy0ulYriec+LeclLYj7xCY+9ezUHD+plTVWmp6XTbaFgcRwRSLZulTFt2CA+6Hze8vrXx7z5zUXm5p79n4+KKqx2RpifngBryE18GxUvgQ1BKYy/gcbG67BeFya3EfTpr+o8F353fp55OyPSvwCeCz9UzyTSH8V0ot+7Vwjjrbc6VCpiGTEGHnjASR4zWrq7La95TcQb3hCxdetyAvnLPp+ThR//WHPDDR75vM/iYsA990iudxiqVpGPUpbLLzcMDFg2brS8/e0hAwOn3+9MJ86UzydFRqSB03zOhjP/5+6ZxMo5e2JCnkLecYfDyIhm+3ZDuWzZtUtz4IDG9yVy9MorY377tyPOP98c81TwTPh8dP0AhQMfo+iF1BsR7sJOVDiHsqG0C7MWMMSlc4nL52GdLhob30FcPu+XPfSnxJnw2XTiZBHp0/8WKMNzBsfGGFnOPjvk7W8Pue8+h7vu0oSh4v3vD3jhCyXbtKvr+FmiZwoWFuCrX/UYGJAGBsPDMD+vaDYVhYJU1TebYncZG9P8yq9ETE4qPv95jz/+4+CERZ8ZMmTI8Iti5dw7OGh505si3vSmiN27Nbfe6jAzo7juuohrronJ5yVe79loqfupYQ35I18C5UBpA8YsopsTokYrH5w8ygRAhFM/RLjqFah4icKhv6N6zv/Aen2/7DPI8DPiTP5xznCGwHHgiitirrjiuRdrNDIij0hTX+DwsG55wONYqtXTbNe5OXlvzRrLkSOKQ4cUW7ceqwoePUrS6leywHfsMK2EkwwZMmQ4GTjvPMN55z33Mp1VMIVuTmAKmwBw6gdR8RIKC8TYuI6yIVZ5YJrocA7j96PCedzFRwhXXXPsToM5vMWdqHAek99A1HUJuCcwu2d4xpER6QwZTmN0Ksq1mmSzphmxaXRU2jDH95d3GJPK+TaRXlqCz3zG46abvFYl/ebNUvj5zncGzMwIKd+0ybZiqDJkyJAhw88A1dEvHYtTexKUBzYAlCjVNkTZCKu7gfakreKl5fuyMf7YNyge/CQqXgQ0cW4dcXkH9a3vBmVRcQ2TW4d1j1OAlOEZQUakM2Q4jXH22aIWz8+L6ixtzTmmE6PW8ljV90la/Uqr4C98wWdsTHPhhQatLd/7nkuhILmwkqOt2bkTHn44R39SQF4owHXXhc9JNSlDhgwZfhFYbzVxYStO4zDkBsEarFNCRSEWBdYAFouD8Xoxbk+SK2swukDxyf+Js/QYJr+RqHQu+Yl/ARtg/LWARYezUNtP+fHfx+TWIeRc0Rz8TcJVrzizvY6nKTIinSHDaYzduzWVCtx1l4vjQKUCPT221bVRKYkG9H1Yu9YyPi6NC7ZtM7z//XnCUPK7d+92WVhQDAxIy3YQRbrRgD17NKtWWS66SKwzCwvw4Q/7vOtdTXqSOX79entm+xozZMiQ4SRANw6KTWP+x7Bo0GEF45QBCzZGKYWNk5bruQ2oaA4V1YgLZ1Ea+TNUOAvax6nuITd2I8YfEN+0UoDCOiXc6m6s9oi6LgYnD3FA/sgXUdYQdl2EMk1MbhCcrDvNM4HsT2OGDKcIzSbccYfmnnscBgctv/VbET09T73N2Jjihz90OHJEUa1avv1tn6UlaYbT0yPFhdu2WTZvtuzbJy19m02JlfrRjxwuuSTmT/6kyQc/KKbqNLnDWsvRo4pKReIE+/oMq1dLrN7CgiIMDbffrqnXFfPzGmMsb397gVLJMjRkGBiA664LOPvs0zOJIUOGDBl+YViDs/Aw/tS/orRPY+2bMKWznnITFS7gzt2Nu7QLiyY/diM6nAITg1/AOGWs20Wz+1p0Yz/O0pPoqIl1S7hLj6KiOapn/3dyU99BhbNYf42Q5rgJ9cM49RFo5LBuDya3FhUtoprj4JbxZn8IWHQwiQVKCw9j3TxxcQibW0Vz8A2E/S/JVOpTjIxIZ8hwCrCwAO94R54HH3QAUXU/8QmfT32qwdVXH2uZqFTgxhtdvvxlH8+zbNpkuPVWl2ZT0dcnavDiIjiOSqLvLHEsEXjbt1tKJUsUWZ58UvO1r3lMTmrKZSG9k5OKgwcVcSyTaa0GtZrm6NHUZ22pVBTDww5xrCgW5fWqVdKxbGxMMzAQ86lP+XzgA82nvRnIkCFDhmcdTERx+L+SH78RZSMA8gc/SW3bf6Gx7V3HWT/Em/4+xf1/jTJ14vwW3MUH0MEEOD1YpwBxiDZ1YqcbZaqgcuhoAVNYj/UGEKvGFMVDn4FoXhRkpVBRBae6BwikL6VtoMIGOpwA2QoVN3Bqe1EmwLoldFzDKh+TX4vTPExQ2ERu9AZMbi1xecczdRWfk8jCsTJkOAX4u7/zePBBh3IZenulO1ejoXj3u/MEwfJ1q1X46Ed9vvKVdnTGww87NBppa11pQNPVJW3Po8hy/fVNlII1awzz87B3r+bwYSlEvO02yZmuVhXVKoyPK6xVxxUlrIU4FnJurfimazVFGCoaDUkLqVREEQ8CxSOPOKf4ymXIkCHDMw939ofkx74GysO6fVi3D7RP6cBH0JXdy1e2lvzRL1E8+DFUtIDVOZzaHnQwDbhSWKgccIuAQkWL1La9B1PYhPV6UNbg1J8UtdlanOoTYI0UG5omun4IbITi+POtwoANUHEIxKiojjINlA0BBTZGRwtYt4w3c/spvW4ZMkU6Q4ZTgptvdnFdaWmeoqsLZmcV992nueqqtir9wAMO4+MaaxXd3aIia91udhCGy/dtraKrSxI8Rkc1cZySZEWjIa3Vi0XL4qJCa421FmNkf2niRyeUEjK9tJTuX9arVhVzc5DL2WS55cYbXW65xWHbNsu110Zs2pRZPTJkyPDsR378aygMVufbb+o8NqyTH/sata7/3n67cRB34X4JRXK7QfugC0AMeEgSR4xolS7KLGFy61HNGVQwgyICm/xtME2UCSFuoMNpdP0wYJO4PAMsT18ieaUwQD35Q2HkWDZCN0axXi/YCGyMN3sHTuMAxltNsPpa4q6LM6vHScZJI9JDQ0MvAX4A/MHw8PAnkvcGgX9Cul3VgXcODw/fe7KOmSHD6Qohq8snK2s7Y+naePJJTakkNoookmYFpZKkdMQxre6FUSRfL3lJyP33a5aWRDUuFGQda2FpSSbd7dst1ioWFoR4g+wvnT9TMn08Yl0sChnXWoj/2rWWeh127XK47LKYXA6eeELz2GM+r3tdxOHDmjiGyy6LOf98g5OJ1hkyZHgW4kSygLXLHyPqxpjQW7eECqqALwRcOZLKYZOdmQhl64SlyyVPOphCmRroYpJtalFxAwixzjoMA+hwEjAJWdYIGTe0YvJQHX9ZkhoYvGRyNyhTw9oCVhfw5+4mym/G6jw6mKRw6JMEq1+NsgEqnCUun0/Y8zxwCifpCj43cVKsHUNDQ13AXwA3r1j058Cdw8PD5wK/D3x5aGgouxXKcMbjla+MCMPlJLVWg3LZcvnlyxvLrFljCALYtMkwNiaNVKamJNM5DC2eZwkCIdHbt8dMT2v+/u89ajWFMYpaTVTrRkPItOPA4qLGcWgp3EBLle4cU5pHnUJrsXakE3SjAX19lgcecNi0ybB5syjd8/OKe+7RvPvdOf7t3xwefFDzqU/5fPWrbktJz5AhQ4ZnCxpr3ygE1XQ8AowboFzC1f9u2brW7RaenN+ECufR9YPoxqFkaYSowyHYJrG3irh0LoWDH8NN1lGmCqYJcQOF2DEUMZoY6/TSpmap2mw7SL7t+ALQKAJQ8lrFDSyS+mF1nrj7ElRcRwczOJUnKe1+D7nDX8JZfJjc6Fco7v8IxCvyVDP8TDhZivRHgL8Cfn3F+29C1GiGh4fvGhoaagKXAztP0nEzZDitEEWi1m7fbhkYsExOqlZTFd+HP/qjgN7e5ds8//mGm2+GkRHxIk9OaqJI9Ih8XtRg37e88Y2QzxtmZhTr11t6eixKiYe52VyuNlcq8n0QpKqzTfzQ0FbK5RipUu444DhS2Oh5kjVdq8nyVasMl1xiuO8+h8lJxeSkolZTOI5ldFSzsGB5/vNjfvxjhyuvjDnrrIxNZ8iQ4VkAa9H1fehwgbDrEryFB7BKy1M+HJqDv0nUd+WyTeLSuRh/AG/uh2BBN2eARrLUByJJ3Rh8GfX85XjVXZj8Zoz7OMrthaiCskHLwAGgmjNYJ4eyDcBBVOk2YU7ljeVKpINVrqyvHGKvDx0vobSDdfKE5Ytxl3aj6/vRwRQqWgRrscE42iwSdj8P3TiEN3cP4eqXn6ILfObjFybSQ0ND/w7oGR4e/sbQ0NCvd7y/ClDDw8PTHasfAjaREekMZyDCED7/eY/HHhM1eMsWg9aKctmybp3lsstizjnHsH+/4ic/cRgdVWzfbnjRi2IuuMDw+OM6sUVYurognxe7x+CgYXFR8R//I1x/vWL1aovjSCtw6UZoUard8dAYxeKikGFjZNr1fZUssxiznOQqJXYO3ychzZbpaUWpZNmyxeC6sHOnw6OPOq1W4s1mW9menlY0m5aHHtIsLcH73pfjsstiduwwdHdbenvJ2pBnyJDhtIQ/9W/4k/8KaKzbTVzYJM1ScoNEXRcT9V2Nbh7GqezCrT6B8QcJ+19M2P9S/Nk7sI4PKgZVxCZ50aawFRVOwab/A2d0J1bnwMaYwhZ04wgKeSqpEsIsGdM1iMKEKBvAEcsGTRkby59kWlUQDzcG6w+gwhnQPsbbTFTcgj97J+78fShcjM6j4irYGFDo5iSWAdz5+7BuN8WR/0k4cztR14WY3FrQPlHXRVkb8p8ST0ukh4aGHgA2n2gx8CHglSdzUCuxatXp+2EODJxZbTmz8/n5cc89sHcvbNoEd90ltghjYN++NLoOfvhDmJ2Fyy6D/n55/eCDomS/+tXwgx9Iike5LKS22YRiUTM/D3/91/Dwwz6lknQ33LIFHnkkaYpl2x7s9PvU5wyiSksyR9svHSfzsqR1KDxPCiLHx9ve7EoFDh6U9avV9vq+L+tYKwWJi4uaAwck5WNhAfbu9VhaknEWizLWD34Qrlwu7GQ/b2coTuc5G868zyk7n58TtVFYvAV6N8PMTginxVVRPwTxHLgONB+FfaPQcz4UN0FzFEbvg9JZsOYFUJ+AYBL8JBc0buC4BmwO9v8Dpea0WEScPJS2sNyaEZFqzaJNJ23EAZSbxPBZpHDRTdZPFtsYbBP8PghnIKrieCXwV+PN3iErWfH7abMobcrTAkizBI1AtscFvw9/+l9g/AbZzusGvxfO+wPY8hbQ7cKX7GftWDwtkR4eHr70RMuGhoauAtYB9w0NDQGsBn5jaGiof3h4+INDQ0MMDQ2t7lClNwOHf9ZBzswsHaOinQ4YGOhiaqryyx7GSUN2Pr8YbrvNw3U1Dz+smZoS+0OjIYpxtQpHjtAitmB46UtjikXF9DRMTACkzVE0UUSSEw2VimFqSjM8rNmwIWJmRiLw7rpLJ+RZJl5rJS5PayHg6Xu+35kC0rZzpMWNNjE1KyXZ1I2GEPADB8Qaks9LQWNK0EG1LCOp5zol5WEIi4u2Fd3nutDXZzh4EH7v9+Cccwz5PGzfbujvzzMy0uTCC2Ne+tKYvr5n5nM6VVj586a1Ou0J5anC6TpnQzbPne54Js/Hm3uEfCOAxiHcymFUMI2Ol7BE4huemgByoCJMc4Hm4AaULkpE3ehdKBNAXMU1IYRRUhkeYpp1VHMa1zSpFy/EC/dhlIeefgAV1VCohDhbwBHF2tQSCm0BD6t8lG122DnaiSCpOm2tizEKHdUkxaMxBfVRUC7W6UZZDSQqtw0gidOzxJJNnXxv4yYqrEo7c7eE0d3QrMPDf0Y8cpN4rQtnUe7fSHV6D3F+A+HAr2EKW56Rz+lU4Xg/az/PvP0LWTuGh4fvAtakr4eGhr4E/CRN7QC+Dvwe8KcJ6S4A9/8ix8yQ4adFEMDBg4pcDgYH7SlP/CkWhUA+8YRieloYs5DPZBqM2xaM4WHN4qKiuxsaDdvqJggSQ5eqv64Lu3bpJJFDChbTBipLS6lyLBYOpdLUD7FpBIEcu9lMCXbb6pEWGaYKNsDioqJSkWVat8l5syljTEl0+r5kXLfPP/VZ12pyXGNkDCMjOmkiA0ePapSyLCy4SVtzl5tvdvnmNw0f+Uiz1YkxQ4YMz0FYA9XD6PoiJr9BUjBO5eGUh7VWfMSNw6LyttRhi0UBIVgHHUySH///MP4gEKObU6TChDJNMOPJXh2ceK8QV6+AW9+PxUWHFVQwnxQXQtvtbFAmxOJhiZNYuxBlOgsMoa1Mt/+QKRo4QVX2gWqRb2wI0QztgsTOCD27zGetlCvKtDXyNzKu4NQPiNvENnDqRzFuCT+8CQ4ocv4gVvvkx/+ZpaEPEfecUGt9zuBU50j/VySp43eQ+Lu3DQ8PH9vWLUOGk4xHHtHcdBPMzflYC1u3Gq67LqS//+ff59KSNDv5yU8c8nm4+uqYK66IW3Fv558f8+lP+8zMiKKcxtalsFa1igitlVzmNWssIyOaKJJOgnNz6phUDdeVFuCNBgwMSAHg1q2GyUkHrSXjuV5PjyFkulyGKFIJYbbHpHVAW0UGKWZsNtP1pUFLqp6nUX6O007+AJY1lknXjWMh4WEopNr35TrU65JVrbUlijTGCEFfWoKtWy27d2s+9zmP971vRbeaDBkyPCegG6PkD38O9CzFeoj1umls/F3i0jk//05NhDd3N97s7WADop7nE6x6ecv7GxfPwanvR9f2oWxqq2hPjAqbqL9GMqbjWtJ8ZR86XiR2+9FxVZZhWoqytVoi7myI1UVUXCUqbccN54AIrAN2qYOwh1iKSbBd0EF121aO5IRa31lFojinWdMGZdKCx3aBYjs+j2XnJkuleQtWtbOtlYfFRcULCel3UbFJloMK5zBd56PCWUp7/5TFS//5OZ9LfVKJ9PDw8HUrXo8DrziZx8jw3EO1KlnLYQhnnWVZteqpVcvxccUXvuCxYYOoxNaKEvqFL/i8973Bz/U732zCxz/uMzoqxX61Gtxwg8uRI4o3v1kmu337HNauNRw5klof1DLFF4SkpmpwtarYv1/2rbVlakon68hXT480UvG8dH1YvVrI6uHDokh7XkeiaHKclNQWi5Yoki6F0cr5uAOiZKuWTzod30ri3XkMx7FJy/GkNUCyruvSeg/SZi5tAu66QsAdR14vLCji2NLba7n9dof3ve8pPgSb/MF4jk/aGTKc9jAhTnUPKq5gcusw+c1P/XtrQgoHPwGmAb2bMTRR0SKFg5+kes7/wHo9P9cwcmM34M/eifEHsMolN3UzbuUxamf9IWgfp3EQ4/WjnSJNKgc0AAAgAElEQVTWSEcqtYx4yjsqea1MgGocRcULWEBHM0CbtlpdwioXpSQ1g1g6DlrtoWsjsr4Fq9I5sp0NjXaTfXkSjUfEU810ymoUTSwO7bi89rjbJDrNmnagVeSYjtq21rGJqo1KGw4kRZCJV1slx1C2gYqXsN4qnPo+VOMotrDx+INM/2Cc4XN21tkww2mNPXtEqWw2SdpcW17/+oiXvnR5BXOjIYS0uxt+8hP5hS+VhHxKK23L4cOKo0cVGzf+dPaBSgW++lWXO+5waDaFjL7gBe2GI6WS5e67XV7+8piBAcuDD2ouvtgQhvDIIw5BYNFatZRbpYTkpuTaGFGXlVLHKMbWyrJy2WCMdDxcWJCGK5OTci2shXr92AlKKSGskiltj2kAsxKS9qHwPCHeJ8qBThXstBNi8ipRvOV1qsSLKiM3C45jlxVCpvtJPdZR1PZZLy2Jmr5sfPXD5CZuwll6Aut2Eay+lnDVy075Y98MGTL87FDBDIWDH0M3J1qGgqjneTQ2/E6LLAJgQlRcxTplnNqTonQWNrUWW7cbFS7gLD1G1Pein+7gNsaf+FdyEzehokVUtEDU+yKsWwQgLmzGqR/ErTxK1HMZzuLDmNw6wr4i/uxt0qLbKiQpI6WgmrY1wuJEcwkRFbJpW8KBRZmqtBa3Bqs8oIaKq6g4QJlKslWQqN/Lrpp0RzQWq3No83S5znI8SfUIO4jxSpjWErUi9WP5OkGyngITJOkeqdKtkqLHtHuiWFmsI0RbN6eIVxBpFS7gT/4L3vy9oBRh34toDvz6GZsCkhHpDKcNJGlCSKDjCDH+whc8CgXLwACAJQzhm990Ofdcw4YNlmYTvv1tl9tuc4hjxaZNptUlsBOpyttInnwtLIjKvX+/plCwXHqpYf369kRUqcA735ln717pOjg7q6hWFVGkuPrquEWKHUeyogcGLIWCjPn88w2zs5qpKVpFg3Es51UsCvnN50Wp7e21jI2pZUQz/T+KRLHVWrWU6VzOEoYaxzmx5zslwnF8fKK9EqniDce2I0/2SKcvbznRtskNTrtoMZeDdeskom9+Xrokpn7pSqW9H7GBiDd7elqxfr3h/e/P8eIXx7z2tRGOA4/ev8DOf32ERng+z7t4kCsuHKY4fiMqqhCsfcPTnluGDBlOMYw0FElJcn70BnQwiykkYV/W4s3/mKg8RNR3lbyevQ1//CYh0l4vcWnHyomlBRUlBSNxHae2F6f6JMpGRF2XEJfObU9e1lAc/n/ITX0Hq/OiHgeT6LBCsOY1WCcnqykfXT8EPZeBU0LZCFPcTFzfhm4eFXtEbFE2BDToEtY2QWms8rHKQ0cLYnVgZa4zQuBRaCxohXH7cIM9WOUmqvDybWyHmmyVRseLHJ8Ud8LFagdlUs/08seHK/OmV47x2L1rjLcB63ejg1mIqxjroamgbCepT4ocTYRb3YPROQpHPk28cDGNDW/Dut3opT2U9vwJTjBBnN9KnN+EP3M7un6I+rb3gjopfQBPK2REOsMvHVEE3/qWw1e+4jE3p9iwwfLmN4ds2ybNSFataq+bkr5HH9WsXx/z2c+63HST3yKghw6JKl0uW7ZubW/XbLY9ux/7mMfNN7vMzCj6+y1btxpuuUXxO78TcPnlMiF9+9suIyOadevahDUIYN8+zQUXGFatajc46e2Vaemaa2JuuMFl0ybLVVdFPPmkZt8+zdlnW8LQJt5hhdaGo0c1tZoozO00DIG17dbd1oqveGkJenpgdFQl0XWJUrDCOuI4aYtxy9GjP92EFYbtQhTPk6JIoFWYCCxTnZejXXwo60nCR60mPmkpVFzux+6EtTAxITciL36xwVr44hc97r1XbDJ7H27S769C+wW+8p2tPDS8gf/7TXfgz/yAYPW1Z6zCkSHDaQ1rcefvoXDwEzi1/Rivn+bgbxCueR3O0i5Mvq0soxTG68ebu5uo7yrcme9THPkzVFxPbAQOTnUEq3worAdyyTGkLXac30Du6JfJjf8zTn0/VheIS+fgzfyAsP8lNNe9GZTCqTyGP30Lxl8D2gPTQEUVdDCJru0j7tqR7DfE5AYBCHufjzfzffm+/yqc6l6c6m7IrcMqafmtTB2r8ziNw6hoHqX8xOrRSV6lMFFm5UiU3XgJKKIbh4Us2xhtIyy61WilrWw7WF3ACcZ5ehIt3m2xf6SvXNI24u12W7plSTl2+86RA6qEIkY1J5LCyWZHUeSxcJoTGLeXsP8arLcGb/q7uHN3E/a+BH/2FnRtH9brw6nvx2mOEvReiVvbj1Mb+cU876cpMiKd4ZeK8XHFX/yFz/e/79LTYymXLYcOaf72b31e97rohKrr2JjiPe/x+cY3PECsD+vXi293bEyxY0fM/v3gOGLJCEN44xsj/v7vPQ4f1szMKIJAsW8fjI87XHVVzFe/6nHBBU0KBbjvPqdVKAdCzD1PUa3C1JSip8cyPq44//y2kn3FFTHj44o773RQSlTZV70q5K1vDfnmN13uusulWDQ8+KCmWLTk80I0U+LZjqgTpNaMXE481FJQKMduNI4l0Z3bzc0ljxrVia0awAolWW4OSiUh8UtLbbuJqOInItOtveE4okTPzJD4sjvJuJD81PrhugrXtWzbZrngAsPBg4p9+zSeB3fe6RBFDleeO0b/QBNcRU+5wa59gzxxcD2XbBpDRwuYjEhnyPCMQkWL+Ef+geKhT4HyMN4qdDhH4fDf4zQOH39SUqCiJfL7P0Jp/0fEC61LxIUNWOXhBONE+Y3oxhHQ3aggQkU1wr4X4c/9CG/+Hpz6ETAhOlxABxMEvVfizdxG2PtCTHEb7sL9YnjQ8jjS6rxYOsI5nMYh4vIQOpjCej1E3ZcAYAqbaWz4bfJjXwUbY71egrVvoL7593CqT1I4+o+Jv/kgVuew3iDK1rDKRccLx54kJiHKOcleNqF0MHR6UDbCKqeVDNKGkGodL7YagT/dc0SbtA43FMSy4RQSy0WFdoGiiyXs6J14vP2AdEd0IJxF0VhxbNX2YCuLUj4GD9wS4aqXoEwdd/oWUBplApzKEzK/a1+ul86hokWcxkFR/8MZICPSGTKcNNx2m8MXv+ixc6eT+GRVkjssfuCf/ETT2ys+51JJtokisV387//t8uijupUOMTenqFQk+UIpS3+/5Z3vhNtvN5TLlqGhmKNHNaOjitlZ+QJRdmdn4a67HC6+2HD4sObccw19fXaZZ9lxYP16w4EDukWmL7wwplCAD33Ip163BIFm/XrDW94SEkVi47j4YukM+OY3R1x0keG733V54gl40YtiHnvMYWRE0Wy2I+m0FrIZRUKi83no7ZUixWYT5uZkv9Xq8YsBpbBPtfKhczkh02mqh6Dt6YO27SWKFMWiWDPm59WyuDylbIdKfqJP1BJF0nDGmPaNQfq0QOwwlnJZVOrublHDlbI8+KDD+Lhsk3Z0LBZherGXtb1T5LvzKAW+F7P3UC+XbFYY71kePJ0hw7MMuvokxX0fwZu9HR1XxM+rNCY3iIoquIuPEHVdhA6mMLkkGdeKj5a4Tq7yKMRVIWtxBXdpD8brwzoFVLhE7dw/p2AeIVqsEJUvBKBw8JOAgw4mRPe1MZYYf+5HROXzcKrDmOI2rL+KdgFdMqfm1qHjupTUNY8SF84izm2guP9johjHdazXS2PNvwflgTJE3b8KbpnIH6CaW4c3eyf5pccJe6/AaYziLj0McRVatFejcBMlWgMeeP0ymWuDakyCcsGGydiWT9wtdTsGm/iQrdOD6iDqK2bs5F0HTYTVLlYX0dFca5msGyHdEeOnJNMQo8xCx547iy213B64XeKd9ssQR1ivB7fyMDqYFr+0zouooaRwUUWLcg2QGxrdnCQubsN6q5/qx+tZi4xIZ3jGYQx861suf/VXPlEkucOFgtgKpqYUxaKQtnpd8bu/G3LjjS4PPaSZnRUFc/Nmw+7dksNsTDsxIoqkXbXjwKFDmksvhc2bQ/75n12+8AWfyUlRPJeWaDUMkRQNiZ177DGF78uE8/rXR9x6q5tkPYtSu7iouPjimE9/usHcnOKTn/QJQ7j/fs3YmEZr6O62fPazPuecE7N5s6VUgre+NWTDBsO55xo8L+LAAcWuXQ5Hjyq6kqZKUkwp1yYtvgMhtemyNI2jUIDzzjM8+KCTbLPSEddW0hsNuR4pCV4JsY6kRZCWxUXxj4NYUcQHLv9LdN+JobXC2vYNyMriSa3lxqVWk3UqSQ7+8LCmXBbrTLks6nxXl9wQzYdbqNYPUCguYZ0iUWhYnT9Ac/WvgVN8+h+2DBkynBQ4Cw9Qfvzd6HhRUiusA8oXT7BbxmofHc4T9l+DP3Mr7vy96OYEAHF+C059H7o5eUzRmw6nMVFBmmXnBmD9OzC7/43C6D+hwgXcyiNYY8DUUcoBq1HWgq3gVh5rTTRh34swubWoYAbr94tCG1ew/moqF34O4/VTPPhxcrU7UbUDuEuPorAYt4fC6D8Re2uIuy+G8RtpDvwGUe8LMLk1BGvfgDd/D7oxjlvdhVUFlIqwNkjOxSAqcFLYp6QDoYrTmDuwyiHufQHezO2QpGccO2un86tBxRU4Jj2knbYhphANaJRpoMxYsly330cnxFx17Jtj9meT47THsvIPhUGZmlhtwoqkhVRHwOuR9A5dAhOhwzmMvxbjFHCiJXli6JQltUR5xKUdxMWzjjuOZzsyIp3hGcfXvubyt3/rMz2t8H0hc9WqbbWtnp1VxLEkbVx4Ycx3v+swM2MZGLAEgeW++xzm51VHckQbEiUH992nueYa2Lo1x8KC4rzzDKUSjI2JnSTNRhb/riRrHD2q+ehHPT74wYBLLjG85z1NPvMZn/FxOc4558Rcf31AXx98+csuUSTK7cSEplAQ0jo5KWR83z7NpZdGHDmieOtb84ShHGP9eunsNz6uyOfb5Pj4Kq+o5Z15zp4H+/crurvlpqJUIvEiS+JGiuUWkVR5TosaU7uHrJ8WGLquaq2b+q9TK0YaiWeXDbTtxgPVSv9YmXjUWfyYkmmlpEX64qIQ6Hpd1On5eSnazOUs3d2WqakCE+teRJ+/m4XpJQr5iB3XXEk48PwT/nxlyJDh5MJZeJCuJ96VeJR9lDFgA2zsgHbQwbSokk6JuHgOcW1E/LDFc7CAu/QITv3ICXy3McpWoWHoue9aGHwRhcoYUWkHeKtxaiOo8AjYSKLYCJAmKUAcUDzwl1TK52JKZ7N07p9T2vv/4tQPAwbjraJ69gcw5XPxpm5BNw5j3G5y1cdBF8CGOME0Vrk4JiTSlwMupd1/iIrr4o/2+ohzG3DrI0KSdQ5sAxU3W2cgM2BCS20VwhptAqtR4QzOwkNJwWJJgkFMiLKdjwrtcb5TLTW5k3irln3DQYi1bW1pcVvKsByovXT5jA1Cup0VXupO4h0L0TZxYuUuIs1lGhBV5bOLF6UI01+NyQ+i60eIc+sxhY041f0o26Sx8W00Nv7OGVloCBmRzvAMII5Fddy3Twjyxz/uA1L4l+YWh6FiZoZWBz/fh40bFZ/+tM/8vOK88yy33qoZG3OoVk9cvCYQgnzwIDz+uMuaNdL4ZNUqy9q1lv37JXIuLYJLCaN4c13+9E/hQx8KeP3rY669ts6BA2J52LpVUkNuusnlhhs8cjkp/ms2odkUciikVDE3B/v2KXbudJibk2SQYrHd2a/RgK4uOX7apCXF8kYoy2PzwlCu0fR0u4V42iglRTvrWTKaU19zm1BzjNc5tV4IIbYtS0ZqzyiVZMzG0OqimLYcV0osOUKS6TjGys9FlOhcTiwvpZLL/feLxUPGK0kmvi/WjvPPNzzwgIPRZQ5WLmP9Nstb3hJS3PzTxRdmyJDh54S16MZBnKXHwULx4MdR0QJW+xLTpmqSahHPoWIlhW3axxbPIn/ki+hgjKjrYtz5e/CWnpDCwqcoXhOyGKGbkzD6HRzrYp0Scekc4tJ5ePWjQu9MSBrVJvRFoZsTlJ/4L1Qu+RJxz6+y+KvfQNdGUDYmLp0HSuPN3Elx/4fR4byoqnEDRUOUXZv4iKMQZ2kPOpjAaY7KqNxeVDiPG86i4ibWLYGpi81hWWazKMRi70jPx4XkvJRpSOSdNa2xHxtHFyPEOC0aVB0U+fiFg2mBIx3/SyyoxTpFIevGJMdarmdbkiIgmyRxdKzR3j+kMXhx6Tx079moyR/LdbEBlqKo1drDOgXi/FZUtIR1u7FuF2H/lTTXvpGo98wWPjIineGUIgjg85/32LVLCPDu3eJT3rat7blNSWL6q5ySwpkZxbe+5bJtm2HPHsXYmCi/Txfplqqo+TzUakJ+H39c8+IXx/zKr8SMj0v0XdowJW2tba1ifh5uucXlta+NuOoqUbEvuKA9sdx0k8tddzn09trEliJFi6manp4zwK23ui0y6rry1dUlEXCuC2EoKnIux7KGKeKPbr9OuxumKrqoubbVMrxTiU6RJoqkJLzzxqMzjaNz/c7ou5RIN5vpjY68PzAgNzrpOabHLhZFSa5UpCDzeCR6zRrL0pKkslxxRcxdd7n09kq0YE+PPHEYH9fMzUk8YBQprr++ydCQIQwlYeUMz/XPkOGXD2vxJ/8Ff+pmVFxD1w/gLA1j8utQyhdlGJIiNNO2D5gIFdbxp2+R+LlwHnfp8UTBjRIyfSKIso3SoHOoqIlT3YPJbyIuboeeOfy5O+U41kWUVBmHDhZQ8YP4o1+lueU/gfYw5fNae3bn7yM/+k9YtwcbVaUToW0g5DTxVCe5zu7CThkHSjzTOvmKKnITYWIUzVYr7pSUtklwqucmqUq0exQad5UkicSLKILj+pYVcYdtIyW8HHddWb9TmY4Rdb8hx46kQ6J1umVfcS3Zp5B9dB7r5FDWQDTL8RRx4/RKcaRTIFj9CrzgSYxbFhVf54gLZ0kqSjAl1htTp7Hp/6I58Gui5rt9y7PDz1Cc+WeY4ZeKnTsdHntMMz8v6m1aJLd/v2L9esv0tGqlU6QEWny0MDIiRG1qSsif47QV2aeC+IalS19Xl9gGqlWxVvi++IuDQHzUK0loECgWFuCzn/XZsaO5rItipQL33OOwYYNFKcMPfuDiOLY15uVQ1OsyBXoerVzrlNj29Mi55/MpQV6uSqfE1/dTD7RYMlKlNwjkmKmKm573iivRyniWAsanZ6FKiZKdfhbW2taNThyLt7zTqpGq0gsLUtB43IJ9JRGG27fL04C5OcnHTjsdOo581Wrijy+XLddf3+CCC6TYMj2XDBkynHroxmHJYrYRTm2vNBQBnMYRjL8OFTclgaLVNU/UUotBNw+RNu6w9X0oEwoBtSdWo1MoEwrp83oglPQPFVewTl7sIo0jOPWDiCKdEshEUY5D8mNfI+p/MXHXhcv260/djPFXg78KZ2k3WJNKNsuPD0CAMslNglPqWOhgnDJONCfLtNsWl1tI1QpProtykmNpUYStSRqxuMn47YpZPx2HXFOLg3rKZiud27R91224QIgyIaik4ttGrX0pswjGlULIFVtK/kgZWx7CBnM4wZgUECYFk5LI4cjno/MYfzW17e8nXP0KrNebjPi5k6iUEekMpxQ7d2qsFRLteW1fcxAopqfTLnZt20Ca8JCqr1qL99j3xf4hKuvxpp82ogg8T5TOclmK5ubn4Xvfc6hWJdnjggsM09OKhQXVYWuQfSslnuN779W8+tUx1sITT0iHxR/8wKW727Bxo02aqqhl5HI52mkcaafBMJTXg4PiAT58WNNsHmfLFmG2LStG+p61thWXlxJeUcRtYvNQywoQn6o9+EpovVyZFq+ykPD5ecm9LhRkWUqiZRzSeGXl+Dv3C/K5NBrwjW+4SZMWxVlnGS67zLCwIDcxF10U861veXznO3D11TFXXRUnrcczZMhwquEs7caaGLc6jFW5JNFB0jJ0OEXsDwohNE0hhy2HsNgYhGDHCWkzqHARnqboTZRtV2LxTAPrdIuiPffjpKAtT9jzfFRcadku6FBurRJC6E/+G/WESOvGUfyjXyU3egPoPHFhE1Z7KJ2HuAbH6fTX9iDHGJWoHyZE2RDrrSfMrcGtH0IlqR3L/wqlxYHJBJrUoagkEk9SOFLrhm3diAjRVljSWDyFIj6O9eNEcOm0zVg8cEpYp4QOxsHWgMIxZyq2kAhro9ZRO8m90kbi+rSPxSM3fhMQ45gGxltF2PcylKmhwjni/AbcpcfwFn9C2H054cC1WLf7pxz/sx9npvM7w2mDXE4sGmEo7blFHRUSKLYBIYq5XFtxTUltqtT6vhA6IWvtxiptO0j7K58H1xWPbRCI8tnTY6hWZRwgEWwPPeRw8cWyb3mvfcxSCTxPtRqafO97Dn/0RznuvtshCGB2VvP445paTUhgqUO4WAmxaEic38yMFA82GnJjMTamWLfOLiv8S9EmoQprVeKllnfiWHzZ9Xq7uC+1pojq3C48eTqkCvmxx5Xtg0CxtKRaqrfnScFnGq8HkjzS20uL7Cq1vFuiUmJDmZkRH3ytJoWSq1bB6tXSGfLwYUVvr8QWTkxIlnQUwde/7nLDDRmLzpDhGYP2JTXChjjNo9KgQ3lIe+hIPLBKY1QueR+Wl7EJmbNOF2CSDoEKq3KI0pp+pVvkpJ22clEWqI8T59aiTA0dTIqq6xTx5u4mLmzH6FTpTK0ZMagCVnsJyZabgdIT76Ew9k8oDCqax116Ah3MEBc3YNzVJzRLpONT0SIqmEGF09i4jg4mcOsHiP1+sXYcs21nO+7UdhEmezUo20TZWvK+lWuDTewc6d+wE9s4OsdIx/VLiXm6RBGi4hrKBMhNRg6IwIZYTMLvc1hvNVZ5HZ+cixQekhQYRqjmNLpxGBIrDF4fsbdOMqOXHkl80RvQcQVlAqzy8Wd+QGH/30DceJrzOHOQEekMpxRXXhnTbAqBCkPxN1srnlrflxSL7dsNjtNWMTsL58RqAFddZVi9WoicKNcWz0ublbTJ2+rVhlxOSHQQiKVjZMShtxfWr5eCv7ExicBbWIDNmw2FgsVxZH89PZJjHQSwdathfl580ZWKYu1aafoiRX+KKJKmLIODBq3tsjGnxXflsmVw0CaRdnLOAwOyj8VFxZ49epmS28by/R1/uV1BhOW91AOt9VNbOdJCws7rvfzaC4mv1+VaKSWEOY2mk33Ylmc9fS9Vqvv6bCveT3zoMrZSSewjaVFpb69l3TrpZul5sHmz3BCVSvL9zp0OY2OZOTpDhmcCUdeFQmrDBVTiewWD1T5WF8EpEPZeidI52gR6eZc/pTRxaYg4f5Zsp1RiB3ER60NKBh2M0yMRajYG24Q4xF16HKvymNwGrNONDufQ0Sy6OY7Jb5RtlJMQ9qJ0M7SWOL8FrCE3+hWc5ijWW43JbxTCbyNQCqdxJMmc9jpnWdLiRfCIc5uwqRVCeVivD+uUUXEVt7YXbVY2Y2nP2Krj35XL2+s4K9ZIiwEdnhrtduLt7Zd7m1sWlWhS3lFFYn8NprWtfBbKNAG/Y7QW43Zjnb72cdJroAvidTZVNBHGW411SlS3vgtlI+Li2Vi3DE4eU9iEbo7hLj70NOdy5iCTejKcUlx8seEVrwjYuTPfIniioCrWrjVcdJHl3HNjPvYxL0njWD69RJHkDt97r8PGjTEXXGCZn1fs3atpNMTLWyjIPXSzKTnSAwNSYBgEEq+W5kuPjYktQVpuK8bGhKiFoRTJWSvEdGxMEceaH/3IwRhJ5EiJfk+PqN0TE6Kel0oW113evKWz5Xe5bLnsMoPnCZkeHpauh4cPq2VRccciJafH2iQ6l+dyaROW9nsgqn8nqT4WT9elcLkqrpRl9Wo5z2JRihwl81qeLlQqatk1ACHJvi8e7te8JmRiwmFmhmVPAdKc7oUFue4rLRzpjcLUlKj3GTJkOLWw/mrqm/8T3Q/+e5RJVUULOFg3R9j9PJrr34RT3YMTLx6zvcJgbV2asxS2YLsuIXJ7yc3/GGwgSqnyQfmiOpsFjNsnufAmgHgxSbmIIZoVtdrJo+Im2o4Tly/CEqLCBayNscpBB6Mos4Sp7sab+i66OY6yBuPIZGMKm1HBlKikTg7j9eMQryCjCQ3VHnHPJURorNuHt3Av4KKDMZRt50Ufe94rXx1/vhKFWCUdDju31UkB5/EtHW0SvnK/tvVvSojToxunH6UduTHSPli/rS7HtY40j+T8lYd1PJQNiUpDxIVN+OGs3IgoBxxfov2cIjqq4ATTyR+pFZqs9nHqB4n6XnjccznTkCnSGU4ZokgI0OCgKNDpL2vqqR0fVzSblo0bDb4vSnCqanbCWmk5/dBDDvv3a7ZssZx/vkxmksVsCUMhpo0GHDmimZzUzM7KGKR4UR56VSqKel1jrbxXLgvRq9eFDC4twQUXGF75yphmE2680W0V1aXEsliUKL2hIcOrXx0xOem0ovzStA2lxEO9dq3l5S+PmJhQ3Huvw759mief1FSr6hjl/XhYqRin63YS7DBsq+Cpx3t5Gsoxe03GatHaJgWTckOwcjxay3lpLZaMalUxM6Po7pZzTW0l7cJDQRAoJibEg75li2HHDovnSYZ2mviRHqNWU1xyiWFgwC7zc9frcgNUq8kNTIYMGU4xrEUFM6AUxlvTYZpLO9Yt4DZGiItnC1H1BxPydyyUWcSrPoJT24N2PIJVLxcSqHMSoWclQk7ZJjocRwUTqGhKVGkiSZ+wFm3q6KgCNpYuekm2sY1rqLiCDhcw+Q0Ea16Nya0hP34jOloU33RS5Gi1kGdT2EJj8A04zaNC0PGQVtpgMRidw/j9NFe/BhXVpTV5bQSn+gQ6nGY5yX0q9Xgl2RYFPlWrlU0j/Jzk2qbbJDnQx4UG5SbWEyf5v72u6lCcIYdCo2wNTA2nOY51ykKGkVg9yfROjym+cB1OooJpjNdHc/A3UdZgnVIS95fuXqOiBeL8Bkx+k/+z3xcAACAASURBVKR+pJO/CRI7zDzGH3yK63NmIVOkM5wSPPig5utf96jV4IEHdKv9d9olD8TnOzKiKZViNm407Nmjj4lrkzbVKUFVjI5qfF+1uuxFkaRxtEmjKMtttbWtzKbrpSSzXJbkjMFBw5o1sH+/EOI4FrKslLTinp+HctmwsKDp6rJJAxTYuNFy//0O/f0GpTRhKJ7iKJL9X3KJ4d3vbnLbbS6HD0usW1rIt1JhPr4yffyiytR3nXZA1Fq1CjU7M6Wfqp13GArxLpWE2Mq6nQWN7XEJUZd86a4uSegIAtixw7C4CCMj6R+UtKlO2+su7d4V3/ue08rQrtXEd51+Flu2wFveElIui81jbEwxPa04fFhTr4uV5I47HN785qilZmfIkOHkQtcPkz/6D+jGKLp+CB3NIokOEktnUaIUNyfR0QJR+VzcxccBkISJlUqqQiEWEXfhEUxuEDBCxOJqMmF3FCizsiraomgCLlgDCrF5BFNYr5+ovAq3NoJNLWW6KGpzbg26th/j9eE0xjFeWcYSLxEXz0HFS3JYfxATVyTVwkSgYuLi2TTX/58oItzGPlRjSlT0ZDztkXHM+S63dhxzdRErSQNsnBT6qYTC6mXFfsdTpGXfRuwvKi/v2EZyrFTFTj3aFggT/7VD7K1GB1Mo0yQubMYqT2IJW/t1aMfugXX7ULaJN3UzbjAu196GqKAGWqOMwXq91Lf+ASa/nrDrItzKI2Ai3OqwxN6h8ebuJOq5GJu0Cj+TkSnSGU4qoghuucXhz//cp1KBtWstfX20igTTZh9KWQqFZPpQls2bJYe4WBSCCyTKapp8oRJSpxgdlUJAKXhrFx52WhGWI6lGTtTdOIZ83rK4qDh4UNTrAwck0WNhQbNrl+bJJ2UfpZLlootiXvzimP5+y8yMKNmvfnXEH/5hwOSkqLMDAxbXlbH39Mj3V18txG9mRjE4aGk21TKS2llYKZAFnkfr2hwPjtMuUIzjVNm2xLF4xtOEENXa+Uo2rZLW6JLCkZaoHI94dxLqxUWxXwSBbNdswq//epycryWXU2itWmMAi+9LnF0ul3rPVZKTLTcCrgtvf3vAmjXy2f/n/xziOJI3rjUMDRmuuSbm3nsdvv/9p/MPZsiQ4WeGtejKLkq7/1Cym/01mNy6RM1VSQ6waLbWKWJ1DhXOYnNriP01oItYpwehE2mShlg80uYiOprDqewCE4JJLATKIyV/K40Qy19HSEGhD7aJ0ziMbk7j1kfQ8bxYDOr78ebuktNxSlivn+bgbxIVNqCiCjqcIeq+lNr2/4YTTElkW24AtC/n5PWAyhEXthL2X403dzdR+RK0WaSVNd2C0xpf+10XdJkTUSpR7aNWEWK7wNDIMl0g9T13djJM/2/fclhRmVvZe4aVxFu1rr0Fs4TT2C8WnbiKDmaJVr+MuHB2MiYP8Pn/2XvzKMvuutz78/vtvc9cc1XPnR6S7urMISFheJOLSXiBICHIcFnqFVx4FV9Qr0sG1+Iq1+tw5X3Rq3iXKHrVV5ZX9AW9IKCBMAhhEJIQkiZDdSfpeayurvFMe/h93z+++3fOqerqDpmgk5xnrUqqz9lnT9W1+9nPfr7PIxRQv7Ru3YWjBK5FVtqcl7rE+Dg/DMRjN2vJijG0Nr2NtHYZ0cI9ICmutJlk7OXYeJrSob86u5rzHEJfke7jKeGxxwwf+lDEPfeEhKEwPKzDZ/Pzhulp4cABwVpHmlqSRHOd/cBguawK5+bNaveYm9PBtpXV0r2EMopUDW619FKWppKrpXQ8zqt7f7v+7GJROkprq6V2hSjq2hTiGL74xZAwTIkiuOmmjJtvzpieToljJc3Foi6/YYNw+LCS+iRRkh4EusxrX5vy0Y9G7NljOXGi6//19gWfo+zPR5LoOdG6cSXx9foK551Rz7ZaZJYPFjqny5dKOlS5fIjR37R4kk2ey63k1g8Ino286/5230tT4cEHbX7OtDzFmOU15T47e2hIvdAXXug4dMh2crDDUC0bn/lMyOtelzI8rOetUhFuuSWlUukOUq5bJ/zrv4bcckvWL2Xpo4+ngmSeyv4/pHDq8yAJLhwFE+gQXlglaB0ii9ZqLTQJuBAJKup9NiFEY0g4SFreSfnk58A1/HO/ZR7d7rVEPWBW6koepa7vihL0sym5y65eJgKCvFQkJWjv10FDciVbHOHCvUg4TFbeSFadpL3pp4nXvg6TLqoHO6yCMWSVHQQL9+e+uTQvVgkRW6S16W2Ec3ershrPaKqFaNHJckU6QihhqCOmqC2CkmBsDdxy33j+7BQlvb0eaLXLWNr6FNVW0KzpdAWJNnSvzQVVtWl7eegcV+xeUp4PpyfHKBz7OGJ8DJ/B0E3XEKwq5oUxTLpAVrmQoLEXMTa3o1hcOERQf5Bg8X6ywRdAUEaiYZKR6/Wpg1HBQ8IaQfMRTDyNFNecYy+f/egr0n08aUxPw9vfXuJb3woYHNQBvu9+N+DwYcvQkFCraf7yvfeGOVE1LCwYZmfVy5wkWo5y990B7bYmaGjUm1nW7Addr3Cadkl073u9zX2PR7Tqdd0PT/o8WfNWCWO0rfDb39Y2xhe9SMnbmjXCpk1Kov12brst5dgxtSG0WoZm07CwAPW68La3lbnjjpATJ7xHu1s+4pGmemOQpqrIrl2r6SG9rYSVCpTL5AOP+cO4QJVfVfm7+6M50NoC2B3c69EzehRmPWdPnJX6cyQC+/bZPHKw+9TAfyWJ3hzFsSr1rZbptEiWy5oAUq8b7r8/4NOf7t7TN5umk8bi4W+gngfiRh99PHMQx8AD76R4/B/yohRDuHQ/4dIDqsyGQ5jWcQqnvwQmUnuA1LHpjGYvuxhXWguSEC7tJqtdrF7cfBhx2aZ6bArGLUAP6VNa5wmjxTzOdchIC+Pmc19v/hqS20G6BSvh0vcIFx+gvfa1up1oGFfeDFGt8w9Da90bsVk9L5tZgqyNyeYxWYva3l+ncuAPMe0T2HRet2JM7kX2+5jlqnILiHDFdUhQzo8qL6MxJcRUUK2yW+8ttpq/FvTcbARKnm1VveM97yy3lHjLhp6zJ4LugKLRQcy0jqrRPjrPf2XYVIc9MUF+ExHlUXohFEYwkhHW91I68JHu+rM6YosdEq0vGhDTM7D63EWfSPfxpPEP/xAxP6/DhFGkBHdoSH3Fi4tKVpX0kkeeeVuCKqajo8LIiOPOOwO2bBE2btQ4vKEhHYArFPSr81hRpKcsRC8zvRFvOhhnHpdIe/Lp49+8Eq0qrW7bGNi2zTE8zDlzor2fulJRhdgYvYFoNLRoJYp0mC5NNcFC/ciybF/GxnQfWi3NVd66VdiyxXWKXpKkO1DoVWGvRnt7iE/t8NaVY8fUX3w2+PZH//0TUXn9TYsxpqPge4W+dz0iSqSnpiytliadLC7qTUClouS7XNb1feELYYckX3VVxqlTy3doetpw+eVuGbnuo48+nhjCuW8RLu7GFTeALWm0XVDTx/fJLCZrYZM5QFTBJVS1FhAb4ooTuHCYwswXkcIYrryZrLRRq6CNWgTEFHt0UMG3EPZQuRUkMUR6XlkN0rGNhD1Wi6z7ngkRAlxhAlfaoIN1Z4F1TSQY0CE6E6KtfxUwFts6iQsGMFkDcVoFTq5I92rnLvC+3wQJBnDlLWSVnXSUa8lyBbf3KV/YM7Rn8LYXyf3NNj2FyRbOqTJ7X/bKVsbHhy/OCfSMZfW8MMWf1+5eGdoEC/chWYxtHsTEJ8EYJKpBkCeoiCFa+p4OpgLp4AvygdAepSOtI2FFbULPcfStHX08aUxN2c7jew+f5wx06r9BLRvDw6rm1uuwaVPGjTc6vve9AOcMU1Na2KJWByVZYajk8/Rpk6ugut7e31U/OKgE0lAuS8e+sFo+syee1ir50xg3n8esld1RpLaKq692HD+uimq3qno57rknYGwMBgYcx46ZTgvjqVO+YZGO7cMT9VJJqNeVUA4NSU6y1Zpx+LBheBhAKBalo1Z37Su6XS2cWW6l8ETW3xj44/1+VNzVSmHOBR0q1O9bLY0czDLTsW1016dNhg88oE8tvDqtjZVau55lWhm/tKTWn1e9KmNqynLokKVUUm95rSbceusTqGjso48+zkDQ3Kf5yCvvnG0ANsIkM0CiSmLWUJU6GIRsCQlrxGtuw7aPEiw9CLaCbR8GHC4oY11b1dtgCJtM0yXQWpHd3WLX4qBXCG1vEgkwNDpLCb4t0ZNsq6qnMxiSnNYa9VsHVTCWZPglGqmXzpOdxU4QLH4PMeBql2CSWWw8DUEFk84CrTyFItX/09btmjImt6RIMAjWgNPiFts+jDMbwDmcrWJcmivlut9d0hvkl8TudaxXoTcdv/hKx/jZ8cSeJwb5eGMAJIgNMbaMSNxD+v15Twkbe8myOkbaQAQmUo97sqDnJ57GNg6QFcZIB19AWruUYOkBCMr4KvLm5p8HG51jn54b6BPpPp40LrzQ8fWvdx/lDA4Ks7Oqkl53Xcru3QHz87YzPKjxbEpi9bE+HDyo/uGhISVfR47YDukEr8BKp/Wwt/raw6dUFIvCRRcpoT1xYrl06QllECiZTxLTqSX32/F2CmNg/XrHzIx6c72VYzWMjko+PNf1HffWnM/NaXb1+LjeEIh0bxSCQAce/XajCMbGtLRl716bNxfSOd6ufUWH+7TJcPn5WOl1Xu3m4/sl1+eC94JXKkqI41hvPuJYz60fLNWBQkOrpUp9uaw3FbOzGm+nTxS0Kv0//+cCH/xgzNiY8N73xtx7b8DBg4aNG4Vrrsk65S599NHHk0NWugAj+nQPY5BwENOugwhZdRcYsO1jYIs6gOfi/KIYauGGpJjWEYLmAVVzgyo2PoVxSoANBlyjx9trepTcZXsCKMnOKltBUoLmnlWnNAQ0e1kyjVfrkGj1ZIvJq7YLY502P00IWR0SjWKM1f0yVr9EQJSs2+QEmAhXWoONT4GLkaCISWPdlmtgsiwn+QWcKeIKawkXvotJF+jaLzp7n3/vcHYAm7V7rBZng68bfzqR6XqDCkICrq2WFIkwqb/p0bpxyW0fJl1E7IA+uUiXIFvsWEtsMkN1z39m8cq/QorraG55B+Hi/QRLDyLhEOnwdbjiuqf5GM5P9Il0H08ab3hDwj/+Y8j0tNoTajVhelqHy7LMcMEFwvS0MDioy3siqXYNfZw/O6skdnZWSZlaN1aWhfQWl5z9HtwT0l6Vthvnlo985D7oLiHX9BBvoajXNQXkwQe1BvwnfzLBGDhyxPDxj4fcd59lzRp4/esTrr3WceutKf/6rwHz89rYV6/r/vtjdk5V43pdbxCUXJoO0fZKe5Z5S4ah3TYdAupf7036APVhe791lp19SHC1DOpe5boXvTXp3w8GBjRPe2FB1f35eT8IqTcKPjbPGMFak9/E6EBkmnbLZLJMK8M/+9mIjRsd73pXSq0GN9ywejFBH3308eSQjryEtLqTsLEHVxhHbFlbB02odgcbKPkxFgmGdADRtTE4XLSWwiktO0EcxrW07c91PWTeltDr7fVU+lzGDfLa7K47WHoouGimdM9rQqgKqcTgmqoCu5hi6+Mkg9cgFDDJHIUTnyI6/RXEFkgmbiEefyXJ8IvIips0SzqogghCWxM8bEkj/sgw7WNaew25p1j9z+ob9taMlmZrL3wnv+mwIGHneOgcjwUSbDZHb4LH2c9H78XZK9Srk2sh5MzowNXXK8EALhrWn5NLQOJ8gNOhyR2uu0k0jlaCKiZuYLKm3jyBerpNiWjpPmoP/BJLV/wFEg6QDl1DOnTN97Evzy0Ev/Ebv/HD3odzYRj45WYzPi+HjKrVIo1G/PgLPkvwRI9nYACuuy5j717Lvn2aFf261yW8970Jl1yiyQvXX59xzz0Bi4s6cGetRt0dO6Ye4vl5S5qanGz6S4vpKLu9OLePV5MvWi21CKj/13SqursNf6ZTfe3XuXGj+pJnZpSw12oa1TYxITz0UECp5PjgB4t885sBi4t6rHfcEWKt8MpXZoyNCd/5TpCXveg2r7suI0lUGW+3TT40qJXZi4u6J1mmiqw/riBQC4wnuoODdNobQe0fxaIsI8E+P1tkZSX4aidL8vO6+ok0RpbdsJzrfEeRsHWrY2ZGbxAuvthRLmvmdhzrzYyI6exXEOgg5Zo1ep7TVJdxztep6zk6fDhgbEy4+OKnW4155rHy98cYQ6VSAPgQMPfD2q8fMM7razY8z6/bxpKM3YRpHSOs78FmdZKRl1Lf8VukIy8kGb2e1vqfJFzcTdA+mhPVmLS8hSA+iZEUm8xgRZMyrFvqWbk+nVx+2TCrvNYLwaQNjajLBwlNPozXeR9vkugZMA9HcbVJTNLQ1I08OcIV1mHbJ7DpHKVjf0/xxP/GxjME7aMUZr6EbR0imXgl6cCVhAvfwcbHMRJjJCWtXYYU16ranuUWk6CERCMY54l0mivtNr9pyEm/i1XlDqoYcR1iawjVZ90zjmbyAUNV1C0+vWP1KzaoL9yxnFz3np1uk6G3b5zlTJNVd2KzJWy6QFbZgittwCQLGNfI7TfqpTYYHRyMhnGVLZh4DiNNusOduS/dFrHxcZCMdOQlnNFweJ5jtd+dJ3Pd7ivSfTwlXHyx8Bd/0SZJlidIeFx4ofCSlzR56CEtLBExfOxjIUeOWL7znYAg8H7fboHI2Qjc8qKW7qCgQi8lCwvLP69ktbtMoeAH7fSVMNRhwHZbkzKKRcPEhGN0VFfcasGf/mkBYwzr1kln3QsLhk99KuTmmzNuvTXj5pubPPKIxTlhbk7bC1/2soz/8T8KeaGLbnNxsatAFwrd/SiVhNFRbXAslfRcNhpdrzN4gqr2iFpN981a8qIZs2IQczWYXL1eHc7p+fHNg0GwfPtdqGVjcdEQRZpkcskljrvuClYh6arA+zzp48ct1qo+pUkfXY97mqpV5qtfDXjFK1SV7qOPPp5eSGGcxsW/R0PyR132TBqweNXfEdT3YJI5xBaIZr5IYeYrBI29gNE4OiOISx/HpmDp2jhWeoZzUilNukNvfojQx7PpUj5DWv8U5Ap1gKEJFJTwlTbnm4woHv97xJRwhXFVrgHJmhRmv0Yy922SsZexcPUnCOp7IVvCuER932hZTLiwW60tAFmTbm6zzQcfHZgSEpQQAoxb0uG9rJ17ij3SjnorwaD6rn2soET4IpWzkWg9I+lZFX1vLxEyugUxZ/NYi6rKkuGKG0gHryVo7uvxc/d+JgWxuMJYZ6BQkVs+TKD7lNXzm4/9BPW9ZLVdq2z3uY8+ke7jacHKocNeFAra8nfffZZ/+qeQBx8MOH5ciV836s3/IpsVBFnhrRBd9XX5oF2+VIeYhaF0UiW6VgVNuoiibjFLkqgKnCTSKTbpbc8zRlXziQlZRtBLJWFuznDwoOWCCzIqFbjiCr+hjB/5Eb2offKTIYuLWgnebnc94r5MZnxcVeJWSxXret0Tf1W3e4f6QMlusaj7ODQkHD1qSJJe60v38ts9BpMXoHQfuoJPLpHcOmI6XnBPpj3hXflzULVa1evt2x3btgn791see8x29tn/nHzLobU+clBTUHzmtnN0zosxsGmTnsO5OdPx1vfRRx/PAExwjntuQ1abxDYPUTz+CaK5bxA092HTOcRWcyLrryddD/CZq1tBms+A2hjUshHmy+QFLJ11B7lGGuava1U4LtPhN4I8XSP3fZsQmy4ihVqHRANgS5AsESzcSzL2MjDBMuKXjrxEF2sfV1LtWrntAZQqKXmXaFhrzl0MtoRIinEhzlYI4mlWO6lii0CIKwxqtJ5LkDy2j55PdLVlkx/3ahaQKH9dIB/UhAgRnwt9NuXagGuRFTeSVXdiskWCxfv1XGFyy4ksI+Qma2HSOSQaxMTtfIjQqSUkr193kQ51mmR2lZ/v8wNPC5GenJz8ReCdQAJkU1NTV+WvV4C/Aq5BfzvePTU19ZmnY5t9PLvwwAOWP/uziNFR4bLLHEeOhMQxHS9xkiwnzyt9vL68pOttPpt1QdVPr1grGVR4Uu2b/5TAa6rEwYO241k+ftwwMqLLLS7C2JjrsYYoskxvHiqVc5O9V70q49FHLfW6KsnOeR+1IY6FY8fUCx0E6h0eHRWGhx379wer3lAAzM4aNmxwXHqpY3o6oN2Wjq2j18Liz4mIJmpAd+DT+679zUgY0rGN9Hq2VxJ5a7U0Joq0wXHv3oCHH9bz5G9Y/FOGLJM8eUVJ/86djqkpS6OhNxDHj3vvuukMW+qxCSMjfRLdRx8/TJh4hsq+/44YyKqXEDT2KXENCogpqLq5jAyuppuaroKLXdXLawgQYzWyzjUxlBBJ8hQPtVNgQi0FcS11SYsQNB6i04yYzmHJlOQnp8mK6/LUjV7kw4mPU1mdjN9I6dBHMbKU2zICDHWMoMpvchII80HFEGyJpLKLoPkYqyvBYLN5XDROWt5O6NrQrmM61eBdT3SvL7xXkReinnOXdF53QUU/YyJMlmIky5ft5m2D0fZJE+LCUWx8gqB1QNXzjr/dgimBybQcJj+utDZJUN+LcU2ywhpsfFSLzzp7HGFSzYmWwvg5z+tzGU/Z0DI5Ofl64E3AtVNTU5cDr+x5+93AwtTU1EXArcD/nJyc7D+wfR7i9tsDhobUIzwxIUxOavnK3JyqsVkmeVGJdNROJcLdavEgkFz5XjmMobBWldlyWctTQEnc4KB6c5VAq+qrleVCqdQlippAYZieNuzZo1/W6uebTTqlKmmqFdnbtwu7dnUv1keOGD7wgYjbbivzxjeW+MhHQh58UAtolpZUZdWUjuVkN02lM6TXaEC7bdmyxXXyrKGr2PrzMzdnOH7cq7+mcxNwJnJ3ofhzJp2K8V4V2xhy1VyV6UJBiW3vjYi37rTb6jN/7DFLHHvibXJftOQ/C/3y9e2Dgz6lA665JiNNDbVat2SmVBKqVS14ufHG9JzZ3X300cczj2jumyBtpDCBhBWSoWvRdsGFTsOgdLzM3Rt3r6aqDSJAbImVecV0lvYkOyArbwExufe2iiutw9myUkvXyEm0AYp4X7IEAxgcNjmFbe4jqD+EyRq4aBwjGSbJLa7iMOkcWXEtyegNne2bdIHiof/J4D2vY+jbr6Iy9RtEM1/DyIKWtLgFrJvN4+F6keprTr3LQXyEdPAKPd5lx+lpssEki9hkHlyaL+NYLQ+6KxPlJS5oIYysGDYUAmy2pMORxuQlMEUlwcvOb6BpJs4RtA9o0YyJcguKQ/w6rfdrW7AREmg5jJGYZODq3DetJTO6/wXEVjBuHldYS1a58Ixjeb7g6VCk3wX8+tTU1CLA1NTUiZ733gy8NX997+Tk5N3ALcDHn4bt9vEswrFjtuM7NgZ27FCrhxZ0KBFzThXlMFRS7T3BnsBpZJxvAlzlopync3hFtFqFhQUl7r0xc1q6oqkTxaISXG9n6PUFZ5mS/GJRWLtW17GwoOT6sssy3ve+mEpFlz161PCe9xR59FHb8UP/wR8UaLUM27Y59u0LOt5jfw56s5u9IhuGhqNHdb9WEuNedb7RgHvvVRVdWwPVpnJ2mI6lRCPzlu+Lc6paq91Fz90ll2Tcf39Alvmc7a6SPz+vXm4drpTcvy65LUVIEr1hsFbYvt3xgQ+0KZWEP//zAuWy3phovJ/e/DSbGum3ebPjZS/rp3X00ccPG7Z1WGurc7jSRlxxDbZ9BE/3LE5JlYk0SUMyDOoR1oG0ALHlfFjvzN9rVWDVvmGzNhIOQzaHs8M6zChNvCIL4IIKhMOY5BTYAkaS3BIS5yqp2j0MGVk0gZWmxvlhyEobaWx/H66yTTeeNajseT+F0/+aNxMGFI//HTY5TVbeRtA60In183u73DaRD+SZCJMtEM1/NyfG/jqsQ4RdxISL9+TnoaRpKXkayUp0DXq+FbHBajcikOk5T06DKZLULiFoHESydr68zdeeYmQJsiqYLI/p69VRrT5tEAdGVfv6he8nHbyS0uG/wmSL+Q418IOPQowRQ1a4SJskn2WDhk8nng4ifQnw4snJyd8GCsBHpqam/jx/7wLgQM+yB4HNT3QDY2Pnr4g9MfHcCrd9po7n0kth/34li8ePw+c+p2TQF7AomdMLhbWmQ6JBCWWhoETQJ16sBrV/qBf52DFPTi2HD1uKRY3l87FxO3YokTt5stsc6H3LCt2Xeh3uvTdgxw742MeUbI+MwPbtAdZ2zdQf+xgcPgwbNtCp5j5wQElnGFrCkGV+7ZWWDW+zcE73pdXqHvtyWAoFOsOdfnByeBhOn15uw+hF7yDoSpuKtWbZdoJA/7xvn8VaOm2V/vNKoDW+rlzWocNCQQc1/ROBRkO3+YpXGH7/9y1r14Z85jN6Tr77XTrpJFGksYHlssmbGAO2b4+etQ2Gz7XrwZPF+XzNhufez+kZOZ74Mjj4IJSAeB6O3w7tY0qYbBEkAQzGpXSi6DqWggxjChCUsOnpc2wk12+DABsfRi0GEVE6AxQhrGmChmRQ3oyNBmHpUd1O2gazPM1CPdYJwcJ9UJqAF34YKhvAhoQDOygGPaUAJ+6Fxr1QHoMgv2FITgEZ1jS1WMS16d4ArJw/yWP5skDPhXjS3bu85HTVDxbmQ5RWIBqGOM3P43IYfzQmAklYmdphzojCMxgbUmw+lkc5DeXnzekwqSlA1tbtFsYhWQSx+rqkevyured5YBf22j9iaOxFcOpbkB2Ck1+CZAlNLdH8cBPWwMUU3GlGN05C+dn5O/V0/O48LpGenJz8DkqIV8Na9G/GZuB6YBz4+uTk5NTU1NRXn/Le5ZiZWVpWq3y+YGJigOnpczC7ZxmeyeOZnLR89KMlmk0tXdG2PulUh7daagsQMWcMEnp/dBzLMhV3JYxR20KrZXPPdfeiJ6I+3TBUNXXfPlVy49grtaaTGuLRayvZuxdOnWpwxRW6wMzM8m1/UUpHrQAAIABJREFU61tFjAlwTjrKs4gqxvv3L4+xOxsaveIHQhSZTqKJKr56PH44L8ukUy3uB/vOhpXH1bsvXqn32/VJJs2mWmkKBRgf1/3rquKGel0ol5VYi+hNShRpvfnoKLzwhRnvfGfCwoLh7W+P+Jd/iTrlLT6eME2FONYnBDpMmrFvX6vjnX82YeXvj7XmvCeUzxTO12s29K/b3y+s20pt9lGC41/VYpKsAQhiqmC1oKRDAl27Z0hNIYT5MODqqRS6jC5n0hiIMd7HDEiaIoW1EJUhmcM0j+GyRAf1TIShlWc6L1eJhUBJYmuG+rH7aW29QTnsad2GR/HobsqtRaS4FpyqNoFLMQI0TuQEdqUysfLvdAZuoccnHiCmgpEm6q1O82P0inl+JlyCxIvYVUh077a8H9k3QXZd6F17o/431HQRHBi1XBCNqjqfV51bWkgWI2kGtqLlNq6u/3aUNyCF9WSVLTQueh/iapTv+i1Kh/9a2y5dhiHNNfYMcSniEnApztRYPLafbODZd61b7XfnyVy3H5dIT01NXX2u9ycnJw8CH5uamnLAycnJyTuA64Cvogr0FmA6X/wC4MtPaA/7eNZjaQk+9amIiy/O+N737LIK6fl578eVDpFcqZga02vnOPs/zsaYDulcqfj6Nj0lbErgvKo7MCCcOKH704verGbQQbizbX/jRse3vx0si+8zxqvdK/8ZWW0o54yjIUk0z1ptEsvf9cke1qrtQsn72dfrleuzDTD2KvFdQt/1PPvq73bbdKwxSQInT+aXdVE7ypYtvnBGeOMbU6II/umfQu6/PyBN1YfubzT8z0ntJmrBGRs7u6reRx99/IAgQvHY32k5iw2xzQOYnMhZtwAu0rZBztbSp97a3jrs1WHQ/OLe4Tr/joPkdF45rYS501wYTRC093Pm9dj7fQNEMkw6f9Yta/FMrib7iDyjg3pmBcF9vCt2971M7SwSYXpIu1n2nfrFjbTPSO04c73+YpitWK5XnQboRu6JxOA80XZqq5GYThFMcgLyEhexBbLiRjAhRuq0174OiUaJTt9JNPMVtXQENYzMYTrRprm/O2vhChNkpY35Dc3zF0/HA9S/BV4FMDk5WQVuAO7L3/s48Pb8vR3AtcDtT8M2+3gWYffugEbDsHVr93Lk0yM8IWs0ugUgK4lesSid5dU2sTqZVaJoViisXdTr6nH25FY9ycLSksntJcvX60lnFOnA4cRE9/0kgakpw549ut3bbssYHOzWgC8uenuGWWFTkGX/f7ySmXp9deLrUzdEdOBwzZrlsX1nrKmHSD8e/GCjL3tpt9VH3mh4Qm8olaSTopKm+v2mTY6bbsp4+cszxseFb34zwDn1crdapuN79wUtPqqwXNb9GhwULrzQMTz8+PvYRx99PHOwrcME9Udwle1gSjnRDfEDhOAw0jjr54UoHzIMcERnlT+6iRU+7m7F+9LGpHMgefSaOJytYpNpdOgtWOUzecpHNIArberZKYdpHCBYuB+ymHT4WlzlQs1Jdqmqt8lMx1Zx5jF9fzCumadmnEmvlBirJ9yF4wiVc6r1rHKDsfo+9fqyrZbMZPPYbB79WWVIWKUbl5cgGFw4Rjp+I8nEK0gHrqAw83kQIZy/q8dyor5vH4+nCBAb6VdhlPR5PGgIT49H+g+AP5ucnHwg//NHp6am7si//yDw/05OTj6C/qb8nB9K7OP5g7k5HfCLY/XT9hK1rm9Xcp+tsLholhE+/30YSmeA8Gw2CWulM8C2OqRDtlVN1WG5sTFVfpeWJC86UXK9caPDOWHHDmHXLl3p5z5n+Y3fKHHihF681q51fPCDbX7rt9r8/u8X2LfPsrCgpL9a1Xzq7o2CyfeRfLjSZ1qvdjnViDwl+Ge+75wq+YWCquW+Xn3lssZIJ4c7CLrnxudyg+TJH9JJPllZduPzvsNQt+OcWjqUIKvF49Ahy6c/bdi+3bF+vWPvXtsZohwYcJw8qYq9z5lut+l4wksl2LbNdSrZ++ijjx8eTFZXL7QxmFiH9cCP2wU5nYqBCGfLeTNer/rsQHIrgy0hzqLq9Wrwft9zPG30ZDs9hW8GdHYIEwRIWsdIPV8uwhXX4oIy2BLxxKt1C/VHGPjezxPUH8bgkKBGfesvs7Tr/6H86H8jmv92HucHQg0JImy2fCBPOvtowdhVkjz8cmnnu1Wu2kCIBGWMW8LQWlWVlvy8SN5+qEOHWc97BqVvcc+nPen29pgwH+SMgBSTLoItYZwgOMTWMOkcxROfIq3twpW3Yd0cuBbYYk6883UaA8ZPwOevieCK62htfJt62Z/HeMpEempqqgn81Fneq6PReH08j7F1qz7uzzLvuVVvsjHS8etqzJySy2JRCZpHu60FKIODwo03Ztx1l+XAgW6ckl+HV6yXl7CsRHe9vp47jmF+3rBjhyOOdR3HjhniWJe95BLHb/92jLXwyCOG9763RL1uGBxUsjk9bXnHO0p85jNNPvaxFjMz8OEPR3z2sxGLi6aTNOJzqzXWzvQQ2eXoHSLUP5uzNAzqstu2OfbvNzhnOjF6y47YaPZzu20pFPJ/AmNf9qIkuFKRfFhxJRHX7zUKj04kn1f9fWJIva6WmRMnwFrLAw9os2OzCddfnzE9HXLwoNBsKmF3zlAuw/CwsHNnRq0Gv/u77b4a3Ucf5wFccX3+TYoRlydvNLskzgiI0exnkxemOLVR+JQIJEVshWT0ZZj2caLFu3q20E20MPic5NX9wr1XJCWVIdDGulnS8mWYYoZgsMlpbDqPmAAprqF+4fuR4jgmXWDg/rcRNh5BwkGdnXEtqo/+LkvFC6hf9qeQzFM49QUqj30Am8zm9owY4/KMZFvUoUrfAniGUuOVcW9xsD1q+5lIy1sJmwcR47CrKvsWoYQhRkyImDDfF7VVCAESjWOTaQQ/jOjPUc+5s6XckhFipJWr0AOQNvWJggi4FkHzKGH9Ec3fThdIRq4nnLsLiYYw8Wk9DidgirigSjpwOQBLu34XV7tk1WN8PqHfbNjHM46dOx2XXpqxe7elWnVEke1kGReLXa/s4KAwPa2pG73wj/+3bdNHTOvWOY4csTqIYdQ6USxKJ+kiCHxLYfeSopF4mtfs86mN0e2LGJJEPdOTk45yGXbuhJtuSrn6am3u88r5//pfIYuLJk+r6JaWzM9r9fmv/mrC+Dj8yI84vvENrSyfntYP+31KU/WF12pCktie6nNvz+gSZ2POrq77G5H5eUOpZFaNv/NKdL2uSri/QelVnJNE1f5KRZ8Y+M+FIZ3acZ+h7X8evtJby166inqzaTh8WN+//faQ/fstP//zMS9+cUa7DQ89ZDl9Wm+iNm40XHKJZkb/7M8mfRLdRx/nCSQaoj3xo5ROfAoXjWLNAc0MlkxLSmwIWYyEI+DqWNdN5ugMC9oIV9wAQQlXWguLRYQYCPLc4wKIA0ly20ByhoIrpgq52qxrNmCjfAMOk86SDVyOK4xh0ybJ4BUkYzeT1XZosggQzN1N0HwMCSqqtIvaLkQySgc/TLzuNRANkQ5djSttwqRLBPHxfJsOQfIYvACxA7l1RHRgENByliD3cS/3EZ9xXr34k8wiQQkrKfQMxXfPYU/knQi2Uzveu1yGC8ex6bGebYZ0k1MSjPPnNP+va2nsHhlImqv7Gba1HzCEySxD97yW1sa30V7zaiAlWNhN0D4GJoPiGpLaVUg0SHvNa/skOkefSPfxjCMI4Gd+JuHb3w64446A229XwlWtdi0CY2OOY8eUDGqldXfoLIpUUd2+3eGcJU1NXt4CYeioVvUi4Zz6dINAePTRoGNBMEZV7ihiGUn3BLZaFQoF4bbbEhoNy8mTSq7vvDPk4YeFN7wh5ZJL9KJ49KjttBN6Eq/2BOH++7uPAa+9NuOGGzL27o3oPKizqvy2Wib3h2sLo4/f8+eiVFIbTL2uFhSt8V7+T0wQ6E3AmjWa4hHHvQp299z5oU5jVAX2Ny36unTOgXPkCvyZRHsl1AKi57LXu+5Jd5KY3PcsnDpl+Ju/iXjve2Ne+9qU06fVSjM/b5ibq2KM3qz4Ap0++ujj/EAy8Wpc+QIK05/DJrPY9glcWFWbgYGsuB6TLhHWT9Alcd4DHEFQJittwIXDBI0TiA0xDoS8xdCGkC4h0SiusI6gvgefU6xabqRZ0VmLjtJrrH5viwiGePzlSGk9tnkEsYaw/jBB6wDxxI+SjN0ExmDbx5T8Zp6Qd6uww+ZjneN15a20195Gpb6nx59sELRQxtAELGKrYNI8yUITMdQGEWCS03lSx9k81kUkHNZbgqzeSQvpKvQrr4PSozZL512DIC7FunjZAOJy9Z4V7wCkWqZjQoyEkNtQDJkOj9oQkzYpnvgErU0/w9LFHyJoHVaXtMsYjY4SL7ZJBq/ClbefcYzPV/SJdB8/EBQK+oj/+uszfvmX4dOfDrnvPsvEhPCa12SUSsIv/VKJQ4e6JK5Y1EQNUBJ6770hl1+eMT8f5MkbPklC1d0LLxQ2bdJ66aUlHdTLMmFpSZfzQ4tJovnFxaIqwN6re/CgZd8+y9GjWh5zzTWOdhv+9E8LvOtdbbZsEV760ozPfCYkipYPERqjNwBHjhg+/emQ3bst5bKWjGzY4K0ram154AHT2aZXtItFvbkQ0fKXI0eURBcKQq0Gs7PLybQOR6rKPDNjcv8yHdvFSoh0bzZ69rrz5xMnzBk2E289OZNQKzHPMvVw65+7SrWP5DPGcPIklEqGL30p4N3vTti0qTtsOTEB09PP72nvPvo4b2EM2cDlNAcup7nlF4hO3UFh5itgI+KJV5LWdlGd+jWC+lRe+yFgIiSoqb3Clgibj5JGI9h4FiPSTbJIY8RUyIrrcdXtZOVNWFeHeFqdI8kikCGSAkWEBhotV0Q6GdZgkzlM6xhh42HEVkmHXoAENYrH/g5MSDL2MrKBK9V6Ihn47H8BRBBbwLSOUzj9ZaLTd6qv2AS4aE3e7ldFgpqSfOe01MTlpSumjEgCkuEKazDpQl5QEyDRCCTzGHofr5b0RsCCyZYw2RKYMOfOqzccdnd2ma4MCEE2swphT+GMjGn/Ga+SpxhRsm8IOq8biSFLsM5hJCGa/RrttbeRDl7ZXc3Ey2g/h6Ijny70iXQfP3CMjMBb3nKm6ffnfi7mQx8qcOSI7dg5QElZqwWbNzumpgLm5gzlsqZt+KzoQgHe9KaEBx8MeOQRy/i4sH49zM0pEQVYs8axbp1w550hInox9IOLxhjuuisEtE48SeDuuwP+3b9LaTaFr3wl5C1vSfixH0v5nd8psrhIXleuxHVoSGuuf+3Xijz2mO3kPDcahpERJcdactJNvuiqwdLJuRbR4cxqVZif70b1de0fCs1fhv37VZ2vVqUn59k/KuwJXcoJcS9Z9rYPj5WEeTWfuU9a8SRfFXO1eaxMS7FWVfWHH9bHBzfckHHdda4/TNhHH882BCWStbeSrL112cvNbb9M0DoCjT0Yl+TKrNWBtayJFMaw8UlN2bAFyBI6PmNjSSZehU1OErRPIkGEVC8ioEEW1zFpHVcYI6ldQWHmDoxrg9GKcnCIKRIt3IsEFVw4AjYiXLiXZOR6XHEdhel/Jhm9gWzgYlzlIsKlBxAn+aBgitgiae1iqo/8JuHi/fioPpucRkwBV9qminbzCEaaelUVmyvmDkgQWwNrsfE0LhxGTP7Iz8VKmpddU7Xxy8TTgMltIo3OlXqVMfEVr5qe17y/vLsB6fx5pfJhcsKekLfrIHn8HcsGRHX9RpqYuEF06osUT3yK9rof69hk+lgdz9L+sD6ei3jpSx07d2qChjFKnr0Pef16YXxc1dfZWY2xg66a6xysXauK88KCKuClEoyOCkND8OIXOyYnhb/8yzYf+lCTzZtVba5W4fLLHZs2KRE+dUpJcKmkKvbMjKFaFY4f1+0NDsKP/3jCrl0ZxaJQLAqXXprxildo8cju3RZjlNRq7jQcPKgZ1jMz6mcGtXgkiVeQTccmMTYmzM3B+LgmlGSZ2iC6/me9UKap3kR4VTtJut7vzkXWdGvVPXnttXZ8P1F4vfDtiN6/XalArabHUqnIGeq1luLojcKJE4Y/+ZMCX/jCmXFVffTRx7MTWXUX6dBVuOIaxISQtSBbAtdCCuNkle3Y1mFsPIPJ5ugoprakPmMjZLWd2PZxhIKuozCKhINkA5eRDl1Dc+f7mb/mf5MMXZuT6QJZ9WJceTOuuDavABcliyYgaB7UdIp0XsmjCWhs+UWSgSuRcABMRFreSmvt6zE4otmv6XIu1kFDEWx6GtM8rqpyMq2Dk6aEj6/TsCNtxnKFteDaODFk0YS+n83npSxd2mtI0cxsB3mVuV4w7TLqK+R16x161kuezx6Ft8qEDGpjsbl/G8RESDiEBBXEDuB7F7vbT/EJKjaZoXD0E5QO/fkT/8fieYa+It3HeYM1a4Rf+ZWYj3wkYvduy/S0YWJCeOtb1RLwB39Q4NQp01FBvY3Ap3489FDAbbel3HNPgfl5w9CQDs9Vq0K16li/XpXd17zG4VzCl74kbNggHD5s2b3bUKno+paW6CRyJImq1ldf3b1zf9ObUk6dMrzkJRmDg9qUePfdAXv32pzgahKGKtZqQdm7t9ucaIy+5pMw4liJ+6ZNjjg2pKnh4EHD8LCj0bAdj3SxSCeVw9eQe0W+2YRq1XTW1277bUlnm70kG84VEbg6/ECkble3sWGDy28O1PvsVfOVw55xDNPTOoB4ww0ZpdIT23YfffRxHsKGNLb9CuWgQnT6TmzrCNiA9sRraK99PZV9H8S2jqIDcN6YkIGLkWiIcHE3S5f8IdHMVwlah1XRTbVBMS1vxxDjolEobaIx+TvIwT/GlTZjkgWi2Ts1XcMWsfEsrlwBAm1ZTOdxpY1g1MqRjN9IYe6bZINX4ArjkLUIF75LsPQwNp0FYxEbga2onxrBxgcwcZe8GpwSfbRsRkw+TBlWoXWUMD2BC8bywUNQX3fYJc6e1Oa5zIYGUOwUzhjnPdw+t5n8nNllqRxnSwLpfdd0vuu2IQpgpEVmN+WJHTHGhXlcn4/a665dEMLWfmT+PmzrAK689Qn8xXh+oU+k+zivMDmpmcwzM+phHhrS1+fmvJqbP8DqsSkkiSrQxqh94Fd/NeYP/7BAlmnSx/Cw1oa/5jWr534ODXUvTLpslxS229q2d8MN3QvZZZc53vGOhM9+NuDoUcuhQ4YTJ2xeY67kttFQkhxFqjj7shdvf/ADfqBKbxjq1/Hj3fi7SkUJdrutNw9pSmfI0qvMxaJaXKCrMjtHpyzFGFXd222fXb08LvDxyPTyZaSTAS5iaLWExx6znXNfLgvlsqHZVEuHPz6fyb13b8CGDWmeMtJXOPro47kAKUzQuPDXMBecBhwSjfdcOFR1Jh9u9vYBIUFElWlX2U598nepTv0qNp2H0mZSM47N5mmtfQMElZ6N5f8Lqx27gkRDmKyFSZfUUpFHuLU2/nTnHwkpTNDY/m4KJ/+JcPEBTDJP0Hike6svGTbLNInEFvNBPA+HV3V9RJ8OXGohiUmXNM1EAggKiC1jXSu3imT6WQK9SciJOC5D1d/8HEmqy3gKHAyo+i4JSml74wLPfe00PXS4S4r9gGVKEO+DXP0XIgiG9L1sIY/Sy7diy5jkJDY+qtaVPpE+K/pEuo/zDr6prxdZZlizxtFsWk6cUO9wt4nQMDHhuOqqDGPgllsydu5s8dWv1nj4YWHzZsctt6RceGF3nZdf7vj855WcDgwIW7c69uxRUnjRRY5DhwybNjluvjnjppsyxsaW78+llzouvdRx+jS8/vUV1q93zM0Z6nXdH098fR22MYZCoUuge2vM01STNx57zFIsaklLEKg1pNXqEm5v3VCCrGR0fFwJ/9KSniM/dOgtH1mmmd2Dg5pMMjdn2bxZK9G99eT7h2F8XC0p8/PdAcY01W2+6EUZjzxiqde7g4tqvdHUlDiG48dtZ4C0jz76eI7AGKQwduZrQQ1XWIttn6C3PMQAElZIhq4BIBu4lMXL/5LCqX8hSh7GpRXi8VeQDl3XWV1W2Z77rBsQVEgHriCcvxvjEtKBK9TiEZSJR15KMvFqsupFy3bHlTbSuuD/AnEM3PtmJBxGgohgca6HnGpkXHc/Q1RJzvLhPPBKLy4maB5EggHEhpqEkdY1jaOTJ+3yz2UgFoKiDjA6wSZHVeV2MUpcixjXUJKeNRBbJSuuJ2wf1zpz18LGR5/wj0bsMATFvA3SU/VUb2dql2Fw2Nbh/IhBSb+mruASbOsYLuxnk54LfSLdx7MCAwPCyIh6jQcGhOPHu9aOKHJMTjpe8pLuNN6FFwovfjFMT7dXXd/WrcItt6TcfnuIiKq2k5O6nrVrhRe+MOOSS9yKeu8zceyYJY7VKzw2pl7gVutMpVfE12MruUxTVWeCQInz+Lh6ja1VUpymXole3kQo4tVroV43NJua3FGtQq3mmJuzpKl+3hioVHTYMQj8+tXPrIOYj2/vWPn+woIhDPUJgKrvqrqPjGjU3ZVXOhYXhW98I8RataPUamoDKZeFiQlHpbL6tvroo4/nFrLKhYSL3yWtXkzQPqjKqxjECK6yjXjd6zvLSnEN7Y1vhYkBGqslQwQVmpt+hvKhP4d4WhOQqxeRlS7AlTeTDlxOOngNBOf2jZl0gSCZhaCE2DISDkI6u8I+4ZXfPHOaCB/tJ15hDofUJmIEIw1MFqsiTtaxSSg0yQNTwKQNLUOxBrFVXFDBpnW1k0gbyeP2JFLyK+EIztVx0YguE58Gmuc6OrrKv5Jm45YQI4gp5G2MSu4lGsNmC8RDL8ZUtlM49YVcfI/USy6pZnaHNbWw9HFW9Il0H88KFArw5jcn/M7vFDDGsHGjy8tbDNdem/Hrvx53bCDfD4yBH/3RjGuucTz6qDb+7drlGBh4Yvs1MCAMD2s6R6kkjI46jh3rti72WiO89ULtGabT5qg2B7U/1Ouwfr3j9GlDs7mcxfeuq9mkU8gyMCDUasKhQ/r4z5PtWk0/U6/D8LAWw8zMmHz/5AzLRhiaZZaT5dB99JnVhUJ3X8plVcUfe8xSrWb8wi+kJInh8GGbk30l2lu3Ol7+8n7cXR99PF8Qr38zxZkvaXFK6QJscgqTLuJKW1ja8Vu46o4ntL5s8ArqO39bq75dQlrdgRTXPqF1SFDRApfGAbBFsmicMNUkkNXha7oBDAQ1XDCiPmMTYFwDF63B2Hls+3i+VNdeYXyKs+R14CZCTBUXDmKTEyBxTnmtpoCYQFsITZUgPoJNZrB50sfKmnBDgCCd13vNHF2kmGw+94vnr1u92bDxKcL6Q7TXvwlXXEPh1BexronJ6oitkNZ2kVV3qjrdx1nRJ9J9PGvwqldlWBvzF38RcfCgWhTe8IaE//Afkk5U3hPFunXCunVPntxt3iy86EUZn/hESLttlxWjRJESzG4kncIXl1ir6R7NphaYBIHuz3ve0+bDHy7wpS+ZZZ/rWkFUQV+3ThNOrIXjx9VTPjbmmw51+fl5tZXU6ybPdgaR5UFLg4OakJJlWtoSBLpO3U9/Q2CW3RBosY1QKKhlxZfH3HdfwL59Ce98Z8LHPhZRLndvNJyDm27qE+k++ni+IKtexOLFH6Ky//cJF+9HwmHa695Ec8svIMU1T2qdEg2RDr/oye+ULdBa90aqe38bGx/FiKZUgPcSg5LnFWTUv+9amGwaggImnUUKEzQ3vhXTPEH1wP99Fg9zhpgKEo3lbY8hJpmBtICLBgCjFeRBBOkiZAkmjbEs5fu1Aqak+yLtLrk2JZBW58qulpKeT0rcpdnBgPrKsxZhY4p06SFam9+OTRdBMiQawzdHtte9SaP8+jgr+kS6j2cNjIFXvjLjla/MOsNyP+xMYmPgxS/O+MpXQmZnhSTR9I9WS+0W1sLp02d+zlr1OcexVpqHoRLg2VkdVAwCWbaNXouFLz+Zm9NEkkqlG3VnrarF6mEmr00/k4z7dWiWtKFWE06f1v0aGFCV+dixM3OoV0JEmJ3V/9dqmmf95S9H1Gopb397zOc/HzIzY9i50/HqV6esW9f3R/fRx/MJ2eBlLF7xV/mEM+cFKUsHX0hWWkfQFkjmdHBQAFNETA3j1E/cC68aG9EsbDFlTNbC1h/BNg/gwnFWWiu6sBhBiW42hwTjgE5pGwwS1jDto5BIToYFespcugF4UXf9QRXSpto0bBUJcoW7o0731oX3IsBkcxiXqTofVAka+yme/CSNre+ieOqfCRqP4QpraW38SbLeQpY+VkWfSPfxrMTjeZd/kLjrroDrr08pFODQIcvDD1uOHlVCXCqpmuuhbYeqQoPk2cwamadV6NqkOD+vhLxbsqIwRpfTum9hcdFQqUgnN9uTZ58xvTpMbjHRz/nYvyjSQcuBAbWOnDplOup577rCUI/BJ4SUy5p7PTQktNuGzZsd3/hGwC23pFxzzepJKX300cfzDOfRRTtauBtX3UE68n9gkxmiuW9jkjlMfBKCGFxPiJyJEFvBuBaIQ0ygg4MGwOKiUW18DMpIOIJJT9NLoj0BNkbARNh0gawwDggS+GrxWRAHYs6iaOdk2uT+bFvSwUsTkIVrkcomrSxPZgEl4qanbEUIEIrdKD4JyIprcYVRjEtw5Y3Y1hEMCc2t/+kZOOPPbfSJdB99PEU0m+qPLhRg61bHzIwS0KNHTa4IayycT6/w0XS+4AQ0faNW04SN2VnNkl6/Xjh8WNflFXhPmJ3TZsM01axsEXj5y1P27g2Yn9dttFqr92V5xLG+f9NNKSIwNRVgrVo7nOu2K/b6vfVYdLBycVFTSrZtc5RK3ovtGB7WG4C5OU0L6aOPPvo4r5DVNWnDhrjCWtLqDoL6Hmy2qKUyoAUxYRWxAcaU8uJDBybSZsRIi2OEEJOcAlJcYUwJt2vllou8FCVrn/d9AAARHElEQVQYAmlA1gQbYbImuKaWzEhKNPdveSJJ8xxXbDDSRgjJqleQVbcRLE3lVeMBkEBYgTTNY+x8xogBU4RoGDEGk8ziCms7SSDYCFdch22fwCaz9M13Txx9It1HH08RL3hBxp13BmzcqOT4hS/M2LPHctFF6pHevTtgdNTlXmbbiagLQ42S27SJDuE8edITYyXQlYrknmST13J3CfXSkn4/Oiq85S0Jb3xjyic/GXL77SEjI/Doo3DPPcE5kzlE4J57LJOTSp737zeMjekAo1o+oFrVm4Ms08SOSgUmJqSjYmvzIkxMOC67zJGmeoOwMjKwjz766ON8QDZwBdHsN5BICwmy6i5cOExQGCcrbqAw+zUkKCPBEEHrkHqRRTRlwzVxhXFcQT3eNj6lSnAyg3FaPY6JNHfatRBbANpIUNGsZpdC1iBecxutLW/HNA9R3fd7SDQCyRKFU//M8uruMxE0H8UFRcBgW0eRZAEprENzu0ua5Z3OK6nHIraIK62HrIXNVFk32SISVEkGr+oU1/hj6uOJoU+k++jjKeLlL0954AEtZimV1FoxNwcjI0p4w1AzmwcHoVYTFhc17m5kxHHwoO0MJZ44YThyRNM8rO1Wf5fLkmdmaz7zxISmbGzeLAwMCD/7swmvepXqCFdf7fjmN2HNGsd3vxtSKPjBwOXwcXiVihbQ7Nlj8vQPOHlSifEFFzjGx4UtW4Q9ewy7dwcUixpD6NXm//pfW/zjP0Y0m4aNG4VWC06fttx6a0K1+oP9OfTRRx99fD9IB64gHbyKcPFesBXNS24fVwsHomUk6QIGcIUhbDyHC2uaYFF/UMmyOEw6j208BohmL0uqRSq2iHTbq5DCKBKUgHFcYYxk5EaaF74bAGNLuMIoLlpH1Pg6YksYt7TqfrtgIB80NERLD+MK40g0ik1OIe02rrgJCatklUlseppw9mvgMq0xzxoYyajv+E1s6wBBfQ+udAEYsM2DZLVLyCoX/sB+Bs8l9Il0H308RQwPw3veE3PvvQEHDhgWF6HdDti+XdMvqtWMu+4KCALhyisdGzeqgrxxo/DXfx3xt38bUq8bTp40uZKrRHpxUYcWw1D4xV+MOXCgzNJSxvHjJvcv62c+8IECF13U4qKLhMlJx9VXZ3zlKwFp6v3VZz4srNXyKXVRsj46KgwPa7Njva7Dg3/7ty1OnjR8+9sBu3bBv//3KXffHXDypPqgf+qnUi69VNi1K+GOOwJ27w4YGBBe+9qYa689q0G7jz766OOHCxvS2vxzhEsPECx9D7KEaPbLuPI2sAWSaETtFukCafVyZGiE1oafJBu8isLMlynv/z1sMo2JZwGHhKNKnrNGnttsaK97E5WhDSTT92GyFkHrAGIjbDJL6djfkNUuIl77OiQapr329RSP/X+Y+FTuZz7T3iFUNDoPp0kjtoxEw0g0jCuux8YnWbr4v+OKa4hmv4ZN5miv+VGCxQcIG3tx4SDtdW8mGb8ZXJto5ssU5r4GGNprbyMZu+m8GAR9NsLI4zUy/HCxFdg3M7OEc+fffk5MDDC9WnD8sxT943l68KEPRZw4YRjuKYOKY9i71/Dud8dceqksm7tptdRe8da3limX1S7h0Wiocvz5zzf54AdrWNvm3/4tpFpVG4lzaq146UszfvM32xQK6pv++tcD3v/+Avv3W4KAvCGxO4BYKKhq7ZxQr1suuEA65No5mJ42/NEftbjuuuWEWESVcl9R/lTwXP/7Zq1hbKwGsA3Y/0ParR80tnIeX7Phuf/37tmOH8bxRNOfp3jyk7jSpu6L4gjqD9Fa/+Mk4/8n2GLPexm2eZChu1+j1eRhrfueS8C1WHjB3zOS3kfj1B6i+XsQE6oPGjDJHFl1B/XJ/6bbFCFoPEr1of9EuHAfiGBcE5ZlRIdkhXGcrRIkp5DimmVWDNOepr3xJ2hu+5UzD9Cl6qN+ihft58PftSdz3e7ffvTRx9MMPxjYiyiCoSHD5s1yxvB6qaSFJb7YpBeiFj5GRoQrr4S9ey3GSF7qAo2GYdMmzaPev19XHIbwspdl3HhjRhDAwIDmTkeR5N5s9Wdv3eoIQx0urFa7pCeOu+kiK+Fj9n7YsYN99NFHH08f3JlhzcYiwSCuvGU5iQYwAa60IfdDr4y6MxgcWekCGLka2zqStwQW8k0leatihWBxd/4RQ1a9iNbGnwET5gkgw9osiH6JCZDCGqQwrNXiQU8DmTgwcvYqb9u/aD+T6BPpPvp4mvGiF7kz6rdPnTJs3ZqdtX1x82bJM5bVZ6wV4UpqX/3qlCCAN71JSawvcVlc1OG/HTvcqjnPP/3TCWvWCM2mEvmBAR1MLBSEDRuECy4Qbr01oVbTdYJus143bN8u7NzZt2f00Ucfz31ktUs1Gs71DPllTbAFssr21T9kCqQDV6nSm7VAEpAY3BJJdRdS3ggTL8WV1mOyJUzWxKSLGNckHbgit1Esv2jHa15DVtmJcTEIiK2RFUZxtoSEQ6TVSdLRm8mKmyDLfdSSYpI5pLCWdPSGZ+YE9XFO9D3SffTxNOPaazO+9z3L7t3d+9ShIfiJn0jPKgoMDMB//I8xf/RHRRoNjbYTgUsvdbzrXZrFvGEDvO99bf7LfykShkqKN250ZBmUSoatW5cT361bhXe8I+YjHyl0kkLabbj4Ysef/Emb8XFN3rjsMscf/3GBY8c0k3py0vHud8f8/+3df4wU9RnH8ffuHi7HbxU5QH5cOOA5Wq+1hwg1apvQRiWS+gurjTVtahRrY/uHNm0a1JD+oNrYpEqiprFtNLEW/UOhof2j1VhSS4u2Tfyjj6Ag2l4EEX9w3OHd7vaP7wAHHne3c7c3M3uf1z+wM8Pu89zMPDw3+53vTJrUX6QiIvWlPH4O3TO+RHHfsxxrbnMNdM/5RnjwSX9yObqab6fQ9Tr5j/aRK/cAJSrFJjpb7w/bNDTS2XIXhe4OKB2GhqmUGudQyTdSONJBaVLbie9ZOI3OxeuZ6N8l3/M+lXyRXPkI5YlNfLjkAUpTPwPAkRmXMvHVdRSOdFDJjaM8/my65q6lNGFhTX4+MjA10iIjbNw4uOmmHnbvzvHWW3mmTKnQ2loe9DHma9aUaG7u5umnG3jvvRznn1/immt6Txhr3dYWZunYurWBSgX27ctTLIZlxeLH3/OGG3pZsqTMM880cPBgeM+rrupl8uSwPpeDq68usWpVF7t2hfHUCxaEeaFFRMaEXI6eGZdRmnIuhU6H3Dh6J38iTEk3gNLUczl0ziMUO35L4fBr0fCMr1JubD6+0Wln0LlwHY1vPhxmB+k5SCX3Pt1NV5w4JjvSe/oKPvzUoxQ7NlHo3EVpYivds6+j0ji3z+eexwdLN1M4vItcqZvShPmDxiq1o0ZapAbyeWhpqdDSMvTp7fP5MCxk+fJTPw0wl4NVq0q0t5d5/fUwdV5ra/lYY9zfey5bVmbZsoGfMNjYCG1tGsohImNXefysMN9yFUqTFnN40V0DbzP5HDoX/ZBC53/IVXrpnbCQSrHp1HFMWEhXy/cH/uB8A6VJrVXFKrWhRlokg2bOrDBzpp5BJSKSBZVxU+mdtjzpMKQGdLOhiIiIiEgMw74ibWaLgUeAaUAReNLd74nWTQB+BSwlPPPyDnffMtzPFBERERFJ2khckb4XeMrdzwWWAV83s/OjdXcAH7j7QmA18Esz01wAIiIiIpJ5I9FIV4Cjs+NOiF7vi15/GXgYwN13AjuAy0bgM0VEREREEjUSNxt+B9hsZt8ETgfudPc90bp5wBt9tt0LzKVK0eMaU+mss04xXUJGKZ90Uz7pVm/5xJXmmg31t5+UT3rVUy6gfPozaCNtZi8TGuL+NAG3AI+5+31mNgt43sx2uPv2YUcXOXDgEOXyyc/vTN5YeO58limfdKv3fPL5XOobylpJa82G+j/usq6e8qmnXGBs5BOnbg/aSLt7+0Drzex2YEG0bYeZ/Rm4GNhOuAI9H9gfbT4PeK6qCEVEREREUmgkxkjvBi4FMLPJwEXAK9G6TYQr1pjZIsLNiH8Ygc8UEREREUnUSDTSXwPWmtm/CVehf+fuW6N19wHTzGwXsAW42d3r53sBERERERmzhn2zobu/BFxwinWdwJphvH0BwpiVtEpzbHEon3RTPunWN58+fy8kEkwyUl+zIf3xVUv5pFc95QL1n0+cup2rVNJ5Q0jkQuAvSQchIjIMFwHbkg5ilKhmi0g9GHLdTnsjXSSMq+4ASgnHIiJSjQIwC/gHcCThWEaLaraIZFnVdTvtjbSIiIiISCqNxM2GIiIiIiJjjhppEREREZEY1EiLiIiIiMSgRlpEREREJAY10iIiIiIiMaiRFhERERGJQY20iIiIiEgMw35E+FhmZp8H/gR8290fjJY1AY8BzUAXcLO7b08qxqEws43ASsLk44cI+eyI1mUuHwAzWwz8BjgTOADc6O47k41qaMzsTMLPvAX4CNgJ3OLu+81sBfAw0AjsAW5w931JxVotM7sbuAdoc/dXspqPmY0Hfg58AegGXnT3m7N83I0FqtnpleVzRzU7/fnUsmbrinRMZjYZ+Cmw9aRVPwFecPfFwG3A42aW9ofTbyWcJJ8mxP9kn3VZzAfgIWBjFPdGwomfFRXgXnc3d28DXgM2mFkeeBy4LcrrBWBDgnFWxczagRXAG9HrLOdzL6EYL4720bpoeZaPu7qmmp16WT53VLPTr2Y1W410fPcD9wHvnLT8WsKOwd23Ea4YnDe6oVXH3be4e0/08kVgTnTCQAbzMbMZQDvwRLToCaDdzM5KLqqhc/d33f35Pov+BswHlgLd0X6AsF+uHeXwYjGzIqFI3dpncSbzMbNJwI3AOnevALj721k/7sYA1eyUyvq5o5qdbrWu2WqkYzCzy4Cp7v7UScvPBHLu3rdQ7wXmjmZ8w/Qt4PfuXs5wPnOB/7p7CSD683+kP+6Pif5zvBV4FphHdGUAINoveTM7I6HwqrEeeNzd9/RZltV8WghfAd5tZjvM7Hkzu5A6Ou7qjWp26vOpm3NHNTuValqzNUa6H2b2MuGA6Xc14auML45eRMMzSD5NRw8iM7sO+Apw8WjFJoN6gDAG8kHgyoRjicXMPku4Iva9pGMZIQVgAfBPd7/TzJYDm4E1yYY1dqlmq2aniGp2+tS0ZquR7oe7t59qXfRbzCzg72YGMB1YbWZnuPt6M8PMpve5IjAPeLPmQQ9goHyOMrMrgR8BK9397ejfHUhjPkPwJnC2mRXcvWRmBWA26Y/7BGb2M2ARsDq62rSX8HXh0fXTgbK7v5tUjEP0OWAJsDs6Z+YAfwR+QTbz2Qv0En0d6O7bzewdwo1dmT/uskg1WzU7DVSzU6umNVtDO6rk7tvcfYa7N7t7M/AUcLe7r4822QSshWMFvBF4KZFgh8jMLieMH7zkpK9xIIP5RHcQ/wu4Plp0PeE30f3JRVUdM/sxYTzaFe5+JFr8EtAY7QcI+2VTEvFVw903uPvsPufMW8AlhPGqWcznHeA5oiuc0V3fM4BXyfhxV49Us9Ofj2p2uqhmV3fc5SqVyshFOwaZ2a+BHX58KqWZhLta5xN+21nr7n9NLsLBmdl+wpQ9fQ+eldHVjczlA2BmrYQpbU4HDhKmtPFkoxoaM/sk8ArhJO+KFu929yvN7ALCXcXjOT710NuJBBqTme0BLvcwlVIm8zGzBcCjhCmTeoAfuPvWLB93Y4Vqdjpl+dxRzU5/PrWs2WqkRURERERi0NAOEREREZEY1EiLiIiIiMSgRlpEREREJAY10iIiIiIiMaiRFhERERGJQY20iIiIiEgMaqRFRERERGJQIy0iIiIiEsP/ATlnX74h6UE7AAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "_, axes = plt.subplots(1, 2, sharey=True, figsize=(12, 5))\n", "\n", @@ -1114,7 +2197,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic03-\345\206\263\347\255\226\346\240\221\345\222\214-K-\350\277\221\351\202\273\345\210\206\347\261\273.ipynb" "b/jupyter_chinese/topic03-\345\206\263\347\255\226\346\240\221\345\222\214-K-\350\277\221\351\202\273\345\210\206\347\261\273.ipynb" index a926547348..31b912053d 100644 --- "a/jupyter_chinese/topic03-\345\206\263\347\255\226\346\240\221\345\222\214-K-\350\277\221\351\202\273\345\210\206\347\261\273.ipynb" +++ "b/jupyter_chinese/topic03-\345\206\263\347\255\226\346\240\221\345\222\214-K-\350\277\221\351\202\273\345\210\206\347\261\273.ipynb" @@ -429,7 +429,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -444,9 +444,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(6, 4))\n", "xx = np.linspace(0, 1, 50)\n", @@ -485,7 +506,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -508,9 +529,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 4, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(10, 8))\n", "plt.scatter(train_data[:, 0], train_data[:, 1], c=train_labels, s=100,\n", @@ -527,9 +569,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from sklearn.tree import DecisionTreeClassifier\n", "# 编写一个辅助函数,返回之后的可视化网格\n", @@ -564,20 +627,54 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Collecting pydotplus\n", + "\u001b[?25l Downloading https://files.pythonhosted.org/packages/60/bf/62567830b700d9f6930e9ab6831d6ba256f7b0b730acb37278b0ccdffacf/pydotplus-2.0.2.tar.gz (278kB)\n", + "\u001b[K 100% |████████████████████████████████| 286kB 7.3MB/s eta 0:00:01\n", + "\u001b[?25hRequirement already satisfied: pyparsing>=2.0.1 in /opt/conda/lib/python3.6/site-packages (from pydotplus) (2.2.0)\n", + "Building wheels for collected packages: pydotplus\n", + " Building wheel for pydotplus (setup.py) ... \u001b[?25ldone\n", + "\u001b[?25h Stored in directory: /tmp/.cache/pip/wheels/35/7b/ab/66fb7b2ac1f6df87475b09dc48e707b6e0de80a6d8444e3628\n", + "Successfully built pydotplus\n", + "Installing collected packages: pydotplus\n", + "Successfully installed pydotplus-2.0.2\n", + "\u001b[33mYou are using pip version 19.0.3, however version 19.1.1 is available.\n", + "You should consider upgrading via the 'pip install --upgrade pip' command.\u001b[0m\n" + ] + } + ], "source": [ "!pip install pydotplus # 安装必要模块" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "6685b7e642e840e38371422e5935c224", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Image(value=b'\\x89PNG\\r\\n\\x1a\\n\\x00\\x00\\x00\\rIHDR\\x00\\x00\\x04\\xc8\\x00\\x00\\x01\\xf1\\x08\\x02\\x00\\x00\\x00\\x17\\xe1\\…" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from ipywidgets import Image\n", "from io import StringIO\n", @@ -635,11 +732,116 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
AgeLoan Default
0171
1640
2181
3200
4381
5490
6550
7251
8291
9310
10331
\n", + "
" + ], + "text/plain": [ + " Age Loan Default\n", + "0 17 1\n", + "1 64 0\n", + "2 18 1\n", + "3 20 0\n", + "4 38 1\n", + "5 49 0\n", + "6 55 0\n", + "7 25 1\n", + "8 29 1\n", + "9 31 0\n", + "10 33 1" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data = pd.DataFrame({'Age': [17, 64, 18, 20, 38, 49, 55, 25, 29, 31, 33],\n", " 'Loan Default': [1, 0, 1, 0, 1, 0, 0, 1, 1, 0, 1]})\n", @@ -655,9 +857,114 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
AgeLoan Default
0171
2181
3200
7251
8291
9310
10331
4381
5490
6550
1640
\n", + "
" + ], + "text/plain": [ + " Age Loan Default\n", + "0 17 1\n", + "2 18 1\n", + "3 20 0\n", + "7 25 1\n", + "8 29 1\n", + "9 31 0\n", + "10 33 1\n", + "4 38 1\n", + "5 49 0\n", + "6 55 0\n", + "1 64 0" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data.sort_values('Age')" ] @@ -671,11 +978,26 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "eaae3c582a6548d4ae7b85d513b718d1", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Image(value=b'\\x89PNG\\r\\n\\x1a\\n\\x00\\x00\\x00\\rIHDR\\x00\\x00\\x01\\xf4\\x00\\x00\\x03\\x07\\x08\\x06\\x00\\x00\\x00\\xcfO\\xa5…" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "age_tree = DecisionTreeClassifier(random_state=17)\n", "age_tree.fit(data['Age'].values.reshape(-1, 1), data['Loan Default'].values)\n", @@ -703,9 +1025,126 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
AgeSalaryLoan Default
017251
218221
320360
725701
829331
9311020
1033881
438371
549590
655740
164800
\n", + "
" + ], + "text/plain": [ + " Age Salary Loan Default\n", + "0 17 25 1\n", + "2 18 22 1\n", + "3 20 36 0\n", + "7 25 70 1\n", + "8 29 33 1\n", + "9 31 102 0\n", + "10 33 88 1\n", + "4 38 37 1\n", + "5 49 59 0\n", + "6 55 74 0\n", + "1 64 80 0" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data2 = pd.DataFrame({'Age': [17, 64, 18, 20, 38, 49, 55, 25, 29, 31, 33],\n", " 'Salary': [25, 80, 22, 36, 37, 59, 74, 70, 33, 102, 88],\n", @@ -729,11 +1168,128 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 12, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
AgeSalaryLoan Default
218221
017251
829331
320360
438371
549590
725701
655740
164800
1033881
9311020
\n", + "
" + ], + "text/plain": [ + " Age Salary Loan Default\n", + "2 18 22 1\n", + "0 17 25 1\n", + "8 29 33 1\n", + "3 20 36 0\n", + "4 38 37 1\n", + "5 49 59 0\n", + "7 25 70 1\n", + "6 55 74 0\n", + "1 64 80 0\n", + "10 33 88 1\n", + "9 31 102 0" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data2.sort_values('Salary')" ] @@ -747,11 +1303,27 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 13, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "DecisionTreeClassifier(class_weight=None, criterion='gini', max_depth=None,\n", + " max_features=None, max_leaf_nodes=None,\n", + " min_impurity_decrease=0.0, min_impurity_split=None,\n", + " min_samples_leaf=1, min_samples_split=2,\n", + " min_weight_fraction_leaf=0.0, presort=False, random_state=17,\n", + " splitter='best')" + ] + }, + "execution_count": 13, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "age_sal_tree = DecisionTreeClassifier(random_state=17)\n", "age_sal_tree.fit(data2[['Age', 'Salary']].values, data2['Loan Default'].values)" @@ -759,9 +1331,24 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "ce7147d0e35a4c0e92b1bc75413abba8", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Image(value=b'\\x89PNG\\r\\n\\x1a\\n\\x00\\x00\\x00\\rIHDR\\x00\\x00\\x01\\xfc\\x00\\x00\\x02|\\x08\\x02\\x00\\x00\\x00\\x94h\\x84\\x0…" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "dot_data = StringIO()\n", "export_graphviz(age_sal_tree, feature_names=['Age', 'Salary'],\n", @@ -940,9 +1527,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from sklearn.tree import DecisionTreeRegressor\n", "n_train = 150\n", @@ -1192,7 +1790,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 16, "metadata": {}, "outputs": [], "source": [ @@ -1209,9 +1807,176 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Account lengthArea codeInternational planVoice mail planNumber vmail messagesTotal day minutesTotal day callsTotal day chargeTotal eve minutesTotal eve callsTotal eve chargeTotal night minutesTotal night callsTotal night chargeTotal intl minutesTotal intl callsTotal intl chargeCustomer service calls
01284150025265.111045.07197.49916.78244.79111.0110.032.701
11074150026161.612327.47195.510316.62254.410311.4513.733.701
2137415010243.411441.38121.211010.30162.61047.3212.253.290
384408110299.47150.9061.9885.26196.9898.866.671.782
475415110166.711328.34148.312212.61186.91218.4110.132.733
\n", + "
" + ], + "text/plain": [ + " Account length ... Customer service calls\n", + "0 128 ... 1\n", + "1 107 ... 1\n", + "2 137 ... 0\n", + "3 84 ... 2\n", + "4 75 ... 3\n", + "\n", + "[5 rows x 18 columns]" + ] + }, + "execution_count": 17, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.head()" ] @@ -1232,9 +1997,22 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "KNeighborsClassifier(algorithm='auto', leaf_size=30, metric='minkowski',\n", + " metric_params=None, n_jobs=None, n_neighbors=10, p=2,\n", + " weights='uniform')" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.model_selection import train_test_split, StratifiedKFold\n", "from sklearn.neighbors import KNeighborsClassifier\n", @@ -1258,9 +2036,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 19, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.94" + ] + }, + "execution_count": 19, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.metrics import accuracy_score\n", "\n", @@ -1270,9 +2059,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.881" + ] + }, + "execution_count": 20, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "knn_pred = knn.predict(X_holdout)\n", "accuracy_score(y_holdout, knn_pred)" @@ -1294,9 +2094,45 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 4 candidates, totalling 20 fits\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 20 out of 20 | elapsed: 4.6s finished\n" + ] + }, + { + "data": { + "text/plain": [ + "GridSearchCV(cv=5, error_score='raise-deprecating',\n", + " estimator=DecisionTreeClassifier(class_weight=None, criterion='gini', max_depth=5,\n", + " max_features=None, max_leaf_nodes=None,\n", + " min_impurity_decrease=0.0, min_impurity_split=None,\n", + " min_samples_leaf=1, min_samples_split=2,\n", + " min_weight_fraction_leaf=0.0, presort=False, random_state=17,\n", + " splitter='best'),\n", + " fit_params=None, iid='warn', n_jobs=-1,\n", + " param_grid={'max_depth': range(5, 7), 'max_features': range(16, 18)},\n", + " pre_dispatch='2*n_jobs', refit=True, return_train_score='warn',\n", + " scoring=None, verbose=True)" + ] + }, + "execution_count": 21, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.model_selection import GridSearchCV, cross_val_score\n", "\n", @@ -1318,27 +2154,60 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 22, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'max_depth': 6, 'max_features': 17}" + ] + }, + "execution_count": 22, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "tree_grid.best_params_" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 23, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.9425632233176168" + ] + }, + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "tree_grid.best_score_" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.946" + ] + }, + "execution_count": 24, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "accuracy_score(y_holdout, tree_grid.predict(X_holdout))" ] @@ -1352,9 +2221,24 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 25, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "c7af706e0ea046a9a8af52905f2de9f3", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Image(value=b'\\x89PNG\\r\\n\\x1a\\n\\x00\\x00\\x00\\rIHDR\\x00\\x00\\x11S\\x00\\x00\\x03\\x91\\x08\\x02\\x00\\x00\\x00n`\\x12~\\x00\\…" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "dot_data = StringIO()\n", "export_graphviz(tree_grid.best_estimator_, feature_names=df.columns,\n", @@ -1372,11 +2256,37 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 26, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 2 candidates, totalling 10 fits\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 10 out of 10 | elapsed: 1.8s finished\n" + ] + }, + { + "data": { + "text/plain": [ + "({'knn__n_neighbors': 7}, 0.8859837119588513)" + ] + }, + "execution_count": 26, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.pipeline import Pipeline\n", "from sklearn.preprocessing import StandardScaler\n", @@ -1397,18 +2307,40 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'knn__n_neighbors': 7}" + ] + }, + "execution_count": 27, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "knn_grid.best_params_" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 28, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.89" + ] + }, + "execution_count": 28, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "accuracy_score(y_holdout, knn_grid.predict(X_holdout))" ] @@ -1436,9 +2368,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 29, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.9494233119813256" + ] + }, + "execution_count": 29, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.ensemble import RandomForestClassifier\n", "\n", @@ -1449,9 +2392,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 4 candidates, totalling 20 fits\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 20 out of 20 | elapsed: 8.2s finished\n" + ] + }, + { + "data": { + "text/plain": [ + "({'max_depth': 9, 'max_features': 6}, 0.9511358765537934)" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "forest_params = {'max_depth': range(8, 10),\n", " 'max_features': range(5, 7)}\n", @@ -1465,9 +2434,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 31, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.953" + ] + }, + "execution_count": 31, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "accuracy_score(y_holdout, forest_grid.predict(X_holdout))" ] @@ -1502,9 +2482,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 32, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 32, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def form_linearly_separable_data(n=500, x1_min=0, x1_max=30,\n", " x2_min=0, x2_max=30):\n", @@ -1531,9 +2532,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'Easy task. Decision tree compexifies everything')" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "tree = DecisionTreeClassifier(random_state=17).fit(X, y)\n", "\n", @@ -1554,11 +2576,26 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 34, "metadata": { "scrolled": false }, - "outputs": [], + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "1a584d9902214f6f883b3eb69a7d19d2", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Image(value=b'\\x89PNG\\r\\n\\x1a\\n\\x00\\x00\\x00\\rIHDR\\x00\\x00\\x08K\\x00\\x00\\x04\\x1c\\x08\\x06\\x00\\x00\\x00gI\\x87\\xf3\\x…" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "dot_data = StringIO()\n", "export_graphviz(tree, feature_names=['x1', 'x2'],\n", @@ -1627,9 +2664,27 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 35, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([[ 0., 0., 5., 13., 9., 1., 0., 0.],\n", + " [ 0., 0., 13., 15., 10., 15., 5., 0.],\n", + " [ 0., 3., 15., 2., 0., 11., 8., 0.],\n", + " [ 0., 4., 12., 0., 0., 8., 8., 0.],\n", + " [ 0., 5., 8., 0., 0., 9., 8., 0.],\n", + " [ 0., 4., 11., 0., 1., 12., 7., 0.],\n", + " [ 0., 2., 14., 5., 10., 12., 0., 0.],\n", + " [ 0., 0., 6., 13., 10., 0., 0., 0.]])" + ] + }, + "execution_count": 35, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.datasets import load_digits\n", "\n", @@ -1648,9 +2703,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 36, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "f, axes = plt.subplots(1, 4, sharey=True, figsize=(16, 6))\n", "for i in range(4):\n", @@ -1666,7 +2732,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 37, "metadata": {}, "outputs": [], "source": [ @@ -1683,9 +2749,23 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 38, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Pipeline(memory=None,\n", + " steps=[('scaler', StandardScaler(copy=True, with_mean=True, with_std=True)), ('knn', KNeighborsClassifier(algorithm='auto', leaf_size=30, metric='minkowski',\n", + " metric_params=None, n_jobs=None, n_neighbors=10, p=2,\n", + " weights='uniform'))])" + ] + }, + "execution_count": 38, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "tree = DecisionTreeClassifier(max_depth=5, random_state=17)\n", "knn_pipe = Pipeline([('scaler', StandardScaler()),\n", @@ -1704,9 +2784,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 39, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(0.975925925925926, 0.6666666666666666)" + ] + }, + "execution_count": 39, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "tree_pred = tree.predict(X_holdout)\n", "knn_pred = knn_pipe.predict(X_holdout)\n", @@ -1723,9 +2814,47 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 40, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 9 candidates, totalling 45 fits\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 45 out of 45 | elapsed: 0.4s finished\n", + "/opt/conda/lib/python3.6/site-packages/sklearn/model_selection/_search.py:841: DeprecationWarning: The default of the `iid` parameter will change from True to False in version 0.22 and will be removed in 0.24. This will change numeric results when test-set sizes are unequal.\n", + " DeprecationWarning)\n" + ] + }, + { + "data": { + "text/plain": [ + "GridSearchCV(cv=5, error_score='raise-deprecating',\n", + " estimator=DecisionTreeClassifier(class_weight=None, criterion='gini', max_depth=5,\n", + " max_features=None, max_leaf_nodes=None,\n", + " min_impurity_decrease=0.0, min_impurity_split=None,\n", + " min_samples_leaf=1, min_samples_split=2,\n", + " min_weight_fraction_leaf=0.0, presort=False, random_state=17,\n", + " splitter='best'),\n", + " fit_params=None, iid='warn', n_jobs=-1,\n", + " param_grid={'max_depth': [10, 20, 30], 'max_features': [30, 50, 64]},\n", + " pre_dispatch='2*n_jobs', refit=True, return_train_score='warn',\n", + " scoring=None, verbose=True)" + ] + }, + "execution_count": 40, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "tree_params = {'max_depth': [10, 20, 30],\n", " 'max_features': [30, 50, 64]}\n", @@ -1745,9 +2874,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 41, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "({'max_depth': 20, 'max_features': 64}, 0.8440731901352426)" + ] + }, + "execution_count": 41, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "tree_grid.best_params_, tree_grid.best_score_" ] @@ -1761,9 +2901,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 42, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.9865458422397149" + ] + }, + "execution_count": 42, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "np.mean(cross_val_score(KNeighborsClassifier(\n", " n_neighbors=1), X_train, y_train, cv=5))" @@ -1785,9 +2936,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 43, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.9349085619110404" + ] + }, + "execution_count": 43, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "np.mean(cross_val_score(RandomForestClassifier(\n", " random_state=17), X_train, y_train, cv=5))" @@ -1841,7 +3003,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 44, "metadata": {}, "outputs": [], "source": [ @@ -1867,9 +3029,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 45, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 45, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from sklearn.model_selection import cross_val_score\n", "X_train, X_holdout, y_train, y_holdout = train_test_split(\n", @@ -1911,9 +3094,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 46, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Decision tree. CV: 1.0, holdout: 1.0\n" + ] + } + ], "source": [ "tree = DecisionTreeClassifier(random_state=17, max_depth=1)\n", "tree_cv_score = np.mean(cross_val_score(tree, X_train, y_train, cv=5))\n", @@ -2074,7 +3265,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic04-\347\272\277\346\200\247\345\233\236\345\275\222\345\222\214\347\272\277\346\200\247\345\210\206\347\261\273\345\231\250.ipynb" "b/jupyter_chinese/topic04-\347\272\277\346\200\247\345\233\236\345\275\222\345\222\214\347\272\277\346\200\247\345\210\206\347\261\273\345\231\250.ipynb" index b32929001e..1cd755705d 100644 --- "a/jupyter_chinese/topic04-\347\272\277\346\200\247\345\233\236\345\275\222\345\222\214\347\272\277\346\200\247\345\210\206\347\261\273\345\231\250.ipynb" +++ "b/jupyter_chinese/topic04-\347\272\277\346\200\247\345\233\236\345\275\222\345\222\214\347\272\277\346\200\247\345\210\206\347\261\273\345\231\250.ipynb" @@ -829,7 +829,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -843,9 +843,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'Sigmoid function')" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def sigma(z):\n", " return 1. / (1 + np.exp(-z))\n", @@ -1235,7 +1256,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -1259,9 +1280,24 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "RangeIndex: 118 entries, 0 to 117\n", + "Data columns (total 3 columns):\n", + "test1 118 non-null float64\n", + "test2 118 non-null float64\n", + "released 118 non-null int64\n", + "dtypes: float64(2), int64(1)\n", + "memory usage: 2.8 KB\n" + ] + } + ], "source": [ "# 读取数据集\n", "data = pd.read_csv('../../data/microchip_tests.txt',\n", @@ -1279,18 +1315,168 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
test1test2released
00.0512670.699561
1-0.0927420.684941
2-0.2137100.692251
3-0.3750000.502191
4-0.5132500.465641
\n", + "
" + ], + "text/plain": [ + " test1 test2 released\n", + "0 0.051267 0.69956 1\n", + "1 -0.092742 0.68494 1\n", + "2 -0.213710 0.69225 1\n", + "3 -0.375000 0.50219 1\n", + "4 -0.513250 0.46564 1" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data.head(5)" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
test1test2released
113-0.7206200.5387400
114-0.5938900.4948800
115-0.4844500.9992700
116-0.0063360.9992700
1170.632650-0.0306120
\n", + "
" + ], + "text/plain": [ + " test1 test2 released\n", + "113 -0.720620 0.538740 0\n", + "114 -0.593890 0.494880 0\n", + "115 -0.484450 0.999270 0\n", + "116 -0.006336 0.999270 0\n", + "117 0.632650 -0.030612 0" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data.tail(5)" ] @@ -1304,7 +1490,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, "outputs": [], "source": [ @@ -1321,9 +1507,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.scatter(X[y == 1, 0], X[y == 1, 1], c='blue', label='Released')\n", "plt.scatter(X[y == 0, 0], X[y == 0, 1], c='orange', label='Faulty')\n", @@ -1342,7 +1549,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, "outputs": [], "source": [ @@ -1403,7 +1610,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, "outputs": [], "source": [ @@ -1413,9 +1620,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(118, 36)" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "X_poly.shape" ] @@ -1429,9 +1647,27 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy on training set: 0.627\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "C = 1e-2\n", "logit = LogisticRegression(C=C, random_state=17)\n", @@ -1459,9 +1695,27 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy on training set: 0.831\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "C = 1\n", "logit = LogisticRegression(C=C, random_state=17)\n", @@ -1489,9 +1743,27 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy on training set: 0.873\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "C = 1e4\n", "logit = LogisticRegression(C=C, random_state=17)\n", @@ -1573,9 +1845,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 5 out of 5 | elapsed: 5.1s finished\n" + ] + }, + { + "data": { + "text/plain": [ + "LogisticRegressionCV(Cs=array([3.16958e-02, 1.00463e-01, 3.18424e-01, 1.00927e+00, 3.19897e+00,\n", + " 1.01394e+01, 3.21376e+01, 1.01863e+02]),\n", + " class_weight=None,\n", + " cv=StratifiedKFold(n_splits=5, random_state=17, shuffle=True),\n", + " dual=False, fit_intercept=True, intercept_scaling=1.0,\n", + " max_iter=100, multi_class='warn', n_jobs=-1, penalty='l2',\n", + " random_state=None, refit=True, scoring=None, solver='lbfgs',\n", + " tol=0.0001, verbose=1)" + ] + }, + "execution_count": 15, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "# 该单元格执行时间较长,请耐心等待\n", "skf = StratifiedKFold(n_splits=5, shuffle=True, random_state=17)\n", @@ -1589,9 +1887,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([10.13939458])" + ] + }, + "execution_count": 16, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "logit_searcher.C_" ] @@ -1605,9 +1914,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0, 0.5, 'Mean CV-accuracy')" + ] + }, + "execution_count": 17, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.plot(c_values, np.mean(logit_searcher.scores_[1], axis=0))\n", "plt.xlabel('C')\n", @@ -1623,11 +1953,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 18, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(0, 10)" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.plot(c_values, np.mean(logit_searcher.scores_[1], axis=0))\n", "plt.xlabel('C')\n", @@ -1680,7 +2031,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 19, "metadata": {}, "outputs": [], "source": [ @@ -1713,7 +2064,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 21, "metadata": {}, "outputs": [], "source": [ @@ -1735,11 +2086,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 22, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Number of documents in training data: 25000\n", + "[12500 12500]\n", + "Number of documents in test data: 25000\n", + "[12500 12500]\n" + ] + } + ], "source": [ "print(\"Number of documents in training data: %d\" % len(text_train))\n", "print(np.bincount(y_train))\n", @@ -1756,11 +2118,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 23, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "b'Words can\\'t describe how bad this movie is. I can\\'t explain it by writing only. You have too see it for yourself to get at grip of how horrible a movie really can be. Not that I recommend you to do that. There are so many clich\\xc3\\xa9s, mistakes (and all other negative things you can imagine) here that will just make you cry. To start with the technical first, there are a LOT of mistakes regarding the airplane. I won\\'t list them here, but just mention the coloring of the plane. They didn\\'t even manage to show an airliner in the colors of a fictional airline, but instead used a 747 painted in the original Boeing livery. Very bad. The plot is stupid and has been done many times before, only much, much better. There are so many ridiculous moments here that i lost count of it really early. Also, I was on the bad guys\\' side all the time in the movie, because the good guys were so stupid. \"Executive Decision\" should without a doubt be you\\'re choice over this one, even the \"Turbulence\"-movies are better. In fact, every other movie in the world is better than this one.'" + ] + }, + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "text_train[1]" ] @@ -1774,11 +2147,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 24, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "0" + ] + }, + "execution_count": 24, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "y_train[1]" ] @@ -1806,9 +2190,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 25, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "74849" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "cv = CountVectorizer()\n", "cv.fit(text_train)\n", @@ -1825,11 +2220,20 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 26, "metadata": { "scrolled": false }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "['00', '000', '0000000000001', '00001', '00015', '000s', '001', '003830', '006', '007', '0079', '0080', '0083', '0093638', '00am', '00pm', '00s', '01', '01pm', '02', '020410', '029', '03', '04', '041', '05', '050', '06', '06th', '07', '08', '087', '089', '08th', '09', '0f', '0ne', '0r', '0s', '10', '100', '1000', '1000000', '10000000000000', '1000lb', '1000s', '1001', '100b', '100k', '100m']\n", + "['pincher', 'pinchers', 'pinches', 'pinching', 'pinchot', 'pinciotti', 'pine', 'pineal', 'pineapple', 'pineapples', 'pines', 'pinet', 'pinetrees', 'pineyro', 'pinfall', 'pinfold', 'ping', 'pingo', 'pinhead', 'pinheads', 'pinho', 'pining', 'pinjar', 'pink', 'pinkerton', 'pinkett', 'pinkie', 'pinkins', 'pinkish', 'pinko', 'pinks', 'pinku', 'pinkus', 'pinky', 'pinnacle', 'pinnacles', 'pinned', 'pinning', 'pinnings', 'pinnochio', 'pinnocioesque', 'pino', 'pinocchio', 'pinochet', 'pinochets', 'pinoy', 'pinpoint', 'pinpoints', 'pins', 'pinsent']\n" + ] + } + ], "source": [ "print(cv.get_feature_names()[:50])\n", "print(cv.get_feature_names()[50000:50050])" @@ -1846,9 +2250,21 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "<25000x74849 sparse matrix of type ''\n", + "\twith 3445861 stored elements in Compressed Sparse Row format>" + ] + }, + "execution_count": 27, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "X_train = cv.transform(text_train)\n", "X_train" @@ -1863,9 +2279,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 28, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "b'This movie is terrible but it has some good effects.'" + ] + }, + "execution_count": 28, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "text_train[19726]" ] @@ -1879,18 +2306,43 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 29, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([ 9881, 21020, 28068, 29999, 34585, 34683, 44147, 61617, 66150,\n", + " 66562], dtype=int32)" + ] + }, + "execution_count": 29, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "X_train[19726].nonzero()[1]" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0], dtype=int32),\n", + " array([ 9881, 21020, 28068, 29999, 34585, 34683, 44147, 61617, 66150,\n", + " 66562], dtype=int32))" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "X_train[19726].nonzero()" ] @@ -1905,7 +2357,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 31, "metadata": {}, "outputs": [], "source": [ @@ -1921,11 +2373,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 32, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "LogisticRegression(C=1.0, class_weight=None, dual=False, fit_intercept=True,\n", + " intercept_scaling=1, max_iter=100, multi_class='warn', n_jobs=-1,\n", + " penalty='l2', random_state=7, solver='lbfgs', tol=0.0001,\n", + " verbose=0, warm_start=False)" + ] + }, + "execution_count": 32, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "logit = LogisticRegression(solver='lbfgs', n_jobs=-1, random_state=7)\n", "logit.fit(X_train, y_train)" @@ -1940,9 +2406,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(0.981, 0.864)" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "round(logit.score(X_train, y_train), 3), round(logit.score(X_test, y_test), 3)," ] @@ -1956,7 +2433,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 34, "metadata": {}, "outputs": [], "source": [ @@ -1979,7 +2456,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 35, "metadata": {}, "outputs": [], "source": [ @@ -1993,9 +2470,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 36, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "visualize_coefficients(logit, cv.get_feature_names())" ] @@ -2009,9 +2497,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 37, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.864\n" + ] + } + ], "source": [ "from sklearn.pipeline import make_pipeline\n", "# 该单元格执行时间较长,请耐心等待\n", @@ -2026,9 +2522,31 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 38, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "GridSearchCV(cv=3, error_score='raise-deprecating',\n", + " estimator=Pipeline(memory=None,\n", + " steps=[('countvectorizer', CountVectorizer(analyzer='word', binary=False, decode_error='strict',\n", + " dtype=, encoding='utf-8', input='content',\n", + " lowercase=True, max_df=1.0, max_features=None, min_df=1,\n", + " ngram_range=(1, 1), preprocessor=None, stop_words=None,\n", + " ... penalty='l2', random_state=7, solver='lbfgs', tol=0.0001,\n", + " verbose=0, warm_start=False))]),\n", + " fit_params=None, iid='warn', n_jobs=-1,\n", + " param_grid={'logisticregression__C': array([0.1])},\n", + " pre_dispatch='2*n_jobs', refit=True, return_train_score=True,\n", + " scoring=None, verbose=0)" + ] + }, + "execution_count": 38, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.model_selection import GridSearchCV\n", "# 该单元格执行时间较长,请耐心等待\n", @@ -2050,20 +2568,42 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 39, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "({'logisticregression__C': 0.1}, 0.8848)" + ] + }, + "execution_count": 39, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "grid_logit.best_params_, grid_logit.best_score_" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 40, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plot_grid_scores(grid_logit, 'logisticregression__C')" ] @@ -2077,9 +2617,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 41, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.87812" + ] + }, + "execution_count": 41, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "grid_logit.score(text_test, y_test)" ] @@ -2093,7 +2644,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 42, "metadata": {}, "outputs": [], "source": [ @@ -2105,20 +2656,47 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 43, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "RandomForestClassifier(bootstrap=True, class_weight=None, criterion='gini',\n", + " max_depth=None, max_features='auto', max_leaf_nodes=None,\n", + " min_impurity_decrease=0.0, min_impurity_split=None,\n", + " min_samples_leaf=1, min_samples_split=2,\n", + " min_weight_fraction_leaf=0.0, n_estimators=200, n_jobs=-1,\n", + " oob_score=False, random_state=17, verbose=0, warm_start=False)" + ] + }, + "execution_count": 43, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "forest.fit(X_train, y_train)" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 44, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "0.855" + ] + }, + "execution_count": 44, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "round(forest.score(X_test, y_test), 3)" ] @@ -2161,7 +2739,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 45, "metadata": {}, "outputs": [], "source": [ @@ -2172,9 +2750,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 46, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 46, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.scatter(X[:, 0], X[:, 1], s=30, c=y, cmap=plt.cm.Paired)" ] @@ -2188,7 +2787,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 47, "metadata": {}, "outputs": [], "source": [ @@ -2217,9 +2816,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 48, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plot_boundary(LogisticRegression(solver='lbfgs'), X, y,\n", " \"Logistic Regression, XOR problem\")" @@ -2234,7 +2844,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 49, "metadata": {}, "outputs": [], "source": [ @@ -2244,7 +2854,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 50, "metadata": {}, "outputs": [], "source": [ @@ -2254,9 +2864,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 51, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plot_boundary(logit_pipe, X, y,\n", " \"Logistic Regression + quadratic features. XOR problem\")" @@ -2286,7 +2907,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 52, "metadata": {}, "outputs": [], "source": [ @@ -2332,7 +2953,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 53, "metadata": {}, "outputs": [], "source": [ @@ -2355,7 +2976,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 54, "metadata": {}, "outputs": [], "source": [ @@ -2377,9 +2998,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 55, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def plot_with_err(x, data, **kwargs):\n", " mu, std = data.mean(1), data.std(1)\n", @@ -2448,7 +3080,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 56, "metadata": {}, "outputs": [], "source": [ @@ -2476,9 +3108,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 57, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plot_learning_curve(degree=2, alpha=10)" ] @@ -2499,9 +3142,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 58, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plot_learning_curve(degree=2, alpha=0.05)" ] @@ -2522,9 +3176,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 59, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plot_learning_curve(degree=2, alpha=1e-4)" ] @@ -2611,7 +3276,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic05-\351\233\206\346\210\220\345\255\246\344\271\240\345\222\214\351\232\217\346\234\272\346\243\256\346\236\227\346\226\271\346\263\225.ipynb" "b/jupyter_chinese/topic05-\351\233\206\346\210\220\345\255\246\344\271\240\345\222\214\351\232\217\346\234\272\346\243\256\346\236\227\346\226\271\346\263\225.ipynb" index 3991f571aa..4ae9141723 100644 --- "a/jupyter_chinese/topic05-\351\233\206\346\210\220\345\255\246\344\271\240\345\222\214\351\232\217\346\234\272\346\243\256\346\236\227\346\226\271\346\263\225.ipynb" +++ "b/jupyter_chinese/topic05-\351\233\206\346\210\220\345\255\246\344\271\240\345\222\214\351\232\217\346\234\272\346\243\256\346\236\227\346\226\271\346\263\225.ipynb" @@ -178,7 +178,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -195,9 +195,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "telecom_data = pd.read_csv(\n", " '../../data/telecom_churn.csv')\n", @@ -226,7 +237,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -253,7 +264,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, "outputs": [], "source": [ @@ -274,7 +285,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, "outputs": [], "source": [ @@ -292,7 +303,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, "outputs": [], "source": [ @@ -313,11 +324,20 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Service calls from loyal: mean interval [1.4077193 1.49473684]\n", + "Service calls from churn: mean interval [2.0621118 2.39761905]\n" + ] + } + ], "source": [ "print(\"Service calls from loyal: mean interval\",\n", " stat_intervals(loyal_mean_scores, 0.05))\n", @@ -609,7 +629,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, "outputs": [], "source": [ @@ -646,7 +666,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, "outputs": [], "source": [ @@ -678,9 +698,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'Decision tree, MSE = 20.09')" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "dtree = DecisionTreeRegressor().fit(X_train, y_train)\n", "d_predict = dtree.predict(X_test)\n", @@ -703,11 +744,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 11, "metadata": { "scrolled": false }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'Bagging for decision trees, MSE = 15.24')" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "bdt = BaggingRegressor(DecisionTreeRegressor()).fit(X_train, y_train)\n", "bdt_predict = bdt.predict(X_test)\n", @@ -730,9 +792,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'Random forest, MSE = 15.71')" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAmUAAAF2CAYAAAAvJe+PAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xd4VFX6wPHvnZKZSSFhEkIIEDoIIi0sKB0pigVFUbFhZ1HBdcVdxYrYWAu6CgiiC66AZVV+IogISAcVEqIivSWUFJKQnkwyM/f3x5Rk0iHDZBLez/PkIblzZu65c1Ne3nPOexRVVVWEEEIIIUS90tR3B4QQQgghhARlQgghhBB+QYIyIYQQQgg/IEGZEEIIIYQfkKBMCCGEEMIPSFAmhBBCCOEHJCgT4iI0bNgwHnzwwXo7//vvv0+rVq3QaDTMmDGj3vohhBD+RIIyIXzs3nvvRVEUFEVBq9XSqlUrJk6cyKlTp+q7az5x+vRpHn/8caZPn86pU6d48skn67U/S5YsQVGU83rujBkzUBSFPn36VHjst99+c9/nkydPuo9v3bqV0aNH06xZM4xGI23atGH8+PEkJia627ieV/5jypQp59XP2lq+fDljxowhKioKRVFYsmRJhTauay7/cfjw4Spfd+PGjVVe05tvvulu9+GHHzJixAjCw8NRFIWtW7dekOsUwl9JUCZEPRg8eDDJyckkJSWxbNkydu/ezS233FLf3fKJo0ePYrfbGTt2LC1atCA4OPi8Xqe4uNjLPTs/zZo1Y//+/cTHx3scX7BgAW3atPE4tm/fPkaNGkWnTp1Yt24d+/btY/HixbRt25acnByPtnPmzCE5Odnj4/XXX7+g15KXl0e/fv2YP39+te3atm1boW/t2rWrsv2AAQMqtJ89ezYajYZbb73V3a6goIArr7ySN954w2vXJESDogohfOqee+5RR4wY4XHsvffeUwE1OzvbfWzp0qVqv3791CZNmqjh4eHqNddcox44cMD9+LFjx1RA/eKLL9Rrr71WNZlMart27dRFixZ5vPbx48fVq666SjUajWqrVq3U9957Tx06dKj6wAMPuNvk5OSokyZNUiMiItSAgAA1NjZWXbNmTYVzLV26VB09erRqMpnULl26qBs3blRPnjypjhkzRg0MDFS7du2qbt68ucprf/HFF1XA4+PYsWOqqqrq4sWL1a5du6p6vV5t2bKl+uyzz6olJSXu5w4dOlS9//771eeee06NiopSmzdvrqqqqhYXF6svvvii2rZtW9VgMKjdunVT58+f73HehQsXqpdccolqMBjUpk2bqoMHD1ZPnDihbtiwoUJ/7rnnnupvYLnr6dChg3r33XerkydPdh/Pz89XmzRpos6cOVMF1BMnTqiqqqrvvPOOGhERUePrAuqnn35a635cCFX1wXXNdTVgwAD1mmuuqfQx1/fbli1b6nweIRoSyZQJUc9Onz7NV199hVarRavVuo9bLBaee+454uPjWbt2LVqtlmuvvbZChujpp59m4sSJ/P7770yYMIEHH3yQgwcPAqCqKuPGjSMjI4ONGzfy3XffsWLFigpZnfvvv581a9awZMkSEhISGDhwINdddx379+/3aPf888/z8MMPk5CQQNeuXZkwYQL33HMPDz30ELt376Zbt27ccccdlJSUVHqtTz75JF9//TUA8fHxJCcn07p1a1atWsX999/P3XffzZ49e3j77beZO3cuL730ksfzv/zyS86cOcP69etZu3YtAA899BDffPMNCxYsYN++fbzwwgs89dRTfPzxxwDExcUxefJkpk+fzoEDB9i0aRMTJ04EHBmcOXPmALgzOP/+979rf/OcJk2axLJlyygoKADg888/Jzo6msGDB3u0a9GiBWfPnmX16tXnfI6abNmyheDg4Go/xowZ45VznTx5klatWtGqVSvGjBnD9u3bz+n5f/zxB9u3b+evf/2rV/ojRKNR31GhEBebe+65R9VqtWpQUJBqMpncGZpp06ZV+7yMjAwVULdu3aqqamk24e2333a3sVqtanBwsDtTtHbtWhXwyLClpaWpRqPRnSk7dOiQCqirVq3yOF/v3r3V++67z+Nc77zzjvvxX3/9VQXUt956y30sPj5eBdQ//vijyutwZadc2SNVVdVBgwapt9xyi0e7d999VzUajarFYlFV1ZEp69Spk2qz2dxtjh49qiqKou7bt8/juS+99JLas2dPVVVV9ZtvvlGbNGnikYUs69NPP1XP91dh2axRt27d1P/85z+qqqpq//791bfffrvCtdpsNvWBBx5QFUVRzWazetVVV6mzZs1Sk5KSPF4XUA0GgxoUFOTx8fnnn1fZl4KCAvXQoUPVfpw8ebLW10YVmbJVq1apy5YtUxMSEtTNmzerd955p6rRaNQff/yx1q/96KOPqi1btlStVmulj0umTFysdPUQBwpx0evfvz+ffPIJRUVFfPnll6xbt45XXnnFo01CQgIvvfQSCQkJpKeno6oqAImJiQwcONDdrlevXu7PtVotkZGRpKamArB3714iIiLo3Lmzu02zZs3o0qWL++u9e/cCMGTIEI/zDxkyhB07dngc69mzp/vzqKgoAHr06FHhWFpaWm3fCgD+/PNPbrvtNo9jQ4cOpaioiCNHjtC1a1cAYmNj0WhKE/y7du1CVVX69u3r8Vyr1erOOo4aNYr27dvTrl07Ro0axZVXXslNN91ERETEOfWxJg899BALFy4kNjaWhIQEVq5cyZ49ezzaaDQaPvroI1555RU2bNjAzp07WbBgAS+//DIrV65k2LBh7ravvvoqN9xwg8fzXe9vZUwmEx07dvTqNVXmmmuu8fh68ODBnDx5kjfffJNRo0bV+PyCggKWLFnC448/7pEZFkLIRH8h6oXrD2j37t2ZOXMm7dq1Y+rUqe7HCwoKGD16NIqisGjRIn799Vd27tyJoigVhi8DAgI8vlYUBbvdfkH6rdfrPc5T1bELdf6goCCPr13n2b59OwkJCe6PPXv28PvvvwMQHBzMrl27WL58OZ07d2b+/Pl07NiRuLg4r/Zt4sSJ7N69myeeeIJx48ZVG/RFRUVx++23M3v2bPbv30+bNm0qDNU2b96cjh07enxUtyjCl8OX5V1xxRUcP368Vm0/++wz8vLy6rUkixD+SjJlQviBGTNm0LVrV/7617/St29f9u3bx5kzZ3j11VfdWaLt27e7s2W11a1bN9LT0zl06BCdOnUCID09nQMHDrizS5deeikAmzdv9siCbN68md69e3vj8mp06aWXsnnzZo+SD5s2bcJkMtGhQ4cqnxcbGwtAUlIS1113XZXttFotQ4YMYciQIbz00kt069aNZcuWERsb6w5qbTZbnTI3ZrOZ8ePHs2TJEtavX1/r5wUEBNC+fXuOHj163ucG6Nu3LwkJCdW2MZlMdTpHVeLj42ndunWt2i5YsIBrr72WVq1aXZC+CNGQSVAmhB/o1KkT119/Pc8++yxr1qyhTZs2GAwG3n//faZNm8bx48d5+umnz7me1ogRI+jZsyd33XUX77//PgEBATz11FMe2a0OHTpwyy238Mgjj7jLOHzwwQfs2bOHZcuWeftSKzV9+nSuv/56Zs2axU033URCQgIzZsxg2rRpFTKBZXXs2JH777+fhx56iDfeeIMrrriC/Px84uLiOHPmDE899RTffvstR48eZciQITRr1oy4uDhOnDhBt27dANylHFasWMGgQYMwmUznXaZj4cKFvPPOO1VmyRYsWEB8fDw33XQTHTp0oKSkhBUrVrB69Wqefvppj7bZ2dmkpKR4HDMajYSFhVX62t4YvszMzCQpKcn9dVJSEgkJCZjNZmJiYgB44oknuO6669xlPBYuXMjatWv59ttv3c9bvnw506dPZ/369bRs2dJ9fPfu3ezcuZNVq1ZVev6UlBRSUlI4ffo0AIcPHyY4OJioqKhqh26FaDTqeU6bEBedykpiqKqqbtu2TQXUDRs2qKqqqv/73//Ujh07qgaDQe3Vq5e6ceNGVavVukteVDUZukOHDuqLL77o/vrYsWPqqFGjVIPBoLZs2VJ99913K5TEyM7OrlVJjLLnOnHihEd/VVVVk5OTVUBdu3Ztlddf2UR/VXWUxLjkkktUvV6vRkdHq88880yFkhhl++xitVrVf/3rX2qXLl1UvV6vhoeHq0OGDFG//PJLVVVVddOmTerw4cPViIgI1WAwqB07dlRff/11j9f429/+pjZr1syjJMaiRYs8SnZUpqbyEOWvNT4+Xr3nnnvUDh06qCaTSQ0LC1P79Omjvv/++x4LGChXpsP1ce2111Z5Lm9wXXP5j7JlQiZMmKC2bNlSDQgIUJs1a6aOGDFCXb9+faWvU/69++tf/6rGxMR4XGtZlZVMATy+n4VozBRVPcfxECGEuAi88MILfP311/z222/odDKoIIS48GSivxBCVGLlypXMnTtXAjIhhM9IpkwIIYQQwg9IpkwIIYQQwg9IUCaEEEII4QckKBNCCCGE8AMSlAkhhBBC+IEGu6zIVVywMYqIiCA9Pb2+uyHOg9y7hk3uX8Ml965ha+z3Lzo6ulbtJFMmhBBCCOEHJCgTQgghhPADEpQJIYQQQvgBCcqEEEIIIfyABGVCCCGEEH5AgjIhhBBCCD8gQZkQQgghhB+QoEwIIYQQwg9IUCaEEEII4QckKBNCCCGE8AMSlAkhhBBC+IEGu/elEEKIi5eqQlqahqQkHSUl0KcPGI313Ssh6sYrQdm8efOIj48nNDSUt99+u8Ljf/75J2+88QaRkZEA9O/fn/HjxwOQkJDAokWLsNvtjBgxghtvvNEbXRJCCNEI5eYqfPRREJ9/HsjJk55/wgYPDueJJ3Lp16+4nnonRN14JSgbNmwYV199NXPnzq2yTdeuXXn66ac9jtntdj7++GOee+45wsPDmT59On379qVVq1be6JYQQohG5McfDTz5ZBgZGVqGDCli0qR82rWzoterHDwYxnvv6Rg3LoIBAyy8+GI23btb67vLQpwTrwRl3bp1Iy0t7Zyfd/jwYaKiomjevDkAAwYMYOfOnRKUCSGEcLPZ4F//CmHu3BC6dy/mv//NpFevEo8248bZueOODD79NJB584K58cYI5s07y+jRlhpfPzFRy9SpYWRmajGbbcyZk0VMjO1CXY4QVfLZRP+DBw/yj3/8g9dee40TJ04AkJmZSXh4uLtNeHg4mZmZvuqSEEKIepKYqGXs2HAGDYpk7NhwkpK0lbZTVZg+PZS5c0O48858vv02vUJA5mIyqUyalM/atWfo3NnKAw+Y+d//TDX2ZerUMOLiDBw7piMuzsCUKWF1ujYhzpdPJvq3a9eOefPmYTQaiY+P58033+S99947p9dYt24d69atA2DWrFlERERciK76BZ1O16ivrzGTe9ewyf3znZtv1hEX58gLHDum4+9/b8amTZ7DjaoKzzyjZelSLf/8p42XX9YDld+fsvcuIgI2bICbb1aZNi2Mdu2Cufpqtcq+ZGfry30dIN8HPiY/ew4+CcoCAwPdn/fp04ePP/6YnJwczGYzGRkZ7scyMjIwm82VvsbIkSMZOXKk++v09PQL1+F6FhER0aivrzGTe9ewyf3zndTUSMoO1qSm2iu89/PnBzF7dij33JPPY49lExdX9TBjZffugw8Ubr45gjvu0PLDD2do377yIcnQ0HDAUObrYtLTMyptKy6Mxv6zFx0dXat2Phm+zMrKQlUd/0s5fPgwdrudkJAQOnToQHJyMmlpaVitVrZv307fvn190SUhhBD1yGx2BEjd+YO3mMYo/U8ej//ySwCvvtqEa64p5JVXslEUmDSpqccw40MPNa32HCEhKosWZaDXw+TJZoqKKm83Z04WsbEW2rWzEhtrYc6cLK9coxDnSlFd0VIdvPvuu+zdu5fc3FxCQ0O59dZbsVodaejRo0fzww8/8OOPP6LVagkICGDixIl06dIFgPj4eD755BPsdjvDhw/npptuqtU5T58+Xddu+63G/j+GxkzuXcMm9893kpK0THk0lK9+705b6xEA/tn+M/LyNJhMdrbn9CAltDM//HCGsLOJKIWFjB4dQQvrSa5jJesZwfe66zl6NAWAiObNq7x3P/5o4L77wpk0KY8XX8zx2TWK2mvsP3u1zZR5JSirDxKUCX8k965hk/vnW/pdu2h2ww2VPmZFy6Epr9LmzG4Cv/iixteyDxtG2uzZ2J2r+ct76qlQli0LZNWqdHr0qHyhgKg/jf1nT4KyBqyxf3M2ZnLvGja5fz6iqjR5/nlMP/yANjkZgB8Cx5FfoAAQTB5X8WNpc6MRa0wMhw7qKMLAAbpwFWsIJRuNBhS7HQBL//5kfP01KEqFU2ZnKwwbFklkpI1Vq9LRyX42fqWx/+z51ZwyIYQQwkWTnEzwokVok5OxG42k/vwzz3X9jPF8zXi+5mrWMKPNh6gGA/awMDI++4wzGzZwW/fdxBLPHXxGOJn06l5I8okTpOzejdqsGYZffsH0zTfu85Qtu3H33WYeeyyXPXsCWLIksJreCVF/JCgTQgjhU5oy9SjTNm/G1ro1c+ZkERXlmIvcpUsxV38+lpSEBFJ37aK4Xz8AFi486zEhf+HCswDYIyOxvfYaAE1efhklxzFvbOrUMIxxO3n02FPcETcd7YL/cnn/ImbPDiE3t2I2TYj6JglcIYQQPqV1BmWWgQOxt2wJgNUKGRlaxo8v4N//dqx+VGni8byYGBsrVlReqsJ+110UL1hAwK5dhLz1FjkzZ5KfXsz33EQkZxyNTsCAZ/ow9OFBzJ0bzNNP516gKxTi/EimTAghhE+5MmX2MnUpX3wxFINB5dlnz3N1pEZD1quvomo0BC1ahGn8RBafGE0kZ/iTbhynDQBdW2Rwww0FfPxxEJmZ8idQ+Bf5jhRCCOFT5YOyn34y8NNPRp54IpfISPt5v661e3fy77sPxW6n6Y719Lf/DMALzOSkxhGUKSUlPP54HgUFGubOUvn7qBRuvryk2q2ehPAVGb4UQgjhU2WDMrsdXn+9CW3bWrn//vw6v3bO889jGTmSpx43kZKqJRMzOxjA4wHzoQiU4mI6d7Yy9qosXljahxY46pwNO7GBKVOuqHJ4VAhfkKBMCCGET2nOOifoN23KmjVG9u7V8957Z9Hra3hibej1WIYMIaFVOHGppVsnYdBDEVBcDMATdx2jxZoU98ND2cSnmYO80AEhzp8MXwohhPApV6bM1tTMO++E0K6dlRtuKPTqOcpvndStt+PPneIMyrrGeE7yv4T97q2fhKgvkikTQgjhU66gbOexKP78U8+77571ejHX8is1TY860nCuoEwpKPBo38e0l//8bRvB769FNRopuPlm1DILEYTwBQnKhBBC+JQrKPv421a0bWtl3DjvZskq5RobLXFsseQKyg4YutPFsofO9oPYpk9Ed+qUo4/Z2eQ++eSF75cQZUhQJoQQ4oLRHTqEceVKUFVUk4nC225zB2U/H2nB32fn+mTLIzUgAADFYnH8m+9YVBDQpjmnD2YQbUl2B2QASlbWhe+UEOVIUCaEEOKCCX32WQzbtrm/1uTno3EGPMaWYdx0U55P+uEOysoNXzZrZyD+6CVEWx17cKo6HYrV6m4nhC/JRH8hhBAXjO1kGgDxhv4AFO1NQikqohAjd01SvbPisjacQVn54UtNSCBq5w7uZoXXX+943Gr1UceEKCVBmRBCiAsm/7QjE/aFZRwAp7c6hggzlAgmTCio8nneVmH4stAxj00NDCTygeFYCOB0s+5YBg92PMEZvAnhSxKUCSGE8Lqg+fOJHDiQ8BJHLbATtAYgqjARADXcTHCw6rP+uIMyZ7ClcWbK1MBAQicMY8zAdK4wxGE3mjzaCeFLEpQJIYTwuuCFC9EdP44Glb10JYUoAJrbHXO3QtuH+bZDruFL15wy50R/NTAQgPF3WEk6GcD+I46gTDJloj5IUCaEEMK7CgvRpqSg6nQkfLmdB3r/TEh0oEcTXYumPu2Sqq+8TpndGZRddVUhoaF2Nm4P8WgnhC/J6kshhBBepTt5EgBbq1ZEDmzD1yvz0B61wuDSNnYfF2ZVDY4tl8oHZarJkRkzmeDGGwvZsSzI8QSZ6C/qgWTKhBBCeJU20TFvzBoT4z5mbduOZaGT2B00gKIhQyiYMMG3nSo/fOkKyoKC3E0mTCggr8QZvMnwpagHkikTQgjhVbqkJABsZYKyHT8buDN7AbNnn6X5bT6o4F9OheHLMqsvXS67rITmLRU4JUGZqB+SKRNCiFpITNQydmw4gwZFMnZsOElJ2vrukt/SHj8OgLVtW/exxYuDCAuzM3as7wMyqLp4bNmgTFFgwDA7ACX5EpQJ35OgTAghamHq1DDi4gwcO6YjLs7AlCk+Xj3YgJTPlCUna/jhByMTJhTgnMLle+WKx2rKrb50GTLSUaYjJ9Pmu74J4SRBmRBC1EJmprbar0UprTMos7ZpA8CyZUHY7XD33fn11qfaZMoAWrZ1/FksyJKJ/sL3JCgTQohaMJtt1X4tnFTVPdHfFhNDSQksXRrI8OEW2ratv/esqqDMXi5155p7ZrdYOXxYpl0L35KgTAghamHOnCxiYy20a2clNtbCnDlZ9d0lv6Q5cwZNURH2sDDUJk344QcjqalaJk6svywZ4B6+NGzbhmHz5tLisWVWXwK4NuMMoJjly+trrFVcrOS/AUIIUQsxMTZWrMio7274PZ2rHIZzkv/SpUG0bGnlyistJCZqmTo1jMxMLWazjTlzsoiJ8U32zNqqlfvz8Ntvd39efvjSlSkL0hezapWRf/wj1yf9EwIkUyaEEMKLyg5dnjihZcsWAxMmFKDV1u9iCXvLlqRt3EhJ167Yw8Kwh4VROGYMapMmng2dQVmgvphDh/QcOiS5C+E78t0mhBDCa9yT/GNi+OKLQBRF5dZbHWUw6nuxhLVTJ86sW1fheNkMXutQPZsAg+KYe/b990b+9rc8n/ZTXLwkUyaEEMIrlPx89AcOAFDSug1ffGFi8GALrVo5hij9dbFE2QzerwnBAGhsVmJji1m92ljPvRMXEwnKhBBCnDdXUd07++fQtEtPTCtXApCQ04HTp3VMmFDgbusPiyUqKwJcNmNXTOl2TNdcU8gffwRw4oSUPxG+IUGZEEKI8+bKMjU9uRejWkiRYsTSrx/z4ocQFmbn6quL3G1diyW2bk1jxYoMn03yr6y/Zee1lc3Y2dFiR0Gx2xkz2rFCU7JlwlckKBNCCHHeXFmmYBzzrlYH3sShj/+P79abufnmAgyG+uxdRZXNayufwXOVz2gTXcSll5bw/fcSlAnfkKBMCCFqSXfgAOY77yR8/Hh0Bw/Wd3f8givLFIQjq2QzBfHNN4EUFyseQ5f+orJ5beUzeOgda+AUq5UxYwrZtSuA1FT5cykuPK+svpw3bx7x8fGEhoby9ttvV3h8y5YtfPvtt6iqislk4sEHH6Sts4bNo48+itFoRKPRoNVqmTVrlje6JIQQXqVJTSX85pvRnj0LQOCyZeTMmFG/nfIDc+ZkMWVKGDFHc+AsDBil5YXPA+nZs5hu3fxvqyJXf8vWSqvAWRbDMa+siLfeasKaNUYmTvS/IFM0Ll4JyoYNG8bVV1/N3LlzK308MjKSGTNmEBwczO7du/nwww957bXX3I+/+OKLNClfK0YIIfyIadUqd0AGoN+zpx574z9cWaaQt9NgNuQrwezbp+f11/1zx4PaFAF2b8lUUkLnzlY6dChh9WoJysSF55V8bLdu3QgODq7y8S5durgf79SpExkZUhVbCNGwKFmOIKPgllsAZ1Bmt9dnl/yKa9uiuP1hGI0qN95YWM89On+qrnT4UlFg9GgLO3YYyMtT6rlnorHzefHYn376id69e3sce/XVVwEYNWoUI0eOrPR569atY52z6N+sWbOIiIi4sB2tRzqdrlFfX2Mm965hq+7+aUtKAAiIjUXduhVNcjIR2dnQqZMvu+i3tM4AdefeMG66yU779uE+Pb83f/a0RsfE/qZBQRARwc03K3zwgcLu3RGMG6d65RzCk/zudPBpULZnzx42bNjAzJkz3cdefvllzGYz2dnZvPLKK0RHR9OtW7cKzx05cqRHwJaenu6TPteHiIiIRn19jZncu4atuvsXlppKIJCn1WK89FKMycnkbd5MUdOmvu2knwrLyCAQOFMUwrhxZ0lPL/bp+b35s9dMo0EPZJ05gzUsjE6dICwsiq+/LmHwYP8clm3oGvvvzujo6Fq189lyksTERBYsWMA//vEPQkJC3MfNZjMAoaGh/OUvf+Hw4cO+6pIQQtSakuvYmNrepAkll10GyLyyspQCx3yroGZGrrjCtwGZ15WZ6A+g08Hw4UX89JMBm39sQiAaKZ8EZenp6bz11ltMmTLFI1osKiqisLDQ/fnvv/9OTEyML7okhBDnRJOdDYDapAnFPXoAEPD77/XZJb9SlOH4Xd53mA6lgU+9Up1BWcDvv4PFAsCoUUVkZGjZvVtfn10TjZxXhi/fffdd9u7dS25uLpMnT+bWW2/FanUshR49ejRfffUVeXl5fPTRRwDu0hfZ2dm89dZbANhsNgYNGkSvXr280SUhhPCqspkyW8eOgDNTpqo0+CjEC86eKKQpMPCqhr8lkeqcUxb25JPo9u4l5+WXGTrUglarsnatkb59S+q5h6Kx8kpQ9vjjj1f7+OTJk5k8eXKF482bN+fNN9/0RheEEOKC0uTkAGAPCcHeogW2iAi06ek0GzmSs/PmYe3SpZ57WH+sVihMd2ynZG5twv+qk52bvIcfRrFaCYiPJ+DXXwEIC1Pp16+Y9euNTJ+eW889FI2VlCgWQohaUJxBmRoaCopC8YABAOj37ydo0aL67Fq9CfzsM0KfeYaC+5+npTURADUwsJ57VXeW0aPJWLIEAP3hw7gmko0cWcS+fXpOnWr42UDhnyQoE0KImqiqR6YMIGv2bHKfeAIA/Z9/1lvX6osmI4OwJ58k6JNPuGT9fwgjG1Wnw+5cvNXQqaGh2KKiUIqK0CYlAXDllY75ZRs3+tmGnqLRkKBMCCFqoBQVoVitjrnz+ZkCAAAgAElEQVRGzh22VZOJvPvuA0C3bx8X27I81xw7a1Mzj2ne57OBb5PxxReoYWH13DPvKencGQC9c5/TTp2sREdbJSgTF4wEZUIIUQPFufLSXqacD4BqNmONjkZTWIju2LH66Fq9UZyrEjO1kbxvn0L0q3dRfPnl9dwr77I6g7LQZ58FHOs5hg2zsGWLgRKZ6y8uAAnKhBCiBpoyKy/LSkzUsi2/DwDv3ptIUtLFM9fIFZSl5ZqIjS2mU6eGPr2/IleQqU1ORnGWbxo2zEJurobduwPqs2uikZKgTAghaqCUqVFW1tSpYWzMdgRlocf2MGVK4xm6q4krKMu2mLj99sa5UXfR1Ve7P3ft7TlokKM0xoYNMoQpvE+CMiGEqEFVmbLMTC27cezl24sEMjMvnkwZRY4SGCUaI9df33A3H6+WomBt2dLxqXPHgtBQlT59itm0SYIy4X0SlAkhRA3c5TDKBWVms40EHAWve7Mbc9PGN4RXlaIsxxZEoVF6goMb7ybdrhIfrqAMHEOYv/0WQHq6/AkV3iXfUUIIUQN3OYxyQdmcOVlE9IkiWxNGJGdYMONAfXSvXuzc4gjEotp6pQa536osKBs+3DF0u3mzZMuEd0lQJoQQNdBUkSmLibGx4rtMjP27AnDZs3f7vG/1xRWUhUY27gnvlQVll11Wgtlsk9IYwuskKBNCiBoo5QrHlmcZMgSAgD/+QMnK8lm/6suePTpSkxxDtaqxcQcmqskE4F59CaDRwNChFjZtMmC311fPRGMkQZkQQtTAPXwZGlrp43lTp5a2da7Sa2w0mZkYNmzAsGEDf7y5nb6aeMcDhkYelFWSKQPHvLL0dC1//qmvj26JRqpxTwYQQggvcFWvV6vIlKEolHTsiP7wYXfphMYm/NZb0e/bB8C0MsddmaTGyh2UFXquMB06tHTLpcsuk0qywjskUyaEEDXQuCr6l5tTVpYaFASAkpfnkz75mvb4cQCSOg/lB64ipedwCseMoWDChPrt2AXmCsqaTpvmcbxZMzvduxfLvDLhVZIpE0KIGrgzZbUIyjSNMShTVTTOTNHtTb/ndNsAtq5K46xSz/26wBITtfxvy3XMZjEAqduSaD4wxv34sGEW5s8PJjdXISSk8ZYFEb4jmTIhhKhBSY5jqOr+KdGMHRte6XZKtshIADQpKT7tmy8ozkKxVr2R7b8Ekp2tcMMNlb8PjcnUqWG8c+RmvuQWAH6c9ovH48OGWbBaFbZtk2yZ8A4JyoQQogapiY6VhsdOBxIXZ6h0OyVb69YA6E6c8GnffME1yT3b6sgGnj2rrfJ9aExcOzSs4SoAYjPWeTweG1tMYKCdLVskKBPeIUGZEELUQGt1VK+34PjjW9l2Sq6gTNsYgzLn0GW+GuhxvLFvK2U224DSoGxkwUoClyzh5N4Cbr9Wz9hhRnRau+yDKbxGgjIhhKiBQeMYvnQFZa4/1mVZG3NQ5syU5RPkcbyy96ExmTMni9hYCwHtotgdPACAsKeeot+oTmxKiOT3xHAW5d5KYqKW06flz6moO/kuEkKIGoQHO+ZUNW+tJTbWwpw5FQvE2mIcE8Abc1BmDTDRp4+Fdu2sVb4PjUlMjI0VKzLYujWN5nuWkTtlCramTclVQsghBBsabmI5o1jL1q2SLRN1J0GZEELUQGdzDF9+uzqbFSsyiImpmCGyRUejKgra5GQoaVx1q4784bie0BZGvvvOEaRU9T40Wno9udOnk7pnD8P7nCGUHGbyAgDjla8lKBNeIUGZEEJUQcnMRHv4MIrFMXxZbfX6gABsLVqg2O1Ede+OceVK33TSBzascgRf5hhjPffEP7iGNdMjLwGga7NUtm41oEpVDFFHEpQJIUQltEeOENWnD82HDnWXhFADqt98u2jMGMBRq8y4Zs0F76MvZGYq7PnZsfpUG9K4q/fXlmtY86W5joUObYPSSE3VcuiQlP4UdSNBmRBCVEJ35AhKSQl2kwlr+/bk3Xcf6Kr/o5szcyYZixcDoElP90EvL7wvvghEV+JYfdnYt1Q6V3azGYAI1XGvZQhT1JUEZUIIUQnFbgfAMngwaVu2kPPKK7V6nj0qCgDtmTPuY4mJWsaODWfQoMgqi8/6I6sVPvkkiO5tHdtMSVDmyRWUGbLO0LZNCVu2VJ9JFaImEpQJIS4a5xQcWR1DdjVlx8qzhYcDoMnIcB+bOjWMuDgDx47pGkzRVc3p0+z6YC/NT+zmmg5/AqX7QAoHe7Nm2MPC0GRlcX2fY2zfbnB/2whxPiQoE0JcNM4pOLI5VxZqzu3XpD0iwvG0jAxwZtvKF1n196Kr2mPHaN6/PzfNGsVO+nHp+g+B0v09hZOiUNyjBwDXNv+FvDwNCQn6eu6UaMgkKBNCXDTOJThyDV+q2nMMoAICsIeFodhsNBs2jNB//hNzU8/0ib8XXdUdP45it5NNE0637E1xz55YBgygcOzY+u6a3ylxBmWaz5YDKg8/3LTBDE8L/yNLRYQQFw2z2caxYzqPr6vkypSda1AG5HTpTdgvG9AfOYL+yBFmLHqOGXPakZmpxWy21broamKilqlTwzye55PaYM46az/rBtFh40ekB0qth6qU9OwJwKjs5bzIS7x0egYPPhiG0Yjv75to8CRTJoS4aLjqS9WqIn0dgrKrSlbQjT85QnsAPnmzyF0Z/lyKrk6dGsZvcRpOHFP5LU7D3x4Ncg+JXkhnTjn6FxWjJVACsmpZhg3jjLY5AFfzAwCHDukb3BxC4R8kUyaEuChokpO5ZP0P/PiAmaKxY0lM0jFlShhdTm3GYo5k4uvRJCdr2LIllORkLYMPmJgBbNluYuXrIfTvX8zgwRb0tZgydOaskWN04xQt6cBR9JnpQOQ59/kfBx7mNhaVHogH+2VhnPnuO2zt25/z69XWhh+1XALEdFAovGBnaRzUwEBu6f4bG3+LohcJ6ClGVT3/tPr7HELhPyQoE0JcFEKffx7T6tUAnLVYmLrkYc7GnWQpoyEFlBscGaGwMA2tWlkJDXbMA8vK07NgQTBz5ig0bWrj+uuLuPfefLp0qXqZnWuYNBVHBqWNKQXods59Hm5xFKC1okVFQYsNTVYWQYsXkz9pErZWrc75NWuSmqph53YNDwOGEJ0EZbUwaz4kDutIG8thurOHE6E9KVumzt/nEAr/IcOXQoiLgv6PP9yfN/nXv8hJsdCLBPcxc2gJcXEl7NmTwpo16dw3MQeA68YWs39/MosWZTBkiIUvvzRx5ZWR/P3vYaSlVf4r1DVMWhTiWIn51xsTz6vPZqNjI/B+Mae4IjaPpL+9BEDwxx/TbNQoKPR+yPTBB8FonXt91iotKIiJsdHsmssAuK39Dpo2tdd+mFyIMrySKZs3bx7x8fGEhoby9ttvV3hcVVUWLVrE7t27MRgMPPLII7R3pt43btzIN998A8BNN93EsGHDvNElIYRwUwoK0J08iarVYu3cGf2+fUxQ/k0RpRXYL49JpHv3GHeGQ3HOKVN1OoxGGD3awujRFjIzFebNC+Gjj4L4/nsjjz+ey4MP5nvEL65teILfCYa3INyWRu559FtT4tjeadX6XNRAG5qMGylIjMe4Zg2anBy0aWnY2rQ537elgvR0DZ9+Gsi/exXAbse1i9op6dEDli9nWPCvPP37o/zxRwpm84Wf/ycaF69kyoYNG8YzzzxT5eO7d+8mJSWF9957j0mTJvHRRx8BkJeXx1dffcVrr73Ga6+9xldffUVeXp43uiSEEA6qSthjjwFgbd+exb3fBGCm+gJv8JS72RuP7vV8XhV1ysxmleeey2H9+jT69y/mlVdCufnmCE6cqDhvyF6mkKySnY1h0yaCPvwQ7bFjteq3xrXnpnMjdHt4OFlz52Lt0sXxul7eyunDD4OwWBTGdN7nOG8Ne32KUiW9egFwSW48ANu2yXsnzp1XgrJu3boRHBxc5eO7du1iyJAhKIpC586dyc/P5+zZsyQkJNCjRw+Cg4MJDg6mR48eJCQkVPk6QghxrnRHjrjnku0o7sukZdfyS9S1FdpFlyR5HnCtcqxi9WWHDjb++99M5s3L5MABHaNHN2PlSqPnSzgLyQYtXUqLbt0Iv+MOQl96idAXXqi548WOIURVr6/QB3sluwbUVUaGhsWLgxg7tpDWX8x3HJSgrNZKundH1WgIPbaH641r2LvmTM1PEqIcn+SmMzMziXD+cgIIDw8nMzOTzMxMwp2/XADMZjOZmZmVvsa6detYt24dALNmzfJ4vcZGp9M16utrzOTe+R8lsXQ+1w2Jc3nmGRu9Hl6A/eHJaFaudD8WkpODpsz90xgdAZYpJISAau7pAw/A8OFWJk7U8de/mpk+3cYLL9jQaEDp08fdTg0IQL30UjS7d2PYt6/m75Nsx36TGI0V2mpbtgQg1GJxB3519corWoqKFF56HtQVCoqqEvDoow3m+9kffvbUnj1Rdu9mRdHVsBysg2djf/TReu1TQ+EP988fNJgJAyNHjmTkyJHur9O9nLb3JxEREY36+hozuXf+R3/mDM2AHVzO/U/oePTRVDJQYP58QqdPJ+jTTwEoOnKEAKvVff+Cc3JoAhRYLOTWcE+bNIEvv4Tp00N5/fUgfv+9mH//OwtTVBSGpUtRNRqK+/cHvZ6oLl3QJCeTcfAgqnND68po0tKIAuwGQ4XvqZDgYEKAguPHyfPC99vRo1oWLozk9tsLaM5+FFXF1qwZ6eHh0EC+n/3hZ083ezZBH31E0NKlAGSt3U7xbbfVa58aCn+4fxdSdHR0rdr5ZPWl2Wz2eLMzMjIwm82YzWYyyqTfMzMzMVfzS0oIIc7V+tWOob+mzbVMm1Zmur2ikD1rFgdecezruO3zTIYO1ZVukXOOxWMDAuCtt7J5/vlsvv/eyPjx4WRmarAMG0bxkCFgMJB4Qs9eLgXghZtTqt2OR7FYgNL5ZGV5e/jy9debEBCgMu2JHLQpKY5zRJ57XbWLnbVzZ7LfeIOElz4BICcxp557JBoanwRlffv2ZfPmzaiqysGDBwkMDKRp06b06tWL3377jby8PPLy8vjtt9/o5ZwsKYQQdbV9ewCLPnTMi2rbUam0zaxPOwPQtOAUP/+scVdfV86jor+iwOTJ+Xz88Vn279czblw4p06V/pqdOjWMXwocpRP0Bw+6z5WYqGXs2HAGDYpk7NhwkpK0pUGZ0VjhPN4Mynbu1PP99yaeuWsfl426jGbXXQdAXpOoCn0StRPdvQkAJSnZlT5e2f0WArw0fPnuu++yd+9ecnNzmTx5MrfeeitWq6Ow4ujRo+nduzfx8fE89thjBAQE8MgjjwAQHBzMzTffzPTp0wEYP358tQsGhBCittLTNTzySFPGRhXBaSCg8ppbBwtiAGjFSaBM9XVXSYzz2WbpqiKWLs3g3nvN3HhjBJ99lkHHjjYyM7XsoTsA3dnDD85zTZ0aRlycIyN27Jhjp4HvX3OsvKSyTJlr3lsdgzJVhVdeCaV5cxsPXLIJrfP1VIOBOadvJi7Rs08rVnhvYUGjZnYE25rsbFTVEayXVdn9lvdWgJeCsscff7zaxxVF4cEHH6z0sSuvvJIrr7zSG90QQgjAEWxMmxZGTo6Gx57NhBeAKmpuWZs1w3ZCQxQp6CgprS1Vw+rLmlx+eTFffZXOnXeGM25cBEuXZmI229hzrDQoc1V6L78Nz2+/BfDUYwYWUf3wpWHrVprHxnL23XcpHjy4QruaNjT/3/9M7NoVwJtvZmHKdUwxybvvPnJeeYVFgzyHL2WroNqzh4YC0MSWyYEjOjp2dCQp9H/8gWHLFgrS/wllauTJeytcpKK/EKLR+eSTQNatM/Lcczm0au4cBqyiOv2/5+aToY9Cg8q1vU+5q68rzmz/+QZlAN27W1m+PJ2gIJVbbgnn/vvz0V7WEYCe2j3Mef8sUHEbHqtVIfGAM1NXyfCltWNHbC1aoNhsaFNSMK5dW+n5XRmZyjbGTk3VMGNGKP36WZgwocBd88wV8JXvk2wVVHuuoMxMJlu3lH7fme+5hyavvsp99o892st7K1wkKBNCNCoHD+p4+eVQhg8v4r778t3BVVVBWUyMjbDujj0q//dOYmkmyTV8qanbr8n27W0sX55OdLSNadOacvsTIdjDwgi1naWtMRntsWP8ZBvGyYC2TNXMcT/PiLNwbCVBmRoYSOqOHWS/5Nh2ScnPr/Tc5TMwrq9VFZ55JhSLReGtt7LQaEoL0dqbNQNKt4qSrYLOg9GI3WRCj5W4zaV7pGpTUwH4x4kniO1TJO+tqKDBlMQQQoia2O2OYUuTyc7s2VmOuTzOIqxVDV8C2Fq0gN27UU6dgk6dSl+shufVVosWdr7+OoO77jLz4EPhjGrTleisHej378ewdi3BCT/TBHiPqRyhHd9zbbVBGQB6PTbnCklNbuWbOLk2Ri/7NcB33xn54QcTzz2XTYcOjmNaV1DmnK/m2ipKnB81NBQKCzn4cz42W0CFhOv37/yKtWPH+umc8FuSKRNCNBrLlgUSHx/ACy/kEBnpCKrcmbJqqtPboqIcn5w86T6m1GGif2XMZjtffJHBX/5SzGdHrgDAuGYNpu+/B6DkkksAuDlqE+3aWenW1lFOobI5ZS5qSIijr1VkyirLdmVmanjuuVB69izmoYdKn6dxFu62S1kir7A3bQqAJiebP//Ul/7nwEmblFTZ08RFToIyIUSjcOaMhtdea8IVV1i45ZbC0gdKShz/VpPxsjuDMiU5ufSga05ZHYcvywoJUfn00wwOXX4rAEGffII2NRVrq1bkP/AAABMGH2fr1jSenOLMUlUXlDlXq1eVKXNlu7ZuTWPFigxatLDx2GOOBRBvv53l8ZYoWY4hNHtYWKWvJc6N6300k8m2bQFosjyHKLUnTtRHt4Sfk+FLIUSjMHNmEwoKFGbNyvYoQaA4g7Kq5pRBmexQ2RITdVx9WRWTCaZ/3pqjPXvQPvt3AArHXOMYQgV38VaKahi+BOzOoKyyTFliopaHHgrj8GHHdXfsaKVtWxsbNhh5440suna1erTXOLd1kqDMO1zv46VRaWzdamDqlWc9HteWycoK4SKZMiFEg7dzp55vvglk8uQ8d/kBN1fGq7pMmXOoSSkTlLmHL+swp6yqIqF6PYQ9e7fj3Cg8u+9eCsIc2TqNMyirrqK/iytTplSSKZs6NYzMPzMYZPkJi0Xhzz8DWLXKxBNP5HLnnQXlXkh1Z3JcKwdF3bjex9j26fzySwC2NM+gTCeZMlEJCcqEEA2aqsLMmaFERdmYOjWvwuPuTFk1c8pcQRlny/zhdFX0r8PwZVUlKRITtYz+fApXR8czOGo/c7Zdzq1/d2y/VHQ4lbFjw8lJdcxBqk2mTJNX8brDU/bzGz1Zxyg+4kH0FBMcbOeJJyoGcEq+Y5Wq3WSqdrhU1J7qypS1OENhoYbE3Y575MqgSaZMVEaCMiFEg7Z6tZH4+ACefDKXoCC1YoPazCmrJFN2rntfVqaqkhRTp4YRF29kzenebE/pTPv2Vn49HEkRBkLUHPbHlbB2heNaapUpy8tzRKdOusOHWZp6Fc1wrKh8gP+wmjHEtk+rUF0eSocuVRm69BpX8NU+LB2NRiUx3hEMF/foAUhQJionQZkQosGyWmHWrBA6dSrhllsKKm3jzpTVIijDuQIRvDN8WVUB1vLBmt2u0CLazilaAtCSU9jya86UoddjNxpRbDY0ziyf9tgxwm+9lQhrKpuNIxjMJpKJYgQ/sTprMNrjxyu8jOJ8rswn8x7X8KWpKIvLLishbb8j8LV26YKq16M9cwYKC6t7CXERkon+QogG64svAjlyRM+iRRlVJ8Jcc8qqm+jvCkYyMx0T/DUarwxfzpmTxZQpntscQdX1w06dakkHjtKSU9gLap7oD1Dcvz/GTZsIfu89iq68krBp09CmprKv+WCuTl1B6856st//jojHJ2Lat4+A66/HMmoUqjNlphQVEfh//+f5Pog6c72XmqwsBg60UPSBc85eeDi2li3RHT+O7tQpqVUmPEhQJoRokAoLFd5+O4S//MXCqFGWKtspzvpQ1a2+RK/HHhKCJjcXJSfHMYznhdWXVRVgrSpYy74mCs5C7+ZJhGQVgQ0+X96U3iO0tG5d+VY8eZMnO4KyhQsJXrgQgJ0BA7gydRV3T7Lzz3+ewWSKJn35cpo+/DDGDRsI/OKLCq+jajQUjRhx3tcqPLmCMtOqVYz84CTpamk20taqFbrjx9GeOCFBmfAgQZkQokH69NNAUlO1zJ9/ttJ5Ui5KLTJl4BjC1OTmojl7FltYmFf2vqxKVcFak1vNsABefOAQ9oQs+B52JDTh0QGRDB9u4bbbChg82EKTJqXzx4oHDybr3gewbv2N9HQN27Mu5d0Wb7Hk3xb+8pfSgqVqSAiZixdjXLfOPdQJjmDM2q2bo3htDe+RqD3XdlUA180cyU6lLaiO7zNr69YYkFploiIJyoQQDU5hIXzwQTADB1ro16+4+sa1mFMGznllSUmOoKxdu9K9Ly9AUFYV184CmpQU9HbH8OXzrxYRdiqPzz8PZP16MxqNSkyMjebNbWg0kJ2t4fDhhRQXK4SF2blrSj7LH8/DZKpk0YNOR9HVV/vsei5m1ksuIfv55wmeNw998mkGcBpw1MSztWoFyGR/UZEEZUKIBufzzwNJS9Myb97ZKtvoDh0i7PHH0R09ClRfEgPKzAFyZZEuUPHY6tiaOzZG16akuOuUNW0RwFN35fLEE7ns2hXAjh0BHDyoJz1dg80G0dE2hg61cPnlFgYNslDDFDThK4pC/uTJFI4bR5NXXuH0zjNsPdGefh3/QgvnzhFSq0yUJ0GZEKJBsVhgzpwQ+ve3cMUVVWfJAv/7XwISEgBQFaXGuTvuvQqdQZnihZIY58ruquqfnOye4O/6V6+HK64orvaahf+xN29O1vvv8+fOAO67MYIPd2YyTjJlogoSlAkhGpQvvwwkJUXLO+9kVdvO+NNPAGTOn09x//7YIyOrbV8+KHOt2lS9uPdlTVzDl9qUFPfn1dUpE/4tMVHr2FkhU0tYmA2Tyc62bQauf1SCMlE5qVMmhGgwSkpgzpxg+vQpZvDgqldcao8eRXf8OPawMIquuabGgAxALR+UuYYv61Cn7Fy5hi81aWkozhpWNZXEcKlqS6fzbSfqruyODrt3G9DrVbZuDcAeFYWq06FNS5NaZcKDBGVCiAbj//7PxMmTOv72t9xqV1waN2wAoGjo0FoPP/rD8CUGAzazGcVmK50L56zaX5OqtnQ633ai7soXCdbp4MgRPclpemwtHYWCtadO1UfXhJ+SoEwI0SCoKixYEMwll5QwYkTVWTIAgzMoswwfXuvXrzB86Vp96cPhSwC7c9jSVV/N5pxnVpOqtnQ633ai7srv6NC8uePrbdsM7hWYOhnCFGVIUCaEaBC2bDGwb5+eSZPyqs2SUViIYccOACzDhtX69asKynw5fAml88oAbJGRtd4gvKotnc63nai7OXOyiI210K6dldhYCx99dJamTW1s3WrA2ro1ILXKhCeZ6C+EaBAWLAgiMtLGjTdWPwfHsGMHSlERxT16eBTwrElVw5e+rFMG5YIy5xBXbVS1S8D5thN1V1mR4AEDitm61YDtTpnsLyqSoEwI4ff27tWxcaORp5/OqTFxZHCuurRceeU5ncMVlClZziDFNdHfx8OXmcYWBDk/33S8PSFJWmJias5mVbVLwPm2ExfGoEEWVq0ykRwQQxMkKBOeZPhSCOH3PvwwmMBAO3fdle9xvPxKwuS4M+59HYtGjTqnc1Q5fOnjTNkn69q7P084204m4jcyAwc65kPuSnfcZykgK8qSTJkQwq+lpGj4v/8zcffd+TRt6rl1kGslIcCxYzpS7/0XmoICCq++mpJevc7pPGpwMKpOh6agwFGSosixzZGvhy+PWlq7P08iRibiNzLt29to0cLGusOduBPJlAlPkikTQvi1Tz8NwmqFBx7Ir/BY2YBlANu4JvMzVIOBnBdeOPcTKQqEhwMQ1bs3uqQkx3EfB2WF5tI5ZUnEyET8RkZRHEOYK+PbOGqVpaaC8z8AQkhQJoTwWxYLLFkSyIgRFtq2rRicXBp0hO1cwX66sJLrADh1+yNcO7XPeRVHVVJT3Z9bW7WiaNQobDExdb+Qc/D3eRGk6luSpwRjuayHTMRvhAYOtJCeZaAwIhqQWmWilAxfCiH81sqVJtLTtdx/f8XAJHDxYr7b86zHsaKWbZiQ8BxxCaVDmlOmhNV6Yrv9uuvQrFxJ7pQp5E6fXvcLOA+tOhuwHdhCrt3Of0wGQDJljc2gQY55ZSnGNrQnCd3Jk9g6dKjnXgl/IEGZEMIvlN0n0FWqYdGiIDp0KKmwpZJx9WrCnnUEZPaQEDI++wx7SAj2li05PaqJR9tzmZNlXbCA7Lg4SmJj635BdWEwoNbcSjRQLVrY6dChhIP5bWnPFplXJtxk+FII4RfKb/9z331N2b07gHvvLahQlSLUmcWyDB5M2k8/UdK7N7aOHVFNproVR42IqP+ATFwUBg4sZleGYwWmFJAVLhKUCSH8QvmM1okTOoKC7NxyS0GFtpr0dMdzPvwQe3S0x2Plq6jLnCzhjwYNsnCopB0gKzBFKRm+FELUm7JDlmNO/YeBbOR1prOHyygoULjnngJCQsoN5NlsKKqKqtGgNmlS4TWlOKpoCK64wsJS2gBSq0yUkqBMCFEvdHv3knz7ZzyabkeLjYl8CkBQkMqUpp9y8qSuQrFYAJwbdRMQ4MPeCuFdZrNKQOeWcFAyZaKUV4KyhIQEFi1ahN1uZ8SIEdx4440ejy9evJg///wTgOLiYrKzs1m8eDEAt912GzHOJecRERE89dRT3uiSEMLPNXnjDW5KX1vh+DWtfyNIVendu5iuXa0VHldKSgBQfbxRuBDe1mlYM6wHtehSUvRI7GwAACAASURBVBz1X2q5+bxovOr8W81ut/Pxxx/z3HPPER4ezvTp0+nbty+tWrVyt7n33nvdn69evZpjx465vw4ICODNN9+sazeEEA2Mfs8eAJ7kTTIIR4Odj3kQ/f59bCOKbXe+BVzl8RzTV18RtGgRAKpe7+suC+E1iYlafvwpkBO0ph3HSduVQuTANvXdLVHP6jzR//Dhw0RFRdG8eXN0Oh0DBgxg586dVbbftm0bgwYNqutphRANmHL2LNrkZGwGE5v7PMKmdnfzW+xdnB0wAoBwMhlxYkmF54W89RYBCQkA2Nq29WWXhfCqqVPDOHw4gETnvLIPnz1bzz0S/qDOmbLMzEzCnVuTAISHh3Po0KFK2545c4a0tDS6d+/uPlZSUsLTTz+NVqvlhhtuoF+/fpU+d926daxbtw6AWbNmERERUdeu+y2dTteor68xk3tXO8revY5/e3Rn61YdYAcUcnNW8ZeYQ+wsvIzA/XvQh4c79qUByMpCd+IEqtGI9YcfoEcPIoKCvNovuX8NV0O7d9nZjkzvcdoCmwjLOkVExMh67VN9amj370Lx6aSMbdu2cfnll6MpU3Ro3rx5mM1mUlNTmTlzJjExMURFRVV47siRIxk5svQbNt25JL4xioiIaNTX15jJvaudoB07CAUKO3Uiu8z7tWxZILsKL6U4KJSAtDR0UVGcfecdDnYZw+o7VjET2KvpTq7hEmIKC6Gw0Kv9kvvXcDW0excaGg4YnEEZtFOONKj+e1tDu3/nKrpc6Z6q1Hn40mw2k5FRuvw8IyMDs9lcadvt27czcODACs8HaN68Od26deP48eN17ZIQws/p9u0DwNq1q8fxzz4LpHNnK8XjHYuFNFlZhN93H5cN6szM4w8AsKUglilTwnzbYSG8zFVPLyu0NQADoo/Wc4+EP6hzUNahQweSk5NJS0vDarWyfft2+vbtW6HdqVOnyM/Pp3Pnzu5jeXl5lDhXUuXk5HDgwAGPBQJCiMZJ7wzKSrp1cx/bv19HfHwAt99eQM5rr5FSZm5qsD0HgB+4ild59py2ThLCH7nq6T09PxSAgNNSq0x4YfhSq9Vy//338+qrr2K32xk+fDitW7fmiy++oEOHDu4Abdu2bQwYMADFNT8ER6D24YcfotFosNvt3HjjjRKUCdHY2Wzo9u8HoOSSS9yHP/ssEL1eZfz4QmdR2ct4IPSfdFEO8HrkW+w4GEU+wQDEmi2VvrQQDY3SzpEpC8k8gb2e+yLqn6KqaoPc9/b06dP13YULprGPrTdmcu9qpj18mOZDh2KNjibNmQ2zWKBPnygGDbKwYMFZxo4NJy6utGbTpZf+P3vnHR5Fnf/x1+zsZneTTWGTQGihiQoC0kQFVJpdEcGKnvrDdiqoqKdi72JvOc/DenY8KyqCIqJHUSGKCBYIhERaQrLpydaZ3x+zu9k0SCP183oeHnZnvjP7nZ3szHs+1YPNRpVm5ampDehpWU/k/LVf2u258/vp1rc/iq6x6esNJPaxg93e2rNqcdrt+asn9Y0pk+qLgiC0KCHXpT/CdblkiY3CQhMzZxp9Lqu7J8vLVb78MveAzisrS2XGDDM5OV0PqPCrzzxCradacx5CC2E2403ujj13B0MnD0U3mylYsAD3iSfuf1uhwyENyQVBaFHUnBwA/MFOHgDvvBNDr15+jjnGcEs6nVVFSPX3B4I5cxL4/nsTmZlm0tOtrZZMMGdOAunp1lafh9ByeGeeQxHxeE1WFL8fS3p6a09JaCVElAmC0KIoHkN46TYbANnZKv/7n5XzzisnVC0nlJnWr5+fUaM8pKUVHvB5VbfOtVYyQVuZh3DgycpSmTo1kRGfPELfhHzuj3kYAMXtbuWZCa2FuC8FQWhZgqIs1FB84cJoFEXnnHPKw0NCmWktidMZIDPTXOV9a9BW5iEceEJW0RC5RAMiyjozYikTBKFFCVvKrFYCAXj33WgmTvTQs2fr5p6lpRVy1FFai1rn6ppHS1sJhdahuhXUjWE9Dv1GhM6HWMoEQWhRIkXZihVW9uxRuf/+olaelWGd+/Zbf6tngLWGlVBoHapbRf2qFQIiyjozYikTBKFFiRRl77wTTWJigClTxF0jdD6qW0UPHha8JVfI76GzIpYyQRBalJAoK/bY+OorG5ddVhYKLxOETkV1q+ia+1T4Gcpd3lacldCaiKVMEISWxWvccH74JQ6/X+H888v3s4EgdA4OG2nckkvyRJR1VkSUCYLQooQsZd+siWPMGA8HHeRv5RkJQtsgMcUI/C8vkN9EZ0VEmSAILUoo3T87N1qsZIIQidmIKKoo1SgvV/YzWOiIiCgTBKFFUYLuS5M9itNOk4BmQQijGpYykx5gzRoJtOyMiCgTBKFFCZQb7ssxxypER+utPBtBaDvowZYWZiXAt99a9zNa6IiIKBMEoUUpyvEBMOmUVp6IILQ1gpayWLufFStElHVGRJQJgtCilAUzywYMlsuPIFQhGFPmsPvZutXCjh3S97SzIVdFQRBajI0bzeieYLq/TSwBghBJyH0ZYzOsycuXy2+ksyGiTBCEFuOdd2KwYwT361a54QhCFYLuS/vO7Qzu5eLrr22tPCGhpRFRJghCi1BRAR9+aCc2KphxaZMbjiBEoke0tnjffyYrV0bhlgTlToWIMkEQWoTFi+0UF5uINlUAVW9AgiCAlpJC+YwZAPQv2YDbbWLNGrEodyZElAmC0CL0v/9a3FixuMsAcV8KQg0UhcKnn0aPisJaVkgXaxlz5yYwfnxXpk5NJDtbAv87OiLKBEE44GzdqnLc3o+wYgT5e44+GiyWVp6VILRBTCYCKSkA9LX8xd69KpmZZtLTrcyendDKkxMONCLKBEE44CxcGI0Vo2js7s2byf/vf0GRNjKCUBshUdbbtLPKcpdLLGUdHRFlgiAcUHw+eH+hFQt+dEVBj44WQSYI+yDQvTsAg+Oyqyx3OgOtMR2hBTG39gQEQejYLF9uozjPDwTjyESQCcI+0YKWsnlJC+i5ez2/KIfz8+EXkJZW2MozEw40IsoEQTigvP12NKnJJbAXkOB+Qdgv/gEDAIhb/z2z+R6ALU+PJiY1qTWnJbQA4r4UBOGAsXu3ieXLrZx1uvGELxmXgrB/ymfMoODJJym65x7cCckA/PRVaSvPSmgJRJQJgnDAeO+9aDRN4cyTCwCpTSYI9cJmo+Lccym7/HKU1B4A/LLS18qTEloCEWWCIBwQNA3efTeaceM89O4qrZUEoVHEOgD4c50bTWvluQgHHBFlgiAcEFatiiI728zMmeWEe8WIpUwQGoTmMETZ34r/xYYNUtuvoyOiTBCEA8I770STkKBx0kkVKB6jRpku/S4FoUFoXbsCMIVl0qC8EyCiTBCEZqegQOGLL+xMn16OzQaK16jkLzFlgtAwiufNAyBK8fH11+L+7+iIKBMEodn54INovF6F888vB6i0lElMmSA0CD0uDl1RiNK9/PqLSk6O3LY7MnJ2BUFoVnQd3normhEjvAwebBSNJWgpk5gyQWggihJ2+9upYOlScWF2ZJqleOz69et59dVX0TSNyZMnM23atCrrV6xYwRtvvIHT6QTgpJNOYvLkyeF1H374IQDTp09nwoQJzTElQRBamKwslTlzEti1S2X3bjO33VYUXqe4JftSEBqLbrdDRQWH9i5m6VInF11U3tpTEg4QTRZlmqbx8ssvc8cdd5CYmMi8efMYPXo0vXr1qjJu7NixXHrppVWWlZaW8v777zN//nwAbr31VkaPHo0jmG0iCEL7Yc6cBNLTK0XX4sU2rrmmDIiIKRNRJggNRrfbATjp2AIefa87xcUKcXE6UPkw5HKpOJ0B0tIKSU2VHpntlSa7LzMyMkhJSaFbt26YzWbGjh3L2rVr67Xt+vXrGTZsGA6HA4fDwbBhw1i/fn1TpyQIQivgcqlV3hcVVb6XmDJBaDwh9+XEowrx+RSWL690YYYehjIzzaSnW5k9O6G1pik0A00WZS6Xi8TExPD7xMREXC5XjXE//PADN910E0888QR5eXm1but0OmvdVhCEto/TGaj1fVaWyoLnjPpKny+LIztbrbGtIAh1E7KUDelfQteuAb74olKUVX8Yqv5eaF+0SEPyUaNGMW7cOCwWC1999RX//Oc/ufvuuxu0j2XLlrFs2TIA5s+fT1JSx23MajabO/TxdWQ647lTlizB9N//8nVPnc/Xm/hcOZVto8/mtdcUkpKSmDHDzLi/jID/v/bG8NTcZL791t/Ks66dznj+Ogod+dyZ4+IAcNqimDoV3n3XhsORhM0G3bqZyMysHNutm6ldfg8d+fw1hCaLMqfTSX5+fvh9fn5+OKA/RGxsbPj15MmTefPNN8Pb/vbbb+F1LpeLwYMH1/o5U6ZMYcqUKeH3IWtbRyQpKalDH19HpjOeu+QbbkDdupV4YCZwVtSH5P13HJhM5OVBTk5XbBiB/h6s5ORobfY76oznr6PQkc+d02zGBhTv2cOECYW89FIiH39cwpQpHp56SmX27MqYsqeeKiQvr/3FlHXk8wfQo0ePeo1rsvtywIAB7N69m9zcXPx+P6tXr2b06NFVxhQUFIRfr1u3LpwEMHz4cH755RdKS0spLS3ll19+Yfjw4U2dkiAILYgafCh7edTT5OMkyluGunt3eL3TGcCKEVPmxlbDzSkIwr4JxZQpbjfjxnmIjdVYssRYlpoaYNGifFauzGXRonwJ8m/nNNlSpqoqs2bN4sEHH0TTNCZOnEjv3r1ZuHAhAwYMYPTo0XzxxResW7cOVVVxOBxcffXVADgcDmbMmMG8YMXis846SzIvBaE9oWkoRUbpi+s2XsW45PdI3LsadetWAj17ApCWVsjmaWWQA8k9VdLSCltzxoLQ7gjFlCkVFURFwaRJbr780kYgUIQqIWQdimaJKRs5ciQjR46ssuzcc88Nv545cyYzZ86sddtJkyYxadKk5piGIAgtjFJSgqLreKyxlHmiiBnVH5asxrxtG77hw4l+6y2G7N7NqKTvIQcuuiJAmTzJC0KDiBRlACed5OaTT6L58ccojj7a25pTE5qZFgn0FwShY2IKWsnytS4MHeol7oh+sARin3iCuEcfDa8PoUkgryA0mOqibPJkDzabxqef2kWUdTBElAmC0GhCoivX14WZM8vxDj0SXVFQg6VtPGPG4D75ZFAUtIQEKk45pTWnKwjtkrAoC3bGiInRmTzZw+ef27j//iKiv/sGy2+/UXr11aAorTlVoYmIKBMEodGE4smKTF0488wKfLEjyElPx1RYiG61EujTR24SgtBUQoH+QUsZwNSpFXz+uZ01a6I458ILAfCOGYP3iCNaZYpC8yANyQVBaDTlu4oBiOnhIDZWJytL5bTLB3PUpcdw6rWjyP5LnvsEoalUd1+C4cKMjjZcmCHUnTtbfG5C8yKiTBCERvPT18ZNosdhRta0tHwRhOZHq0WU2e06xx/vZvHiyur+BN2bQvtFRJkgCI1C0+C3leUAxPUxKo5LyxdBaH5qs5QBTJ3qpsBVGR5Qfb3Q/hBRJghCo/jmGysUGjFlWnw8UHf/S0EQmkBE8dhIJkxw0zWmNPzeFFGoXWifiCgTBKFRvPZaDD3sRpallmC4KdPSChk1ykO/fn5GjfJIoVhBaAbqspTZbHDqcZWtidSIlodC+0SicAVBaDDbt6t8842Vxw/eC3+CHrSUhVq+CILQfNQlygBOPTYPFhuvTR24d2RnQSxlgiA0mNdfj0FVob8zaCmLi2vlGQlCx6UuUZaVpbIsbUf4/a/flJCdLXGc7RkRZYIgNIiKCoV3343mpJPc2N1VY8oEQWh+9DpiyubMSeCQHd+G30eX5UnGcztHRJkgCA3i44/tFBWZuOSSMkyFRsyYniA3AkE4UIQsZZZNm+gya5aR+gwU5etM5uvwuGT2SsZzO0dEmSAI9UbX4aWXYhg0yMdRR3nDFf3FUiYIB45Az54EunYFwL50Ker27Tieeoo/t0czhE14sQCQSD6JXXytOVWhiYgoEwSh3vzvf1b++MPC5ZeXoqCHe1+KKBOEA4dut5OzZg3+fv0AMGdnY1u6FBM6flSeV2dTSDxmAtw3N7uVZys0BRFlgiDUmxdfjCE5OcD08X+RPGECSiBgVBuPimrtqQlCx8Zmw3PUUQCoWVmoe/cCkP/Das7Jvglrr0QANq8srrFpVpbK1KmJjB/flalTEyUZoA0jokwQhHqxZYuZ5cttXHxxGbHrVmHJyADAN3p0K89MEDoHgdRUAMxZWZiCNckCSUkAqN26AFD40ffoetXtpP1Z+0FEmSAI9eLFF2OwWnUuuqg8HODvnjSJ/LffbuWZCULnwN+nDwCWDRtQfD602Nhwtf+o9HQA7s69lk2bKkuQWtau5czMZzFTGWsmyQBtFxFlgiDsF5fLxAcfRDNjRjmJiVo4lsw3aBCY5DIiCC1BICjKQgJMC1rJsrJUdkT1DY/7+DnjoUkpKSHpvPO43XUz5/FueL20P2u7yNVUEIT98vrr0bjdCpddVgYgpTAEoRXwB92XitcLQCA5GTDck8d7F4fH9fn8FdxuiHnppXBts/OdX0j7s3aAiDJBEPZJRYXCyy/HMGmSm0MO8QOVokwTUSYILYbepYvhsgwSspS5XCp/MIhrSAPgaH01yz/04liwIDz2RMtyVr+3nkWL8klNFUtZW0VEmSB0MhqaifXuu3ZcLpXZs0sxuVzEvPQSll9+AUSUCUKLoijhYH8ALWgpC7kjl3IiAAPYivmZFzAVF+M5+mgCycmoOTmkHHEE9oUL6/1xkrXZ8ogoE4RORkMysXw++Ne/HBxxhIcjj/TiSEsj/u67sfzxB0C4oKUgCC1DKNgfKn9/aWmFjBrlQenbCz8qPdnFJTseAaDkH/+gZO5c/L17A5Bw8810HTMGdevW/X6WZG22PCLKBKGTUT3zal+ZWJ98YmfnTjOzZ5cCoGZmAlBxyikUPvAAvlGjDtxEBUGoQemcOVScfjrlM2ZQfvbZAKSmBli0KJ9vV7nwzDwXvy2GEhy8abmEkx48hT8mziL3m2/w9++P4vdj3rkT25df7vezGnKtEJoHEWWC0MmonnlVVyaWpsHTT8dit2vcfXc8U6cmEsjeA0Dp3/9O+f/9HyjKAZ+vIAiV+IYNo+CFFyh89lm0nj1rrC967DGOOiyfOEr4m+/VSguX3U7uihUUPvAAAJbffqvzM6zLlpFwzTU8WXw5fckML5eszQOPef9DBEHoSLx+0SK+2f07FRUm7HaN00e7saw9Et8RR1QZ99VXNjIzjUvE9u0mtm83U6rk4gAuvn0wdy1QJWBYENogdVq4VBXf4YcDYPn991q3taxbh3PWLJRAgGmAK6UrD9gfxOkMSNZmCyCiTBA6EUppKYP+cSGDgyn1FAD/hsB/neT8+mt4nK5DWpqDGLWCkwKfM4EV7KIHKfoeApj48tc+5M42XCaCILQtnM5A+IEKoEuXyocn/yGHoCsK5owM8HprtEiLe+ABlECAQPfuqLt3c+7xuzlpfm6Lzb2zI6JMEDoR5j//RPF6CaSkUH7WWQDEpqWhulyGEgu6IzOe+x/f/nQpDspq7COHbgQw43K16NQFQagnaWmFzJ6dwPbtZvLzVa66qvJ3rMfEEOjTB/P27ZgzMvAPHhxepxQWEpWejm6xUDJ7Ngm33471669b4xA6LRJTJgidhKi1a0meOhUAz9ixlMybR8m8eehWqzHA4wEMbVbw0pKwIPvDPpwX4+ayTemPFwvvch4g8SWC0JI0pDxFKPB/zZpcYmM1Fi+2VVnvCwqxmNdeq7LcunIliqbhHT2aQI8eAOgOR/MeiLBPRJQJQich9tFHw6+9EU3EQ6Ksy/XXE3fnnWx84WdS8406ZHnvvUdcxuec+vtN5K5ezdhRJaT1e1SqggtCC9OY8hQxMTrnnFPOZ5/Zyc2tvN37Bg0Cgj00y8rCD2TW774DwHPcceHYM5OYxFsUEWWC0Bnw+bD89BMAruefp/yCC8KrAt27A2D/9FMcr7zCiQ+cxkh+BsAfvHhD5dP3ypW5UhVcEFqYxpanuPjiMnw+hbfeig4vK7vySgCifv2V7gcfTPeBA7F9/jnWFSsA8EyYgOZ0AqDm5WH95ptmOAKhPogoE4ROgGXjRkxuN/7+/XGfcQaYK8NJXf/5DwXPPMO2Gx9mjW0CGQxgu3kAOWdeFL4wC4LQutS3lE11BgwIMHGimzfeiAkZxNBjYii7+GK06Gg0mw0lECD+zjsx79xJwOnEd9hhYLGEi9MmXH99sx6LUDciygShExC1di0AnjFjaqwL9O5NxVlncfY3cxnr/oaBZNDPn8Gp2QtqjBUEoXUIVe1vTFPxK64oIydH5YMPKq1lRQ89xJ4tW9jz22/hNkwAnokTwWRIg/y33gIMa5lSWtqMRyPUhWRfCkIHRykuJvrNNwHwVqtFFsmOHVUvB1K9WxDaDqHwgcZwzDEehg3z8vzzDs49txw18qdttbLxiYUsv+1HisqtrNoyg/uzjRqE/sGD8Q0ciGXLFtSsLPyHHdY8ByPUiVjKBKEjU1FB12OOwRLsc1eXKPN6oaioanV+ya4UhI6BosA115SSmWmukYkJcOkzY7lhxy3c67qeZRt6V0kiCAR7bZqzslpsvp2ZZrGUrV+/nldffRVN05g8eTLTpk2rsv6zzz7j66+/RlVV4uLiuOqqq0gOdrc/99xzSQ12vU9KSuKWW25pjikJggBYMjJQ8/IAKLvwQgL9+9c67s03Y/B4TBx0kI9AQJHq3YLQwTj5ZDf9+/tJS3Nw2mlusrNV5sxJwOVS2bWrqn0m0koeaoCuiihrEZosyjRN4+WXX+aOO+4gMTGRefPmMXr0aHr16hUe07dvX+bPn4/VauXLL7/kzTffZO7cuQBERUXx2GOPNXUagiDUgnnLFsBoIF70yCO1jikqUnjySQfjx3t49918aWcpCB0QVYVrrinhxhu78O23Vp580kF6urXWsZFW8kDfvgCYt29vgVkKTXZfZmRkkJKSQrdu3TCbzYwdO5a1waDiEEOGDMEarIU0cOBAXFL3RBBahJAo8x90UJ1j/vlPB4WFJu68s0gEmSB0YKZPryAlJUBamqNGzKjVqtWaRBCylIkoaxmabClzuVwkJiaG3ycmJrIleCOojeXLlzN8+PDwe5/Px6233oqqqpxxxhmMqSU7DGDZsmUsW7YMgPnz55OUlNTUqbdZzGZzhz6+jkxbO3fm7GwA7CNHYq1lXllZ8NJLFi64QGPChP0Xo+zotLXzJ9QfOXf148Ybdf7xDyuDB2tVlo8YAd9+qwEK0KVyRfB+HfXXXwf0+5XzZ9Ci2Zffffcd27Zt45577gkve/7553E6neTk5HDfffeRmppKSkpKjW2nTJnClClTwu/zgnEyHZGkpKQOfXwdmbZ27pI3bcIEFKSk4KtlXrfemoCiWLj22r3k5Wk1d9DJaGvnT6g/cu7qx5lnwhNPdMNsDjBypE5BgYrTGeCppwrJy6slucfhoLvJBH/9Rd6uXTUamDcXHf389Qi2rdofTXZfOp1O8vMr03Tz8/Nx1lJwcsOGDXz00UfcfPPNWCyWKtsDdOvWjcGDB7NdTKSC0Dz4fJgzMwHwDxhQY/WPP0bx4YfRXH55KT17iiAThM6A3Q7XXVfChg1RXH996f47dFitBHr0QNE01B07WnaynZAmi7IBAwawe/ducnNz8fv9rF69mtERffUAMjMzefHFF7n55puJj48PLy8tLcXn8wFQXFzMn3/+WSVBQBCExmPOykLx+/H37o0eHV1lnd8Pt90WT8+efq69VopCCkJn4vzzy+nTx8/8+XFo9Xgek7IYLUeT3ZeqqjJr1iwefPBBNE1j4sSJ9O7dm4ULFzJgwABGjx7Nm2++idvt5sknnwQqS1/s3LmTBQsWYDKZ0DSNadOmiSgTGkxWVmVqd6iUg/RlBPPmzQD4Bw6sse6VV2L4/XcLL73kIjpab+mpCYLQilgscNNNJcyZ04VPP7VxxhnufY739+2LddUqVPFkHXCaJaZs5MiRjBw5ssqyc889N/z6zjvvrHW7Qw45hCeeeKI5piB0YubMSQindmdmmpk9O6HRla87EnVlXu7ZY+KJJ2KZNMnNSSft+2IsCELHZNq0Cp5/3sFjj8VxyiluIqKKahCQDMwWQyr6C+2e6qnd0h7IwJyRAdS0lN17bzw+n8L990sJDEHorJhMcPPNxWRmmnn99Zh9jvWHapWJ+/KAI6JMaPdUbwfU0dsDZWWpTJ2ayPjxXZk6NZHsbLXWZWFLWYQoW7LExqJFdubMKaFv3479PQmCsG+OP97Dcce5efzxWPLy6pYDUtW/5VB0XW+XASW7du1q7SkcMDp6anBzk52tMnt224gpq+3cNXfM29SpiaSnWzHjQ0Fn2CjjJxxZnXv0yArW/mQE9+/euBG9SxcKChQmTuxKcrLG4sV79+mu6KzIb6/9IueucWRkmJk8OZmzzirniSeKah2jlJbS/ZBDANidkYFutzf7PDr6+WuxkhiC0NqkpgZYtCh//6ndrUQo5i0z00x6urVKs9/G4HKpmPGxgglkk0pFnruGy3bMjk8ACCQloXcxCkHefXc8BQUmnnqqQASZIAgAHHSQn8suK+Pdd2P4+efaLwy6w0EgWL4q6cQTW3J6nQ4RZYJwgGnumDenM8D1PM04VpNCDiPsv9Vw2V5UsQAAz/jxAHz1lZUPPohm9uxShgzxN+nzBUHoWFx/fQlduwa44474OktklF15JQDqzp0tOLPOh4gyQTjANGfMm/Xbb1mSOJP7TPeElz04ZQlfdP0bax3Hsdo2gXWOYzmy5Bs0u52ihx4iN9fETTclcOihPq67rqTRny0IQsckNlbn9tuLWb8+ijffjK51TOnVVwNgcruhfUY9tQtas/9zggAAIABJREFUtM2SIHRG0tIKueyyBDIyDNeAx6OQna02ys0a+9BDRG3cWGVZn7T7AEiuNrb8/PMJxMZz3d8TKC01sXBh/oHqkCIIQjtnxowK3n8/mgceiGPiRA+9e1e7PplM6FYriscDHg/YbK0z0Q6OiDKhY6DrEAiAue39SaemBrDZwOMxDNMbN0Y1upaayeUCoHD+fPToaBKuvx7MZiqmTqX8rLOMPHcAiwXv8OG88IKD776zMX9+IYceKm5LQRBqR1Hg8ccLmTw5mRtuSGDhwvzw5SRESJQpbjd6GxFlHa14uLgvhfaJrhP144/g8WD55Re6jh9Pjz59iJ83r7VnVivNFVdmKjHcjxWnn07FjBn8uCyDMUMKGZL+Dic9No2M3sfiHTcO75gx/LQxhkceieWUUyq48MLyJh+DIAgdm169Atx9dzGrV1t5/fWabsyQEFPcbafodHMnUrU2bc+sIAj1wPrttyRecAFQ+fQWWt4WcToDZGaaq7xvMJqGUmr0qdRjYwG45h89SP+pZjcDl8vE1Vd3ISUlwGOPFUqRWEEQ6sX555ezeLGNBx6IY8IET5V6hm1RlHW04uFiKRPaJVFr14ZfKx4P7uOOM94E2qbZ+p9P7+XJXo8yodcfjBrlIS2tsMH7UEpKUHQdzeEA1bjw1HZB8vngyiu7kJur8sILBSQkSFCuIAj1Q1Hg0UcLsVjguuu64PNVrmuqKKutyHVT6WjFw0WUCe0SLS4u/LrwoYcoeuwxAJQ2KsoG//cx5u64ha/UkxpdSy3kugxZyaD2C9J998WxerWVRx4pZMQIH4IgCA2hRw+N+fMLWbcuikcfrbzeNFWUHQhXY1paIaNGeejXz9/oB962hLgvhXaJUlEBQOlVV1F+8cWY9uwxVtRVZKeVsX/8MdC03nFKcTFQVZCmpRVW6WZwwgkeHn44jiuuKOXssyuaNmlBEDotZ5zhZvXqMp5/PpYxY7wcf7ynyaLsQLgaQ8XDW5vmSjgQS1kEB8K0KhwYQqIsLFCC7jz8bTPD0Jyd3eR91GYpi+xmMHt2KY8+GsuECW5uv724yZ8nCELn5t57ixgyxMvs2V34/XdzpSjzeBp1v+xorsZImssKKKIsgo6WxdGRCT2phdOyg6KsTbovI6x3vgEDGr2b2ixlIX74IYqrrnIydKiPBQsK2mJlEEEQ2hk2G7z6qguHQ+fii514qLSUNeZ+2dFcjZE0lxWw01y662Na7GhZHB2ZkKUs1BhXDxXUaYPuS/O2beHXusPR6P2ELGVahKUM4LffzFxyiZOePf288YaLmBgJ7BcEoXno0UPjtddcnHlmIt+XxXEigLtmv9363C/biqvxQNAsGfZ0IktZfVR9ezatdjbXa3VRFjYNtUH3pWXDhvDrUOmOxhCylOkRlrItW8xccEEi0dE677zjwulse6JUEIT2zdChPp5/voDdhTHGgnJ3u75fhmjO+2ZzWQE7jaWsPqq+etB0ezKthkQnVK1X1VEJuy9DoizkvmyDlrIqoqwJ9X3ClrKgKNu0ycz55yeiqvDOO/n07Nn+LoqCILQPTjjBw85RKqTD4vd1nnuukDlzEhi240um+d+n77UXAYNae5oNojnvm81lBew0oqw+psW2aloV12tN6nRftsGYMsuvv4ZfN4ulLDaWn36ycOGFicTEaCxcmE///m3vuAVB6DhkZan8tiOJI4At35fw6dMOPlm4k+5Dz8VUUYH36V/Jm/JZa0+zQbTF+2ancF9mZam43WC1alitGkOGeNulFayjul4bQ41A/5D7sgmi7IC4gDUNS2QD8SaIMlNQlP2x28l55yWSkKDx4YciyARBOPDMmZNAek4fAHqyk/fei+GLS5djCj4gR/38M3dN/L1e18+2Em7TFu+bncJSNmdOAps2GSbKw1nPVfkfkJpyKRDVuhOrJ83pem0vzVv3N88aMWVBS5miaUZz8kb0FToQLmA1MxNTaSmaw4GptLRplrKg+/K517vTZ1CA11/Pp3v3tueuFQSh4+FyqeykJ2CIsrg4jUO/fQ0ALToaU3k5L22eQjyFZGbG17h+mjduJGrdOgBW/DuWvOzTyaJv+Fq7enWLH1Kzhywp5eWYcnJqLA+kpNR7H51ClEWKmPWMgN1Q9DKUXXVVK86q/jTU9ZqVpTJ7dgKnZf6Li8r/TdHrr9JtXCrQMOHRmgJuf/OsIcoUBd1kMkRZIEBjakIcCFN2VNB16T3iCGzffNNoUeb1wpZ1FRwO9Btu566FeZJlKQhCi+F0Btie2ReASSxnqX4CR7GKYmK58uCVvLP+cABO4zPe5oKq109dJ/G881ALCgCYBxzHO4zDUGLG2JZ/wGzOkCWlpISuxx6LmptbY13e++9DPcshdQr3ZW0iJurnn1thJo2joVkdIUFzj2su/d1/0O3Cc8PrGiI8WrNu2/7mWUOUQWUB2Ua6MA+EKTsU5O8bMcIQjX5/gzNEd+40MWNGEqU7jWbk19xmEkEmCEKLkpZWiGXkIay0TcaOm6NKvgZg+7Hn8uFvQ/mH+gRgCDaoev005eWhFhSg2e2UXXwxHsXKWNYwgp8A6J5QAs1QYLu1yMpSeeP4L1Bzcyk1xeHu2Rd/38p/utVa7311CktZpImSTGNZ6KbeHmiomne5VGxU4MaKDQ89vNkULVhA+YUXNqiWSkl+gKv5J8nsBSDnr8OAcY0+joawv3nWJsp0VUXx+VACARojWdLSCrnssgQyMiwAeDwK2dlqk6yDIVHmHToU3WpFqahA8XrR62HJ03X44AM7d94Zj6bBoB4u2AVKQux+txUEQWhOUlMDLPrUhWnvEwROOAE1N5eyiy4i+dYbWPhnPu9ccBCUQ9+onYwaWtV4oP71FwD+AQMoeugh4letxZrxG/Mcz5KVMJTrtj+M+WAX5m++wT9wYGsdYoMxb9yIZdMmlj7j4IK//gnAJdrLbE85vdEWuE4hysKiRtehl7GsPYmy/eL347z4Yix//AHAtlAfyAji770X8/btpKU9Um8f+mnq5zzB7MoFuZCTvYZAamqzH0J19ufrrxHoD022lKWmBrDZwOMxDMgbN0bV6t6tt1s3IsjfN2wYWK1QUYHidqNHR+9zLnl5Jm69NZ4vvrDjcGgkJGh4c4JtluLjG3V8giAITUVLTmbv4sXkbCzk0ufG4zrVuA4+cncM3AKJ3j0MG+YjMbHSHanu2AFAoHdvAPwXnA333svZpf+B0sp9q5mZ7UaUKYWFJE2bhqmignuCy3bSg084g96uhsc0h+gUoixEqKQAgKkWv297xbx9O7YVK2osr1DsbHSMYZTne0xeD5Zff22Q1e3qc3fAQ7Ap6nDMqs4hFRuIWrOGihYQZfubZ3O6L5WKCmyff06gTx9crlOrrKvNvbu/eLeYl14iavVqFJ8PU0kJga5d0VJSKgXkPmqV+Xzw+usxPP+4yiVlzzEtZhelpSa8pVGksBOoWdFfEAShJdG6d+fSK4dUuQ4+7u3HZKCffTevvRbDV1/ZePTRIo47zoM5JMp6GokCFVOnEvOf/2Dauxd///4omoZl0yZMpaV1fWSbw7Z0KaaKCvx9+vBF6THszbfwBn/DjwWns/EJXZ1HlGkapry88Fvzjh1GSx5TK4fVeb3YvvkG2+LFxh0ZUK1WEuoICPccdxwV555bZZkS/EP2DRqEmp2NqawMgIIdGfQE9u7YQbcjj0TdtatBU0uMNebQ95yh+A86CO7ZgHXNmhqf3+L4fCh+v+ECtFgql0dkYOrU36IV/fbbxN91FwBPJl7CP7iNDIyntdrcu/uMd3O7ibvnHhS90oHqPeoogHBcQV3B/t99Z+Xuu+PYvNnMDwknMCawDMqqjtFQmtSqSRAEoTmofh3cWtIdXVGIr8jlq+fW8PdnjmDmzETOOquc5xXjgTJkKdNSUshdtSq8bfwtt2DZtCmcYd4esH9m1GQrvfpqko+9mHuDnp1Rzqb19OwUosy8eTOJ552HlpgYXqZ4PJhyc9EakKra7Og6ySeeiGXz5hqr6nJu2RcvpuKssyqtQoASFGFaQgKeY4/F8e9/4x02LLw+0K0buqIYqbo+X1Uhsw+UoEjUo6LwHH00AFHff1+vbQ8ktVrJoDJOKxhIX99MU5PLFX49Lf81pvEamy2D2Rh/FKkP3AhUTXDYZ7xbYSGKrqPFx1P45JPoJlMNUWYqLEQrLzcK3tpsrFkTxZNPxrJ6tZW+ff0sfGIjY25cBsC/etyFb1cB1/IcAOWm2NZ/kBAEodNT/ToYl2hCK09Gzc1l0nXH8OWGzTzzYleef97BrEAuJwOlSb2ozbGnB63/9bWUtXZpJ8Xlwvrdd+iqivuUU0h1Nl8WZ4cXZUpZGV2uuAI1Jwe1Wv0Q27JllF94YSvNDEx79oQFmfvYY6k4+2wwmYiNjaWklieG+FtvxVRSYtzUIwVmUJTpMTEU33orgZQU3CefXLmhxYLWrRvqnj04FixAi43Fd9hh+EaN2vcEg6IMiwX/oEFo8fGY//qL5MmTKbr/frxjxzbtC2gkll9+AWqKsuruy3pnmgZbM7knTkRLTsa2aBEHu3/j4LzfCFz4Ca7XXsM3cmR4+D7j3YIp34GkJNwnnQQELyAXJvDq9hiGAsmnnWbMX1F4KvVxbsy6ga5dA9x9dxEXX1xG7A+bAPCMGcOIZ67mocsLuHajIcosyeK6FASh9antOlj08z04r74aRdOI2fsXN99s55xzyul6yi4ogr/dchiHb4nl//6vjKSkypgzLWj9r24pq0t8tXZbQfvSpSh+P+7jjkNzOpt13x1WlCkuF5YtW4h57TUsW7bUOsa6alWrirLQvDxjxuB6553w8pikJCoiXK0hHE8+aYiyvLwqoiz0dKE5HBAVRdkVV9TY1t+nD+qePcQ99BBgWG32pKejd+lS69yyslR+etnMNcB7n8Qx+JIo4k89lZi338byxx8knX02m6JH0310Et7H70MLxgq0BCFXoxbRmBuoWkCW+tV3M3ZkjPeOGUPptdei3HcflvXriX3mGaxr1hD36KPkv/tueLi+j9ROpajIGBMxt9AF5G3O5ja2oCoaqu7HqnsZnPMd9913KTNnlhHurb5tG2BkKqWmBnjhUxv0Cx5ibDUhKgiC0ArUFvfrTj0Dz5tvYl29GnXXLvwHH0zfvgG62fdAEfQc5eTpp2N54QUHZ59dzt/+VsZhh/nDljKlmqWsLvHVXDUl1R07iH38cRS3m0BSEiW3317zYb8WbIsWGcd7+umN+tx90TH9IJpG8mmnkTR9OvZFi6pm6AH+vn2Bmqq8pTH/+ScA/oMPrtd4LSkJAFN+1R9CpKWsLorvvJOyiy6i7MIL8aemong8WNesqXP8nDkJ5O0yREz2nmhmz06g6NFHOWPIJu7kPkqJ4bDydTi/W0LMf/5Tr/k3FNOuXVhXrCDqu+/CLkt127awdbHwqaeqjNertVqqd323UBPzoKjTY2PxHnMMrhdfRFdVotasQSms3Haf9duCljItoXJZbq5xwZjPPOIoIUYv484h7wFw3JFFXHpppSADMG/dChiiDICoKLRQj8+ElqsVJwiC0FACPXoAVMYwaxqmvUZZpUdeNfPtt7mceWY5770XzQkndOWkk5L46sfgva3aPbku8eV0BjiU3zmVz8LvG4Jpzx7Mf/xB/C23EP3f/2L/9FMcr76KbenS/W+bn4911Sp0s5mKoDekOemQosz822+Ys7LQYmLwjB+P6+WX0SPa7niDrihTYeOD8bKyVE44IZH+/VPo3z+FE09ManD/LnPQUlZvURa0jpmqWdHqI8p8I0ZQ9PDDFD3yCOXnnQeA9X//q3O8y6UShRcAL1HGj0FR+LXsYB7gTvqyndnBOCfHCy+QcuihpBx6KAlz5+7blFRffD6STzqJxAsuIOn884m/+WbAcDkDlE+fXsWlCFS6L4MxZaEnuZUrc1m0KL/OmAOlmigLoXfpgveoo1D8fmxffx1evq+ntJClbK8vgccei+W005L466+qBukhQzzcfLfhGjZ5amZi1hBlgOuNNyj9+98pDFo6BUEQ2iJhUbZ7NwCmggKUQMB4ULVaOeggP48/XkR6+h7uvbcIsxne/qwbAN9/6eXppx2sW2fB76+7oHdaWiEfRl/AZ5zOFQd/2aDAekt6Ot1Gj6br5MnYVqxAczioOOUUoNJQsi9sixejBAJ4jj22Tk9TU+iQoiwkNtynnUb+woV4JkyokrEWutlF/fwzCdde2ygREeqn6fGY8HhM4ZpWDcEctPj46ivKgr5r++efE/XDD+HloWzL+mblecaPB/YtyqKjA1VEWXS08WMI/SjySWIBV7DVNgglEDDcqiUlRL/3Hkmnn07i9Ol0ufTSKkH0DcGcmYkaYRE0ZxpVf0NWwlpr2VRzX9ab4Hi9lgB69wknAFW/K6czgIkAURhZlDExAT75xMY998Tx1N3Gk95nK7vx7LMOFAWuuqqEgQM9WK0aVqsGKOwpMgR0bZmYYVHWv394mffooym+8078hx3WsGMTBEFoQUKizBS0lIXKTwW6dq0yrksXncsuK+Ozz/J48FnjvuLQinnssTjOOCOZww5LwWaDHj38dOsW4PDDK70dqT08HOozakA+PeDpBgX5WzZvDidj+QYNoujBB3GHRFlGxn63t3/6KQAVwdjg5qZZRNn69eu57rrrmDNnDh9//HGN9T6fj6eeeoo5c+Zw2223kRtRI+yjjz5izpw5XHfddaxfv77Jc8nKUvn9GcMt9+CPp4StV5GCxXf44QSCrsDoDz6otYHo/qhuLRnKBj765VAcJ5/JW0e9y8lHRzF1amLd1jNdD7vh6mspy7cbcVv2zz4jdsb57Py9HKj0w2v7sJRF4jv8cLTYWMyZmUS//nqtYxRFCYsyD1aUoKUx0iU4bJTO3mXL+PHLzRw3PIc7EtMAQ+xaf/gB+5Ilde5/f5iDhXD9ffoY8wmatUPxc7UJUL2xxWND42sRZf5gTTbfnkLWr7fw+ec2Ro/2slY9ij2kYFcq2LjRytVXO3njjRjiNMN9efQpNjZu3MOnn+Zxxx0lxMVRRcA/+ITx96dUr1lWUYG6cye62UwgeOxg/F1PnZrI+PFd9/13JQiC0IpUd1+qQdellpxc5zZJ/Y16A8MHFPDrr3t44QUX06ZVsGePiV27zOTkqPzyi5Vzzknk4oudvHCLK1wdwLZ0Kf6tO+o1N8XlIvbBBwGomDaNvcuWUXHWWfiCD/nmOuLPQ5j27iVqzRp0iwX3iSfW6zMbSpMD/TVN4+WXX+aOO+4gMTGRefPmMXr0aHr16hUes3z5cmJiYnjuuedYtWoVb731FnPnzmXHjh2sXr2aJ598koKCAu6//36eeeYZTE1I+b/pGitfl6wE4MXMk1g6O55Fi/LRYmPD5tRAr17krl5N96AYqh5cWB8ig8ituHmbmfT2b4cN2/kHP3IVd/Gf7ItZfXZfBl1aVmN7NTcXU1ERWnw8WrUniLqY9cNsJqJyKS+Toufw7OxcHvm6b73cl1Uwm/GMG4d9yRIS5s3DM3YsgYMOqjKkrMxUxVJWVmack9qCO6dOTSV9vZXvuIbPGMcRB+fx+IVriL/rLuyffELpddeB0rAKxyHB6h01CnNWVliM7VOAViuJQUUFSkWFYWJWFLxeKC9X0Db8ScKH7xDwaPj8YPvVKPOx+nsbSwpiKSgwUVBgIjfXRL/MnrwL/Pw/L6f+r/Ki8gLrALjxzE04xhzE4Yf7GDTIR69nXPAU9B8RQ2l8pQW2uojPLQlayqp1ljBnZqLouiEGI0qXtHa2kSAIQn2oLsrqspRFEi6JUVKC06lx+uluTj/dTVaWytVXJ7BnjxlV1TnkED87d6po33wR3lbRNN4+9kMeT3yQ7t0DdO+ukZISwOnUiI83uqFMWDGfgT+8h60oF9VtGDNK+g7C6zUus/4BA9AVxfDIBMtG1Zb5eejyz1E0DfekSQcsvrfJoiwjI4OUlBS6dTN8wmPHjmXt2rVVRNm6des4++yzATjqqKN45ZVX0HWdtWvXMnbsWCwWC127diUlJYWMjAwOrofl6K67KrPbIr2PyX+uwoaHnxnOXrri2aJxxx1x3FaQQEh23PdCP8psidztHE5f13peeBQyk+Jr9WJWXxZ637t3gG3bAhQVmZiv3coQNrEzZiB3Bu5mpvtVpvA11/A87ADurfs4tqv9ueMfCVX2bbOpuN3xwWWVYuaHbTa+5kEO5Q9m8CGDty5h7txbmbPGy3jg9Q+TWZmeUK/jSNEeIY0lALz5jyxW9xodXufw5HPd7tu4kgWAIcqKihRmz65933/8USkefmE4GTs18n8cw2tRzxC3eTPzL9jJ9rih+5xP9WXz0rcxDnj1z2O5hg/x5JVx+eVduD3dy1jgmVdSWP5RIn4/+HwKgQC8lRnFIODyWfEUa4V8vvsIovVyXrNcxuX6Avx+BQWN9ZxIL36t8flvLe3FO1856NJFo0sXjeRkjdTDbLACDulRyKsP5tOjR4B+KaVwuLHNnCtd+IeUV+4kaNGrLhqrZ4LaukTBDqPLhPXbbwEjNjAUaBoZTwYNayQvCILQWlQRZbpOdDBzfV+WsnBJjFqyL9evr2zmnZISYNmyvSSe8RGsg6KehxC/80/m2Baw5YSbyN7rYNculfR0C4WFJjTNuH/u5WUcGA+xSzmBu7mXH+49Cu4FVdWx23U2KP3o59/GpccVkRU9iKwslfJywxiRmWnmhBOS+c60hOHAs3vO49vLu6CqxvYhO5LJZNgfjP/18PtHHy2q9/fXZFHmcrlIjCjPkJiYyJZqJsDIMaqqEh0dTUlJCS6Xi4ERsUFOpxNXHTFIy5YtY1kwyHv+/Pl88EHVm17IEHNbhTHmK44HDMvIJ5/EMKvYHhZlC7/siV8zcXFRAn2BlV/4SI+PRlWD+9F1TvF+zDjfN6Sbj+Ij+8xaPysqCqbHLeP6wmfwYeZS25ssLz6CV7mAIfzKebxLDGXY7MGTFLGPC8sXYNZ9vBq4hO++i66x78jysaFlXsNwxWYM0TrPdz/Tvz2RimLDBbYhM5Efc+pO561qrBrK0LibuLL4cdi8nZ/32sNj/q/wv1znfqxyqMVCbKyJDRvsteynprcwEFDYtDmWL+xncq73JfpsWsZS5xE1tqvNeKYohjLr4fodgOXl47kGiPaXkJVpgVLDKrjX0wWfz4LFAjabYSQzR5nBDYMO1uhV8RPRuwyxNFN/iy1znsKaYGNo5qcMe+1Xyrv0YPO0m7BaIcoKtpQEnph5Bi919UV4MRX4Ix4Oh5SYcmbODNYI21UpwroAetAVDmAKniRHcjLREcvfegsuuUQjL08hKUnn6Wdi4UhQXS4SZ1b9+wIwH300SRHbd+tmIhhWF34fuV5oHsxms3yv7RQ5d22ExER0hwNTaSkpF1yAKZjlbzv0UKLqOj/BCgmmsrIq57CoqGqh86KiKJKSkrC4DIEV/da/0W68kZj0dP494UO0Sy4BdCCApgUoKTES4p3DysADnz39O7mxB3FhOcyo8FNRARUVCuXlUPLRofDXNial/MnKbkPIylIAnSFsJJ4inO4ShvlW4lWiWMR0CrOs+P0Kfr9hUNA04//Qv8j3SUn1K9gO7ahO2ZQpU5gyZUr4/aZNu2sdFz9hCWyBjSmTGNXTEy42l3R6CfxkjPnl1xymTk2kON24yUZrpfTv72XRonxi588n5sUXMQVjfS5T/sk9q4ZVqQsWQikooOuUiwCouOl6Xpnbi+zsXKZMSWZj2VDuwLAOjRrsqeFqKuBm0DSuMpm4iqrHkpSURF4tdcqys1Vmz05gWc7fmLdjPgCvzv4G2+I8WAPz0zx4x9f+vdRG9DspcBNcNfkXzn+2crvY+dsJJlYC8MRzZbhPr3u/oXlVL/Bn/2AYXAtXj/qO81+5pN7zwu2m+8AMdFXlua+daENjMJWV8dVHW0k83wU/wz2Pe/GNrtp4Pek0DX6GG6/fi2XjXxCs+BHlr+CGwz/AM2UKSafdB4Dv+ivpelnVdlEBSnC5qqZkm7xeUgCtuDh8TszbthEyxJdkZ+OOOFfdKipQgWKfr8ry2Fj44IOqh1kydy6W9PTKBRYLvkMOwTd0qBGvELH9U09V/Y6feqqQvLyWq2DdWajrtye0feTctR2Su3fHsmULpqAXwDtyJPmnnope1/nRdborCkpZGXk5OeFM+vj4RKDSUhYf7yVvbx7ddxptm/J69MA+YwYJ6el4ly6lsJbge0e0Fs5yHznDAaba+17H+frAv+DKY9dywfXjmDo1kX7pi/gv5xgDgrXUAydM5L1XKoCKWvdTG3l50CNoQdwfTRZlTqeT/Igsufz8fJzVKtyGxiQmJhIIBCgvLyc2NrbGti6Xq8a2dTF1amKN1gqWX38lZstGdKuV+SsHgr1y39UDql0ulRIMURZLSdgdZP/gg7AgA1B0nS5XXUXBs89Wbcmk6yTcdhvqnj14R46kdM4cwIi56tpVIzPTVOWzaqWBsXOV8VwJFKfNI+7hh1F37KiMKWtgT8RQdl+oWGkIpby86sCoqHrOqyreESOMzX/+2XhcqGdcmTkjA0XT8B10EFitRrxBWRlKScm+jzX4Q1YCgRrtOmzLloHVStT69QQSEym/4IJ6zUWvxaweWUolssk9RMSIWa3sj5KbbqrXHGD/DdoFQRDaCmWzZuF48UWUigrKZs2i9LLL9n0fURTjybW4GKW0FD3eCN+prWuAyeVC8XjQ4uPRY2LC/TSr1+8M7zp4P9dstn3ec6sH+6elFfLzjI2wC/ZYehE9qAfWuChKr7++wd9HQ2iyKBswYAC7d+8mNzcXp9PJ6tWrufbaa6uMGTVqFCtWrODggw/m+++/57DDDkNRFEaPHs2zzz7LaaedRkFBAbt37+agagHndREq2hm6USlFRSQHC7l5x4wHRpFoAAAVFUlEQVSB6lV5q5UecDoDlGQaoiyO4nCpB1OwztTujRuJefVV4p54AuuqVcTfey8F//oXAPYPP8T26afYv/wSLTqagueeqwwypwGV5JtAIBizV0WU1TfQP0gVURYhmqoLGr2evTJrzLFfP7SEBCOpYdcutJ49Me3aZQTu5+cb/1wulJBfFvBMmoSalWXM75BDACPeQA3OS6ln9mVILFWccgr2xYuxf/xxuMZZ2RVX1KtqM1R+p6aysnAD+0hRVqPWXfACoNdDlAmCIHREfj/u/5jz/lxcuopzSYC00+rRmzIuDoqLMZWWEgiKstoeRtVfjQSCUOxaKFYtlOVZnbp6JVfHH9QeoU47qakBhpyxF/4F0Tf9jdLZs2l4SmDDabIoU1WVWbNm8eCDD6JpGhMnTqR3794sXLiQAQMGMHr0aCZNmkRaWhpz5szB4XBwfVBp9u7dm6OPPpobbrgBk8nEpZde2qDMy0gLlDki4KZk7twaY6tbytLSCtk8LRpyYFDPAi5KKwSfD1NZGbrJhB4fT9msWVg2bsS+dCmWjUZNFHSd+JtvxhQ80cX33ksg2CEgct919kZsJvzBtkbqzp3hOmX1LYkRQktKQouNxVRUhMnlCrtoqwdbNlaUoSh4hw/HtmIF0R99hJqdTfTbb6Psoy5c7LPPhl/7Dj3U+PyIFhzhY42upWV76G8nWDcNjPpelj/+MIRnaSmBxETKLr64/segqmg2Gya328jkjImpUt3fVM1SFhL/1btI7IvWbq4rCILQnDQmW1yPjUWh9k47sQ8/jGXTJlwvvhjO6gx07278HyqqXpelrL6iLGQp27rVCJRW1bDBQ2ugF6opNEtM2ciRIxlZrbr6uedWxutERUVxww031Lrt9OnTmT59eqM+N9ICFSp34Z4yBe+RR9YY6z71VBwLFuCePBkwVPDgv6nwOFwyI5eS1ACmfOMGq8fFgcmEnpBAwT//iW3gQMN64/WilJWFBVn+O+/gOeaYGp/VEq6mQEiU7dgRLkDaUPclioJ/wACi1q/HvG0b3pAoK6tWwqMJVh/fiBHYVqwg7uGHjTlaLHiGDzcEodOJlpgYtirFPfZYlW1D9dtC1qqoH37Yp6UsZK1UAoHwD1uLiyPvk08wbzKafPsHDkSPjW2QENIdDnC7DbN6TExVS1lRRFaNx4MpWGS2IZYyKXchCEJHolHZ4sF+wTVEWSBAbFqwBuZPP4WL0oZEWbj9YF5e2JsRSViU1fYgH4EeF0cgJQV1zx7UHTsI9OlTeb/Zz7bNSbsJ9K9O9V6Gpj1G0HfoRFWn+Oab8Y4YgWfChPCycLxQUISELCCRfQux2wmkpmLOyjKscUErj2/AADzHHttsx9NQtG7d0M1m1IjAycb84fj79ydq/XrUbdvgiCOA5nNfApSfdx6WX37BVFyMv2dPSm+4IWwmrk6kKCufPh1PUECHGo/HP/CAMR+brdb4hEj3ZciCpcXFoTmdeKuJ54YIId3hgLw84wfarVudMWWhSs8A+n7i8CKRcheCIHQkGhXCE6pVVu3+Ywr2EwbD4xWuNxoKnI+KQouPx1RUhFJYiF4tLr2+ljIwHtrVPXswb95siLJgfHWDDR5NoN2Kshp+5tCJigzGj8Ruxz11apVFoS869EcQsnpoQX92CP9BBxmiLCMj7Eqrb8HXA4aqEujRA3N2tjGfUF2IBhKOKwu29oGalrKmiLJAr1643nijXmPdxx+P7auvcL30Eu6TTw4vL7vsMpSysnAF51DroxpEuC9DT1uh81WdhgihyLiyAFXjyNScHNQdRjXpqHXrwsvrEp610RIxiIIgCC1Fo0J46rCUmSI6ACnl5ZXuy4hsxkBSEqaiItS8PPzVRJl1xQqgfqLMN3Ag1v/9D3NGBp7jj6/sINPA0KCm0G5FWXXCoqwOS1lthGKwwi189iHK+PprzFu2hHs5BoLFclsTLTkZgqKssX80tWVg1nBfNsDq0xCquxBfePAZ+ly7tUajce+RR+J6++397zCUfalplZayOkRZQ4RQ+O8k+L1EijLrqlV0q+Yud/3rX+G6O/WhJWIQBUEQWorGhPDoQVFW3VIW6Q2qS5RpSUmwdavhwuzfH/tnn6EUFWHevh3HAqMIulaP5uGhot2h+6HSyHjtptDhRJnWAFFWxX3p9xP7yCPG8uqiLBQAmJFBVLA/54FqsdAQTBHZJhVnnVXv7SLF0NG2kbxFNVHWjO7LfVHdhfj32/uzaFH8fraqGz2izdL+LGUNEULVy2JEirIsjN6YUVE6yckapl69ao0z3BdS7kIQhE5PKKGrEZaycFzZ3r3YP/iALhEx7LqiUHHWWZTMnr3fKYRjtYOfEXZfiijbP1+PfIxhj59B8iQjGFzdT0xZbUS6L2Nef52oX43WO6EYphC+oCuq/NM1BALlxAJbJ8yktWtHe484AnN2Nt4RIyi+6656bxcphnI5DADz9u3hjBNTNUuZVs/acQ2l2WOpgu5L+4cfYg66FOuylDVECIV+kAlz56Lb7eEntyP4kXUYcXj9evpZuTKXpKSkugskCoIgCLVTh6Us0viQcMcd4deRBpiQKFPz87EEDSeecePwH3QQFSefXCOmuC7CLaKCRp5QRmd179mBpN2Ksr/lPM2aazbC7/8BXce0v5iyWgiZJE35+TiC2R1Qs0m0/+CD8ShWkvyG8PMQxZynh/PO8b6mHkaTKLrvPvz9+lF2ySUN2i5S/JThYI+pBymeXVxzZDa7uh/ODx4PuqqS9+mn6GZzDcthc1FfF2J9MyVDCRr2JUvCy+qylDUE74gR2D/9FLWgwOjZARSqiWwOVPZolTgwQRCExqPXYSlTc2tW4P/JMQ7vXkf4PhAI1ioz7d0bju0tuusu/EOGNGgOIaOOuns3JpcLNS8PLSamQR64ptJuRRlAr/IMAEy7dmFyu9EcjgbdhENjzdu3V1levSCoHh/PzJSvSN5tlFXYwDD2FHUBam/X0FLoCQmU1lKTbX9UF0ObOZgUdvHR7vH8f3v3HxP1fcdx/HUcP9RzAncorYo/qLIlpWBFnRK1cdKsaRqKTZv4Aw2pmf2BVZvOFFdrrMRplzauVpJ2lrZqbbO0EVoWu0TDlDizlGBsM+tq7PyRzG4WAUWk4HG3P05OfnPHAd/v9+75+Iv7cpxv8tF83r4/n8/78/Mf/uX7bIdDtzMzBy3WngS6hBjoScnGl16Se+pU/ylNz8iR0iAsvTY984yalyzxHzSQpEtNSZr+2xGqq3OzDwwAQtW+0b+PSpkk7dYL2nDzj5q59rZ/HmjvsRlz9qyiL12Sx+GQ+06fy2B4ExPlHTFCUTduKP7llyXdac0U4G00g8GySZlHNqW4L6ppYppGeH2VrfbrFgLV2zFXd4dL0ttdGj9Hh364WwLNcrZ0e49VdE2G3r+4QQuvHZMk/UqVkoZnDT3QJcRAlzk9yclqeu45f1KmPprUBqvraduJ6n4CGAAwQO3Ll/1UymqUJa+iOs0D7V394yp989ftBx/Upf/EBd+U+07vzpgzZzTy8GFJ0p/P/1Jv9XCt41AJ7vJFEzli/7UkyeFtkk1e/eNni3X9978P6jM6dultzcrS/6qq1LhhQ483AuzZ06CsrBZNneru1iPNSJcu2ZWb69L8+eOUm+vS5cv978tqT4ZOnLiqL764pn9OeURvyXc11gL5mp8OZwfj/nRdGuxvqbA1I0OSgi5dAwCM0b5yNeLLLzs971opu6TJkjrPA7fvv1/e2FjZ2nzPmh95xL/CcuFCtP9axkDUvfOOGrZv1x9Sduk3+pPWN24P6udDZdlK2XMpX+jqRV+16rZiNCHJrhNzglxOjI3V9W3bFPvVV2rcsEFt992nxo0be3yrWU/IDUY3+D17GlS+fI50QcqN+ovkGd5mef0JtmXEtYMHFfv11/4L0QEAJndnP7itrU2up55S27hxUlycYs6dkyQ1pmfpxJVp+mHMHGW5OhdG2iZN0n9PnfJtzB8xwtcfs3RgB8naUlN11j5dW7aNVUuHutVwNfW2bFLmdHl04eLdxME5wOXEptWr1bR6tW8zea717h8cjBOMkya1aUNZujRDGu2500piGI8A9yfYhNjrdKpl0aIhiYV7KgFg8HkzM3VryRKNKitT3MmTnb9nt6vxcJky7Xb9TT3/p9ybmKi2Dr3IQmnK/cILCWpp6byQOFyHuSyblAVTPeltIu34/OrVKDU1+QbBSvcPDlY3eM/Ysbqdlub/X8lwNsvrysyJD/dUAsAQsNnUsHu3mh9/3NcWo7XV32/M63D4m4MHKpSm3F2LG3FxnmHbsmTZpCyY6klvE2nH5131V3E6eTJGBQUutbTYFBfn1f791zR37vC3yBjMbvCt8+bdLRVHjVFursuQxMjMiQ/3VALAEImKUsvDD/tfxtbUyHHwoFrmzw/6o0LZctS12JGefnvY5j/LbvQPRm8TaV8Tan8Vp4ICl5qaouR229TUFKVVq1yhBzoAXTfth/IXpyU72//1X0+lDGiT5GAwc+IT7KEDAEBw2g+wZfz9feWmn9XXv9s7rH++kQf7LFspC0ZvS3xdnzscHo0b5wmo4tTSYuvztRW1zpvn//pqa+ckbDgTIzNf0M09lQAwtDqulvxbv9CV9S3Dulpi5MG+iEjKeptIe3oeaKUpLs4rt9vW6bXVtTfgk6SEuFudvjeciZGZEx+znsIFgHBh5tWSoRYRSVlvE2koE+z+/de0alXnPWXhoP6tt+QoLdWDO1Yoa0uLIYkRiQ8ARC4zr5YMNZvXO4htz4fRlTu3uIejpKQk1XKptSUxdtbG+FkXY2dtHcfv8mX7gFexzGr8ncvO+xMRlTIAAGANkbxaEhGnLwEAAMyOpAxhYyD3gAIAYBYkZQgbA72AFgAAMyApQ9iI5GPUABBOInXlg6QMYYNu+wAQHiJ15YOkDGHDyKsxAACDJ1JXPmiJgbARyceoASCcRGoDWSplAADAVCJ15YNKGQAAMJVIXfmgUgYAAGACJGUAAAAmQFIGSZHbEwYAYG3hNH+RlEFS5PaEAQBYWzjNXyRlkBS5PWEAANYWTvNXSKcvb968qV27dunHH3/U2LFj9eKLL2r06NGd3nPx4kXt3btXzc3NioqK0hNPPKHs7GxJUklJib799luNGjVKklRYWKgpU6aEEhIGKFJ7wgAArC2c5q+QkrLy8nI98MADysvLU3l5ucrLy5Wfn9/pPbGxsVq7dq3uvfde1dXVqaioSJmZmXI4HJKklStXau7cuaGEgUGwZ0+D1q5NUF2dXU5nW8T0hAEAWFs4zV8hJWXV1dXaunWrJOmhhx7S1q1buyVl48eP93/tdDoVHx+vGzdu+JMymEOk9oQBAFhbOM1fISVl169fV2JioiQpISFB169f7/P958+fl9vtVnJysv/ZJ598os8++0zp6elasWKFYmJievzZo0eP6ujRo5KknTt3KikpKZTQTS06Ojqsf79wxthZG+NnXYydtTF+Pv0mZcXFxWpo6F4KXLp0aafXNptNNput18+pr6/X22+/rcLCQkVF+c4XLF++XAkJCXK73Xr33Xf1+eef68knn+zx53NycpSTk+N/XVtb21/olpWUlBTWv184Y+ysjfGzLsbO2sJ9/DquGval36Ts1Vdf7fV78fHxqq+vV2Jiourr6zVmzJge33fr1i3t3LlTy5YtU1pamv95e5UtJiZGixYtUkVFRUBBAwAAhJuQWmLMmjVLx48flyQdP35cs2fP7vYet9utN954QwsXLuy2ob++vl6S5PV6VV1drZSUlFDCAQAAsKyQ9pTl5eVp165dqqys9LfEkKTvv/9eR44c0bPPPquTJ0/q7Nmzamxs1LFjxyTdbX2xe/du3bhxQ5I0efJkrVmzJrTfBgAAwKJsXq/Xa3QQA3HlyhWjQxgy4b62Hs4YO2tj/KyLsbO2cB+/QPeU0dEfAADABEjKAAAATICkDAAAwARIygAAAEyApAwAAMAESMoAAABMgKQMAADABCzbpwwAACCcUCkzoaKiIqNDwAAxdtbG+FkXY2dtjJ8PSRkAAIAJkJQBAACYAEmZCeXk5BgdAgaIsbM2xs+6GDtrY/x82OgPAABgAlTKAAAATCDa6ADQu4qKCh04cEDvvfeexowZY3Q4CNCBAwdUU1Oj6OhoJScn6/nnn5fD4TA6LPTh9OnT+uCDD+TxeLR48WLl5eUZHRICVFtbq5KSEjU0NMhmsyknJ0ePPvqo0WEhCB6PR0VFRXI6nRF/CpOkzKRqa2v1zTffKCkpyehQEKSMjAwtX75cdrtdH330kcrKypSfn290WOiFx+NRaWmpNm/eLJfLpU2bNmnWrFmaOHGi0aEhAHa7XStXrlRqaqqam5tVVFSkjIwMxs9CDh8+rAkTJqi5udnoUAzH8qVJ7du3TytWrJDNZjM6FAQpMzNTdrtdkpSWlqa6ujqDI0Jfzp8/r3vuuUfJycmKjo5Wdna2qqurjQ4LAUpMTFRqaqokaeTIkZowYQL/5izk2rVrOnXqlBYvXmx0KKZAUmZC1dXVcjqdmjJlitGhIESVlZWaMWOG0WGgD3V1dXK5XP7XLpeLSd2irl69qgsXLmjatGlGh4IAffjhh8rPz6cAcQfLlwYpLi5WQ0NDt+dLly5VWVmZNm/ebEBUCFRf4zd79mxJ0qFDh2S327VgwYLhDg+IOD/99JPefPNNFRQUaNSoUUaHgwDU1NQoPj5eqampOnPmjNHhmAItMUzm8uXL2rZtm+Li4iT5SruJiYnasWOHEhISDI4OgTp27JiOHDmiLVu2+McS5nTu3Dl9+umneuWVVyRJZWVlkqQlS5YYGRaC4Ha79frrryszM1OPPfaY0eEgQB9//LGqqqpkt9vV2tqq5uZmzZkzR+vWrTM6NMOQlJlcYWGhduzYwelLCzl9+rT27dun1157jXGzgLa2Nq1fv15btmyR0+nUpk2btG7dOqWkpBgdGgLg9XpVUlKi0aNHq6CgwOhwMEBnzpxRRUUFpy+NDgAIN6WlpXK73SouLpYkTZ8+XWvWrDE4KvTGbrfr6aef1vbt2+XxeLRo0SISMgv57rvvVFVVpUmTJmnjxo2SpGXLlmnmzJkGRwYEj0oZAACACXD6EgAAwARIygAAAEyApAwAAMAESMoAAABMgKQMAADABEjKAAAATICkDAAAwARIygAAAEzg/40NOtCZXFzuAAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "rf = RandomForestRegressor(n_estimators=10).fit(X_train, y_train)\n", "rf_predict = rf.predict(X_test)\n", @@ -761,9 +844,40 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAl8AAAF2CAYAAABQ9P1+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xl4VNX5wPHv7FuWyb4nEPZNZBEVF1ABse4bVql1bX+Ke6st4l7XqrggqLUo2mq1Wq22tigFkUUQkCBC2AIkhOz7PpPZ7u+PwMCQCUnITGYC7+d5eB5y5s49b06SmXfuPec9KkVRFIQQQgghRK9QhzoAIYQQQogTiSRfQgghhBC9SJIvIYQQQoheJMmXEEIIIUQvkuRLCCGEEKIXSfIlhBBCCNGLJPkSQvQJ/fr146mnnurSsQUFBahUKlavXh3kqIQQovtUUudLCHEsbrzxRt577z0AtFot0dHRDB06lEsuuYQ77rgDi8US0P4qKysxm81dOq/b7aayspK4uDh0Ol1A4zjSrbfeyu7du/n222+D2o8Q4vghV76EEMfsrLPOorS0lH379rF8+XJmzpzJ/PnzGTt2LOXl5QHtKyEhocsJnUajITk5OeiJV3c4HI5QhyCECBOSfAkhjpleryc5OZnU1FRGjRrF7bffztq1a6msrGT27Nk+x7722msMHToUo9HIoEGDePrpp3G5XN7HXS4XTzzxBAMGDMBgMJCWlsZdd93lffzI245ffPEFY8aMwWw2Y7VamTBhAps2bQL833bcuXMnF154IREREURERHDxxReze/du7+PvvvsuWq2W7777jrFjx2I2mxk3bhwbNmzo8Pt//PHHefvtt1mxYgUqlQqVSsW7774LgEqlYt68eVx33XVER0dz/fXXA1BeXs6NN95IQkICkZGRnHHGGaxcudLnvLt37+bKK6/EarUSExPDtGnT2LJlS1d/LEKIMCfJlxAioNLS0pg5cyafffYZHo8HaEtSXnzxRZ599lm2b9/Oq6++yp/+9CeeeOIJ7/NuueUWFixYwOOPP862bdv49NNPyc7O9ttHWVkZV199Nddeey25ubmsXbuWe++9F61W6/d4m83GtGnTsNvtrFixghUrVtDU1MT06dN9rkh5PB4efPBBXn31VXJyckhMTGTGjBk+SeLh7r//fq677jpOP/10SktLKS0t5ZprrvE+/sQTTzBx4kRycnJ46qmnsNlsnHPOOTQ2NrJ48WI2bdrEz372M6ZOncr27duBtuTszDPPJDExkVWrVvH9998zZMgQJk+eTGVlZfd+GEKI8KQIIcQxuOGGG5TzzjvP72NvvPGGAijl5eVKc3OzYjKZlMWLF/sc89577ynR0dGKoihKXl6eAiiffPJJh/1lZWUpTz75pKIoipKTk6MASn5+vt9j8/PzFUBZtWqVoiiKsnDhQsVkMimVlZXeY8rKyhSj0ai89957iqIoyqJFixRA2bhxo/eY77//XgGUHTt2dBjXLbfcokyaNKldO6DcfPPNPm2LFi1S0tLSFKfT6dN+zjnnKPfcc4+iKIry2GOPKaeeeqrP4x6PR8nOzlZefvnlDuMQQvQd/j8mCiFEDygH1vGoVCpyc3Ox2WxceeWVqFQq7zFutxu73U5lZSU5OTkATJs2rUvnP+mkkzj//PMZOXIkU6dOZfLkyVxxxRVkZGT4PT43N5fhw4cTHx/vbUtKSmLIkCHk5uZ621QqFaNHj/Z+nZqaCrRdjRoyZEgXv/tDJkyY4PP1hg0bKCsrw2q1+rS3trZiMpm8x2zcuJGIiAifY2w2G3l5ed2OQQgRfiT5EkIEXG5uLtHR0cTFxbF3714APvnkEwYPHtzu2NjY2G6fX6PRsHjxYjZs2MDSpUv59NNPmT17Np988gkXXXTRMcetVqvRaDTerw8miwdvn3bXkQsEPB4Pw4YN45///Ge7Y81ms/eY8847j/nz57c7Jjo6+pjiEEKEF5nzJYQIqOLiYj744AOuuOIK1Go1I0aMwGg0snfvXgYOHNjun0ajYezYsQAsWbKky/2oVComTJjAnDlzWLlyJZMmTWLRokV+jx0xYgTbtm2jqqrK21ZeXs7OnTsZOXJkj75fvV6P2+3u0rHjx49n7969REVFtRuHg1fZxo8fT25uLunp6e2OSUhI6FGsQojwIMmXEOKYORwOysrKKCkpYcuWLbzxxhucfvrpJCYm8uyzzwIQERHBnDlzmDNnDgsWLGDnzp3k5uby0Ucf8fvf/x6AgQMHMnPmTGbNmsX777/Pnj172LBhA6+++qrfftesWcOTTz7JunXrKCwsZNmyZfz0008MHz7c7/HXXXcdCQkJXHPNNeTk5LBx40Z+/vOfk5aW5jNB/lj079+fHTt2kJubS1VVFa2trR0eO3PmTPr378+FF17IkiVLKCgoYN26dTz77LN8/vnnANx555243W4uvfRSVq1aRUFBAatXr+ahhx5izZo1PYpVCBEeJPkSQhyzVatWkZKSQmZmJpMnT+aDDz7gzjvvJCcnh6SkJO9xjzzyCC+99BJ//vOfGT16NGeeeSYvv/wy/fr18x6zaNEi/u///o+HH36YYcOGcfnll5Ofn++33+joaNauXcull17KoEGDuPnmm5k5cyaPPPKI3+NNJhNLlizBYDBw9tlnM2nSJCwWC1999RV6vb5HY3DLLbdwyimnMHHiRBISEvjwww87PNZoNLJixQrGjx/PTTfdxODBg7niiitYv349WVlZQNtctLVr1xIfH88VV1zBkCFDmDlzJvv27SMlJaVHsQohwoNUuBdCCCGE6EVy5UsIIYQQohdJ8iWEEEII0Ysk+RJCCCGE6EWSfAkhhBBC9CJJvoQQQgghepEkX0IIIYQQvSjstxcqKSkJ2rnj4+N9Kl6fqGQcZAxAxuAgGQcZA5AxOEjGoXtjcHCnis7IlS8hhBBCiF4kyZcQQgghRC+S5EsIIYQQohdJ8iWEEEII0Ysk+RJCCCGE6EWSfAkhhBBC9CJJvoQQQgghepEkX0IIIYQQvUiSLyGEEEKIXiTJlxBCCCFEL5LkSwghhBCiF0nyJYQQQgjRiyT5EkKELc3u3eh++AFaW0MdihBCBIw21AEIIcSR1DU1xNx6K7odO1C1tODKyqLpnnuwXXFFqEMTQogekytfQoiwY733Xgzr1qGur0fldKLbvZvIP/4RdXV1qEMTQogek+RLCBFeHA60u3a1a9YWFWH+299CEJAQQgSW3HY8TMH2slCHEBJ1Vjt1dXWhDiOkZAzCZwxUTiexTrffF6fa8kaKg/x3Gi7jEEoyBjIGB8k4dG8MUlNTu3ScXPkSQoQVRaejJSu7Xbs9MZnyCy8PQURCCBFYknwJIcLO7t89Tv2oMTgjIvFoNLRk9KPw5jtxWWNCHZoQQvRYj287VlVVsWDBAurq6lCpVEyZMoWf/exnPscoisKiRYvYtGkTBoOBWbNmkZ3d/pOtEEIAuKJjyH15IabCfLSNDTQNGoai14c6LCGECIgeJ18ajYbrr7+e7OxsbDYbs2fP5qSTTiI9Pd17zKZNmygrK2PevHnk5eWxcOFCnnnmmZ52LYQ4ztky+4c6BCGECLge33aMiYnxXsUymUykpaVRU1Pjc8wPP/zA2WefjUqlYvDgwTQ3N1NbW9vTroUQQggh+pyArnasqKggPz+fgQMH+rTX1NQQHx/v/TouLo6amhpiYtrP31i6dClLly4F4LnnnvN5XqBptVqf89dZ7UHrK5xpNBqsVmuowwgpGQMZg4NkHGQMQMbgIBmH4IxBwJIvu93O3LlzufHGGzGbzcd8nilTpjBlyhTv11VVVYEIz6/4+Hif85+oy2mtVusJ+70fJGMgY3CQjIOMAcgYHCTjEJwxCMhqR5fLxdy5cznrrLM49dRT2z0eGxvrk+RUV1cTGxsbiK6FEEIIIfqUHidfiqLw5ptvkpaWxkUXXeT3mPHjx7Ny5UoURWHXrl2YzWa/txyFEEIIIY53Pb7tuHPnTlauXElmZiYPPPAAANdee633Ste0adMYM2YMOTk53H333ej1embNmtXTboUQQggh+qQeJ19Dhw7l448/PuoxKpWKW2+9taddCSGEEEL0eVLhXgghhBCiF0nyJYQQQgjRiyT5EkIIIYToRZJ8CSGEEEL0Ikm+hBBChA1tfR2xK5Zi2b4FFCXU4QgRFAHdXkgIIYQ4VmnvLyTpv//EWFGGy2ympf8gdjz5Mq6o6FCHJkRAyZUvIYQQIWfK303KPz/EWFEGgLalhajczWS//HSIIxMi8CT5EkIIEXLJX3yMvr79/nnmgt0hiEaI4JLkSwghRMgpOp3/B9Sa3g1EiF4gyZcQQoiQK7nqF7TGJ/q0KUDjsFGhCUiIIJLkSwghRMg5klLYd+udNGdl4zJbaI1PpPrMc9l79+9DHZoQASerHYUQQoSFqikXUnXO+ZiKCnFGx+CyxoQ6JCGCQpIvIYQQ4UOjxZaVHeoohAgque0ohBBCCNGLJPkSQgghhOhFknwJIYQQQvQiSb6EEEIIIXqRJF9CCCGEEL1Iki8hhBBCiF4kyZcQQgghRC+S5EsIIYQQohdJ8iWEEEII0Ysk+RJCCCGE6EWSfAkhhBBC9CJJvoQQQgghepEkX0IIIYQQvUiSLyGEEEKIXiTJlxCi71MUjEWFGEqKQh2JEEJ0ShvqAIQQoidM+XkMfOEJjKXFoFJhT8tk15ynaU1JC3VoQgjhV0CSr9dff52cnByio6OZO3duu8dzc3N5/vnnSUxMBODUU0/lqquuCkTXQogTmcfDoGcfIWJvnrdJ17CFwc/MYctr74UwMCGE6FhAkq/Jkyczffp0FixY0OExw4YNY/bs2YHoToi+xe0mbsX/iFn/Hc0Dh1B+0ZV4jKZQR3VciNj2E6b9+9q1G4sKMe4vwJ7Rr/eDEkKITgQk+Ro+fDgVFRWBOJUQxxWV08mwB+8kMnczGqcTZdliEr/+km3PL8AZExfq8Po8tcuFyuNu165yu1G7XCGISAghOtdrc7527drFAw88QExMDNdffz0ZGRl+j1u6dClLly4F4LnnniM+Pj5oMWm1Wp/z11ntQesrnGk0GqxWa6jDCKlgjUHsB28T/VMOKo8HAJWiYMnPY/DC1yh++pWA99cTffL34MxJODL7Y8zf7dPsTM9Cf/I49CpVt0/ZJ8chwGQMZAwOknEIzhj0SvLVv39/Xn/9dYxGIzk5ObzwwgvMmzfP77FTpkxhypQp3q+rqqqCFld8fLzP+evq6oLWVzizWq0n7Pd+ULDGIHntam/idTh1/p6wG/O++nuw+/bfkP3aCxiL96GoNdjTM9l9z2ya6+uP6Xx9dRwCScZAxuAgGYfgjEGvJF9ms9n7/7Fjx/L222/T0NBAVFRUb3QvRMg4O/gdd5tkzlegNIw9lc1vfUjUpg2g1VA/ehxoZCG3ECJ89Uqdr7q6OhRFAWD37t14PB4iIyN7o2shQqr42ptpjU/0aXNGRFJ+4RUhiuj4pOh01E+YSP3YUyXxEkKEvYC8Sr3yyits27aNxsZGbrvtNmbMmIHrwGTXadOm8f3337NkyRI0Gg16vZ57770X1THMxRCir7FnZJH3u8fJ+Mtb6GurcUVEUnHBZVSfOz3UoQkhhAiRgCRf995771Efnz59OtOny5uNODE1jD2V3LGnhjoMIYQQYUK2FxJ9lrahHtO+vaiczlCHIoQQQnSZTI4QfY/bxcDnHyf6p01omhtxxCdSeukMyi+dEerIhAgatc1G5jvzsezagaLXUTHtYqqmXhjqsIQQx0CSL9HnZP15HvHLv0Z9oISDtjCfjL++RcPIk7ENGBzi6DqhKOBxy6Rw0T0eD8Pm3EX0lk3epoid29BXVVBy7U0hDEwIcSzktqPoc6I3b/QmXgfp62pJ+/RvIYqoa1L//h6jf30tY6+/lFF3/pKY774NdUiij7CuX0PErm0+bdqWZhKWLQY/deSEEOFNPn6LvqeDNxuV09HLgXRd4n8+I/39hWhtLQAYK8rInvcs29IysfXLDnF0ItxFbt+CprW1Xbu2qQGNrQW3JeLoJ3C7Sfr3P4jZ8B0evZGSq35B04iTghStEKIzcuVL9DnNA4a0a3NZIigL49pZCUv/6028DjJUV5H20aIQRST6krqxE3AdVqz6IGd0DG6zpdPnD3nid/R/Yy6x674jftUyhj56H4n//Wfbgx4Pccu/ZvCTv6f/a39EX1ke6PCFEEeQK1+iz8m/63cYKsqIyNuOtrmJ1vhEqs45n8aTx4c6tA6p/Vy1ANA0NwW/c0XBvGcXmpZmmoaORNHrg9+nCKjGk8bSMGos1g1rvLfcnVFWyi65GjqpmRiR+xPRm39A7T60Abm+vo7kLz6m4vxLGPLEA1g3rEFzYNVwzPerKP3DXOr8fMgRQgSGJF+iz/GYzGx78U3MeTswFe2jYfQ4nLHB24A9EGwZWUQeMWfHo9FQd8rEoParryxnyBMPYNq3F3VrK/bUDApvmkXNpCmdP1kAELf8a5K/+ARtcxOO2Hj2/eouWgb2cmKiUrHjD3NJ+fRDrJvWHbh1OJPGUWM6fap14/do/ST5urpaYtasIHrTem/iBWAsLyXp9bmUzn0roN+CEOIQSb5EWIr68QdSP/4LmpYW7OkZ7PvV3biiY3yOaRk0lJZBQ3s9Nn1lOf3nP4+xtBi3wUj1OdMoveK6oz6nYNb9mPcXYN6Th9rtwmUy0XDSOMovvDyosQ587lEid+R6vzYX7SNr4WvUjzsVd4Rs8dWZ2JXLyH7teXQNbZvqWvLzMJUUsvXlhTiO2DYq6DRaSmdcT+mM67v1tKahI3AbDO3mjLkjIrFuWIPWZmv3HG1ledvKXNmJRIigkORLhJ2Y775lwCtPo6+tASB66yYidm1ny6vv4DG1n/fSm9StdoY9eDeWgt3eNnPBHtR2O8XX3dzh81zRVrbMW0T8N19j2bWd2tPPatuHMIhvbpqmRkzFhe3ajaVFxC//mvKLrwpa38eLlC/+7k28DjKWFpP2wdvk3/NgiKLqnrpTJtI0eLhPmQqXyUzV5Gm4IiJRVGpUiu8iFo8lQhIvIYJIJtyLsJP6yV+9iddB5r15JP/zoxBFdEjC4i8w79vr06a1tRC34n+dPlfR6qicdhEFdz5A/bjTeunNzX8fikbTC333fZqWFr/t+urKXo6kB1Qqtj8zj9KLr6Zh+EnUjx5Hwe2/oej6X1Hxs8toyervc7jbaKJ+ys9CFKwQJwa58iXCjq6xoV2bCrDszev9YI5gyc9rd5UAaJtTE2a3adwRkdiy+mGo8l29Zk9Np+qc80MUVd/SmpBERN52nzZFpaJxxOgQRXRsPCYz+ffMbt9uMLL9mXn0n/8CxtIiPAYjVZOnYrvlDqir83MmIUQgSPIlwo7TGgP7fNsUlZqmoSNDE9Bhqs88l4RlX6Gx+86TaY1LCKvE66C82U8y5InftU24dziwJ6ex79d3h/z27eEMJUVkvrMAfXUlTmsshTfdhj0zPGqfFdx2H6bCfMxFbb+QHrWapmGjKL385yGOLHAcicns/MNcnzZriGIR4kQhyZcIO/t/8SuMRYUYDru10zR4KGWXhH6OUv3406kbMwHrD4eW5tsTk9l/06wQR+afMyaOra+8jbGoEI2tmebsQWG1tZG+vJThs+/AVFLkbYvYtZ1tz74aFglYa2o6W199h9SP/4KxtJiGkSdTftGVUq5DCNEj4fMqLMQBDWNOYduz88j44G00TY20ZA9m//W/QtEb/D9BUUj435fErVgKKhVlP7ucuomTghOcSsXOJ14k7tslxK1ejtMaQ/G1N+FISApOf35Y8raT9rd30DY30dJvAPt/+X+drly0p2f2UnTdk/numz6JF4CxvITMRW+y67HnQxSVL1e0lcJf3R3qME4MbjdpH72LddN6FJWaqnOmUXHBZWF5VVmInpDkS4QlW/Zgdj3yxy4dO+Dlp4hfuhiNo20pfdRPOZRe/vPgXY1Sq6k+dzrV504PzvmPImrj9wx6/nHvVUFrznqitv7I1pcX4jEYez2entJXV/ht19XV+G0Xx7fBT88h9rvl3oKwkdt+wlRYwL7b7gtxZEIElqx2FH2avqoC67rV3sQL2jYcjl++BLXN/0q1vizjg3d8bscCWPJ2kPTFJyGKqGdaE5P9tjt6sWiutr6OhK/+hXXdajisCrzoXcaiwnaV+DWtdmK/+/a4/FsWJza58iX6NMvOXAzVVe3adTVVGEuKaBkwuEfnV7mcxP/vv1hz1tGSlU3pFdfi6cJeesGiq69t16ZSFCJ25vo5OvwV3jSLyK0/Yi46VI/MlpJO4c139Er/Kf/4gJTPPsRYUYpbp8Oe2Y+SuW9BRFSv9C8Oidi1DV19+xWWuvpaDBVl2LJCPwdQiECR5Ev0aS39B+KItqI/4kXbFW3t8KpKV6kcDobPvoPI3M2o3W4UIH7512x/9jUcPTz3sXJGRbdrU1Qqmg9sd6O224jatB6PyUzDqLEQ5vW8nHEJ5L74Fpnvvo6hogxHbDyFN83CkZQS9L51VZWk/uOvGKrariRqnE4se/JIfepBKp9bEPT+ha/GoSNwWGPQ1/l+wHBaY2lNDP7vgxC9SZIv0ae1pmbQOGoMMWtWeDccdut01J4yEXdkz65eJH/+EVFbfvTW9VIBln176ffmS+x6NDSTwYtn/BJTUSH62mpvW3P2IMou+zlx335N5qI3MJYU4dHqsGdksfPhZ8Ji1eDROOMT2HP/Y73eb+JXX3gTr8PpigpR2214jKZej+lE1pqaQf3YU4lbsRS12wWAy2Si+uwpeExh/rPweDCWFOGKjGy3DZoQ/kjyJfq8XQ8/S/pf3iLqpxxQq6k99SxKurn/nT9RWzb5LahqKCvp8bmPVd3pZ7Pz0T+S9vf30LQ0Y0vPovCWO0HxkPn265hK21YOapwOLHvzGPjik2ydtyhk8YYztyUCBT97AGg0KGFUjqOn1K12ojZvxGWJpGn4qLBeOZg3+0kah44gdt1qFLWGiqkXUX1ueBcEjlmzgsx330BfUYbHaKRp8HDy5jwtybs4quPnFUacsBStjv1BmCPksvr/BBvqAqWNo8awY9QYn7b4//0HY2lRu2ONpUXoqipxxif0Vnh9RsX5F5Py+UeYivf7tNuGjUTR6YLTqaIQuWUTcSuX0dJ/AJVTLwpqzbD4ZYvJ+MtbbVdDdTpsWdnseOx5HMmpQeuzR9Rqyq64jrJONqoPF9q6WvoveAFjeWlbQ1MjhqoVeF56irw5T4c2OBHWZLWjEB0omnkr9iPmdjkjoyi76MoQRdQxj16Pom7/56yoNSha+Yzlj8dsYc99D9M0cCjOaCutCUlUT5xEyaNdK3HS/Q49DH5yNsMevofUzz8i+9VnOenOX6Kr9F9uo6c0TY1kLnodU3EhKsWDxtFKRN52Br3weFD6OxEl/+uTQ4nXYSw7t7VtNyZEB+RVWYgOtCansuuhZ8hc9Dr6mmpclgjKL76S6jDcF7H29EnY0zIx7y/wabdl9uvwCl5XaetrGfDS05gK96KoNTSNOIm9d/7+uKjy3nDyeH56430MFWW4TWZcUdFYzRZwBH5fw9hV3xDz/SpvWRS1x4Nlbx7Z8//IzifmdvLs7ov/ZjFGP7fIjcWFaBobejwnUtBum7GD1G5X2O31KsKLJF9CHEXTiNFse/FPoQ6jU4pez+77HyX7tT9iKCtB0epoyepP3pxnenhihaEP30fU9i3eJnNhPurmZvIeea6HUfc2BYOxFI/HgNMRd6hZpaI1yKsrI7b9RPb8P/rUozvIWNL+dnEgeHR6FJUK1ZFXYFRq8HOV9HCauhpivluJPS0DW78BQYnveFB28VUk/O8/PgtgAFoy+3U6xuLEJsmXEMeJphGj+emNDzAWF+LRG7zlMFQOB1lvv0bE9q0oGg01Z0ym9MqZXfpUHrllE5b8PJ82laIQtW0L2oZ6XH5KX4QjS2Qu2UOfxWgoxaNoaWkeQN7WZ3G5gh+/cd9ehjw5G32t/6r9HkMH22b1UPXk80n/6N12c9pasrJxWyI6fF7mwvkkLv8KfXkpzohImocMZ8fjc8N/xWEItKakUXLVTO/tR7fBSEtWdkhW74q+RZIvIY4nKhX29CyfpqGP/gbrD2u9q/oiduZiKC+l4I4HOj2doaIMjd3erl1ta+4zyZdK5WDQ8McwR+R72wzGKgaNeIjtm+cHvf+Mv/4ZQ2W538dcBiPVZ54blH49JhN77p5N/zdfxlBeikevpyVrAHkPPtnhcyJ/2kTyl5+gbWoCQNfUiHXjOvoveIE99z8alDgP0jQ1kvqP9zEWFdJw0lgqLrgseAsfAqjkmhuouOAyrD+spTU+gcZRY+V2o+iUJF9CHMfMu7YTuWOLTzkFjcNBzLrvKLz5zk6vZtSPO43WhEQMR0wKdyQkYU9JC0LEgRcb9w3G2n2gAQ77ds0Re9Bq64N+9UvbWO+33W0wUjLjekquuaHrJ1MUEpZ8Sfy3SwCoOmcalVMv6vDNvmHcaWz+04eY9+3FbbZ0ens1+ct/eBOvw1nytnc9xmOgLyth+Jy7MRe2Jchxq5YRv/wrtv3xdRR9cK4MBpIrKpqqEOz1KvouuSktxHHMsmen3zdTbWM9utr22zIdyRkTS/n0S3FGWb1trfGJFF13c9hXzweIX/Il/Wa8inq8B0YBs4ADpdtUKjcqtSPoMdg6KHJbfda5FN1wW7eukvSf/zzZ854jZsMaYjasIfvVZ+k3v5OCv2o1Lf0HdmleW4f1zVTBfavo9+bL3sQLQO12E7V1Myn//KjH59bVVpP+3ptkvLMAfQdXIIXobQG58vX666+Tk5NDdHQ0c+e2X7WjKAqLFi1i06ZNGAwGZs2aRXZ2eFfdFuJ40HDSuA63bHEkdG2LpKIbbqP6zHNJ+dfHuI1mSq+aiSMhKRjhBpSpYC9Zf56H4eBk6ApgIRAHPAl2WypOR/Drn+2/4f+I+ikHy56d3iuQzVnZ7Pv1Pd06j7a+lpi1K9G0HroNrGltJXbtSop++euAVFYvufI6rOtX+2zXpajUNBxRVy7Q/JVrUCkKkbmbe3Te+GUwUOvtAAAgAElEQVSLyVr4mve2b+KSf1N03S2UX3J1j84rRE8F5OPM5MmTmTNnToePb9q0ibKyMubNm8evf/1rFi5cGIhuhRCdaE3LoG78RNyHzZ1xRkRSMf3Sbs2nsQ0YzN77Hmbf7b/pE4kX0LZv4xGr0HCC8hU0Nw2g5LNryX75adLffQOtnw3LA8UdEcnWVxay/8bbqTrzXPZfexNbX30HZ2x8t85jKixAX9W+Jpi+qgJTYUFAYm0ZOISimbfSmpWNy2iiNT6RqklT2Pd/3UsUu8vdQeFipzX2mM+pcjlJ/+Btn/l2hqpKUv/xAWqb/xIRQvSWgFz5Gj58OBUVHRcK/OGHHzj77LNRqVQMHjyY5uZmamtriYmRPbCECLbdv3+C+jHjiVv1DR6NlrLLZtAwZkKowwq6jt5g7Q1p1P9yHAOWPoeusQGAxGWL2f3bR2k4eXy3+zGUl6KvKGO3JYn9ylE+z55+Uds/gPLmtn9Hxqx20j9zPTqtnb37TsPhtHgfMzsN9IuKIbLON6FsjophndNAy56ybsfuT8rEC2mdeTOtWzfjiEvocZ24rii9bAamgt3oGw7Nj7MnpVA085ZjPqc5f7ffrcCMpUVEbNtMw7jTjvncQvRUr0y4r6mpIT7+0Ke8uLg4ampq/CZfS5cuZenSpQA899xzPs8LNK1W63P+Omv7VV0nAo1Gg9Vq7fzA49jxPgbOa35J2TW/BNoud/v7To+3MWi5/Brc679DY2vxaXclDSXh26XexAvAWFpM9qLXKXjvsy6Pg8rRStqDd6P/MQd9Qy1pSUnkXTidDbfe2KX41Conp6T9hZTIn/AoGooaTmJI/HKsxiI0ajf19hTWF93Azqq2idx2LBRNPIVBS5aidR3YeFqrZf8Zp2A/KyMgtzGqa5qpr2imsaCS1ORBZKb1zgdkzyVXUaHTEvvJ31A3NeCKT6Bi1v2YhwzjWDfz0qVn4rFY2tVW85gtmDL7oe7kZ3y8/T0cKxmH4IxB2K12nDJlClOmTPF+XVXV+aTgYxUfH+9z/rq6wFe17gusVusJ+70fJGNw/I1B3YiTMZxzPrFrVqCvq8FtMNI8YDD16ZlkrFrW7nhNeQmNRfuJTM/o0jgkPPckESuWoaatiGlsWRljP/k7nvPiqRs3sNPnj0tdQLxlK2pV2/PTozd5/w8QbSxlYuZCIAmXp22ZZsHcS1C9YyJhRS6ooPLsEey7eSoD1Du6MiSdija1UBgRz/4mFXsKK0kqK2f8gK7NDeyputMnU3j65CMau/H76PG0LV44uIDBZCE+ezAxG7/3Oay5/0DKE5I7Pffx9vdwrGQcgjMGvZJ8xcbG+iQ51dXVxMYe+718IUJGUdA21OExmvAYjKGORhyNSsXe3zxM8TU3ELP+O1qy+tMwZgLxyxbj0WhQu90+h3sMxm79TON253oTr4N0DTZO+ngjVWcfveyATreLOHO+T7J1+P8PMuuqODm2nBbboQ+k3DOYpgNTsEzA8C5H3LkaQzlRxljcKUk0RrRQv8N/mYxwYigtZsDLT2Ms2Y9Hq6Nx5Gj23v0gil7PrkeeY8DcJ7Hs2QmKgq1fNrulAKoIA72SfI0fP56vvvqKM844g7y8PMxms8z3En1O1I8/kPXnV9FXVuAxGGgcOYY9v30YRRv+hSBPZK1pGZRd/nPv19WTppL29/ew5O/2tnnUaupHj+9eUc8OSkQodF46wqDbhkbdvgRIexoURSrLd8jtYuhjv8Wy99AuDKbiQtQOB3lznsYdEcmux55vuyoGsuWPCBsBSb5eeeUVtm3bRmNjI7fddhszZszAdWBOwrRp0xgzZgw5OTncfffd6PV6Zs2aFYhuheg12oZ6Bs79A8bSYm+bsaytavje+x4KYWRC5XISs2YFuvo6qs86r9MJ4opOx/YnX2bAK8+0XS3R66k/+RQKbv9Nt/qtHjgCa0Ee6sP2TnRbI2m84ZJOn9vqGIXbHYlG03jU45yuDGz2U7sV14kkdvW3mPbl+7SpFIWIbVtQ2214jAcSV0m6RJgJSPJ17733HvVxlUrFrbfeGoiuhAiJ5H994pN4AagUD1E/5YQoIgFg3pnLiN/fibapARWQ+c4Ciq67idKrf3nU5zmSU9n+XM+2Fto883aMjdXE7dqKob4OZ1o8thkX4DhlZKfPdboGYHeMxmxci0rVdvvT4zHjcltRq1pRqTy4XElU191LGE7NDRuGyjLUble7do3DjtpuP5R8CRFm5K9aiC7Q1vnfFFnldIKi9Mm93AzlpcSuXo4tLYO6CWeEOpzuc7sZef9taA9bzahrbCDjrwupOucCnPHBLaCqaHWseugZdPZytI3byZ6QTFxsBNGWRYCGxuaL8Xg6vgpXVfMIkRGfYjJsRFG0NDb/DHvrGajV9ahw4vYEb6X38aJ60lRSP/4rhhrfhVmt8Um4ok/sFXoivEnyFWIpn/yVhGVfoWlpxhGfwL5b7qRpxOhQhyWOUH7RlSR88zW6Bt8VL/a0jD6ZeGW98RIJ33yFvrYat05PS/+BlLy2CDraXiYMxS9d3K6MBIC2pZmEJf+m5Lqb2xqCnBy3JCZhy/YwLukrkq1foNW2JQIR5sXUNtziO1neh4bGphk0Ns3wafV4wn+z8mBSt9rB48HTQeHVwzkSkqi44FKSvvwM/YFCubaU9LbdA/rg36U4cfSdV9rjUNLnfyfjL295P7mbSvYz+JmH2frq2zjiE0McnTicrd8Ayi+4lMQlX6Kvrcaj0WLL6s+ePjjfK2L7FhK//he6prb5Rhqng8hd20h55iGqH/ljiKPrusO36zmcArjNZjIXvkbM96vQ2G20JiRR8H/30jy081uCx0KraiUx+j/exAtAq60kOvIDWmyTAFmU0RlNUyMDn38My948VG43ttR09tz/GK2dbOC+/6ZZVE75GUn/+QxXlJWyS67GHRHZS1ELcWwk+QqhhG8W+9wyATCWl5D24SLy7/p9iKISHSn81d2UX3I1cd8uoTUpheqzzu1TV4oOSvryM2/idThDwd7eD6YH6k49g5TPP0J9cCXbAYpeg7l2F4mfLUbjaNs421hWwuCnH2LL/PeCcjsqwbIbg7b9Lh9aTTl63R4czqEB7zOYYjZu5uS3/4azvhXHtb+k8aSO93Y0FuaT/uEiNE1N1I07lfKLrzymv4tBz8whdv0a79eGynKGPPEAP73+fqcT5u0Z/dh3W/cWTIhjp7bbMJYU0ZqYLInuMep77xzHEU0H25/oav3PLxKh15qUQsk1NwS9H31VBVE567FlZtE8ZGRAb6G4LRF+2z3dKbPQDSqHg6QvPyVq64/YMrIoufr6gLxg1489lfqTT8Gas857BUzRgnqOm6TP/o3a4ZuUmUqLSPn0b+y/OfCrre2uKNweI1qN74cpj2LC44kKeH/BNOiNDxnw7ucY6tt2AHBu20TpFT+n6Ibb2h1rXbeaAS89haG6EoCY9auJ/X4V2599rVu/s7raaix7drVrNxUWEPXjDzSMDeJ2WB4PxtJiXBERAdmc/HiX/pe3SFj2X3Q11biioqkbfzp7750jt3m7SZKvELKnpPnUGgJwa3XUnHZWiCIS4aDfgheJW7kUQ3UlLrOF5kFD2f7ky3jMls6f3AUlM64ndtUyjIdtOOxRq2kZF/iSBupWO8MfuJ2IHVu9V6jiVn3Dtufm40jsYeV0lYrtz84j5fOPif1hCZGJ21A/6oYJoP6bx+9T9FXlftt7qtaegc2ZQaRmp0+705mNy50alD6DQd1kI+uzJd7EC0DX3EjC0v9SeuXMdklz+vsLvYkXgNrtJmpLDtZ1q6nrxuuYpqUF9YGrlD7xOFrRBXHTc+u61WS+PR9DZTkeg4GmQcPIm/MMHpOskvTHuv47Uj/9AG1zW406ra2FhCX/xp6cRsm1N4Y2uD5Gip+EUP4dD9CclY1y4BOD22CgftypVJ13QYgjE6ESvfF7Er/6wvuGpm1pJnrzRrLnvxCwPhzxieTf+TuaBg7BEROLLSWNymkXUR6E+Wupn7xP5LaffG4Nmgvz6ffmy4HpQKOl9MrrsP+zP+ov2xIvAPq1P9RlMlM59cLA9OtHfuV9tNhOx+lKwelKobnlDCpr+lY1dePOIoylle3by0p8CpkCoCjoa6vbHatpbSXm+1Xd6teemk6rn2S8NSWNulMmdutcXaVtqKf/a38kYm8eusYGDFWVxK1dyYC5fwhKf8eDpC8/9SZeB2mcTmLWrw5RRH2XXPkKIUdSClvmv0fyv/+BOX8P1WedS+1pZ0lBwBNY4ldftJsHCGDes9PP0ceu9ozJ1E6chK6mCrclAo/RhFUb+JeDiB1b/U6KN5SXBrQfRTki9teAy0DZASoFXGYLNaefTcPJpwS038O5PZFU1jwJHNy2SBO0voLFmRqLIyYKU6Xv1SZndEz75EilwuXnFrZHo6F5UDfnuKlUFNx234FtgopQAfaEJIoDdIvan8T/fIaprKRde8Su7XDE1lOijcrj/4oyHbWLDknyFWIek5mSGUcvCClOHIrO4L9dE4Q3cpUKZ1xwa2E5O6g27zZ3XkagO0oKZxKb8C16w4H5koNBWQ+Nc0fRvGkYledMp2lkb5Vw6XtJ10HOlDhqTx6GcelaVAcq9ysqFY3DRtGa3P72aeXUizCW/Qltc7O3raXfQCqnXdztvhvGTGDzm38jYel/0NhsVE67GGdM8PYA9lemBEDb1MjA5x5BGXUyDVMvlluQh6maPI3oTRvQtNq9bYpKTeOojhdkCP80jz/++OOhDuJoGhuPvv1GT5jNZlpaDv0B1lV1Za+144/RaMRut3d+4HEsXMbAlpxK3OrlaOyHFmN4NBqqzp1O/bjTgtp3Z2OgaWwg/f0/k/zvTzCUFtM8aGin+1q29B9E7JrlPrcqHNExFN58B/aMfoEKHZfLitttwmjaj1pjx+mIob5pAjvMr1A7YVKH88tUTicRO3PRNjW2JYoqVZd/FxqbWvEYNXgMYKgpYMCOnejNVpSI9omlWl2PWl2HoligC3s/horN08yOyeehbVLw2B00Wqw0TjqPPb99BPx8AGgafhIOa8yB8YulYfQ48uY8jcdybPMTFZ2e5iEjaBx5ctCTHntqBvHfLmmXhKlbW4ko2I1l/Rpi16yk5sxzulRz7Hh1+N9DS/+BGMpL0VVXobW14IyyUjduAvn3PAjqvvuhozPdeX/IyE7p0nEqRTlsY7IwVFLS/rJwoMTHx1NVdaguT8H2sqD1Fc6sVit1dXWdH3gcC6cxSPryU1L++RG66krcEZHUjx7Hnt+0f/OzRG4la+BrGIzluF0WqsqnUVJ47CsxjzYGusoKRsyehfnAPnqKSkXzoGFsffHNThcCWHZsJeudBehqqnFHRFJ62TVUT552zHEejUrlwByxB0drPE7H0a/qxa5eTuY7CzCU7EfRG2nJ6s/OR5/HMmhwl34XissacEWomTD/KZJ/3IClqg5XUhy2cydQ8/xvQKVCpWohPuYZ9LrdoHLgdidSW387rY7wLKRc4yqn0B6LW51EY2kL9TvqGT+ghwsjwkjUjxtI/cf7qO12mgcMwRltJfk/n2EsL8Wj0aByu9ulxpXnnE/eQ8+EJN5w4O91QV9ZTsSOrbT0GxDQD1HhqjvvDxPPG9ul4+S2oxBhpvyiK6mYfgmGshKc1li/c150+mqGjJyD0XzYRt/mQhRFQ+n+XwQ8pn5vveJNvODA5sW7tpH+t3covPWuoz63eehItj3/RsBj8kdR9DQ3Duv0OE1zE/3+9PKh/TpdTURt38Kg5x+l5M8fdbm/oZ/9jX7fLkfjattfUFtejeWzpbSOGUbzdT8jzvo8ZtP33uO1mgbirHMprfwTiiK3s3pT/LLF9Ht9rrcSvvXHH2gYfhI/zf8L1px1JH/+d6K2b2n3PGPJ/t4ONew5EpKoSUgKdRh9mszsFiIMKVod9vSsDicbp2a+55N4AWi1LcQnLQlKPB1NkPdXm6kviF++BMMRG6UDmPbvQ93Y4OcZ/qVsWu9NvA5Stzox/3cl4Gi74nUErbYEiyk4P6c+xeMhZs0K0t5fiHl3YBeU+JPyzw+9iddBETu3EbNhDVXnXYA9Pct/mLI5twgCufIlwp6huJDM9/6Erq4Ge0o6+2+8PagTcfsCvaF9OQAAtcZ/4d6ecpv9F2Z19tHNi5UOp111cz5WR4UlVSpUKjcqVftVcyoVqNX+J3ufKDSNDQx/8C7Me3ahcTpI/fQD6k6ZSN6DTwWtWKeuvv1tI7XbRdRPOVROu4j9v7iVqB83+NS/c0ZGUXbxVUGJR5zY5MqXCCh9eSnD5tzNyTdezuhfXUPWmy/3aNm2KT+PEb+bRcI3X2HNWU/yfz5jxG9/jTaIhRf7gprKc3C72092b7V1bbJndxVfcz2OmDifNntiMvt/8Svv12q7DZWfQpnhqHryNOwp6e3abRlZeCK7XpG+eMIZuPR6nza32Ujz5eehKCacrvYrBF2ueJpazu9+0MeR/vOfJ3LHVjTOtt8XXWMDsauXE7tiadD69PdBwaPR0nBS2xyd1rQMdv/+CepPGktLehYtI0dT8Kt7gjY/UZzY5MqXCBiV08mwh+/1qdpv2l+Ayumg4Bj3qsx853WMR9zyMhfmk/7+2xTccX+XzmHct5eM9xeibWqgadAwiq+9uc8vH6+umEJC8mKiY9eh0bSiKGBr7k/+rgeC0l/DmAns/u0jpH3yV7RNjTisMRTeNIvW9Ez05aUMfPEJjMX7UdQamgcOYffvHg9YRf5gcFsiKLj9N2QtfA1DaRGKwUBLZjZ5s/9Ad6LeeckMIsoLSd3wHebaOjyJcbRMP5OWK6YAUF33WxJjn0CrLUStduJ0JdHQdDUez4l95da8r/0+ohpHK/HfLqFm8tSg9Fl6+bUYDpvzBdA0ZDiV5033ft1w8inkHqgFF06LcMTxR5IvETDxy7/GVJjv06Z2ubDmrAO365g229V3sM+lsbiwS8+P3JzDoOce9t5KiNmwFmvOera+9BaK3n9Nrd6maW7CYzB0WrbBl5odP72ENW4V8YnLaGnpR1nRDDzu4CU8daed1X7LGI+HoY/dT8TuHd4mU1kxaqeDHU+/6m0zlBShdrRiy8oOmz3gaidOom7CRCx5O3CbLW2xdZdKxff3P4DHdSkTm4owDTwJJfrQLVq3O5XSytcx6jei0dRhs5+ORwnfjYhVKgcR+kKa3MGNsaN9RN3HWKKiK6rOuwBHXDyp//gAtd1G84Ah7L/xtmN6XQo2XW0NmQvnte05aYmg6LqbaR42KridKgqalmbcRpPfsiIisMLvt06gttmIWbsSRaej9rSzUIK04XGgGYv3o/Zzi1Fjs6F2OPCYuv/r5oyO9tvur+CjPxl//ZPPHA4Ay65tJH35GWVXXNvteAIpatN6st6ej76qErfRSP3YU8m/44FuvPCpqKs+m7rqs4Ma59FE/fhDu4QbwLJ7Z9sG8S4XQ55+EFNhPiq3G3tyKnvvnk3TiK6VWlA5ncR/8xXGkv1UnXM+tn4DAhq/otXR1IM3taqSeqKjomm1RrI3PZFkQzNxNi2RCz/DsHknrrRE6u+6Dnt8zzaG1u4twrhyI45h/XFMGBWUBDY68j2STP9DrW7E4Y6h0Hg6S3fcQHFZA2nJgd0cvGbiZCx7dqE57Da1IzaO4iAXnG44+ZSg7nIQCJqmRoY/cBuWgj3etohd28ib/RQNY4ITe/ySL0n97EN0dTW4IiKpnjyVosOmFIjAk+QrzMSuXErWwvkYS4tQ1GrsaRnsvv8xmoafFOrQOlV1zjSS//0JuoZ6n/bWhMRjLlK4/5e3Ydm7G8NhCVRLehZF13fthUFX135umNrjIXLbTyFNvnS11Qx88UmM5Yfq2BnLSvDoDey77b6QxdVduroaNI7Wdu1qRyualmYGvPgHorb+6G2P2LOLgXOfZPOfPvR+qIiybiAj+y10+lpczkhK9/+c6orz0ZeVMOyR+zAV5qN2u0n+9z+oOnsK+ffO6bXv72jSkqOgDH7cVoY7UUV1vJn++v1M/dWDROcWoj5QQVH532qWv3YrjVkd1R1TsOhqcSta7K4jkhxF4bTH/07iylxMtc04zAZqhqWz/LVbcZm7duU2cl8lmlYndQOTO9y6LD1qC2dYPkavaftZ6jXNDE75guJTEvh23bmUNgd4gcAp05m4v5TszevQ21toiYph47QryXOZYc/h9RYVThrxLwb2X4Na7aKiahDfrbsJtzv4V63N5gafIty95bTP3/NJvAAM1VVEvf0G39yXEfD+Egt2MfqNl7A0tr1uG6oq0H5Ywi6Xjm1nTQ/ZOIST7ozBxPO6dk5JvsKIutVO1juvYzpQV0bldmMuLCB73nP89MYHYXO7piO2fgOoPnsK8cu/9lY0tyelUnjL0etAHU3zkOFsf+oVMv7yFtrGeloTkii85U6cR0z+7oi/Ug3KgVh7g8rRSuonfyUydzNuk4Wi627GNmAwqZ+875N4waFbtPt6JbLAqJtwBvbkVIxH7JHXmpiMW2/AVNT+uzEWF2Jd/x21Z0zGaMpn0IhHMRgrvI+bTIW4XWZSHvzYZ/6grqGehG++pnLKhb24VdDRpSVHkZYcxeZ9+QzJ/JxTk5Zhfq8e1avAB8CFEH1VJWdV/YW/qV7G7vBNrpKsO5k6Zj7RlnI8Hi1VDZn8e/0c73GD16wka/Fm9AcSXH1LK8kb9zDskZX87/Z7jhqbpbqKS156htji/WhcLuqTkll28+0UjWj/Qe70iR95E6+DtBoH4wb9wKa6Cwn83g8qvpl9M9+6fom+xYY9MsLv69vZ2YsYm/5v9Jq2K2QpSTuISd3H3zc/Q7B3ClCbVNiDs3j4qKIb/dcVM7gasQ8KfH+jP/zMm3h5+2q1MXjLcnJunh6ycQgnwRgDSb7CSNSmDRiL2//hGcpKMO0vwJbZPwRRdc/ee+dQMeVCkhZ/jjMmltIrZ/a4LETLgMHsfOLFY3puyRXXYty/z2eSbUu/AZT0xlUvt5vhs+8kassm7z550Vs2sfu+h9D6WfYOdGm1oFptJzXrXSKjtuJ2WyguuJHmps4LiwaDOyKS4qt+QfpH72KoqkAB7CnpFPz6HlQeNyjtN9xVeRRULicA6f0X+iReADpDHSmZH2H0s7uFtqWJpK++CJvkC0Crq+WGa+7HZC5oyx8ygEXAC4Cl7V8cxdzYcB9rVj1OQ91AAFRqJ1PHvUS09VCCGmGq4epxz7Nq+QsAjFu50pt4HS5z71762Y9+9WfSyy+QuHOb9+vEfflc+OZr/O/phXiOWKFp6WBfZJvSxKi03igy6qcMhMrJyJRV3sQL2vKz1OhdnDXgW+rsA4Ma0ZHbz/UW9ehYWN2+XZOo7/RnoW20EffdNhzxUdSOG9ilD+xxfsYeIJJmRqXtD9k4hJNgjIEkX2HEbTTi0Wq9y68PUrRaPAZjwPpROZ1E7NiKx2ikeeDQgJ33oKaRo8PmzbHm7Cm4zRZS//EBmpZm7Clp7Lvtvl5ZiRf37RIitm/xJl4A+poq0j9cxL5f30Pc6m989jwEsKe2L3/gQ+ViwPDbiE/c4n1dNUZsZPmqO8gvPL1H8R7z7YVRkzBnjmL4d//DaTCyfeJUHCYzNEFaTCIpR9z6rUtIZlniYNx7ykgdVOX3lDZnHS7U+FuTWoieH/YEbyuw7o7DeWe/gtlS4NuoBhLwuTgTGVXMsNHz+fy/bVvVDOj3HRGRRe3OZ4nKp9ZVir01mmaV//l/raiOeivQ1FCLuaT9ohRLWTHG75ezZ5zvwonte8eTkJSDVnuoYKxHUVPaNIwqWwvxIdjbUK9pQuenHppOYyd9yXcMWvQlaruTxqHp7Pzt5bgjAvcaGUr5N08lcdlmoncc+t2wJcew666jb1ae9Zdl9Hv3G8zF1bhMepoGpJCz4DZak/1vbn9Q5dkjiF+1rd183cYhacf+TYhOSfIVRhpHjcWekYVlb55Puy2jP61JganfZF27kn5/noexeD8enR5bZhZlL7wBlvBdgdVT9eNPp358zxKTY2HduA6N09muXVdXQ+PIk6k8dzrxK5aia6jDo9Fgy8pmbyfzmRr5OzFx230+0EZYahl72qdsN/Tse+zJpXU7sawef0279i8fvY9Lnnqe2P1FqF0u6tJS+fbXN9E8ou2qTZF7MFnKD+0+oNeQgu30aGI+34/WdehNoS4lie9/fTn2INZ27e44RCV3sP+sn4sOEbGVh24dJdlQq/0UYdW5cQ10YXfA9zdfRnrej1jqDt0Wcup15E0+5ai3oLRVHtC237ZXhYI73t3uuT+4LiCpajtZMZuIMNThdMdQ23wy2/LuoszdTG5t4O65mHSNpETvo7YlgdqWjreoUatiGBYXQ3K07weU1pf1JDzyI8bmtphic/bAD6W8+dSTKAFcpWcymrDZQ7Oh9toHn2LKR38nsbiYlogIvrn6Ksr1mbDV//FR1dVMfH0p5tq2DzpamwPr1n2k3fMRix555Kh9qUZezi/G7iZ7ay4mmw2HTkdJdn/eueT/cGw1hXQcwkVXxyA2xsQFg7t2Tkm+wolGw86Hn2HgC09gLClG0aixZfQj7+FnA3J6tc1G/zdfwnTg1qba7SJy13bUj91P5Yt/CkgfxxWPx7v651jKUjQNHUHC0v+g9vje03EdKOKZf8+DlF16DfErlmBLy6R68tQOy00UlzXwY2M1V1yw0efqxEFWc1WPbw8F5fZCGuR8eT/WTXvR2BzUThiEWa9jFG2xNrtOo9b+PVZjAWqVB0WBxtY0ypsvwPGHCIoMdcSv2Y7G1oo9OYadv72cgSMagK5vAdRd3R0Hg7brc480ao3356RVZ9LijMei97365/TE0D+hBWiBNBP5911I5vvfYqhqwBllouqsETT/fiKjVEf5eaeBIysWanxvKbVkJmCYkcEoU/vnFhEZZJcAACAASURBVDZcQ6XtXJxKFYr6LEoLk9m1rYwktYlxlq7NsezMyNF/JrPfN5gtZbTarVRXDWft6sdRPP5/70t2XUbMqHcxGBsBcDs1sFCP8Ygrxml787lkZS5Fp50TkDgBIrWRNNoaA3a+btHEUTLzdxxM69OB9KPkv8P/+y+ia9svLkovKmd8YzSK9uhv9dvufp6yvK2kbF5PTfZQSseczijUYAvxOISJLo9BNz6jSPIVZuyZ2Wx97T30leUoWm2XJ5Z3Rex3yzGWtL/Nod+/D11tdUD76uvivl1C2oeL0NdU4TZZqBt3Kvl3/b7D1WL+VEy/lKTFnxORd6gGljMyivILr/B+beuXzf5+t3XpfNaECFzqkXiUlahVvlc1tMQy3NKzOTBWq5U6VZCKSp7d9nHQ33WOxpoFeMxfYTT8hNOZTWPzZQw0msAI/PFhql1uVA4HitlEChCcGv6HdHccPC234DY/hOaIW2SKokJ12M/J4zHiaZ3m83NqaboVfdR7aDWlKGhxOdNpaZjDcMth8ztvGUjtDTegKa/CExuNymRkeBfiss17lNbbn0K3uxCV04WrXxotD/2KofEdP7vGFYnyYS0Znz3DmEYbE6KTafzdw7iiD1so4HYR/83XRG3Jof7kU6ieNLVL5VGiY9YxaMi/0OraEiejqY7U9LWcccbb5Of9zu9z7A03siv3JFIyPkStclBTchbpVYsA3+RL63KSVZyHknxp5wPTRW1FVsN7kdNBljj/pUDUei2pKdFdK1+TcgZ1Z5+BGjj8hmNfGodgCcYYSPIVphwB3DFe21BP8ud/J3Lrj6C0vxXRdnvkxP7jOpyheD/93ngJQ/WB/RNrazBUlOK2RFB4a9dWbmqaGkn+4hOaBwyhNT4RXX0dbqORsktmUHvmsX06HzfwU4YlfIkK35+h2x1FY9PR54OENx3NLRfT3NLB96DVoGjDd0eCVucoqmoeIib6dbSaSkCFw5lBfePPiYpYjFZTgUcx0mKbREOT763ZFtsUbPYzMBm+x6OYsbeOB/y8UWo1uNO695rg6p9O2eI30G/6f/bOOzyO8urb99TtRb1Zttw7GGMwvdn0EgIJHUJ58wEhwEsogYQSQgmE0EIJBAiE8gZIICShhl5tsNyNe5Gs3rW9Tfn+WHml9a5kSbaxgb2vy9flnZ15Zma1O/Ob55zzO6sRwhFi+04HdWDPwKKn3qLkv39HOTsGTVD0p1UEr29i+R+fwVQUxHCIKddfhmPtaiQtQdG7b1D277+z8q6Ht9mAuqT81ZTw2oIgmDi9ywfcLtA9k0D3zNTrUu9r0Jqe86dbLHTvvX1eat9mWo8/hZI3XsW2VdVxaNyknGHqbkpOfH3HcS1bxLh7foOtqQEAQxDSEsAB4iPHfO8bVfel4uVne4VXD6Km4V345aDEl2P1CibceWPKMiThdNE+59jkzNkwcbpqmT3xZRzW9JJwTc+jo+sXRGPffE7brkVDEOKY5u6RixKNzaapdXaW5Ydtc1tBiGK3fY4sN2CafyMUPpJg+Pgdc2CCQHzm4CthS8b9C+XKGGyZBL8I7OetofC9N2k79geMfPpPuFf2iiUpkcC9Ygkjnn9ym78Ns79WwlmeBwei8ZSzqHrsPtSeQg5TEAhMnUH37IMH3E6Ix/AumIehWvDN3Ge3dLYfLprbw6bLrmXUXx7B0taMbrEl23xdc/OuPrQc/fDd+fblyErVnx9MCS8A0TQxRBFTkjEsFiIjq2i+7d5deIT9YJq4vl6KZ/ECAlOm45s5+xvzOZPCoazLt9gjbIvRf7ovJbwAlGCAwg/fofnEHw3bX2z8pFcyhBeAYbi/Z8JLI9/7IFZ1GYIQQ9OL6PJdhCBI6IYbTRu1qw9wiMQpKfglqtrb61CRNyIIYQKhH3+jRyJLNcjHB3uFF8B4EO8xcd69krZjf4Bj49qs2zr7WFr0R3P9j/Hmz0NRe3NnTEPE373XkI6zfe5xRMtGUP73Z5GiUfzTZ9L443MGvD7kzfuEUY/fj62hHkOSiFZUsu6XvyU8YddYtOwMuvc/hO7ZB2Ft2IzudOXSSHZzcuLrO4wUCqK2t2YsFw2DpmNPoPnUs4iMHI3X64XdqYGsrjHp5qtxL1+M3NNrLDBpKqvveHCHWm70R+vRJ5I3/1PkSHoOT3gQPmtCPI66VTsj6DEIffd1Nv90YGNMAOfKZVT+9XEUXxea20vd2f8DlbmwMEC+52Gc9rdTuVSy3E5p0S8BA8N0kEhU0dZ5K4aRvS3V7obT/jaKUpO2TJLCOOzvf+Piy2H/AMGdOQ1ljoLug/bBuWo51vrsPVV167Z/lwHfTJrqzqao7HVUSzNawkvAN4PNG4Zuwhycugdrpw7O+0+Ixxj1+APYe45d0gwctRsZd/8dLHv0ud3evHpIiCLRyqpdfRQ5BsEOEV9Llizh6aefxjAM5syZw8knn5z2/kcffcRzzz1Hfn4ytHXMMccwZ84gPfhzDBtDtWBYMqv0DEkiOHXP3da0tfwfL+Bd8EWqSlCKRvAsqaby6UepveQXO33/vr33o/2IY8j//EPU7i50i4Xw6PFsuuL6bW5rShJGlspIUxCJlW3bN8das4GJt12f1k7JVruRavVnlFZ+itOWXtEUjw+yrvk7gYnFsiwtiR1AEJJ2DZIQRLKsoMD7e9o679gVBzhkVHU9gpDpcCqKwSxr71w0vQTTAGGr6KChW3GsWkfZq3egBDKrTOOePJp+dM6g9lFf81Ma687C7thILFpKIt5fy6Udh2fRV1gbs5lXN2Bt2Ex0xA6cLTVNBF3rt2o5R44tbLf4MgyDp556ihtvvJGCggJuuOEGZs2axYgR6WaRBxxwABdddNH27i7HEDAVBf+ee2NpakizO4hUVtF++NG78MgGxrN4QYY9g8DgQhvbi9rWQsXfnkEO+Gn80Tl4v/wcxd+NbrGQ/8n7tJ5wysBPypKEb+a+WJvq00wLIyNH0XbkCdvc/8jn/pwmvAAsHW1UPf0uH+x5CvvPeJM8eysJw0YgVsWSphPQzfX9jDY07ObQLBZKLS7y5R1XGDIYBGHbHQAUpRZIADvnBiiJ7Tjs72AYLkKRIzHN4RcDhCP747C9jyimu9jr+jcfMgqFj8TheBmb2pumYGjQbsyh+J03M4SXIUmEx06g8dSz8e+596D3Y+gOgv7hNzMfKqaqJv2/trqmmJKEqaj9bDVEDIOqx+7HWz0PMRohXlTCpkuuIrQdTdtzfLfZbvG1fv16SktLKSlJXoQPOOAAFixYkCG+cuwaNlx5A4ai4lmyACGeIFo+go1X3pBqarw7snXrky3s7KdJ19KFjL/7Zqw9lVQFn76ffJIFqAXXmq+xtDZRd9HPBxxn02XXYooi3sVfIcbjREvL2Xj5LwcVMpWzzCwAOLUosc3n8PLa45HyVuOzexEsg39ir/pqIfu+/CpqOIy/qJAPL7mIQFlp2jp2m4NwJHu+WzY22JYxNj/wDYgwA0GIYZpWNK0MRR6Mu/3OCSW5HP/A7fwHstyOaYLb+Qod3dcQiw/vJhuN7UcsvgdWy6LUDJ6mFdIdOLfPWiaCEMY0LezcTBGVjW1XUex9DrvaRiKuUFszFfnNoylpfiNjbVMU2XjFDQQnTd2Jx7RthEQi6WPVz0ORb89ZxMpGYN+8KW15dMSoHWZePeqJP1L675cRtaQHn7W1mQl33sjyR55Fc++aELjS1cmYB+7EVleDoSj49tqH2p9emat+3E3Y7l9yZ2cnBQW9T2kFBQWsW7cuY70vv/ySVatWUVZWxk9+8hMKCwuzjvfee+/x3nvvAXDXXXf1u96OQJbltPG7vTu+fezuQNdNv6NvsMrW828LkiQl8752EwLnXIRnxVJkf28emu5wEjzl9J12nJIkMerZx1PCC8ioCpWiUYq/+Ijg5ddu03S1+8Y7kx3TTBMEAStJ26ptYYwZD4u+ylw+ajRTJ41kKiPZ3DCS+vbBmx5WLvqcg5+8D3sg+XmWr15Lyfo6Xr/pIWKu3huDKApYjcFXDzZp01k5OUwwr4NiSafC7qXIUj7o7QeDKj+FKv8XhACmmU88cSy67kMUaxEEPcNLK8l4vN7hXzdkSc76PRPoxmF7DUlMmqIKAihKA4X5fyYU+SvEtaSVQ5oIMJDE+YhiPZp+KKaZKVLj+kOYsX+hyPMwTDexxAXYbJXYbCCJX2FVH0EQ2gArmr4P0fh1ZLWj2AEkYhNY3v0IgagD3SfQubKLw8bqaB5vRj9Sw5OHdew45IF+k7qObdkiHNXzsS+tBk0nPGNv2i+6DLZz1snxxScU/fkB5LZWDIeTwKFzafvZ1WmfvxgKUn7rtSh+H6YoASaG3UF03ESa73xwwOvJUK6L+Yu/SgmvLdia6ql665+0X/y/wzq/7cIwGH3F+dj6VKY6ajZi13Wafj20kPzudn/YFeyMz+AbSbjfe++9OfDAA1EUhXfffZdHHnmEW265Jeu6c+fOZe7cuanX7e3Ze7/tCAoLC9PG796dks6/QZIGcrvPuXdPmIp+3v+j9I1Xkf3daC43bUccS+P+h+20wgCvx4PU0rTN9QRfN6Hamh3qw9aX4FkXMXXRV2ktpkJVY1l/3sUkes7d7RCY4shuqpiNqe//MyW8tpDXVMfhH7+aVgAw1O+BpxmWLI7SVlyEqzDMSM96Cm2NlFp2TKuqfOf7jPD+H5K05aGoFdNsYl3LL7CqjVikNnTDSoHrI1S5FdO0EEmMZFPbOejGmmHv1+VyEQhkitsCxwe4HZmzbkKsFusPT0dcGcLwOAidehSBS36MKHZRXPBrFLkGUYyj6U8SCs+h239xlr3O6fm3hW5EsYvSot8iS737FIVGYlHo8l867PPLhiBEUORaInEIhBR00U4gGCIcDtNaVkrB+MnkVc9LrW8KAr6JU2lXLP3+Ju1rVzH+nt9gq92IYBipuUjHwvkoSxay6ncPDTvZXW1pYuxWuZFK/WbCiDScfWFq2YRbr8P96Qdp2yYcDlb85g/oqnXA68mQfg/9hOvN+s275NrqnfcJ6rr034Cga1i//Bx/S/OQCpd2t/vDrmBnfAbbLb7y8/Pp6OhIve7o6Egl1m/B5eq9GM+ZM4fnn39+e3eb4ztOy8mn03LSj5GDATSHc+dPlQsC2iCabetO9071RNPcHlbc/yTlLz+LvWYDkRGjaDjzAnTn8AWNHMo+S2ZtyOx2MBQqSt1UlLqp3tBMSyt8XTwSV2EYyOxXOBzO2ePzPsIriSL70OS3eGHlz1LLRGEupc5aIgkHXdEtodThH4PVEiUay9x+fL6T8jwJWUp/T+iIYFmwCXxAI8gPPode4MFx8WdY1F5rBlnqxGl/i1DkcBKJbRdKuB2vZIRYBUHDalk0vBPrB5fjJVzON5HEVgoMOwWRaXzZfF/aOmt+cw+jH7kHx5pVIEBgyh7UXHp1/4OaJuPuuw3Hpsx8RME0ca1chmvFEgLT+7eZsDQ3Uvj+W8QLi2k//GjMPukIFS/+NSM3UorHyJ/3UUp8CYkEzvWr2RprSzNl/3gBQ1XRvHm0H3HMNg1it0W0rCLNXgZAczhpPfqk7Rp3uNjqapESmfmRUiSMFAp+I1XjOQZmu8XX2LFjaWpqorW1lfz8fL744guuuOKKtHW6urrIy0t2Vq+urs7lg+UYHKL4jeZLdBx2FLbGeqR+uiqbQMd+B+/03DPd4aTugp9te8VBEs8rYGtZaQoCwcnTdsj4s8aW0tDsp6k9DO3Zb2KioLHHtH8zsmIJCc3C4qWn0Nw2sMeSZVJ290172MC1Oj0hPdDTuGhHzLnZ7XaULDMZLcIcusr+RVFBTdpysdpMCq8epEAY59/fQbqsM2MMSQricvyHzu4BhMuWcaXMXn0AgjA4v7nBoChr8bheQpKSuYaq6KPM+QV7FN7HZ029FhCG1caGq/sYduoaJa+/ird6HrrdQcMZ5xMZ3ds2yb5pPdaG/ntPyuHQgOKr8i+PUPLWv1C7OjBEkfKXn2XNLfcQHVmV3N6ffRZCjPUpXDAN0LOL8PJXXkCOhDEFkfK/P8e6628nNHEwTZuys/HyXzL5ll9g21yLYBoknC46D55DYNqMYY+5PXQedBgVLz+L2p3+HYwXFOX8v3YTtlt8SZLEhRdeyB133IFhGBx++OFUVlby0ksvMXbsWGbNmsVbb71FdXU1kiThdDr52c923I0lR44dRcdFh1Fw6btY4/WIyyOIvzKhz7UrVlhM3YWX7boDHCa1F16Grb4Ga3MyrGoCwYlTaD759IE3HAIVpW4q6C8UajJpzyvw5s9HFJMVZ6NGrKJ23ZW0NfffFklITAbSTT0NQ8KMHsGssaXZN9oBDBRi2Lz6ftTJt2O115NIiBhfRHCfm7muHglhmpAtqymiQafWgm1FDSUPv4bsCxEdXUrTNaeh5/fKx6h/P8ZaP0WWtvKbSxTQqWV6yQ2HkZ4XUsJrC4Jg4lIWpZpqZ2CaTLrpF3gXzk9V9HoXL2DjFdfT2dM6y5SkAfuganZHv8LLWldLyRv/RPUlxadoJH25xj54J1/f+2cAOg47kvz5nyDF0qtEo+WVvYepWohWjEzL4wQwRDHl4SeYBva6WkY//HtWPPRMv8e7LWIjRrLs0ecpeuffWBvraTviGMIThi/mtpdYeSXthx1J8btvIPc0IY8WlVB37v98t3zNvsXskJyvmTNnMnPmzLRlp5/ee2E/66yzOOuss3bErnLkSEMKBkAQ0B3O7RrHal/GmCmXY7X1XKgPAONAEfEgA3wQ9+bRcOYFaaGPgVBbmhj7wJ1YG+sxVAXfjH2pueSqXVJpFJ4wma/vfYIRzz+B0tlBcOIUGn983jcWevDkfYXbuyQlvABUtYuyyhdpaz6B/ioTa9Zdhd25AbtzDZKUQEvY8XfPoqXhlKzr7zx0Siv+Tl7h5xiGQn3NhQT8e2CaErbnnmd6+DEk0m0M6kaNROtWmVpUn9YEPRAv4J3NP8D15Xrm/vpBnK1Jde+atxJhwXr+9eRtJJxbih6mkZAPYqx3HjYlgG5IdEUreGvDxYQS25esLgsxxud/DGI/pqkxnRmuAipKMwW1p3oenmWL0qxU1M52Kv72dEp8RUaOJlIxEtfaTHsYUxDxT92TwNQ9s+67+O3XUsKrL5bmRgQtgSkrdB50BJ37v0fegi+QQ8Gk7UXVWDZekd7Ca/01NzPplmuwbd6EFI8Rd7pQg5lheEtrE7KvG80z/KRqw2Kl5aTThr39jqbm59fRceiRlLz5TzSHi8bTziVevPMeWnIMjZzDfY5vJZamBsbdcyvWxs0giIRHjWHdDbehefKGNV5R2QO9wqsHcZpB6LGxBJ+dTuOpZxOpGjOosYREgsk3XZWWNG/bXIOQiLPpf381rOPbXmIlZelho28QT/58ZDkzjKconYhiFMPIHqrUdRfLq/9CftEHON2r6Go/iIBvL77pJvATpt1AftFHiGJSbLi9i6ivuYimunOJnHse/g2LcB20EPngBHpQoOPFkbx36v8j/pkTYabGiMIVKHKEYLSAL1aeQ3NTFQf/8fGU8NpC4brNTH7oHT4/47zUsrc2/YI852YmjfiY7lAFa+oPwTC377Jd5F7PibPvIs/VgCiYGCaIfT5S0wSLsW9W4QWQ99XnWUPzSlcH6FqyZ6IgsPaG25lw141YG+oQ4wlMWSJcWUX37ANpOPPCfmdgEnkFmGT+lQ1F6alYBASBdTf+DufXSyn49APCVWNon3NchoVOvKSMZY8+h3tJNWpXB2I0wpg/3pUmHAFMRc1qjvxtJzB9rwHz6nLsOnLiK8e3D9Nk4m+vw7muN5nW0tbChNuuZ+UfHh/WkLLSkXV5dE4FG4puGtJYBR+/i612Y9oyUddxVH9JY31n0pNoiPR3IxwuDc3+QY/Z0Jzde2yw29fWjqNshIIkp+cqRaIO6hrjJA1RB9r/bGBL0+rBW2wMl74l5XbHWjx5C1LCC0BRA+QXv8bC6uMxTZHRr2h4SjSQQMKk8Iw6LghfTHvbeN7/71V8oauoaohQqAAQsKPh6Mwe1ixZuwl7Q7plQYxylq45E9hiV6JlbDcUjtr/UQrcvcUWogCGISKKBom4l4B/Ki11NwHZrXeC4ydjSDKinn4cusOV1qw6VjmK5Q8/i62uBgyDyKgxgwp5tRz3Q0r/8w9sfXLGTEFI5k9tFcoMTt2TYD8zaL0nKOKfuS+QbP9V9urfcGz1+2wbNZ46XwJ8ieH91nosZbIxlN9aju8POfGV41uH8+ul2Go3ZSy312xAbWkiPgzjRE3LPmMWDY8c8ljWxrqMJ2sA4lEaRD9x67arKvvS3RakKRhiclsDFVIU/7S9MAZRmdkf1RuaaTEiNIXClDnsyRuDaWJbvABxcy2+vWejO13JJPpQmBYjgrcoM6zbtKG5d/ssbNm+1ZjEqKnjGVHYG4IyDAHJ6iNvyj9YvHHwFWGqHGLuXg9T5K7BMGRq2/bk0xUXYO4g36vutiC+VTClLHlO7ryFKGqm+BTVLto8LRR715NfvBxR6g0tiqKB0+nD6azmqB/+jhc/+QPggj4RrVC+l6KGjGFpmTCacEX/l2Wb6sOiBvEFS4d1zooUwenN0u9VNGhtOpr6TZcSjVTi9VrpT3x1HHE05a+9iHPtqtQyQxRJeL3JBPe+oXVBGHIbM8PuYO31tzH60XuxtDVjqBYCU2ew8crtnzU2VZVPL7mRSU/+nvzudnRZpnnsBN6+7Co0Syz5W+v5XWzT18kwGPXnB/Eu+AIpFiVWUsamn11DeGxvJWvW39ogEeIx8uZ/CoZB934Hb3dFZo7di5z4yvGtQw4GEbOUUYvxOFJk8O1x+tLeeAWqZQ0Wa++NKRwcTUPNhQNslY7S1UH5i89g27yJmGrFEk+/eUXKvVimGliGOHsjKy2cdN0fKa2pwxKLEC0fQeOpZ9Pyg6Hll2wRQ42FEVyFYQKEaVkZwV+/mZP/fCfehlqkWJRoaQXzDzuRJYfORS8GV2EYlzO98tCudDK14CVssQBdbZNp3ngWZUW9ffq23HSS20d5p/56Dhf/yCjvIiTRQBRNXLZODpr+VzS3gxrfvmnjq1KQPYpfx21poaZ7Fhu79wcEfjDhZsqcvTOeRd4NuAs6+HjzjiniiRdFaAja2LSugxmuApzuqSQSThQlvddiDBtSucjEii9Q5Vg/o0FR3iYqJ62mO5be13PJFSdT+OvatNBjx/iRrLr8aFzO5PfDKvvYr+I5PJYmdENFEuK4LO3IYoxQvIAFTT+mxrffkM5PFBK9obs+mCbkFcxH0zzUrL1uwDFMWWHlXY8w/dKzsbY0IZBMincvX8z4u29h3a9uH9IxZSM0eTorHnoGKRjAUC2DzrUciNTDhNfNwr/8ilIBdEXGUBVsJIAE8aIIje3Q0hpJE+HZGPXnByl77cVeV/vmRib+9pcse/Q5NgcMlgQ6Ur+fLWOmRFiJa8BZQNfShYx94A6sDXUIpkm0vJJNl1xF9/6HbPfnkGP3ICe+cnzr8M/Ym2j5iLSwBCTzmobbLDwSnsnqpfcxYvSTyIqfWKSc2g0/R9MGZ3XhWLOSCbdfj60pOZ1hCAK6JCH1zIDFRhTh//VpzC7Ydm/Cram4+jGK1/RW/dka6yl77km6Djh00GavDc1+GqQlTDvoC6baikkkJmMiswg44vIHKdjYa8hobW5g73f+zspTDkCssjHKGabU0nvDtsqbGVN8PxYlKVSNqmqaR33FvA8epKy4kOoNzcQKJDz5NtyecM/2LvKtBpKYnphulUMcUP42JXJvSb5FbmBM8X1YlWQO3ljvl+wfe4dQvJIi+4a07SXRYIxnBfFCH8Z29FjcQrMzTJtZSLPNxpI1HTQtLmLkmKl48r9M3SvjuoXuyAz2yTdxiaMwTAlRyG5poEphZhZ0EYpt1UD6yHHUl12dqnaMVZXQeO3pzMyXgTiCEGNC6W+xq70J8X0jW1Y5xOGj/sraprFoxtDsWDRtHKbZknbvFwRQVB/FZa8RCY0mFvp/A44hxqJIiURaXpaoabiXVqN0tpPI76/DgInVthlB1IiExrCt/L3t8bfry9YPHjNLwz1mwCbQ+5tsdoapddrw59mJR2WqNzT3W1nrrZ6X4WpvbdiM9W8vsOTQo/BM7P3+UxpmUbOd4g83cuBzT+PxdYHbScfBc6g/56fpQswwGPPw77HX1aYW2Ro2U/XEgyydtf9u3Roux+DJia8c3zoMq426cy9m5DOPYm1uxBSE5JPhpb8YsLx9IKSuDuwvzifANFqPPRnNO7TE/VFP/DElvABE00QXRdpnjUXZZ2/8Pz0VtcDLkO1ZDYO8jZldHuzdHUy+/ueYsoypKHTudzANZ/dfRj5j1gMcX/Uhdosfw5SIJsrY1HolFWMXM+LWr2EZcB8pvypXVwcHvPcBtXucTqklnta7sbjggZTwAhAFk9LiNYyb+CqhruRNu7jICU4dr0NMbW/p50+jimw1/v0p4QUgiQmc1lW4bKuybY4sxihULWj6cLoOGNisn2K3fY6ulSL5DsEiujE9OiVTPPhW+1i17H7ySh4gv2Q1UcEgYVahx2eSLxdD7BQSiY+wqJkt1SApLUqd1XTpB2e+OaME/5OzUy/7SiiX82VsSvrDxdZ/WovcTqXnM3yBwc/OAgR9v8Yi3I/d9klGQ29ZjqM43x5QfDU0+xEXL2NmQTtMAxYCPS1Bpa5OFi6opm7MxIzt8pwtnHbkAxR4GhEFk05/Cf/86GJGl69ictUCRMFkU9Nkvl70E2aO2fFekIXlHqIOmFjioNTS2W8/0rjpxIYdl8dNfV32fEdMM2sfVgFwtDXjLXJS7LGlvv8AI0MBjv/TvbhaemxC2sHaWI9usdJ0Wm+RhX3TeixNjRljWxvqcH29FP+MWUM78Ry7JTnxleNbSfvcY+mafSCFH76Noah0J0da/QAAIABJREFUHHY0hm14Mx+F771J1TN/Qm1OXvBK//MKmy+6jPY5xw56DLUjM49GSiSIFXsJXX/RsI4rhZBdtfRNGrZvXIccCFB76S8y1nO6lzOq6n1USzJ0Jgo6drWeiWW3IAoxxJN1OBk4FTgW6Lnna9bsVhSSmGkeKgomBYUrCWX3BQUgFp/W00A6fXk8nu72LkltGdsOlKet6/loenH68Yg+3I6XkeVmIrF9CIWPJLMfokFR/i1YLdWIYjLpf4LtfSKdvweqAFCUMGUj3sYQArR1Tqes4kPybF9jmP9F0/5GW+cttHbcRZ77cSyWZchS81YzSiZ22xf4g2ei64Mv81eV9Vl6VmYiCsPpR6vS0f1LJKkTm3VhxrtxVeOLVZvxCOlFFVtmj7qkTs65+in4tQBuEzYCjwAPQri0APX4Cipdmcd+8oQHKXX2itSKok1cdPLtKGIUWUrOII0sW01RaT1/+891/Vpd7A64li1GyWL0qtkd1Bx8TNZtZjzzWq/w6kGKRin85P008WVYLJiKnJFyZ8oKum3wvVdz7N4Mb5ogR47dAN3lpuWk02g79uRhCy8hHmfE80+mhBeAtbWJEc8/iZAYvJO43k8CfKiqOOvy/jGRpUbELQJHFIlPHZtlrXSkeJy8Lz/Leswl5a+lhFfaNmI4rYqPqcC9yf/6y8pY98MTsh6hYfZzrsGBxYUvcAbR2AwMI1nSbxgq0dg0ugPnp4/fj/VENjQ9D3/wR/QVVrJcQ2nRFXjcL+Gwf0yB936KC26gb8shUfRR6L0Vm/XLlPACsCotjPM8DIDLupnTTr6KUeMeYsy4t5gy7gXy7Y0IgokkxrGo6yjw3oNheOjovg5Ny95UXJa6sFkym6UPRDSW9BEbCF33EghlFitIUjMWdQWCkL1TwxbCkQMxjPQQlmFItCf2JDpOYEmgg+oNyRnIvmG7U098hLFl6xDzzOTHPh64HvQ9FCIn7steVQqzC+Jp/w4u2UyhLbPCwCoHU8ILkiJ+bPFKqmZ0pO1/d6PyhScQs/zWoiXldI3L3rnB1uXLulyMpaus6IhRRCpHZawXqawiNGHgrhA5vj3kZr5yfK9xrluFtSmzx6GlqR7HulUEp+wxqHHa5hyHra4WORxKLeuuGkntT+aw7S5+SRR5NQV5DyJLLZjIJBKjaO+6kY57ryEc6MDxdRNyJIquWrD5Mp+6pXAQKRzM8DqLx7MHO7PNJpkHQXvFaJZefilxtws7mQUM/uDJyHItsuTrs6yS1SvPpmjAaK1Ka8c9WC1fYrUsIRafRiR6AFs/A4YiR6DItUhS/+JB152EowfjD/4ITUu/UeV7HkeRe2/0gqBjtSzFYX+XUPgYZHkjxQW/QZEzQzsAVik5OzF73AMU5NX3GSdzXUVuQBCC2K0fY7UszbqOblhJaJWZbwxAKHw0Tvt/sagrU2MaRvJyLYoaCa2YYOhENL03PCcQozD/t1jU1YhiEE0vIRA8nkAoeyeDYPhELOoarNYFyFJnUshGJtESPJvyAjeuKTK+1b1/4y1hu1GeLGWapRB9cQZR9/9mDa3LchxhkM7qNiXI+OJG1ClT0/a/OyH7sx9XrLwi63KAjUfMpurjhcjx9LzPaFlmiHXtTXcz/nc3YquvBdMkWjaCddfdmnOn/w6RE185vtdoTje61Y64VfNpw2ZHcw0+5NF8ypmYkoTnrf8gJaIEy0pY8MvLsLkyZ5ySOzBQF61CiESJ7TsdLFCY/3tUpTfBWpY6Kcy7k1bjbqqfvILg+gIszUGkoMncX/8vlmB6zkkivwjNnVke31R3FnmFb+F0NfXufitjzS2YJSKfPPo/BL0H0F9z6kj0EDq7JKyOl9CFOG2BIlYvu5J4bDCJ3wLR2H5EY71VepLUgoCOppcBAsFQ0sHeYXsfSQogiW1ps1OmKREMH0O3/5LUMlHswuN6AVlqRZEz868EQcdmWUAofAz5nsf6FV4AmpkM7Tgsg5l1EbDbPiLf8xhCP0n3mjaSWHyoPf4UWjt+j9v5Eqq6GtNw0h04E1EMIkttRGOzMLZKtM/zPozN2lsYoMiNeFwvE43NIqFlzp6CQEf3tUhiK07Hv1HVtQiCRqFtKS2x/u1a+guG6pVlaT0u+6JpI9C0MqSM3DiJrb9nhiH0v5PdhEQ/Zs7hqnFZlwNsnLs/E99cRPmCBSjRCGaPOfSmyzOrS+NFJXx93xMone1gmCQKi7KMmOPbTE585fheExk1mvDosXhWLElbHq4aS7SyakhjtfzgNBbNPoaERyHhBpw6NjKTxOWNdRT+7A6U9ZsR4hqJMRWEb9kf5ezMGQVFrsVnrE8eU2kxYWcZvtU+ps7Yj8oFH6d628XzC2k4/bysT8ZaIo8F865l4t6P43F1YJgW4omR5Dm+yqjSE0WD6VPeZl7joVuNsqVKMTlLFYkdSENoHJuj+axpNBgR8aBswyx1aySxlcL8O1HkesBA00pp774WTRtNMHRKSoTZbR/gcb6IKHVgGnai8Rl0+3/a+3lKmykuvDElqMx+btyi4MNm/RhJyszP24KuW2gNzwFAM7bdfimujcLleANRzJ57pRt2WtrvZDiu/KZpxRf4SeY++1nfoqzJ+PNLkg+380U6un/d7348rhdx2N9BFGPYgX2sK1nXVUd109lZ1w/EKnBb0osBDFMmEDx5gLMRaO+6hqK8u5HlOgTBIKFVYJoCFrU2bU1RNJlQ9Dq1DUcOMN6upe4nF2PbvAlrW28OV2jMeBpPOxcCRvaNRJGPbruDis8WUvXhBwhTJtN67A8wB3DW779qNMe3nZz4yvG9Z82tf2DyfXegbEjaLYRHjWH9dbfutP15/vcOLCvWp16r6+swb+6GH+qwVTqVbsZY629mTccYAu1tlLfbKHPYabzlTiKff0TRB2+j2+00nHYe0QFsNtrb9qL6owcpH2visulUWn3Y1WuxqZkzQH21iywGGV14Pw5Lcr1EfDQd3ddgmNtvAVCYfztWS6/xqiT5Kcr7HU1tj9E3FBmOHEE4chiS1IZhuDG3spTI8zyRNpMlCJmG44YpYrMtwWpd3m8ulabl0+w/hrrImYDO5vZD8VhrUJReuaPpMiYKoKAlxtDRdTWlRVdkHc8wZPyB0zDN4fcLHBrZZ94sluX9biGJ7disn6dVPapSiJHu11ksntrPNpkO+wIGFstKtAFMiTVtLE1tj2FRvwY0YvHpFOXfBtRmrGuR+6ky3E0ITtmDVXf+kcrnn0D2+4iMGEXd+Zcme8xmqYJMIQi07jmLrqo9d9tighzfDDnxleN7j+bJo+7BJ/G1JavsdpaPTqfWQtfmzRTXZIazlJoAgX+4cf8k/cLd7C+jes00pFYoF21pnkNdBx1OV08j48GiGXbMnjwuf2RPLEpTWuPnRNzF8q9PhJ6oyr7lt+N1LO09TrkRQQzR2vGHIe13a2SpsWfGa6vlch0WdQWx+Na5diK6XoIs1+JyvI5hOAmETsYwPEhSphWHICTzwnTDiyw1I/YIBkHQEQQd0xQRhN4ZikSigua2h2hPRFJXxeV15xHvCDFt4gIgRChWwtubzqWy0E6xEsclTAFMDMMFpFdomqZAIHQi/uA52/EpZcPEZv0Ch+0jdN2LP3g6upGcHenP50zAQCCGSeYMi6qsRpYzW2tZpQ7ctno6yQx32eRsn7eBzfIloXD2Sr9eRGLx6alX8UQVdtvnGWvFBumvtyuJjB7H2pvu3tWHkeNbSk585cgBYBg4Vy1HDvjxzdwXYweXdK8Mrac9Eqaj3cEsXSLjNimINDXMRQjMx+lsxDAUAv5KVn1xPXtHCsC14/s7NnafgSYE8VpWYpV9RCOldLScQGPLVDx54LLU47ZszNhOVTYgy/WgDV+kCkICsuRICYKO0E/40uP6Ky7Hv5F6Ev0d9v/S2X0lhtFP9WXkCAzDjdf9fMZ7hmEnoZUhijF0vZhO36UYphvom+Qv8NWiszF8V9PQ7CfhUWhx+PC6RfLEzp6rp0AofBSy/ByS2FtskdAqkKVWCrx34Q+eRkIbXFP2gTEpyLsdu3V+aqbKZvuCjq5ricVnEIkeiNWyOstWMghmZh6VaWK/91PMawSEgvQ344aHUKyYbMlXWr+N0DNDZJLYhtv1EpLYRShyKJHoQfSd1fQHT8NunYeq9n7PwolCljSen/0j6EHpbKf4zdcwVJXWY09GH0J+5rcCXSf/0w/wLKnGP30GHYcdmdY3M8e3n9xfM8f3HktTA6N/fh7qpvWI8TjRsgrqz7qItmMG33NwMBj63uQVSEQqR+P2peeYRStG0rnXtXQt1PAWfIauOfB1zcZtl3HvNGsfmeqmXxHriuHRmhF9k3FYnUAjE8teYUzZ26hSZiskUQwjid2QZVZkMEhSM4q8CU0rRFJDae9pWgXRjFmvZHjMaX8rJbwAFLkFr/svdPvPQ1VqkKTeCtBEohx/4Gzsto+z9jw2TDct7Q+T6f01dAKhH6EbhTjtbyIIMUTRhyy1oirJmT2rdSFdvp8Sjhy1XftRlRXYLAvSQoTJz+BpWtofJBg+DpfjdWQ53UtK0yoxzcz8NccLb2B/4DOE/U04ntRHYWgireF9iWtusmXQ1/nn4LHUIPepRk1oZYSj+1Hg/T1g4g/+EFEMUZh3D7KczLGzWecRic2mvfNmtuTAmaaDlo578LieQZHrieoKi1svoC08pd/PoeT1Vxjx/JNY2pPjlv7779Rcdi1d34HWO7KvG1MQmHTL1ThXr0BKJCh++1+U/etlVt718Hb1dM2xe5ETXzm+94y751Zsq79OvbY11lP53J/p2v8QNM+Oz9f54upbOOh3N+FtrkPQNGKl5Wy8/JeYqoqpq3S2bt9NOhtu73omFGwiKs+CrebdIvFCNH8h+T0J5sfOuZsxo+dnze2B5I02Fp8ADOComhWDAu/vsVkXIkldPWFBe8ooVNMq6PRdAmTOqNmsXyBnCXfJUjuJxHjau67G7XoFUQih6wV0+X+KbhQQDB+Dy/mvNOsJ04RYfBI7QnhtIRw5jHDksKTHWOEvEMXePDFZ6sLtfIVwZC7DtVYU/EG83U8iFWdafyTDrjqG4aHLfwEe1/MociOmaSWhFYMQobz4AgzDQTB8LMHw8QA43vgEMRqDHwG/Aw4ADAivKmHxoTextcun017HUROeocAeoyN4MF5rLaIYRtMLiCeqKMq/A0lKhs1t1i8xTAuy3BuOFcU4VnUhqrqMeHzP1HLD8NDluxJIhuYD/VijAIjhEOUvPZsSXgC25kZG/uURuvY9ML2p97cI1/LFVD12P2p7C2I0ihwKpko0JC2Be+UyRj79J2ouu2aXHmeOHUdOfOX4XiMFA1gb6zKWW1uaKHz/LZpPOXOH7zNSWMRHNz/EGMOHFI0Srho77LZI20IUI0za42rszq9RLUFCsSKaggcRCP4o6/o2x3pGlC/tV3hpWiH+wGnA0BsdO+3/xm7/CFFIji1JwaRtRGgO4eghRGN79xnXxKouSt3EbdZ5/cxgWTBMB9HY/kRj+2fs0zTttHddS77nT0hSK6apEo9PorPr6iEffyYGNuvn2G2fk+gsQ3jYxDZxHtIFmQnXktSJKPowjG21rYqjKhswDG+P9QZY5i2h4Jp7UY5ugllkaFPTsLFFSIYjcwlHDsGirgYhRqH3fixyTWpdWanHMJXkLJzRE1JMAH3u6eEDSuHQ9O/jyKr/Mn3mn7HbkvlhmmEjHD6KLt/lCEKEsuJLUsIreb5+BCNTCElSGIft0zTxNRQ8S6qz+/K1NGHfvInw6P6tHnZXpGCAcff8Bltj5nn1xbFhzYDv5/h2kRNfOb7XmJKEmUX4mIKAYem/BHxHECsfmvHmcBg94Q94C75MvXZY2hglv02NNolgdFrG+m7vImy2zFCjbqiEQsfgD56VSvDeNiYOpR6L3A0UY7fNTwmvLQiCjiy3biWcdIryb8ZiWYokRrOKLkgmtcfjUzDNgeOy8fg0mtseRhS7MU1rRrXk8DAoLvg1FnUxYpuGeR7wIQhHgnkaCFtFh0zD3m9u2hYc9jdxO/+OLDVjmg5iiXH43j+Dwv/3W+QuPzwDXAb0icoahko4euBWI6nE4nuQ77k/FfLbgiQGcdnfIhw5isgR+2D9ahlCojf3zlAkmg/bt8+Bm5S98iwjrngcxdY7EyaLEey2T/AHf4wkdSJJ6aFOIKvvmWmKxBNVA34OA5Hw5GFYrUjR9Fk5w2Idki/fN45uUPX+fMpWtNO0x4EESsen3ip+85/bFF6QPMdtIWgJ1LZWEt78YXf9+CYRoxFGPv0ojrWrMGWFlmNPouOIwbd1+zaTE185vtcYNjvhqrFYW9MrEKPlI2g/YluVW7s/DldmArYiRShwfkgwOg2H0kB5xSJC1lFEmw8n6J9CNGbHakkPbxl6MV3+SxjsjJfLupmDJ96Gx1KHKBjo+ggMs79t08Wvw/4WNmt16uadTXgZhpVQ5DA6u7PbPGQiDGLWafDkOT7DaqlO9l/MB+EFYD5wBghLgD56yDBUItHZ9PfZyVIDVut8PK7/69M1oBv7zdVYH12M2N0jYuLAoSRF2FhI5BcTdByPP3hW1nH75sD1RRCTuVqBn/4IdcUGrJ8tQm7rQivOx3fQFDad05vreOiLjzGy7h2k32QWQWxpmxSJzcY0XCClh6FN04Jpmmkh2IQ2ilB4+GH14JTphEeNxbXm67TlobETiBcOtZXXN4PkCzH2vLuYvrIOOZFgsuMVWqbuTd3tfwBRRMnSrWJrEm4PTSdn71SwhdJ/vkjp66+gdHWgOVx07XsANT+/bvd1xTdNJv/qSjzLevuLOtasxNLaQuMZ5++64/qGyImvHN971l9/G1Puugl13WrERIxocRk1l1y1wysedwVmP+aepikyIv8vTLZVY5V9aFUqIf9Y1ix/iOaWyYysXJSyoNANC6HIQWxLeFm6O6l6/TFG+xfjHteKw9F7U5GltSQSJeiGDUnsTdQ2DAvhSHqitN36Zb9u8VuIJ8bQ2b3r8l/y7Z/1Nr5WgDLgJOB+4DjgQdAPcJAYNZJIdL9+BJJBQd7vsFkWZwqlZuBZeoXXFrqBk8FQZLr+dBmRY7ae9eolGpuBzTovzVIDQNN67EpEkY6HbkBqaEFZtYnElDG0FZsQTYphJRSiasUCpHACAsBW2mZL2yRdLyEWn9Szr+R7pgnR2J7E4tNTPmKaVkZn9+UMJ2SdQhBYfeu9yTBdfS0IIqGxE1h/7S3DH3MnU/Gbv+JY2lvNqYaClC/+gsgHb9M+9zhajz2Z4nf+jdqdLl41uwPN5UZze2k68Ud0zz6o3304Vy6n8rknUs2+Fb8P9a3XiBcW03jmBTvnxLYT74IvcG4lopVwkKL336Txx+d+a/P3BktOfOXY7ZB9XZS98n9Y2lpom3scvpmzd+rTm+b2sPnRZwmvX4sUCiWb2u6kHKxvGl/nPjica9MaaEc1N8HoRCryX0TuEUKyFMeTt4qxk25nxbq9yStsQZbDRLUSgpH9IZp9dgXAEgxS/uVy9nvqd1ibe2ZuPgPOBh7tXU+SuglFDsWqrkAUuzEMD5Ho/gTD6Q28DWPbolfT+m9/M3jieN1PYVFXY5oy4cihdPr3TVtDlqM4nKtRLQ4S9IZbFbkzczgJ2A/wAxdA+KxD6fz9L/rdu8vxKg7bJ9mF5rvAAJEobdxIInP3638FIBA6AZv1cyyWFYiChmkmZ566/JemradXlKBXlACgsoxCey2d8QOQWlqwBv3JvPv3gUrSdFMiMSbVNqmt8ybyPY+gqqsRMIklJtDVfTkmFvzBHZs3mSgsYtXdjyDE4yAIO82XDwDDoOS1l8mf/wmmJNF6zEl0Hjxn0Jvb6prxvFOdsVxKJMj/9APa5x5HdMRIWo4/leK3XsPS2Y4hSUQqq1j9mz8Qq6gc1LWv/JUXUsIrtY94nPz5n+624su1chlSLLMzhOz3I0XC6M7tN3LencmJrxy7FY5Vy5hw543YmpLVafmffkjngYey/obbd/q+44XF8B3r5rF542Wolg4crgVIaoCoXsxm/7GMcCxPCa++ePPnc9B+nyJJPSE/ZNrjI8mWbaLUtXLgL+7iiI0N2P1+pESffC4/8DJwOTB5y0KDYPgEunyXI0vNaHoxppmZB+ULnoHVshi5j8DZkvdlmsmqSBDI8zyMP/hjdL1kGJ+MSXHBjVgti1L3Nou6hoSwia7QLxGFGFMrX2L0Hm/hdnYyfpqLlq69+Me6nwMi0cQIbGpT5rA9Y2kVxfiuyN6eZwvJmaJ+ZvjGAE5gq9aghiQS22cqHfdeC/K2ZgYUWjvuxm77EJv1KxKJUQRCP+wnRy5OUf6tlCtfo8hBQvEyNux3BGF3HpZoBC4lWdw6FwyriL94BoHETb0njEqn76ptHM+OxVS3YwZtkBz19L1ULfocSUuGXd3LF9G4cT31P7l42xubJvtcdSdyOJb17b62EXUXXErL8T+k8KN3iRUW03nIEZjy4EWlkMjedErQshfO7A5077UvZa/+H3I4PcUh4clD/x5YauTEV47ditGPP5gSXgByNEz+vE9wrlhKcNrwKqS+15gy61f+ltbOejo9TShFY3HbNcps67OuLkrRtAdtu9pKufdlOjvTQx6qvJwJi29FzeuGDrJHkjpICrCeiJCmVxCPTwQkElr/rZA0bTSd3Zfjcb+IKHZhGHZ0vRhBSCCKbchSF07He8njs35Gl//CIXtoqcpKVGV12rmKYpQ8xzxm2i5hv6J6rLIPUUyGFu1ylJG29zlQ89IWu4AW/wm4bV8jib03DtMAY42D+P7j6P7lhegjBhaFirIp63LTBGO2E6abSPN6fdBMUSB6wiy6Hr8YfdAzf1JPBeTcAdfK9zya1pTboTYxcey/WH/4frj+40MOh+B6SCgKjSceRud955Mvf7dnJux1dVSuWpwSXgByOEzRh2/TeMZPtpkAn79mHa4NmZXUAFGXh4bT0/t2xotLk70hh0H7YUfjrZ6PFE8XesEJ/ful7WoCe8zEP20v8hbMQzCTofGEy0PLiad+ZyIPA5ETXzl2HwwDtS2zakoOhyj68O2c+NoOEnE3HQELxYUqoNHiPwGPfSGq3Jtn0l9VoSL3zUXRKcr/DVZpEeLlMbiAZKL5CZnboYA5DjCTydc+/1kM1lsrEjuYSNvBqMpSvO7nsFiWIwqxjPwlWW7H43qJcOQIsl3OJLEDp+MNTBOC4RNTSfcWdQ2SlOmZpcgdWIRMP7HkWDqj8pbS1gyR+Dj8wR/jtL2DLDejGx7i8Ym0H3wL5sEWXI6XyLc/hCiGMA0LhmEFQSGRGEWX/38QhARCll6Mpgmh8KH4gudjPOEl//r7UdbUgGwiHhLA8sBKStUr0bQyOrquJqENx1ohjsP+AZLYSSgyB10vSYYLt/rbW5Qg8u1x1k67Hc+rfwfJZOlh+5K46GhGDtnj7dtH6Wef4ghkmswqXZ1YWpqIDNBLFUCORBESmYUKhiCw5rjTiFTtiK4HSToOPwpv9TzyvvwM1deFZncQnDCF2ouv3GH72OEIAmt+ey9l/3gBz5JqDFWl6Ydn4t9rn119ZN8IOfGVY/dBFNGzJLmbgkCkov+GvTmGTkIvor7zJ+S7/4MqdKEl7OhxN968lRk3Yb1PDpbL8Ro261e94TInMJek/9SXQN8oxxQQTgMEEIRYUiRFDwUkrJaFuBz/QhDiRGJ79fiOSajKCryuFxDFIIZpQZFrkOWBq8FkqQWn49+oSi2x+ERC4SMBBYftbTzuv6L0GH06HW/T7b+QcGQu0die6LoLaSsHfyFLO53+3vcHziUQ/CGqsh5dL0brETF268c4HW+lFRZswWpZjqqupb3zOvozXI1ED0TTKsEL7Y/dDJiUFl6BbOmdRZHUdRTm3d3ThDy7oJXlTeS5n0KSOjEMJ77AmehGPkX5v0WR6xAEA7fzFUKR/p3hTVOke/9D+Hr0DBIehXqHj4nfcPWcta4GtauT4ITJGNadb58wwv0+h5kv4TyuMdmr/AHSuiwl3F5iRdsOdbdPm0xoZDnujemzX/7KKtYefzoVO/KgBYEN1/0GS0Md3sULCI4bT2jS9G1vt4sxZYXGM87/XlQ3bk1OfOXYreja72CsjXVI8d4chkhlFa3HnbwLj+rbhRCP41q+CMNqJzhler8Ju77IPizvOopop47ZZafAlDnw0POxO3vDYXHdQUfw0NRrq2Vh9jylO4DjwKwCQQLGAY+RZggqy5uxqItQlAa8rr+mhI/Vsgiruhx/8HQK827P2uh5wPMVouS5H0MUDZz2t3E5/kNb+2/xuF5MCS8ARW7F43qBcORQEtpYorG9sNs+T52PYSiIYva+kgCGKdDon5R67bC9hcvxRkooimIISexIzmoNoE9UZT1WyxI0vSRD/Gl6KZHY7LRlstyQ7KW5FbJcj0X9OksTcpCkFooLbkaRe/PSFKUGTfeiKrV91vPhcvwHXXdlzHxGE26WLj+Jyu3qcW1CPxW320IJBvnhfTdQ2liDHAwSLa+g8ZSzaPnBadtzQANS7v0/CpzvIZfFYBJJy5BZJItHAN1ipfOAQweshBZ0nSkfv8+k9UvonjYeixZDrWvFFAQC5SNY+D9XgLhzKvliFZW0VOx8/8Ac209OfOXYrdh80c8xZJn8eZ8ixqI9rXeu+0aeeIdNf/G6QW7r/fIzvNXzCEyevt0NdPM//YCRTz2MtbEOU1aIjKxizU13gdRfmySBaCIPxUhaB6xc8ke8FXeSV9iOhpWG4FyE+Czyew7JzNL6B8DUIFHkQH0sBP0Ug4liAknqwmV/I010CIKB1bIMUewasvBKbk8qHCkIOhZ1Hfl59yPLjRnrylIzqrIeTaugO3Au0dg0bNZqTGTCkf3xeh5HkYIZ20WjHtp9U/l4w4WMKwOPfT55nmcyxNPgjtfAYlmQglgvAAAgAElEQVRNl+8SCrz3I8sNCAIktCL8gTPSihAkqQW340UEIbMqLHnOGoq8ljzPU0hSB4bhJBA6CatlUZrwSp57J6KQ6b4vCCDLgWS7J1NCEkOEtTLW1h9HS/vEYYgvE4/rL9it8xHESLJxeffFJLSJQxpl/3vupnLNstRrW0MdlS88Rfe+BxIr26HzRgAIQgSPvRpZ6pM3pZL8Po8GY7NM/dkX0nDmhf2PkUhw8N3XUrB2ObKmYQLRsWXU33QOa9R8mvc5CTFuRfH1L/JzfD/Iia8cuxeCQP35l1J//qXbXncXo3R1MPbe27Bt3oQpyQQnTaPpzJ+TrTdhNoREgkk3Xol7xRKkWAz99Vcp/+eLrLzr4WGVWYuRMKOe+CO2Le2S4jGc69cw/p5b2Xj9/YMaIx4r5813b8QzyQNOHa8jzEhrb9WhP3AqFnU5cp9WMomIQm3jXOoemMvBh9yCvHWJ3pb1tFJi8WmI0pOZxy6Gsahrh3C2AyNLbRimHUlIPxbTtOJ2vYBF2ZDqSxgInpKyuzCkVeQ5P0eVfBimSLevhM7m81i3dhpd4mh0RzIHqND5/rCEV/IYJKKxPYjFZ9DU9hh22/uIYphQ+CgMo1ckuxz/wO16GVnKYmsBJLQKNK2MkqJrUPo001bkzWh6dsPRgfzTBMGgpu1KmmJFdCf2obvRJFtj7W3hcT2N2/lKylxVkZspKriD5taHMczBu9Dnr88sClE72yn754vU/GxHtIdKR5Za0r7XKUqAvSERLKD5pNMGfNAq+c8/KFq9FNHoeRgAbBuacC5Yy/rbr8UWtCJmL0zM8T0jJ75y5BgOpsmkm67C1bchd10Ns7t9fHLz7/4/e+cdJldZvuH71OllZ7ZmN72RhB6kd0IH6SBFRGyIoKIggiAqohQRC8WfiKg0QakiTUjoIYQSkpDOJtlk++7s9Hba74/ZzO7szG6y2Q1JYO7r8pI5c+ac70xm5zzzfe/7PFt0iLrHH8L/wcJ8p4+ka3hWLGX8vX+g8YqfDHtIgTfnlc6pbNmILRyC2pG3b2e13YlEL8bjegbEEGnDy6LWw9hY8S2UiEao61B8wXnY1Fy906ZJQV2vyttCmKYHSgiKvGnpKGCaXkzNhWRbWrDdsmy9Jq65c6liAp/376Szu6Hr42kJn09j+nxc5nMkYrV8+MaBzA4GSHWn8E5az4TAGhRxBpJY2j6g8Fx99hj979e5urRjevdxkEgWdysIQhyP+8ki4WVZkOsWrScUvqx3abWwSUWSoliDpAlYloIgDHb3tzBMN+H0dAzRARQ3JGwJDvs7Ba72AIrcgsf9JMnUIXjcTyBgEo2fiqZPy++jKh8zJfAnpkhRMpobVSkt4q1tVHOmGzXohqfYgqUdeB/iU2ds9keRb9F7eeHVH9uGjhJ7l/k8Myria9GiRdx///2YpslRRx3FqacW1udomsadd95JY2MjHo+H73//+1RX75hREJ8nxFSS+kfux7++kUqnmw1f/gaZMQ3be1g7BZ4lH+Bc+0nBNgEIfLICNRpB825+rca7+P288OqPc21pG4j+NLeVWD6KZZkiCAhWoYixBKFkfuXWEk+eRDx5AhFzHWuTY1jZIrDpU7Nm2S9oTb7E7l94gwxeLMFDhZLAypye7zRMJI9Ecj9asttwMCxLLOp0HIxc7VYU3fCTzkzLz1Bp2kQkqRVZLuxmlKUevO5/EwrnZlNS+nhWtX8Tz7JmTrn5p1Rm25l9fxRhbwPJZ5DIViNYm8/9FAQwDAeR6HnY7CsQhRTZ7FQi8QvY3Fev3fZ+kajKvQ8S4dgFxOLnAjJe91MlX2+YXoysH5va91nStHqSqf3xeh4vOXmj6fWktS2tF7KwqR/icr6ModcQS5yGaeWEiSiUFqZ22wI8rmeQeiOUHPZ3iMbPIBo/H0nqoDLwq/w1e1Qw9pFggBtHJlhF6zYIu4ecEI4kv4Dsfjm/9GhlwZjvoGfcwXxy5eZd9DV/6Qgrw7n5XMYyny9GLL5M0+S+++7juuuuIxgMcs0117DPPvvQ0NB3E587dy4ul4s//vGPvPXWWzz00ENcccWna8i3syJHwliSNOpuv2I6xawrv4Vn5TIAPIB38Xus/OmtJKbPGtVzfRaxdbSXdGeWMmls8SgJNi++THvpol1THfrG/t4nbbSbKfxV7oLtGw7elymP11LVXljrkxo7nqzXD2x+tmYgkpBifPAu3LZcwXdWm0IofAWW5cAwXeQ67fqLIoHm1j2Irzq0YNkyIPfdlKLx89GNGjyuf2NT12y2XM6ywDA8CIKB1K8ea+CMkmkKgIQgaKjqWlTAMJxE42cSjZ8PSNRVfbPkOQbOBgmGweE3XE+g8RO4Dziy7zmX2oFuODEMB6KYKjm71Xdck7S2D7Hk8ASDYQQxTDuSWPgZE0UDn/txJDFJOPot0tlZvWathYJbN+ro7rkar/tRVKUR3aghEjsf03Rhs63Cpi5DEAw26XRNG0t3zw/YsuJ4i/HBu/E5P8iPz+V6hc7u69D0qehGDYrSXPAKw7AjS6G88ILcDJ3b+TyxxCn43A8Xz+DdZ5BudyF+pCAl4mTq6mk58wKyNaORblCalvB5NCenU+N6AwWRNUumIdgvwbp+y8RT8/lfw7PgbVzdfdei+1x0f+nwbTTiMjsrIxZfa9asoba2lpqaXOvtgQceyMKFCwvE13vvvcdZZ50FwP77789f//pXLMtC2FEDP3cAbM1NTLntF9hbN4Iokhw/idXX/BLdN1jh9PCofepR3KuWF2yzt7cx7q93s/yWu0blHJ9lwl84gHR1bVEgdypQRax2y4qBm8+5EO+SD1F7+orMdZeb9hNOK71/W5RFsW6MavBUJvG4C2+4Qcda5L9lsa4GYS2YikB6YgOrr74pF8q8FXxhzE0E3H3Bt6rShCRG6Oi+ZesOCIBFKr0fXve/tqhPIVcQHunNhgwiiSEEQceyJEDEMJ3IUhRRTFLodQGSlMTlnEc0/iVAIqtNQlUbC/YxTDex+MkF28bMX4hvQ1PuweziMclSEssS8uPPCTChSASZpgfDqNr8RQ4gq81C18cjqSuLnpOkOG7n/4gnTiQWPw2nfX6vmDJ7XzuWWPwkfJ5/YJgBunquKXC1b++6DZfzf9htH2CZDpKpw0hn9yQnpItn2wYSdCzB6/igQBgqcjMVvj/R0X07ofBlVAVv6LWzyMVFZbSZ2NWPio4ly22oymrEUiHgHog+XsOGF36H0hMiMWUa1mZ+mIwGG6JHs6T1FDz42fjxRvaZvOWzVpmaOhZc+hOmPPEXfPEeDK+D+LmH0HP6ITnT4TJlehmx+AqFQgSDwfzjYDDI6tWrB91HkiScTiexWAyvt7j48uWXX+bll3Pu1TfffDOVldsu70WW5YLjh/3FMxnbBdNk4qXX4ugnjmyd7cy8+Xqa7nlgVE4RaFxdtDwF4IiG8ftHR+DtTEiSNLzr9vuJnHE+8j//htwrnrK1Y2g8/xI8Ph+aG/AYOB1O/H4/TsuJR/AAEooFiqmh7HIQ7Vf9lOCDf0EOdWN4/YRPPgPj9HMYOJKm5h5WaAnq9qzEW5FkvCtJvbPvRiSQocF7F7YZ3XAM8DGITgtqDTyrq/E0GbgUGY/Hhccp4XHkuq08sgcj4UGxQIwZ+P0+nM4oHrcHV2AdFSWc8G3qJwQq4mhpDx7Zg9Oh4xE9KKaWu9be1+Mx8ufy23JXJIvzsKn3IQrtCMLQ/l0DkSSdRPomnParEcW+mRVRTAxZSC5LUSoqNCyrGoPr0fUeRGkFopDAMKvR9ONwug7GCWiZJBFNpELvQdpkkDnIaudAoSUIVsEMmGWJmMzG650wjKu0kMSPkKWF6MZFiPrTyNK7RUuukhSmrvqHGOYEMtoFmNkeZOl9THMcEKc6eAuiGMaywOd5gWTmF5jmrv2OcC6GdS4IYHfm/kfv9XtkD7G0hMftQXPm/k2jCQvNo+C06UwMvoksFX9XqmpX79+Qn1T2IQzzCURxA5p+PKZVC3yFgQrEsny4XFMQhEOwrOK4pURyDI4ZuZn4oeaSbSuXEXjsH1iKSvf5F6ONnVDwfP/xe9xywWeyP5uu3xCdiMncvWq434dN+xzIswfsRe0UF35nknGOEB7AmZZx48n//e8s37PD/m78DLIt3oMdruB+zpw5zJnTF4XR1VXabXo0qKysLDh+ODy8m8G2wvPRe6jrGou2q2tWklyzKpdBOEK81bWU6jvKOFw7zPswWng++oBx99+F2t2F4XLRdcSxtAyI9vD7/cO+7vAZ59G870HUPvMYhsNJ22lfYl1GQYvF0AQAA4eVJCyESSaTxOIxiEsoMVBiGuGwQHjfg9mw78Fg6H0WEyXGEYvFsHtkPKqADwGvIaGkcndMr/sR3M7/Iku9s3Ai0Ouv6KQFSXmSWOwIEvYMsZiJZCaJ6bk6qFhaIRYnNybTTjicG6sSV7C7NiCLxR19ghAlkVhHLFlFLK2QTJnEEnL+mja9Hoz8uZRUGFlqoabyFmRp6/6mTdMC60EksXBJayjhBWAYbsI9Mha59zXMLSjKShS5mXRmL0yzAof9P7idL1JlT6MoUwmePw/+CKwD5gO7U+BlaloiYskaNJGsVotleUhndicc/TqwpZ8rnarAz7Cpi5GkJIbpIJudBlSVrP8SxW5EsRtJXEIo/F0SqR8jSxuprfoeohjpfW9AEppQxNtoD/1+syOI6TFiaQVDdBKLJ0kmk4TDYWKxGJqokDQThBOVjHEWL7Maujrgb6iwkUAOTOtdIu3blslOJdTjAQ6nOvgSqroYSdSwLIgkJzDvja+xS+3Q71/DA/dS9+Q/88HS7rkvsv6ib9PZzxuw//hjcTH/mRzs+mMJAw/+/PUPh1gsVvJvLZlQseKxgr//nYGt+W78rLEt3oMRi69AIEB3d9+vme7ubgKBQMl9gsEghmGQTCbxeD7buWAjQY7HEUsEpQpaFjG1dR1IA2k+5ysE3n4NZ1M/Q82KAM1nXTAqx99RUDvbmXrr9djb+5YH7c0bMFUbbad9acTHT48dz7rvXNW3oUQh/Baxld5eqrIYr+dRJLF0Z5hhSBjG1v2thZJTSWq1uNVCvyxdryOrTWFLbAgcchuTqu/AKXcjipGCmq1SmKaEKJYWU4KQRpFL5yEOhmHaSaQOxaJwuUrTpqNpOd8pv/fPuF3P5JfRvNYiBA9wNfBr4AqwasA6SMQISmStAHa5G0qIL0EwMYxaOrpvHdY4ATzux3vTA3q7X8UUdttHue7QIRDFLAH/HSjyOhDMgrqqTchSB4KQwrJG7pe3LnwSk/0vYO8XLG6aIqnM0PFfnaHrCfjuwqYuBywy2jR6Ipf3PivR0f0rTOU/yLZldMSnsGLtmcQTQ/thydEI1S88nRdekLOjqP/3g3Qdc+Kwwql3dORwD/6Fb5OtqiG6x+yt9xYss0MwYvE1efJkWltb6ejoIBAI8Pbbb/Pd7363YJ/Zs2fz6quvMm3aNN555x1mzZpVrvcagsje+5Gua+jza+olU1NHumH8qJzD8HhZdvOdjP/z73F1d5JRbTSf/WWis/cflePvKNQ/fH+B8AKQU0kq570wKuJre+N1PzWo8AKIxxro6ToEKI662RyGZaex54vMCD6MIudEpW5UEE2cgmXZ6S++mjNxbAkDet/qdiOCX4iwf/11eO3NJY7ex6bV79xXgophCCU7ISUpiSiuLtpecuymg2x2OonUHBLJ4wCQpRY87n8hCmliiRPJarsiCHGcjtcL6pfyX02XAGcCD4EQgXfXf48VG8Zz4qw/41IHr40SxcSgzw2Fw/Zh0fKiIFBS5BWf08Dn/TeG4SxZ/G9ZKpY1OkJEt5w0dn6faTW3IkkhBMFCFE1cjrdIZ/YhnRnsO0QlFBmq0UoinDqApp4TCSecYEpsTuB7lnyAfUCDCeR+dDma1pGcNHWLr2u0EdCZVfV/1Lk+QsDE7prEByuuBYYvgBseuJea557E1tmOYbOTnDiZFTf+Dq0isPkXl9khGbH4kiSJiy++mJtuugnTNDniiCMYO3Ysjz76KJMnT2afffbhyCOP5M477+Tyyy/H7Xbz/e9/fzTG/pnFdDjYcOE3GPe3P2Fva8ESRNL1Y1l76ZWj+msnW13L6ut+/ZmeVlbCpQ0qpfQOUt83UgZZcjNMifaeqSx554d47ApbI74A1oZPQdKnMNb3GgCx+OnoRs6OICDX0CasoS6QpEmqpFtw0t6RwqiGWYEmdq9ejNvWNtThc5fQ7yMtiilMc/DolVJ2E5pehaaP7XV0N9D1Brp7rsIw+wrdnY6XqfDem3fQdzjmE08cTzJ1GJI4RCV0JfC93AxieP50eswgTmVozybdqEQSu/F5HkCSOtH08URi52FZ7iFfN5g3l1jC3X4wJCmJZYn0L1SzLIF0dneG/3VvUV+3mMkz7iZY5+Tj5v4WGVZvjFJf3Zssd+L3PkBb536o7y3D+9cnwTCJf+k40kfsW3BkRV6D1/0YgpghkTyCVPowtiaGKFNdh+5yIycKf4AYLtd2FyYHT/gVEyrmIva+RzNs6/HNivHqW78b1nHs6xupfeqfqJHcd7SUSeNZ8TGTf3sjK27cMvPkMjseo1Lztffee7P33nsXbDvnnHPy/62qKj/4wQ9G41SfG7rmnEjP/odSOe9FTJuN7kPn7NgROzsoPQccSsX815H0wuWL9BZ2JO7oJJJHY7e9XzBzY1nwSehYnn7tu0xI2/DUjuwcWb2Onsj3Sj430zWFkK2dSkeMLl8XTZWVjPN1sau/hnEuE7GEONzUFWiauZmYgXmKomhgmmqRUWd/TNNBJrsLhllBJHohutFArtPRJJcJ0x8Dn/vRgugiSYzjcswlkTga0/Qjip0MiSUQS4zFtA8thDS9nlj8i9RUXYGSjzdagMP2Hm1dvx1SgEUTp2K3fYjYz+QzN4s1dE3bQATBRNOqEQQB01LJZHYjFPnu5l84gAOm3sqE4MuoaoqaMVA/4Q20VZcBs/E7FyKVcIOXxC689z+A9/YnkcK92Z2vvUf83OMJ35BLrXA5nqPC91ek3g5Hh20hydQCusNXD3uMyam7kJg8Hd/ivo5cC4jtshtaRXDwF25jHGqEaveSvPDaRNC9Ap+7kWRky/MX655+LC+8Cs7Rr2RE0DVqn/4X3kUL0T0+ms+7mHTDuK2/gDLbnB2u4L5MH4bbQ/vJZ27vYezUdB51PMHXXsa36F2kTAZLEEiOn8Tay67a/It3AlLpg0gkj8VpfxNZ7kY3XHSlZrJgwxXA8G7a/enojFPt3jKxH5BrCMg1hGzt7OqHgJwLek6mDsPreqroJq1p40mkD0XTJuDzPIRN/aTomJpWjyAayFILoqgXPW8Ywd66qv6zJaW/zmSpHUkqnt2S5W5U20rSmb1xSq8gCrnzlFq2E0QDn2cdftnCVqKmyrIgld6L7vC1BHy/7ye8cqhqIz7Pg4Sjl5QcI4Cu1+cK+fuft8RkUFarQRLjSFLp5U3LgljidGKJ08h1Xwx/RsmjrmJc5WuoSp8QdDvbOGTiP3jmk+mktTGYlpx/z/LnNu24H3g5L7wApHgS17OvE730S5hVXrzuJ/PCC3I1a3b7u8jyetD7bB062yLUtDahBJQhhdSKX9zOpN/9KmdOLAjEZu7O2st+NOxrHk1c9hBqifpGmxLH42oiyZaLL2OQEG9rUzi3YTDjmu/i/ajPXd//wQJWX3XDZ66M5LNEWXyV2fmwLMR0KmdGKg2+RAWAJLHil3fgX/AGwTfmkZw4mfaTzvgMzSIK9EQuJxo7F1VdTlfaxer4bpiWytYuNda5nLR2J1kudOKpTBL1dVHpiDHTNWXI1wXkmoLHuj6eROoIXM5X8nVpml5LLHFc3uhTFMIlxY6qrsU0vXSGriPg/x2K3HeztqxcRM+WigrD9OVyHokO2G5H0+tJJI9F02tx2N9FJ4tNaUERCt+7dDqAIOicsdsvkcTiIvCcz5cb06xALiH0ABSlachx+tyPIA8iqPqjaTPpjH6ZCv892JRlvVYbfc/r+hjiyeMpaM8cJg3u/2FXime2XFI3LRvSPJ+t4QJvHT57X12qYUp0bKyjeuPiotfJrZ10vP0KkWMnUCMWd7vKUoSI8DxLwwfSFDGofPQ9Lnr6GbyhTiyng8SUXVh13a8xSwgRw+1h9XVbFun1adETryeZrUR1FP6bx9NVdPYM3ZgwkNbTz6Vq7gvYOvvqDC0gNiPX0hx4Yy6epR8WxBrZujoY+8C9fFwWXzssZfFVZqci8PrLNPzzbyihbgyni+6Dj2DDVy8duhZOEAjvfyjh/Q/99Ab6KWOYlaTSh5DRN2+SuTnqa73U482Zui6Dj6vH4alM0uVbzK7+miKRNRQ9kctJJOfgdj2PYfgRxRgVvgdKFqX3F2GCkHNArwzcjNArsixLwLIUUul9CYW3vIzBslxkMrv2dvz13aA0bRLZ7EwC/t9iVz9CFNOYuo94dipe9WOk3q5L05To6tidaROewOcYanlSQJZaMM3SS4uGMfQymFjC1mMgpqmQTO+Hboyjs/vXQJZgxW+wKcsQxCyGXkUo8m0sa2Q5nvHsBAxTyr8HmxAMJ1UdPlpad+GP3T/l1D3vpcrdgmYqrGrfkzffOZHLnVdTkSwUoAm3m3n6LoSXVLNbtRu7p/BaM5qNN1fMorFrHJ7GKOc/9ijurt7PciqBrftNZl31bSJ7zKbrsDkkp80c0fVtawxTZWXXKexZ9w9scm6mNKM7WNtxNJlsBQpDd3H2RwtWsfbSKxn7wJ9RO9sx7Q5iM3aj8fvXABBY8GafJ10/1FDZ1XVHpiy+yuw02Nc3MvGu27B19/1yVh9vRff4aN3BLDLsSg91FQuQxSwwnjGe+Uz0v8DG9gPoiBxA/TvzmPbRmxguN81nX0h63MTtPeQi6mtzTnCtXUnaO3IijMlNVDpi1No8WyzCstoMQuEZSGI3tVWXDtoNWEo/i0K6nyCzAINMdtci64jN0R2+CtN0Y7MtRhAMNG0iofD3qPD+H27nS3lR5pR6EPUqVnaeStC+EiNlYKQOYMH88zj02CsHPb5lSdjt71JrW4RuVKLrFchyT/55TasjGjsbQYgPWveVSB3eG0pdOgbKsiQy2d1Jpo7ot1Wlu+daBDIIYhrT3Hys1ZawMX4Mkz1/I+DuWxI2DZl45EBmT6rPZYuGgyx/9Rb652TMUCAyfW98obmIZk64WUBk8izGB/dgfAJ6Nh5ExdRnkOW+mr5oaBoVGw5jNgL7LX25T3j1w71iKZ4VS6n57xP07H8oa67++Q5tt7Ci80yS+nR2r/ongmDwRvOxhNvmsDU9p6FDjiR00OHYW5vRPV70ftmx8anTqXr5uaKcWH2UI+nKjC5l8VVmp6H+sX8UCC8AOZMm+MYrtJ51AVIsCqKI4Rq6q2xbs/v0PzNh7PO47Z1ohook/IGxZBFFk8nB59FvcKE8G0fu9XLzL3ybtZdeReiwOZs58qdP/1mwdVYG05hN1koCpbtIh8Jm+7Cg6H1LKKq9Egwc9oXEEsOthZToiV6Wf6TIawhW3ILd9kFRB6Vd7sRC5IWP/kRkRYR9JteCFSWVLp22YZoiomggCQaQRpKiZLJT0VJTEKUYhuFFEDJUV16NgNmbu3glulHY9JFKH0wyfQAO+0IkMYFlSWS1BjLZXZHEGKnMviSSR1NqOdHChmWOXvSOhcIrS29jdu3N1FZ1YJoq4e4DafrkO0CfMC9Fy09vQr7/bnyLFoJpEZ8+i/XfvoL63migrpYfoyq1BCpfRxB0kvGprF39Q+prc7N1YonMVOhbZFbiMQJvzsV/5LGE9z1o1K55WxDNTqapO9do0BpXt8Jkoh9irut9IB0nnk7N88/gWttnw6K5PXQce3LRvmV2HMriq8xOg5Qo7WclJRPM+sE3sLdsAEEkOWEyq39846jlYA4Hf8VKpo5/EpuaW1ZRpMKOPeXDDPK8DEK/VQJbdxcN//wboUOP2qF/yY8UXW/ANJ29GYzFDBZOXbyfWHK7kMqgLlmFUVmBPqmh5D4AstTUmz04+BKtKuX+/Wy2GFNm/Ilpu68B2SStubArfTN3uh5AkoqFqCT20NF1K6bloTr4Yxz2vlxDWe6iMvAL2jrvgcLyerp7rkNVluO0v4mmTyCROoKt+Zp2u57B5XgJUUxiGFWEIpeg68ObXU1mq3n2pRty4nM4SBJNX798iB0EWtZ/lZb1Xy35bNvJZ1L90rOoocGTEOR0iqqXn9vhxdengWmzs+zWu5hw92+wN2/EtNtpP/5Uuo4+cXsPrcwQlMVXmZ2G0MFHUlGivkHt6sC1rm95xNbZzrQbr2bZb/7v0x4iU6Y9nRdeJfkPlIo0VHq6kJKJQWbtLFRbBFGQ2BqDxq1FbWth0l23YmttYbqk8tHBB7Phu1u/vJvVppPRpuKwFQcsw6ai9b7/N00ngqAXWE4Ypo1E8pii17offBbv//0buakF0+MkO2sKnX/+GZav+P30ex8YUnhpppuVnacgChqnHn8d1dV9+ZabDGFNS0DX64glTibgK/E5E0wQTEQhhKIUR4Up8npczmfQ9QlksjPpb4+R1WaQ1WYMOr7N4XY+g99zX183pNJEtXQDbV1/wDR3/Iy+bE0dzWdfSO1T/8TR1oIlCCVzaDVfxVYdXxLSeO0fogpjyWoz2Zpu0B0NrSLI6p/sWE0HZYamLL7K7DR0HXkcgfmv4X/vHeREHENRyNTWY2trKdrXua4RtbOdbNWWF4ePBoZR2igzz+6ADRhQ1mM43Rh2e9HuweqXqB//NyS5k4MNB+2p/Vndc/GojXcwxFSKGT/5Hq71OeHgAg5uXssSj8DGy07byqMKdHbfSMD3Rxz2BSV9onSjkmjsDDR9ApnsbPzev+C0v44kd+ZukZaM2/Ui6ewemGbORFNq6cB3x00OJxIAACAASURBVAPI7bklTSkcx/HWIoJX3U7Xn28ovjZxcNf0rO6lI3UAPdkUFdUPEgwWCqdNM3OiYKHILQjEsSw7wgAjVEkMU1nxczRtAgIlosIEncCKuxGuN6FdRnPXs/Gi01h5xC4lx1VrczI58CR22yIEQUPT6wmFv19gJLsJt+s/RTYUitJCbeXltHf/BsP4dP8mtobWM8+n47gv4vvwXSrmv07l3BeQ9D5bi3R1LS3nXDjs4+5a+z8OnfAoLqUVy7Kh6ePp7P4ZhjnyvNwyZYZDWXyV2XkQRVZdfwvu5UuomP86iUnTMBWFGT8rLoQWtSxScnRyMIfDqhVnMWb8mzjtfbVNBctpp4Kxq4T0fl8XmaHaCB1wKLauTgy7I79canNsYMLU32Kz5zrsHIDP9QyiaCOV2LZLCjX/+VdB7ieAmk4z4X9zRyC+wLKcdIevRlWWU115TVE0kmHUEk+elX8cjn4TVVmDouSc8iUpgUN6jzHdF5L54V6Ef/AVXE/PzQuvgvEubyy5lqkbxYIFIJ2ZRlfPT/F4dmFX/0qCvieRpcGjfQQBfJ4nsKzir1FBMHHYF2O3LcU0i2crhUYQvmRCE4COynpqV97L+z/6EWv326do/7Ezf4bb/X4+zFtRNiJJ19PWeRf9a8AqfH9EVdaVHK+itFIdvJa2zjtHJeNxW2O4PYQOOYrQwUei+/xULHgLKZUkE6yi6avfJls5PMFkU8IcNPEh3Gru70kQMtjUVQQrbqWj+zfb4hJ2GsRUironHsK9egWp+rG0nHNRQVF/mdGnLL7K7HTEZ+xGvNfjRkylSI9pwNFcnIOZGjs6OZjDIRGv56MV32KXKY/gtHdiWAqSFSBtppEEnVQmyOpfn0b9DfMJdjZjKgqJiVPxv/cONS88jamoJKZMZ9W1N9Gwy9/ywmsTsqhR43qPddtYfDmb1pVc6lESpQWtICTwuJ5EUZpIpfft7cgrLgwX4knUpWswaitJuQ7EYX8zn+OoaXWEIt8q2F8Su1BKiAlpQhpnZD7qxWtIHbjnsK5NoLig27IEYonTMYxcfVNArsFuzMEw30IShxJgWYby0xIEE0GwMAwHopjq04E30iu8+nD2JNjvH0/SGigUX5KoUbvXhrzw2oSirMNhf7M3mgcUeRUux9yCyJ+BKHITbtezxOJnDbrPDocgsP5bV7D+G99D1LKYtuIZ4i1hQsML+OzFViGK3DxqoeM7I2Iywa5XfgvXquX5BdjA/NdZdvNdZKtHGI9RZlDK4qvMTo3pcLDh/K8z7u9/wt7eiiUIpMeMZe23fwBi6cLsbc3ajSewOnoMnuAGPLYOpjr2YFliDR3x6RBXUDLQ/J051Nd6EZMJ9rjk/IIQdVtXB1Nu/RnWv0rf1EVhyz2Ctpbug4+kct6LSAM6z5LVxR1/ktRGdfBaFLkJQQCn43Xczhfp6P41/b9iPPc8hufBZ5E3tGL4PWR3n0bnAz/BVfEahlFJLHFGkVWCKMZLZxs6gWqQF3QidYTQdw8gfy0EY4CXgA9B+0JNyXIeRdlYtE0QLJz2+SRTfR2nqfQBZLO7Ybd9NGgjgGW5ME0Xolgc7tx3DUl0vRKhv3HrILXkFZZeVOAuKz041eJ/c1HQUeSWvJWu2/UikjS0V5ggWCjyuiH32RKa24qXjEeLQTspRXGrhRfkvLdKho5vZQrAZ4WGh+7DvWp5wTZn0zrG3/v7ch3ZNqQsvsrs9HQdcxI9BxxK5SvPY6kqXUcch+nYvr9iLWSimXEFnXGFnW05ql56NtelOQDXmhWsa7qCgPgGkpCGfitlseyWR5NsLeH9DiK8977435ufb3CIVNXwwXe+WbRvwHc3aj/3dlHQsdsW43Y+Rzz5RQCUFWvx3fMoUihXbyWHosivvof5gwq67xg800/Tx6IbNahi4RIoa4F5uf+UxvcgPKVDb/21dTpggZ1l1BrfoSt0TT4MPMdgN9qBAkeko/tmghU347TPL5k1mdWmkkzvj9/zUEFkTn8Mw4c18Kt2NvDf4n3TtWOKtuman3QqiNNZuLRqGH6SqUP7PS5thdEf07SRTB1csE1duBT3I89jBn1ELz4cPIMHUje3RWlNJGk3cwHq24JFq7vZ0xMc0s5ia1jXfCxTJz9MhbMw7F3TJmJZWy/qdnZcjatLbre1t5XcvjUI2QyuxjVkg5Wfeh3ujkpZfJXZKpTuTnwfvEu6fmxuCXA7WyQYHi/tp56z+R1HiH/BWzQ8fB9KTwjd46X9xNPoOKF0DZQspnAq7cDgAbdSKllSCoiaRt03H4WO3iDqKRbaXyTCDVNZ3P4d6tTivMNRRRBY+fPbCb72PwJvzqPH7uXlM0/HtWcDfgqXHiWpuHNQEAzs9g/y4stz35N54dUfdXHpL/5+RyccuYgK/z0ocu/NYCNwO9BbLib9IIRU0TcTI/RqXIE0NmkVlRW30Nb1R3Kiy0IQSpu82m2L8Xn+AvSvIVTo7rmeHjGCx/U4Tse8XPC1pZDVJ9IdugbT8pDO7IXX/W9UeRWy0pIPOrcsiVRmL0Qh1Td+gB+B9SKwMNcYCRCpH8/6b32/xMgEli76Gnsf8Fvcztx7rRtOksnDC7zCYomTcbteQJGb89v6z/SYlkw6syfpTF/kTOCa3+F6ah5iLPeeTPvPXCI3/pCOg44tGMFA0dUwZjWTA1vXbTgUXakkTZWVvPd+GxP/MY+qWJjkccfTc+DhI/6O0XUX/1t1Kcfu8ldcajuCZSerTaC7Z/vmQG5vNH/pf0fDOTp+iTXP/Iu6Jx7B1tGK4fIQnz6TVdffPKJZzM8CZfFVZtiM//PvqZz7PLauTnSnk8Tk6ay48Q6Mz7ijsmPtGib/9kZs3X11I/aWDehuD6FD+xukWsyeeBdjq97AIfeAFSQd3ouOeLF9QOcxJ1H39GPYujoKtkvpFP6P3uvb0Abxk6t47uG78HuybI3JaSEWXvsiZrrXsT67Lx3RA7B3tDLl0XtQuzvJVtbQ9NVv033EsXQfcSzNbVES9gylQmsGq5UxjBJf6jbADWyaxNmCG2oqcxCZzl3x8Djuf/0X+ZYI9Da4ahPGwDSA0rNOALLcjCw3I4ndVPjuKRRB/ZCkOB7X8yQzZwGFy5+m6SMSu5hI7GJEMYJlyfkIH5v6AT7PowhCCt0YTyxxCk7H2wiCQSq9H7HEKUhiF7J8HYq8HkEwMOxeIs/uQvfvGtDfbEevncInR55J9SCzAu1t+/LSW3+mftrfqfXFSKUOwmEWzmBZlpvO7msJ+O9BkjqwLBvZ7AxMy4Ek9pBKH0AidSSbZv7kVetw/Pf1vPACsG3sYuYd9xeJL4DKMT7SLvD6kuwXHDesmKktJWRrZ9zKDva+/VY8zbmlXOODN+nZ9yBW/fTWEQuwdT2zeXX9bKZ5luIRx2Jsq+m7nYgNX/4m3sXvY+8305WtCNK8Fd2kA7FvWM/YB+5F7entRM52U/HOG0z8w818ctXPRnz8nZmy+CozLDxLF1Hz3BPI8dy0g5xM4lvyIZP+cDOrr71pO49u29LwyP0FwgtAiUWp/c+/C8TXlPFPMn3MkyjSJj+JJJMCXWyIHEBz/JCC12vBKprPvpAxjz+Evb01Z59RV4/S08NA3OtDVH/0MdmDp47oOkQhy5TqX+G0rUESs0zw/4dwcDLOgyK41vXNmniWfcSy2/9A7f7/ZupuH5ESBFpSR9KeKrwxxxPHocjrkKS+zkVNryEaOy//OPqNU3Cd8D/E/bVc22YLcC1kAtO3aMym6SPCxcQOPx3f+39HaWpFr60k8sOLqJJ+Rl6NlUKwEEgR9P8WRWkefD9AkiIo8tPA4Dee/nVpdtt8Kit+iyRt+vdahqI00t75O0yr78eIYVbT2nE3TserKHIzidRhdKQdNH05wMqjTBoSPpTI0LV86UyQ1xq/xvQxIuPsIRwlvr01fTrtXb8jt4QqUWqpexOu/7yGHCqu3XK0h5DjCQZbnq1zuRm5+B+cmb/5a154AUiZDP6F8/F9sIDIqARFi6S1BpxyWXgBZMY0sPKntzHu/rt7Z/Q9tJx9IdG9vjDiY9c9/lBeeG1CANwrl4342Ds7ZfFVZljUPPt4Xnj1x9m4psTeny2kROliZjFdWBA+rm5eP+GVQ5FSTKv8D80theILoO30c+macwL+d95A81eQraxmtyu+Xnx+TUNJJEu4Rg2P6cGHcduX5ScRFClDZe0yhG8AP+nbz9G8gV2f/Abq2V35feuM1awLbyQePz2/XyJ1HIKg4Xa+iCgm0I0g4ehFBR5Uni/8F+FQva8xsB7Mf6hE0sMzbTWDfiI3X4jT/jqGWYGR9hNPHossbywQf/3R9TGo6gpkeQiB1otlgWWVDsBWlJV4XU8gCDrx5HGkM1/A5/lXP+GVQ1XWU1t1CaBiWg4SycOJJc4G5IKCfhh5CPrgbD5BUJtYjyVLCHpheLbusGHYbRAb3idNEBIE/Xf0msoKZLPTCYW/N+wcTkdbcTeCnE5R+epLoyS+ygwkMX0my2++c9SPK5iDdAqX6KT+vFEWX2WGhamW/iK1pO3TWTgcfO+/Q/VzT2HJMi1nnEdy2sxhvT42a08q3n27yIIh3VBoaSEKhTezzW0H0L0+uo45KffANEnXjMHduKpgn3DtGNr22RsPgx9nS6iwryjOTBSB/Yr3VdpDBfsqUppazzt8kjipYL948mTiyZNx2Obj8z5EZeDXmKaLZPIooolzsNsWI0iF75tYl8UTfY5wbMtNY72uR/G4n0KWO7EsCU0bR2fopyTTB+ByvorQr2jeslQ0fRxdPT/CYV84pAXDJnS9nqx+KgOL792uJ/B7Hswbw9ptC0kkj0MUSws+RekTVrLchIBFNLHtaxKHQ/Lkw9HueSznh9aLKUt0HrAXlizDMGV+VeAGHPZF+ceKvB5RjNEZunFYxzFcxcvYliCQHDthWMcps/1pPf1cAm/NQ40UlgUkJ0/bTiPacdjx75hldihazvoymUDhzIApikR3n72dRrRljP/THUz/+Y+oeu1/VL/yPLN+fBm1jz88rGO0nHkB0d32wlByswqWIBKfPJ11lxQWSXeGdse0CtWNYUpsiBy4ZScSRdZ+50qSDeOxBBELiFfV8eY5F+RmJEaIYQ3iwl/C0UGsL/7lqoox5BIu8bLcRMD/O2zqChS5HZvaiNfzIG7nswWiqOD40uBu8wORxE487seR5U0mmQaqupaA/zfYbYsQBQ1BIP+/rDaets570PUJJJJHo+nF5qqG6UDTa9H1StKZWXSGroGiyrYsHtd/Chz5JSmJ0/E6hlGqCm7guNO4nC+VeMYi6PiIXWv/h13ddst4g6LIdPz9lySP2g9tUgOZGZPo/OqxLLnukq041DpUtXD2WxBAVVciiYNnNJai5egD0QakPaTGTqD95OGGqZfZ3qQmTKbl7AtJ1dVjAZrHS3ivffnk+9du76Ftd8ozX2WGRXrseJou/g5j/v0galcXhtNJbLe9igTIjoQS6qLy1ZeQk32FxUo0Qu2zj9N+8plY6mYigXqxVJWPb/sTlfNexP/+O8SnzaT9xNOwBswGLln1NbyBRqp9S7DJMQzDT1tiKqu6vrjFY47tMZvF//cwgTfmImhZlk7fjzUBmdGoUlnbczKVjmXI/SJosmkX5kMqdvqW0DL1NYjXJ1AonN3JGD40o6Lo28PnfgRZLqzvkKQULucraEZ9Ub2VYXiIxU8EsnjcT2JXl2CabiLx89D14g5Rl/MFZLlYpCjKuoJr2YQshRGEZK8Xl59o7Fy8nsdQ5DZMU0HXx9IZ+im6UYsgaIM2DihyK7JU7KAvy11E4yejyO3IckeJV/Yf40ZEMZKvFxPFHqbV3oBN3ogsZUhMeIh1q48n0vm9IY8zGJLUjt/zDySpG02vIxK7qMgzrQ8Dh/0N7LYlpO170vn3G9n0Ozykt0N6cNPYwc/fUZRWACAKcSSpB8PcvA3GJlZdeh5J08H4l19HiCYRxo5j7XeuxHQ4hz2uMtuflnO+QvtJZ+BesZRsVQ2pccMLeP+sUhZfZYZN53Gn0Hn0idhbm9H8gR2+y9GzZFFRNyHkArkdzU0kJ07Z8oNJEl1zTqBrzgmD7mJaCnM/vhV/5SomVswjKBzJ4miG4U40mzZ7/jx6W5SiQMitpCP5BVrCZ1HpmQtCknTGz5rGL5I65GBmtv4JNdRFNlhF01cvZZzvLgLGPCQpN3OVyrpZ2nk4kUyKgTVLPqH07I1BnJ6ea5CC16Mo6xEFHcOoIJ48Ek2fTHXw6l4j09yyoN22iK7wlWQyhU7vstRcaJJpAM+B8FwW61sgDDC6z5ln9gmJePKLJFJH4rC/g2H4yGT3zj9fKiIoP34jgGG6EcVCiw3DdJPO7E8qfQQ+zwOIUhjLtON0vFG8rCsYeF2P55dYg/7f4rT1hcG7HJ1MnvY0y5MnkkoM4/MIyNKGnMmtkitSd5CzzWjv+m2RABOEFNXBH6MqqxBFDbfzOTLaDDq7fj3s2qz+ZLIz0bTafAzUJnSjhqw2zKQJQWDpxRew9OyvEFkRKTKd3VGR4jEaHriXSatWMsvnZsUVX4NZlWCaBB99leOf/RBBcLH62DMITdxjew/3U8Vwucv1egMoi68yW4ckF9U67aikG8ahuT0o8cKCed3jJRssnfM3GoTTk2mOZfG5xgI7VkNCd/xouuNz2JhxE2oLokQFAvV2Vl9X6Gi9+uObqBnzJBVVr5FMwruLj+fd7C54KpME3YUzhlFmc/DYRUhiYU1ac7yBT2JRarM3UO9egyy3kkwdhmHU4rTPxaZ+XFCPJctdBLz30BO5hHReIGnYbANq1SRgOkjnaFiPCXCRlfP/6kXTJhWZZ1qWe0DR++YxLQ+ZzB7I0lyEfnV72ex0NH0yAKHIZfjcj6AojViWVLBf33X1dfApclPR83Z7hNqGf7F25TXDGp/fe19eeG1CVdbj8zxAT+Sygu0+z9+w2z7OPxZFDbu6GJ/noS2qvVOlBNODT1HtaMTMHkAieSwgY1lu4omT8Hr+hdS7lKwbAWLxM4Atm1nemRFTKWZddQnu1SsAqAXGrV7G+7//MeMf+Tf+599FzOa8+WoWLWL5F88nefE3tuOIy2xvyuKrzGee5ORpJKbPxP/+gvw2U5KI7j77cx4eK2BaNoaOVhFpbzmD9pYzAKh1wJiWNto7QK0qNGFcuO4UGtQPGVu5BFVJoxsSXdGJvPT2ZWzUJGZNbqItM4Za2/S8R5TDvhBRLK4HU5T1VFdeh6aPpSt0JYKQizEqYjLwBRBetzAfELEusWFNcqBpk+jqGdw5f7h0h6/EMALY7YsAk6w2lZ7IpQAIQpKayh9iUwcX2KapkEz3dTRYg+RBmnrppTVvUyPTXngEIxslddge6F8/OP/tLUmlZxz7m61uotQYc7VZy4u2D8Rpb+WC2T+k0pVLZLCcC3E5X6Gj62ZAJZr4EsnM/njdT2JZErH4mehGsWP/Z5Exjz+Eq1d4bcLd3sGut9yLZ/3GvPACsMVjTHz1eZZ9+SIsZfNdqWU+m5TFV5nPBSt+fjsT7r4d96rlWKJIdI/ZrP/6ZZt/4ecMQdeof+g+fB99gCWJdB1+DJ1zTqTh4fvwLFuMqShUnHwWSyfuWbJA/4O5t9Bcu5C6+nfo6ZlK09qjqbFkzESSjxnHhAkWmxxWA3INWW0qlvVyUSdibobLQFXWEay4g/nNX8FX4cApDrD7iAKVwC4grjCJ/PUEYt89G8MsbRcxPCx8nr/isC9AFFOYpoeeyFfJZAv9j7zuR4cWXpZMJrt7b9h4jkx2Vj4LcxOJRDUtG84ren3gzXns9btf4QjnRJa58D3ib8+n5+E7QBAwzdIxPLoRxG57F1VpJJneH12fgGmWnoUSxQiSWBw63Z+9Z92ZF17Qm2KgLsHjeoZYIlcMr+sTCIWvGPI4nxbBeS9S/fxTiNkslQ2T+fBr3y7upRglnGtWlvwJ42jpQAkVW9TYYz2o3Z1kSsRJlfl8UBZfZXYuDAPHhnXobi9a5ZYvGZp2B40/uG4bDuyzwbRf/JjA/NcRrFyXo+fjxTQ8dB+2jrb8zcWzbAm2iy6h7dQvDXKUOXQ255b2xmwyQW+DjCXhwEHW6jPpjCdOwOt5GHmQXETIFbxLgkY4NQ2n8v6AJ4G/AxpYq0BfXjNKwgt8nvvxuh/vl+nYSk3lT0il96Kr52f5An1V+aTk63WjgkxmD1LpfUmkjqJ//VkofDkaPdjURgQrRSZVw7JFX0Yo0ZFZ/+jf88ILQDRMXO+tIvXKAtJz9icc/TI2dTGS1FeTZlkCDttCXI55iGIGr/sxUul9iSVOwaauKAjgtiywqY3UVl+GmvgCTa2li/5djuKZR0GwsNsW58XXjkLdv/5Bw4P3oSRyTQC+pYvwbfiEv//hl9vkfKmxpUswMpUB1GQSOZYq2J51etAqBs/QLPPZp2w1UWanoeKtV9njkvPY7btfZY9LL2DGNZchJUr7LJUZPq71a/Au+SAvvACkbAZbR3vBr3olHqPmv0/BYAaKw8DreRhxkKzFTViWhGnJvNr4C9Z1HkY03oC20YEVJRdV5AYqQNgPXF96bcRj2kSpMG1BMHE63ifg+31+mz5IRI2mTaSr5zoSqWOgaJlRZX3X5by67i4eeP8Onn/jr7RsPLT4IKaJEiq2apBSWRxzF/Sev76oU1MQLGS5G1HMNWlIUrS3EUCjJ/oNMtmpmKatd9/ca2Spm4D7NQKOJSWvR9eHESO1PTFNql/6b154bSKwZjmTFrw3yItGRss5XyExflLBtmQwwPIrLiS51xT6z+vqqo3m2Qd97rMNP++UZ77K7BTIkTAT774de3uvS3kygRrqYvJvfsGqG27dvoPbQZGyWXb9598Y07SKSaaAfc7RhCYeP+j+/tXLUGLFcTMCxeakciyMlEpiuEYWvuuwv1Oy5qs/mt5AUqtBM928tvwmlKjJeMcrHHjYz4v2VZRmJLGzwF1/axHEwbtLVbXPADcSuwCH/f2CGivdCBCJnbvZc+imm0jaiQcRSpnniiK62wPthQX1piyR3XOX3FiUNUglrDCKD5XB5ZhLV88NJJInUFf9dVRxXcE+sphigu95OjuKmxJWrz8Vr/cTHGrfrJmm1xCJn7/Zc3+aiOkUconPsZLJMGbpMjpPL+EmPEIMt4dlt9zN+Ht/j7BhA1GXg1WXfpnswVNpPLiButsew1zYiCA42LjvYaw99BTqN3/YbYtl4Vq1HFtHK9E99vmc179++pTFV5mdgurnnuwTXv1wrVkBhg5S+aNcgGVx+q9/yvglixDIxUQf/clyPtZDbLzstJIv6ZmxB1mfv8iN2qK4JF/3+DBGwXdJFEoLHNNUMC0nut5AV881UOA1JoIsYokiAgNn3wwQRj4jB2AYXpRBI4n6BKlpBujougm/915kuRvTdBGJfYlMdq9RGUfHMSej/v1PqP186lIzxpE47ajecVZjmn6kIZZu86Pu1/05mL2GYZW2nGhqnUPEGWH/8S/glKNgVtMT+doOF05tOpxoPn+RvYxmd7B+9p5sK7cwrbKKNdf8kua2KOvsGaonOvCTxLKrtFx/AQu6VRzxOpQom83x3NZIsSi7XH8FrsbVyMkE6epa2k84jeYLimPNymwbynesMjsFYrb0TVowTQTTwhq+L+QOiZhMMOaxB6ia9yKYBtlgFd2HzqH5gMF9xUpR8/4i6lavKBBN9lSSSc+9RPMlpc1ek/Xjie61L4E35iIaue4svfdGZm9ryR9Lc3toP/5UEEdetaAbtSXMVx2Ewt8jo+2KYWzyeCosaG9r2Z9EfAwe78aC7YKQQpbaMIwaRoaFKKQGfVbTJhc81o0Gunr6z8RlcTpeRhDSpFKHFYRsD5e2M86j01QY//aLGHoSfZexRG44C58i9557DJnsDBz2+fklxFwCllDQyKAbFUTifRFHmcyeqEojQj+xqul+1oQGr9/6uG0OungM4+yhfMdqHyZe92PYbe8CkM7sSzR+Np96dYsg0HbK2Yz/y50o0ZwgNQWBzpm7s2HP3SmIck9n8f3hIWwfLMNy2MlcfCTsdfCnO97twOQ7bsK3tC8Kyt7RRt2TjxA66HBSw/E9LLPVlMVXmZ2CjhNPp+b5p4t+zabGTvjMtGurHW3MuOZynOsb80LH0dqMe9VyMk3NrLvoK1t2HGE901c9gS1d3I5oi0ZRYvFcnVQJVl17E7VP/ZOKBW9hSRIdx59Kz34H0fDgX3q7HVXaTz6TngMP28qrLCQU/g5VwZ+hyBsQBAvTdJLKHEgyfRRDWWCYpkp72x64PRsLOgZFUcfneYCO7pGZWMpyE5JcvJRnWZDNTqZ7iI4+VVlGsOI2FHkjgmChex4hHL2ARGrwJd/N0XTIsXxy0klsdEWYPkZknL3QXqIzdD0VvnuwqTm7g0xmFhYCTvtCBDGBaQSIxM9C1yfkX9MT/QaiGMVm+whRSGEYAVoix5LQ6rdKLwX9t+FyzkMQcsLdZluGIq+nOzx6lh9bSscJp5GuH0vd4w8jZrNsmLQrH597PvSvLzRNqi/4MfZ3Fuc/aRPfW0rsqhThY0/51Mf8aeJcW9ydq0bC1D35z3Jj0qfEiMRXPB7njjvuoLOzk6qqKq644grc7uJv9XPOOYdx43JxIZWVlVx99af/x1hm5yZbWc3Gc7/KmH8/iL21GdNuJzl+Mmt+9LPtPbRRY8Ldt+Na31i0XcpmqH//TcTzzyPnXz44AbkGn2MhY05ZAPeSs2LoR8avgi9EQJ6Wi5IZiCjSdvp5tJ1eaHmw4eLvDPNqeseeiDPxzluZsWolaUWk4/D9WfeDvtkX3RhHW+dduJ3/RZabSSSPIqvtukXHVtVEkZM8sEXLb1uLhUxP9FIsazDPAouA/4+oSp8lgyy34/M+TDJ96BCvGykqPZHiLsVwVEMU471OhA0nAwAAIABJREFU9wMVlUR3+EcIQgJJjKAbNfTow8th3IQohrDbPsgLLwBR0LHbPkQUQ5jmp9/ZF91jH6J75FISmtuivWHhfThemo9tUeHssNITY9JDz7D0My6+Bpu1Nrcwaq3MyBmR+HrqqafYbbfdOPXUU3nqqad46qmnuOCCC4r2U1WV2267bSSnKlOG9lPOpvPoE/F9uBDNHyA+czdK3n13UkrVtG1CScZxxGNAaU+n/tR5X8N2cAb2B16GTWVRpl8ifv7RzPROG5XxSnKMybvciNO9GhBIxHbhkxXXYRq9VTWWxS4/+V7B8kb1uka88S7CN/cVaVuWY6usCqKRCYVxQ72Y5sjjrnR9HLo+BqlfYX1uewOZ7ODiUJbXoshrcw8scolQNpClVhz2+cN21x85CqY5dDeiZbnQtyAgfMizyM1IUk/RdkkKocjNZLI7nq2C/e0PEdPZou22UARB1z/T60KxGbvh6DfDDpAJVtF6ZvH9u8y2YUSL8QsXLuSww3LLD4cddhgLFy4clUGVKTMYptOVW/KyDIKv/Q85GtneQxo1DPvgpcAZr5/kFnYjSYtCcBCwhFylfQ1wPGT/NgXzG/2XLi3qPfM4YtaPOGSfq6mqfXVY491ltx9SWfMKTlcTTtd6qmpfZPqufbPano/ex7VmZeHYdJ2qtz9ESI08p3L1irOIxArb+3Wjgkjs7BEfGwS6ev6fvfsOb6s8Gz/+lY72sGRb3jvOXgRIQggbwigzzEIpBAoUWlYZBVIa+EEYgTJaSilQVhmlvFBGS5lhFgIkhOyEJE6899TeOr8/5CVLTjxjO34+19XrfX04OufRE41bz7jvW/EHJhKJ6IhENPgDE2huvZH4tBFdUixPoVSG4DVgHjAZmA3yit6Toe4PgqF8wuH4/GqhsI1ggiLpo4Fv3kwimvglCwFrUtwo2f5m93W30nz4sfht6YQMRtwFE6i47GqR9HUfGtQrzG63k5wc/VVltVqx2xN/EQaDQW677TYkSeKMM85g/vz5vV5z5cqVrFy5EoAVK1Zgs9kG08Q9UqlUMddvsyZI2T0OSJKE1Wod6Wb0idTSRN6Nv0RbsgPJ5yWQlUPrORfSvOTKwV13iPrA4ZYJmtUETYA5jEFvwGq1YpANmBVmQEItgzoSjLuf89wLMZbtQuWMfR+FkixUn/JTDOYkzGYjZoOEWR/Eqk3QXo8P1ZJGiB2wQbYCJx2HNdT1GIv0CGbNJ0jtKRUyU9fR1nQxjTW/7TynorqVKkd8hm6rpYyDjdvjjmuNWwlEakk3TSD7/bdR+eIXrSsdLqrqarGb+vbx0+BxU9GaiqvZhbJB5sicEHNO/itqTS1tLiPNzjmo9AHCCg2O4EnYAwVA76OIe6N01BGRIxBUU9KwDKO6AgVhXMFCor9XE19bpXSSodoN64Dr6ao7XgmK+2Va1TupPz+363n53WzdZUXZIONRBclNMvf6GnS4ZaranPibZNaGGgkUuEmXEqSm6Kccg5U0bdcXbtDvwawy4/RJmE1mgobo67TjdW3QhjCbVJj1QVJ0LWg1T6JUtBKRUwnLc5HkT1G072CVZS0R+RiSkvpeA7bj/mGlAZA67z9Yidqv/dlpRF78D8pvNnSeF0o2UXfxmeSVVjDx+T8wu8WL8vIr8R1wcL/uZVSrYt6rAAafChPmXt//+1rDH/9Gc0sTqtYW/AVFoFKTqEVj6fthuAxHH+z102/58uW0tcWvoTj//Njs1gqFAkUvU0BPPPEEKSkp1NfXc/fdd5Ofn09mZuJK9YsWLWLRoq6h+aamga1B6AubzRZz/UTPczywWq1j5rlPveNmDJu7Piw1tdUkv/QMVXMX4s/JG/B1h6oPnE4nQaWaYHt5HL3soU3RhsfjwelygktC7QS1M0hbW+z7pW3h0fiuaCXjvbfR1tdCOIS7aBKVv7ia7amFuD1unM4IUsSDM+RE7Y1vr/GVd1Hs9MQdD32j4suSSaSZtpOiykCpbCU97dvOwAtArfZgsvyH3dsvoKI6Qq3bQ33ESzgdUpJj15qZrDWoNfHJUdVqN97VrzLp7jdQ9bJD1WNM5evGiSTLfcsR1tJqQGqADCUUpASZt/B6DMZoYWqDEbzeZD767HpWV8wknA6T2urJ3rqd6ulTaSnqf/F3g96Ix9v9ufXtB6BFF+RAWxgepCvwaqdwguHlNXx3cNf0avlumBKGLKORnMzoqFhvr8Eko4LpxiQsdVBbqmCt0xD3b9JfQamZfEsJNn0NmVozKaoMnCEnTp+asNKA0+XB4/HQ1tbW+br2RNw4XUpCyrUYrA8hKbv6KRjMosV+KXrtWkCB23ssHu9xQN/fVx33d7rD4JI67z9YPdvvDDlRqwzY/34Plj+8gHbTTiI6LTUXH0V4awsLV1yNzh5dMBnctJraM8+naslVfb6XW+ePea8CeNwaZJez1/f/iFCqIDUdXL0nOh5L3w/DZTj6YK/B17Jly3r9bxaLhdbWVpKTk2ltbSUpKfGwekpKdL4/IyOD6dOnU1ZW1mvwJQi9kmX0FaVxhzVtLWS983+U/fqmEWjU0Go4+UwaTk6Qh6suPmlkIoGGKhTxOVFxt1n431aJyZPrsemdTLKUolXFF2TWaBspbVnHJmcu4XQw2zwclBkfzEkKI96gDaMmth6g12/h2AdfRx1oX0ujILpHoP0SgeQUWi+4mKxWE8QvEUooGz1ZZgM5mUkUTHwIw30V8B/ADeSD/o+tHLXgXYJNhzNn+TJydm5G73biNZqpnjyL9395G7Ky77lIdAaZSPxT3is/Gbgm2TC4Ev9baewBdDu7/j7amMeEQie5RY+g1rTgdk6muvxSIntYf5WTmUQOSVTXOahtGkAju7FHDGxJz8ds85BvqWdmH3/YpxnWMCnjfqQe2f/V6lq06hIaW+4fVLv2Jdmgp+3OX3X+7XaUU/z/lnUGXhCt6JD+8X+pPetnhM3779SxsG8Natpx7ty5fPHFFyxevJgvvviCefPmxZ3jcrnQarWo1WocDgfbt2/njDP2850kwrCRe9mlE9aJUh0ALWcfSfKLn6JvjA2sgunZJCknEwnrCcgefEEfwXASaik2UAgFknE405k8PRNMYaxGD5naRDmdwOc5F630Kqr2hdahUCqRN7PROtpHk28CLgKSgUbwPGvizbS7ackpStBymaL875gy6XP8fiNrN5yDw5nV+V9r3R5qd3mY+tYX8AzRhewAu4FzIfheE9lvvkjRhu+Q2ssj6d1OijZ8x0Efvcnak87td1/2n4KvvrmcE49cgeF9B4oes4LN2bGjcCHdRibOuhdLUjR9SortazTGr/jXuysIhUbr61lmetrf4wKvDpIUH9CPJbod1ejrGuKP19Vg3PkjjoN6XzIjCP0xqOBr8eLFPProo3z66aedqSYAdu3axccff8xVV11FdXU1Tz/9NEqlkkgkwuLFi8nNzd3LlQUhAYUC15QZGKoqYg770jOpW/zTXh60Z7qqcnRVFUjzD40OwY9xwexUSn92KgX/+C/GxmYiSiW+vAJ+uOQ3seeF03D5pmExrEHZnmQzHFLhrJqBz5dE4hznsVzus/D5FmA2vYksSzjdZ2F572VgI1wA/B46F5Hkg7rYD7t8+BIMDP1k6iNMSfsajSoaVRVOWMPHO6+ipGlhzHmaRz1dgVeHnaB8xk9OxfrOwKuDFAmTU76Oryf1PfhS6hUkWKrWJyUcQOXBT/Ozw28heU0NKk+IkFpNQ3ERK2+7HH+3mdZDZr/YGXh1SE/bycyj3+bb8t6Klg8dg+Qh39KETW9gunE2QOL0I92YtY0YeyTF7a63OpdjRSArhaAlCannj5ckK/7MrF4eJQj9N6hvG7PZzB133BF3vLi4mOLiaAboKVOm8PDDDw/mNoLQafcNtyP5vJi2b0HyefGnZVJ50RUEU/q3MUMR8DPlrlswb9uE2mEnmJGF6fBjKf/VjcPU8n1n568uYMtJp5P2ynvojelEzjgDR4uPnlFLWdPVGIzvkxr5gaTySpT/DWN5ZDXnpdzAt3ctwz5r7+ulQuFsWu3XdP7t+tnJGN7+BOmyAD1X76qtQRZNfoV1tbGbI0yaKqakfdsZeAGYdc0cN/El9NpcuidbVXgSf2RZ6puwpCZeH2o0BJmVU5nwvyViMBjweAY3pff9U0tJ+W4HaV9uxj6rgLoTDmJW027MddWkHLYdvakFqy4+p5tCAZPTNuEOHTao+/dVpjYj4ahmb+blvYVKmXhjUihkw+64JOaYUmnHan4elaqaSMRMm/MiQqFEI5+jQygjmZYDp5H58SqU0TIByIBz2kz82QNfUyoIPY39n/rCuBLR6dl+18Oo2lpRO9rw5uSD1P/aQoVP/ZHk777q/FpX19eS8f5b2A8+hLb5g/via6qxU18VXaiuLPawlRJ+qDPgbGpsXziuZ25x/9c8tjW6aFa4MNs8OCxN2PTxuxCbvB4q7GGcHgPSYSczx5xKjkYD+OIeD1BRdhJLlqzGVt4RbPjJdO5k/u/v4LE//gFzmhdNpoc6f/y9OhZpdxc4aBruC07GrH8nYUHuJLWK6cbY8iVJ5lVoVPHBjlHtZoYpC7nbwnxlfh5U9AiyzKBaEoRq4FtiykAGjVqqzjw07trDTqGgZcEUWhZMQREKM+emZ0mu/xHty24U7ZsL5QRr8wD0TIrro562uuMzlA9End8Z92+7uT5MyBUhUOElQ9m1qL+1romCo9YkTK0XDGn5X/lN+MNtdCywVym9HJL7EGZd10iZUr2JdbWXY/cVx1+k2/2dTYbO98pQaaqx02Lzslnq/f0D8MEtl3GklMSErTuQIjKR2XMovfrmft0r0Xut2WXDs7WODKWeLONwVZgUxgoRfAljUsiaTMi65+SRe2L6cUtc8RqVx0P6++8MKvjquSD6x4Z8LFP0eLZ7yVZ2LRwfyHUBaps81DfAlvT8hLvdWlq9SA3E3SsnM4mczCS+31VHfQO0OoIc89ZbHFnfQlaPaVyAtIZa5qytZlN+LpvCqWRs38H8195E5fez+5B5bDzlRH7QtHFQZklcENa6/BqUCgcmPo25piwr8Pnji00Hg4VEImqUythiwxHZgCzHPsfSO84n55dVJJU1ogBkMyjOAI4iOkD2I4T/qSRQb8BjsfDjMUfw9YFnRQOzPorf7Tg4Rz31HOkfrkN6PQLT2g+Gozsg5SRQdFvGGAjm4XD1PuXYEqpnc1s9FXYb6gR5tfoqrWQ3h7/wMnq7A3dyMl9efjGt+Xmdr58ikwFLj9dPocaPQUo8H/tdxVl8XzE75tjhRX8nSRfb8Xp1K1nGT/hq19GJn18vr9/B6v6+XL917++ftYuvwXlVBknG/u9ITPReBZAaiP4YGqLnJIxtIvgSxqde0qLIAxhFSyQmCNvpoWgIPnTjdrolmGXrvjMwkbnFmUTe+5A5z/4Bk7337YbKSJjpWRYkcyqWv7/D4W8+j6E9/1jR6rUUf7GO5395I587fMzMi+6g7B6EtXADKn89GvVOlMoA4YiOQGAWTtdZcffy+g4jGCpEq+naCtg1KhT9d2oJ1VPnd7LLHKHhnkdY+MYHzAyuJ/eWLXBE+6klwGXgv8HIe3//PfWayYTVmpgdhn0x0N2OvclfvRkpEoGOXKPLgX8CdlDYIPArFfJlOmRZh73tqvZSQLE6nn+T18OWXfmdqTcGIrWqlNMev5uk1q6dqpk/7uLN39yHLi2TLLOBGVPz47bWBwMpELbRM3WE12um9Psj0PXYiZ9RGB/UAxgj9l7/Tfb2+h2s7oHR3t4/g0kvkGhX6txJYoe/0EUEX8K4ZJ8zF+PObSjDXVvSguYk6s4YiuzoXTo+hEfTNWd/9NoeAy8AX24BrikzyFEomP3Ve52BF4AqHKZwx0aOqW+gIXc2VS123BYPmdquHXCyrKe+6VH0um/Qqrfi8x+ML3AgiYtlS3i8R6BRl6Boz5OhUIBaVYPZ9AZO13nU+Z2Uu1IxB2aSYgHnOZdTkxfGNu/n6DbWweXALkAFmgkhCq+fQXb6wBZID3VOH5OuvV6ei+hOzQfpmhqtBvWyEIoDXLDAhTrlARyuc3G4LgBAr/uSJNNbJMstpPptfLL9cmZrMlGbg30LUMJh8p/7C5YN34M5BDdIqOc1o5vRCF91nWZpqueUz/9FyW3L93Axidqq88gvfgKNpq398mpcbYdRnHoI9BiI0yqmEp0HjqVW2gY07T5UhuM9ORruJYwtgyovJAhjVcWlv6bp6BPwp2UQ1unw5xVSfd5FOGfFT4vtb9S9BBayQkFEUuHOn8Dua28FSUJyu1C3xQdqKreLzI2rAciQeit7pMTrO4w25xX4AgeROPCK0mk3dAZeHRSKMHptV8kyPbE76ULBZGrLzyVyoQTfE80b1giq77xMvud3vd5rX3PMOhBZoYS/As8TsyYNQNEItO9JkiQHJsN7KBRudNpVpFj/hE67iSRdNfmWDZwz6z70uvhUCL2Z+Ie7yH7jZcymLZj/sR3zBVvRnVYP7wLPxp6raW3e6/Uaas5m2/o/0Vh3As2NR7Fr2+/ZufWehOdWl1+C2xVb/snvS6eq9Io+t18Q9ldi5EsYnySJkqX3oLK3omlsQDtzNq2+wdcb3OdkGX35blAo8eYX9qnQeNCcRM/VLhGlktazL6R27gKcBxyMrIrWvAsbDISNJmiOTaYa1mppLRqaAt0Acq+B2Z6fj+u/M5B3xJ+jrypHW1OFP3vk09pU/OLXaOtqSFq5HrWyKfEz6raUSqWqQ6MuIcn8JiopttSUWV/LjIl/Z0P9b9gblb2VpA3fR0d3Hwa6r+G3AGcCTwLt8a0vM6dPz8ftnMnOLXtPpBoOmdn6w1PkFz+OzlBJKJhEZekVeFxT+3QfQdifieBLGNdClmRClmQ0Oj2MseDLULKDiQ/dha62ChTgzc5n521348vf81b+2jPPR1dbhabbiJaneDINv1mKw9tjQbWkoumoReS8/jJSt+RX7olTqTvgkCF7Lh7PMeg0m1B2K3cUiWjweI/c4+OUwSCKcCTuuCISRhkKJnjEvier1Oy44wE0jfUU/flBUps+jz1BAk7s+jMcMRMOp6FUJF54ptc1owiHUXrcRPSGXgPutI/eRdPcGJ3fSFTbOhn4GbAGPPlFVF7yqwQnDU4wmMKuH+PTEY1murJd5L/4NHq3i9S0DCouu5pg8sA3NwhCIiL4EoSxKBJh4oN3YtrdVUHbvGMrk1csY+NfXtrjCFjzsScRSrKS/a9XUHo9eAsmUH75tZi1WugZfAFVS64imJyC7bOPUAaDuCdMouyqG8AxsMLOkrIJpdJFMJRHNPIAt/cE1OrdGPRfIylbCEdS8HoX4PKcssdrOQ6Yiy8nD0NlecxxX2Yu3rzCAbWvO3VzI7q6GjwFEwibzIO6ViAtg51Ll6Neei3GnduQ/H4iZgnF0WEUv+52XnAKoXA24XD8WiFZBv2XzZxw26Vog34CqTYqL76StkMOjzkv8+3XyH35GZSR9sDUFXcp5DB4ggU4TptH5ZKrBrV7eF9I/++bpH3yPspAAE9RMWVX3jDof5OejNu3MuWu36JrqIv+DZi3bWTzI88Qsozv4tLC0BLBlyCMQaYdW9FXlccd11VVoC/fjbew9zxKAPa5C7DPXdDn+9Wffh71p/fYjODoW73JDgqFB1vKPWjUJSgVPkKhdNocS/D6jwAUtDl+hd15EWpVLcFQbH6v3sgaDWVX3Ujhk4+gq65ERkHIYqFm8Xl9moKNFUataQWFAcJhJj1wB0kb1qJuayGQlkHT0SdScfk1CR+p0dZTMPFPaHV1hENGqsouxWk/KO68iN7A5kf+hnX1KuS1a6k99GDyLlxJqn8jBlWEcHA6rfZro89N1sQ9XqGA5LZtSLXRv7VNDRT/8T42PfY8gbT2dB+yTPr7b6N2d4u43ic67ditapHXW8SmuS8ROajHJLQsY/zfJyR/vhLXlBk0HXti5zT0SMl55Vly/vkCKm90NND842YMpbvY9KfnBpTnrzd5Lz7ZGXh1MJSXkvvKs/tF7Vhh9BDBVw/VfSxgvD9xuGWczsQJB8eLsdYHKY1OiMSPPMnhCI31duy6/r+O+9sHtW4Pfp1EgAbypSZgz5nSU61/wKBb3fm3RlNGsvVJ/I2zO9MryLKJQHBSwsd7acARNqDwS2jdYWj/jqwumM2PdzzBUfffRFJ1GdrWFgr/8jDmjz7g6xvv6VPgMGHiOxRP/jdaXRvhUBJpT5tI/XwbyvY+1tXVkPH2a+zOnUz9nNigVaOxc/Tx12GxdqVW0Bl+ZPWqpTTUz014v+rC2dSmTcSfKvHD9olkpWxnpjU227y6pRIS5OKUeswqaxvryXnt75RecwsAinAIVc/A+FYgDKGzjQRzUvB5cyjd/lsikdjASxEKMvX235C0eT2S30dEUpH59mtsfeAvI1dUOhLB9tmHnYFXB8Ou7aR89RktRy0aslv1thlFV504bYYgDJQIvrop83io1voG8It5bDOqVbh1Y2u901Aba31QPr2I2Vk5pPWYbmvJymLD5FxQ9P+59LcPmvVezDYPB2V6+lCmJoxGsyvuqFpVj8nwLg7XhXu813TjRDK19WyWKqmQbDQrDPjlrhGPQ957HWvZjmg+LUDjdZOxaQ3Z777A1+dfvMdrZ6dsYdqc5zBoOwKWFgwbFSgjsbsv1T4PmV/+m+8WxO6IPWrmSzGBF4De0ELB7FdY/b9Zvd63o//yLU1xgReAssIFifYLJMhPpXJ0LcyXVeroFGJjtxEcGcL/T8uO8L20LTgi/gLtMt9+Dcu61Z3TlcpwCPOOrRQ+9Si7br6z18fFkGV0NVVE1GoC6YNPKaEM+FG5438USMEgph1bhzT46m1q0SdKCwlDTARfgMJux3rTTSzeuoWAHKBpchFfLLuKoGl8lIAwGCMo3UOX0XssGot98NWdl3Pk/U9jLa9BViiwF2Tz5Z2/xDwhwQKfPuhrH+ib2zji/r+RXFGOUqOBoxfStvSyPtwhfmE8gKKPgWKKKoOZVrDpnTRZmnCHu74Qi3at7Qy8OihlmYKyDWwsiv3iNqkbOSDjP6iUXrY2nsCczHe6BV7tj1Ukrv2j1ocw97ieLT1x3UijyR53bneZUmVMUeueAm9MQJW3Frp/75cD/y/2vJDOQMMJp8YcqznzfAqf+iOa9nxuskKBc/rsuLVhPVnWrelaJ9aNvrx0j4/rYCjZTvEjy9HVViMrJbz5hey4/X6CtrQ+PT6RiFZH0JqKtjE2xUbIYKB13sJeHjUwFUuuQl+2K2bq0ZNfRNXP+/L6FoS+E8EXkHz55ehWrQKio/zWijrSfU52vbx0ZBu2j5jNWpy6wN5P3I8Ndx9oyutJfmcVgZxU2k5biKwZgrfeoglUHH0vzWt3IKskPHMmMlFSMpGBPY8+9UE4wpQl92LYXNZ5KLLzX/hdLVQvv2SPD7UG0rGoYtfTBEJWqhwLCIbr+9zOTK0ZvRSkc94R0OsSL/7X6cJMtXSdl2b8geLUt9Cpo9NLE5O/IxhO8CPrKJC/A0W3y4YMGlwXzIm5HoBCtiHL8QPmSlkXd24sNRaVmZZQ4ufuPWYxxVdsQ329B2xAIwTvUuGrS8Ig2ZHCYYLmJFoWHoX94Nip0KYTTsWXnUv26y8j+b04Zh5IzXkX73VUP2wwJjwe0Wj3+Ljog0NMWrEMY1nXCKdmUyuT713Klkef2fvje6NQUHPOhRQ++Qia1pZoeyQJx+yDcR5w8MCvm4B7ynS23fsn8l58Gr3HhcuWTsVl1xCyjO7NCMLYM+6DL1VJCZrNm+OPby5n64ZWnLl7XseyPzD4VHjc8Yt7x5Ph7IND/vgSRe9+jqHVQVhSkvTEe3zw0M048rOH5gYTD4j+347lKpEI8/76GnnfrEcZDNFWmMNXt12OL3nPa3b60geFn3zLrO1VMceUoRDqLzazpk5BRN37+qqN9ms5qfhBknWVqJU+HIEMNjacwpbGgWSij53O8pxxKseuLUPn6loX5Dfo+OHkk9hl7zg3wsysTzsDLwC15CUiQyiiRKXsNuJzN9i3ZKD+2o+huQ1npo3dixbw7bwTIDb1FiXO8zlDu400Y9fokNNv49OKJTR7BzHtljOVunkXMfP6D9A2tuLTGKmYvYDSP/2KWTvXYCgvpfnI4/AUJ8635po5hx0z5/TrltXnLyFpw/doW7oSrgaNJhp+csZeH2tZ9z26BHVC9ZXlaBrq9jgFady+lZx/voDkdeOcNoua8y8hou3aHdB03E/wFBSR89qLSF4PrfMWUn/q2cOyRMRbNJEddz445JUOBKG7cR98KZuaULjip2k0Li/yliDO4NBuZR6NIgYjbs/4LnYwXH2QWlHGlLc/x+CMTmtJ4QgpuypZeMfzvH7niiG/H8Cxzz7B7I/fQx0KAWArqcBY2swr9z26x9qVfekDw/pmVMFQ3HGV049/mwqfuff3ixMzL+58jMzk7Ri1rVQ2zSYQSjzS0l9b8o/FsLiZmZ9/jM7pwGcys+2IY1g/+SRoj4mSDPUYZsRn69eqvNS2TMRqrEOvdREI6qhrncy/Lr0b/clOLPW1NOUX4jMndV4rlpl/lD/EoVNfxWYpxeO3smrbhdjdgw+u18w5k+9nnY61vIXktHSy8mxkA815J7H3fPT95ymeQuk1t5D/r3+gbGogZDLTePypNC06ea+PVfp9MeW6OijCIZTB3nOupfzvU4oeW4G2PcO+9ftvsaz/ni0PPRWzk9EzcSo7b79vAM9KEEafcR98BQ84gFBBAerS2E9VT1oW+qzpFPr2/xEhs1qP0xf/hTqeDFcfHPDhx52BV3e2ujoKPWpQDm3ApwgFmbTuh87Aq0N6RSkLvl1H7cGH9frYvvSB+4Cj8f3nLXTO2OGfoNVGpsoGvj6MRNQeQBgYonG/To0n/ZzPj78FJJjXAAAgAElEQVQAjdNOwJSErFJR6Ov676qQjUjQAD3Wd4WCWrb/cBWBQBIZmWvxe+dQXjaFXBRgsoApNzrO5gON1k6qbTNuVxYOe/fSOVrKv7+aju0Pye3/Gyo5B+27WogtRy4icvo5tLW09Ov1aZ+7AG9OLoYeo1++rBx8PSoNWL/7isx3XkMZCKCvLO8MvCBa08D042Zsn33Yp6BPEMaicR98yXo9riuvxPzoo6jqo2svPMk2tp9yPhH1/h94CcPLZ0lJeDyiUg/LlIna60Htjc+MLgWDJFWX7TH46gtXTgHVc48g/5tPUfui93GnpLH1zCVD8nx0rc3ICgV+a+J+2xtZknp9bChkoKlhFgZDPUpl14J6u72Ixvpo7Ul76yTMZjMQv1B+xuxnKSj6CKOpgYDfREvLFFZ9uZxwqGexpqG3r1PgRNOO9H/jRujcK5j96lOY6qML7p1ZeaxecgP2+q7+LP74LYrfeB5t+w7GRFsbpGAQ9aqvqZ655w0Cw2mw6WfUbhcHP/sQlsrdgIKW4qn8cOkNhLtNp44FYy0Nz3AYjj4Y98EXgPeiiwgceyyG556jxe+n6sKf4c/MZLwUlLBarWja+rCgdj82XH3QNuESPN98gKGya0dcRKnEcfghpB448B1gvZJTCWZnoLe3xBwOWK14LjyNtBwT+spK/BkZhJJi14D1tQ/KH7sPx3eryXr7bYJmMxW/+AWBrMG9X3SVlUz/3e3oKypAocBTWMCWFSsIZA7tiE+p9wGk1gexGn5AoQji8RezvekOUud0jVMl6ock/QYm5b+DWhX9ANZoXWRmrWXBCU/xY03iwtJjkbJ952mSJWNA74fAnMX8cOFJpKxaRVino23+fFQqVddrQ5aZtPyDzsALElfvjEgSvmPmkzrH1v8nMUQG+5lw4JKbSV67tvPvpNoKjKoAmx7/81A0b58R3w/D0wcKWZYT76keJWpqaobt2jabjaamrqQ5QXnbsN1rNBMLS4e3DzRrNpN891OoahuRdRr882fR/MANoB6e3z769/5Hyh1/QVUXfW2HDTo8px9DqCgH02sfIDW0ELaa8R1+EC0P3tA5tTRirwNZJvOUq9Fu3BFz2HfwdOrfeWyfNydRP6RaV2Ayrow7NxCYQG3j0/uqacNGqWwjNfkB1KqOKcNi6hpvJBIZ2pI6Co+X7KMuRVWbIFlZN/7Zk6l7+0+gGbnM+oN5P6i37iLz7BtROmNTt4TSU6h77wnCmSMXVPaX+H7oXx8U5BzXp/PEyFc3611BHL7xF4Dp3Hp8CWr6jSfD2gdFwPNXoHZ6CevURNQqsG+NPScSIf+TTRSs3IA3xcyWS4/Fm24Z2P3mWzA9eyXTn/8UtcvH7tPmEtaoOPrGF1A7o89R6fKgfOMjdiVH2HRltKrzSL0OUrZVkb2jLO64Ykcp679fiaMwPeHjbHoDmVrzXpK7xmsJ1VPnd9KUYHoWEvfDAp2DSQn2BjhDPr5s2tj5d/ZX25j19EeYqpsJa9Rsu/BItv/8qH61byScUPw4Bt3ubkfq0Zhr+WjXtUN7I1nmFLOGlNrYwyGNCvuEDEJ6DW3Fmay77lSCjj1/FqsdXg548gMsu+oIJBnYdMXxtE0eupWEg3k/ZG/fSrYzPmee7HSxvmQNraqcwTZvnxHfD/3rg4tE8NV/bfVmGhqnj3Qz9jmjwYjbM7YSjA61vvaBzulgwZuvYamroamgiNVnnENQP8hkvLLM6Q/fw4S1a1AHo3m2slfu4L1rbqJqRuIEnH1Rcn5XJvPTH7oHrTP2w0MKhbF9UkHdcdHXfM8+UITDe9wdOWCRCBN+WIOtsoySgw/B7spCGUiwSy4g07Yjl4bQxISXqUhrIN9Sj03v7FMQ1j3o2rIrn9Reakcmei18VX8p2Udux6jr2mgQiSgoq5lLXUm0/1Irylhw5/9h7LYZYe7D/0b7g5ePfn3jHts2klLMlSRNbYg7nqRuJFBvosWZv9drpJXuYtpXn9GWlcOWI48jrEm8XtbY2oyyOYhM13SjDFRPnsH/3bmia91gQ/v/eqH2+Th/2U1klnblFEtdW8W71986qPdMTFsH8bnYklTAwenvYu1RJ9KemsF2+WgiJSNbK7M/xPdDP/vggL6dJoKvbgyVQQoZf3PbYrdj3/pA19zAkQ/8FktNdGpm8ppvmLb6O764/Y8EjXsvAt2btC3rmLD+h87AC8DSWM+iV17k8zuGZn2IMZh4dYE+DIW+6Gu+ow+y13zJ1P+8is7eSsBoovyw49l5yk+HpB1qt5PDH7wNa0UJqmCABe/8i9rZ83Fl5nT2awdPWhaGzKkU+roFgJEwed9+ju3HDXxfMIENP1mIKcNPvqWemVZ6DcC2ukto8nqosNtwNtnIbtKTZUz8Xk/4WvBNZfumJUyc/DZ6QyPBgJGmxpmUrr6WQjn6MTr/9ddjAi+IZtmf8eVnlJ99Ff5RmqgzxeRHo/LFHdeovBTJfiwuJdbyXfiTrHjSeqzBk2UOfvZhctZ8idbtJKJUcsi77/D1jffhSY/P33bEY3/E2twYcyyo07P50t9S6O/7QvQp/36DjNLYclXmlmaOfe1VvvzdvD5fZ08G9bkoaSk/9gx0772GzhGdrvIk29j9kwvID5sgcU7gUUl8PwxPH4jgq5uczBEqHDvCovPZ46ueZU996YNJzz0QFyAkV+xi/kevUvarmwZ876LXVqH2xQ9pmx0t5GSYh2QXoeuU0wlvXovk7/qSlQH/7AM6X/dWqxX/DyXMeOkxNO1JNo3N9Zib6jDmpNN40t4TbXanCAYpfOIhkrZsgEgEz4RJEA5j29U15ap1Ochb+z9qzvoZmi8/QVdTCSjw5uRRdf2tTG0pI+/Fp1HZ2wgbzajsrehqKpGCQQpVao799lM+fvQRnCY97CWzfyR8MLlIqDWgNgZ7fb/39lrwOZawZe0F6I1lBP02gsEUcpO8pH3yXyS3G4urJcHVQBUKMnPLKurOuqDPfbcvKRRz8XtzMZjKYo77fXnkfdHAgpcfRltfQ9hgxF08hR13PEC4/ceGeeMP5K/+HFX7qIAyEsFaVcbCVx9n2/2xPxwUwSDWhtgEvQAan5dZ67+gaslVfW5zdl1pwoX6Jq9zwJ/jSq8XTXMD/vRMZI120J+L7st/ydYTTyTrrVeRVWpqzv4ZoYwsxs6EY5T4fhiePhDBlyD0kba+NuFxffnuhMf7ylMwAVmhRCHH1tQLGwxDlo6i5YjjaFi3hpRVX6BtbiRoTsI5fRblV1wfc17OP1/oDLw6qDxu0j96t9/B16QVy0j9ciWK9j09xrJdhHXxaRkkvx99VQUbnvoHKau+ICJJtB56JLrqSqb97jp0jYnL76hCQdK3beKA55/jq1vO6lfbBkqWNXhc0Yzy5k3rmPjQ3ehqKlHIMiG9MWY6rfMxQMg8en/YybKaytJfUjDxz+j00dd4wJ9D7Yafkv+3p9A2Ref/pEAAzdpvKX54OTvueACA9A//0xl4daeriQ+yZKUCuZe8YZF+pl/wFE5E/vKTuL4OJQ1gnaQsU/Dko6R88wUqh4NgcjKNi07GffXN/b9WD768Akqvu23Q1xH2PyL4EsYuWca8eT22zz7Em1tAw8mLiST4ch8qIVPiqcXgIKeTGn9yBpnv/iumJl5Yq6P58GMHdd0YCgWl1y+l+sLLMG3ZgLegGG/hhLjTVJ7Ei9CV/r4Vv+68jr0V85YNnYHX3q4TNhiI6PQ0HXtS57G8l/7Wa+DVXer2H/vVtiEhyxQ98TD66q6RUJXXjaxQQI/n7MvJo/moRfu6hf3S3HAi9pZDSMt+BwXgd1+M4fG/dAZe3Rl3baejmGUwJXGCkYg2wZSupMI9cSr62uqYw97MbBpOPrNf7a0550JS//cJxtKSzmP+FBtV518Sc17S2m/Jf/FpNM1NhEwmGo87mdpzf47KYSd51eeEzFa09dVk/ucNpED0tal2Ocj5v5eomX0QbbMO6le7BKGvRPAljE2yzKT7f0/yt/9D5Yl+6WX8901+vPsR/Dl5w3LL6gt+gXHndrQtXdvkfemZVF70y0FdN6LVse2+xyh6/EF0NVVEdDqajziWmvOWDLbJcQK2dFqOOr7X/9528CFY13wdVybGm1+U8PysN/9B6mcfIfm8+DOz2X3NLQQyslC3tiAlGBFRyBEikhRzfX9KKtXnXRx3rsrVt8SiIV101ESjqsOW8hdUUjORiBG76zz8/rl9ukZ/aRrq0DTEj4QqZBlveiYaexuyUsKbV8Du65ci96Uw9QgLhazUVkRfc1arNWGpICAmuKw5+0Jsn3+ErltAFVGpaJ23MOFDS357J0q/F2PJDiS/D396JhW/uLrfI1YRg5Etf3iSgmf+jK6mkrDRRNUFl+Ka3rXYXltTyaSH7kbbLYDX1VRh3L6VpG0b0dXXElapQaXqDLw6qNwurG/9k6oxFHxJTgfFDy/HWFqCrAD3pKnsuuH3RHopli6MLBF8CWOS5ftvSF71Bar2tVIKWcZYvpsJjz8Yt9ZkqDhnzmHn0nvIffU5VE4HQWsyFZf8Gn/u3neD7U0gPZPtdz8yBK3sG8nlxLR1I4GMbLwFXYFV3ennkLz6K5I2rUfyeYlIKjzFkyj7VfxuvezX/k7uy8+gak/ZYCwtQVtTxabHX8SXk0/Alo6qIrZsly8tg9ZDDsey/ntUHjeB5FRqzrsIX0H8KJw3rxDrD6v3+Dx8SRa2nXseelUrxekPolN3fdGq1aU0t96Czz80C7C7i+gNyAkqYITVakqvuxXnzANRBvwEU/qfz8m8YS35f38SdUszYZOZupPPpPHkxUPR7H6pPeM8bJ+8h7Y5NieXp3Bi53R4yJrMzlvuouBvj6FprCei09M2byEVl12T8JoRg5Ef7/sz6uZGVC4X3tz8mPqN/RGyJrPr5jt6/e+5r74QE3hBdAo99atPkULRWpNSKAihxHUnFaGxtch86p03Ydn4Q+ffhqoKVE4n21Y8PoKtEnojgi9hTEr75P3OwKs7bV11grOHjuPAeWw9cOi/zPelnJefIeODd9DV1RA0mXFPmsaPdz8MVitIKrbd92esa1ZhXb0K9+RpNB17IrIqfmu87bMPOwOvDoaKUjL+8wa1511EzTkXkvf8Xzvr9gVNSTSecBqVl/4KRTCI5HZFRzx6WQdUueQqzJvXY9y1o3Ntj9+WTtBiReVy4jEksf2Ms6mdO4+DMu+JCbwAVFIrSabXhyX4CiVZcE+cEjct58srpG3eYSBJhOm9yHhvtNWVTF6xLHa0prqCiF5P8zEnDrrd/RHIyKLqwsvJ/tcr6GqqCBuNuCdMjgt4nLMOZPNjz6MI+KOvkz7UgwymphFMHYYKD92oHPaEx6UEwVbPtXphlRrnHkaIRxt92S6Mu3fEHTeWbEdbX4s/I37nqTCyRPAljEmBXj64I9rhr7M3lhl2biP7zX+gbv9iUrucWNetZsKf7qdpRfuIoUJB2/zDaJu/5zqQkjvRtKKMoX0DQsPJZ+KYdRDZb7yMIhigdvFP8UyO5sSS1WpC1j2vlQslWdj86DNkv/4SxtISPPlF1Jx3MWGTGWSZ6nonQUs0KDRpEmdMVyr7X5+wr3b87l4mPXgnxpLtKEIhfNm5lNy4bMAjOQC5rzwbN1qjdjoo+vMDqOxtNJx6VsJAeLjUn34ujcefimnbJoIpqXgLi3s9d7RNrbbNW0jyt1/GTZ8m2hQR0WiJaDSoXU4C1mTsBy+g7cyfgmPf1tQcKHVLc8L3o+RxoWprFcHXKDSo4Oubb77h9ddfp7q6mvvuu4/i4sRvzPXr1/P8888TiUQ47rjjWLx43w+hC/uXmnMvIvXLlTGLd8MaLS2HHjmCrRr9st5+rTPw6s5YsoM9F3yJF7Cloa+N3dUW1kbXq3Xw5RWw+4bbB9JUIDpNlTAFQY9doE2eIgot38dtDg2Hh69Ca8RgZPv/ewil3wfh8JCsrUn0bwOgcdgp+uvDpHzzBdvuf7xPo0tDJaLX4zho/j6731Cp/8nppHz9GUkb1yL5/cgKJZ6CIpR+f9zrtm3uoVRcchWmnT/imDkHf04e1n3Yx4PlmjYTX1YO+h67TP0Z2XiKEicpFkbWoF5deXl53HzzzUybNq3XcyKRCM8++yy/+93vePTRR/n666+pqorfhiwI/RGyJrPjd/dgn3Ugvows3IXF1J79M6ouHtzi9/1drxnrB/BJUHHZ1fi6/aIOq9XYD5xH2yGHD7B1A7eh4TQ8gdhNAcFgFq2Oy4f93hGtbsgWNdtnH4isSPyPoQyHSdq0npSvPxuSe+33JBXb7nuM7ctWUHfyYnZf81s2PvESO2+7G+ekaQSsyfjSMmhZcDg7b7sb74RJNJ542rBt2BlOEb2B2rMuINBtjaHflk71T5cg91JtQBhZgxr5ys3N3es5JSUlZGZmkpERzTy9cOFC1qxZ06fHCsKeuKfNZsujz0Aksk9HAsay2rMuJGXVl2jauhKCyoBz6qx+X8s580A2/+k5cl59HnVrCy0Lj6LpmBOHLDdZf4Qiekrqf0+B9TM06hLCYRt2588JR8ZOAWOAusXnk/Lt/zBt3ZRwbZIU8JP8zf9oOaJv9ePGPYWCtgVH0raga0TcNeMANj3xUucGgQHlBhuF6hafT+uCI8l865+gVEaDsbT+1T0V9p1hX/PV0tJCamrX0H9qaio7d+4c7tsK44kIvPrMWziByp9fTtY7r6GtryNkMuOaNovSa37LQL6CArZ0Sq+9dcjbORARWYfdeclIN2NQZI2GLX/4K2mffEDhEw+j7pFuQ1YocU+cMkKt248oFATSM/d+3hjjz8ymPMHOZGH02WvwtXz5ctra2uKOn3/++cybN/S7iFauXMnKlSsBWLFiBTbb8P1yValUMddvs8bXNxsPJEnCarWOdDNG1HjqA/8lV1J+wSVoS3cSsqUTsqVjYez1gcMtEzSrCZpAYXRgNmuxahO33yAbMCvMgIRaBnUk2OtzHUg/KHze6EJ41dD8ng2e93Oa5Ajpf30EyeXsPO6fOBn/zy7FqutfRvj+GmuvheEg+iBK9MPw9MFePymWLVs2qBukpKTQ3NxVrqS5uZmUlJRez1+0aBGLFnVlg25q6u8y4L6z2Wwx108UZI4H0bpV4/O5dxiXfZDZPvXf/rzHWh84nU6CSjVBBXhx49QFUHsTt9/j8eB0OcEloXaC2hnstVZbf/rBuG0TRU8+irahjrBWi+OAuey+7laQBh+EtZ14Oi4Z0j/8N5LPhzc7l7Krbybo84FveH8ojrXXwnAQfRAl+mF4+mDYpx2Li4upra2loaGBlJQUVq1axXXXXTfctxUEQRhSkssJsky4vU6j5HIyecUy9NWVnefoaqqQJWnI6vk1nnQ6jSedPiTXEgRh9BhU8LV69Wqee+45HA4HK1asoLCwkNtvv52Wlhaeeuopli5diiRJ/OIXv+Dee+8lEolwzDHHkJc39naTCIIwPqmbG5l0/zL0VeUAeHPyKLltObZP3o8JvACUkQiWdWs6ax8KgiAkMqjga/78+cyfH5//JSUlhaVLl3b+fdBBB3HQQWOnRpYgCEKHKXfdQtLWjZ1/a5samHLXLTinzkx4vjIYEMGXIAh7JLaJCYIg9EJfUYq+R33KjuOOWQcSNMfvEfVl5ogduIIg7JH4hBAEYczRNNQx4ZN3yNjwfXSUaZhIHg/KgD/uuNLvJ2hLo+H4UwhYorugIspoBvXdQ7TeSxCE/Zeo7SiMHpEI1u++wrJhLfY5c6OZ0sXUjdBD4RMPk/r5h2hbmglqdbQWF/Pfx2+C1KGvLeiaNAV/Vm5nvcoOvuxcXJOn45xxAPWnnU3ax//Fn5FN4/Enj7oah4IgjD4i+BJGBaXfx7Sl12HavhnJ7yfzP6/jnDaLbfc9Jr7MhE7mjetI/+DfqDzRgtlqv4/0rVs44r6/4Xzmmj5fp/Cz/zLl24+QvF786ZmU/vpm/NkJqm5IKsouv5aivz6Mrr1uni8rl4rLrkFWRwtc+/IKqfzF1YN/coIgjBsi+BJGhdwXnyJp41o6xrkkvx/L+u/JfflZKn/x6xFtW2/S33sL26cfoAwGcU+aSvnl1xLR6Ue6Wfu1jPfe7Ay8ukvZXYUzwfmJFL//Nge8+lc0HjcAxt070VVXsunPLxA2mePObzv0SDbMmUvq5x+hkGWajj5hyGo5CoIwPongSxgVTD9upecEowIwb9uY6PQRl/vi02S//iIqrxeApC0bMOzeyZaHnx7cVKksk7T+e7R11bTNP4xgatoQtXj/ENYbEh6PqHopGJ5A8cr/dgZeHQyVZWS9+Q+qLr4y8fX1Bhp/srjvDR0BKnsbRX9+AH1FGbJaTcuCw6n++RVi6l4QRiERfAmjQkSj6eX4KJxyDIewff5RZ+DVwbRjG5YfvsN+8IIBXVbV1sq031+PYXcJUsCP35ZOwwmniimtbmrOvYiUVV+gbW7sPBaWJKrnzujzNdQeT8LjKV99RtPRJ5D12GukOe00nHAa9nkLB93mfSIcZtrvrsW8fWvnIUPpTlQul6j1JwijkNjtKIwKdWecR7A9c3iHoDmJ2jPPH6EW9U7lcsbU2+sg+bwYu3359VfxI/dg/nELUvvuOm1TA5n/+ReGku0Dvub+xp+dS9mVN+CeMAmf2YIzI5vdJ5zIt9df1Pdr9HidddDW1zDjpitJ/vfrpH32EVPuvpWix1YMVdOHVfI3X2LYvTPmmBQIkPzdVyhCwRFqlSAIvREjX8Ko0LbgCCou/TUZ/30TtcNO0GKl7tSzsc89dKSbFidkthCyWNG2xNYdDZlMOA4ceLF5fWV8Pim1007mv/+P3TcOrsbq/qT52BNpPvp4WreW4M5OxZthQFbVAuE+Pb5q3kLSt22KO67yeFC4u6YjVV4Pqf/7lJrzLsafmT1UzR8WhrJdSMH4IEvyupE8HkJJ8fnIBEEYOWLkSxg16k8/l41P/oMf/v4WG//6Cg2nnj3STUpMqaTutHMIdvtCi0gS9lkH45o2a8CXlXspxhzpZZ3TuKZU4rFlEhpA39QsOAK/3hR3PFG2ME1rM9bvvhpAA/etlkOPjBs5Bgim2Aj1MtInCMLIESNfwuiiUIyJ1BL1p5+Lp7CYrH/9A2UwQNu8hdSdfu6grumccQCG8t0ouiUN9aVlUHNu36fUhL1z5BXSPGUmWRu+6+zrsKRC1mhQemPXg4V1erz5RSPRzH7xFk+mdcERpH65Eskfnbb2p9qouuBSseBeEEYhEXwJwgA5Zx+Ec/bQ1SwtveYWJI8b89aNSF4PAVs6lRdeTsCWPmT3EKK+uf4uFrz7d8xbN4JConX+oWgb6kn/6D8oQ6HO89zFk3HMmTuCLe27klvuovnwY7F9+gFho4nqny7Bn5M30s0SBCEBEXwJwighq9XsvP0+JJcTldOBPyNL1AgcJhG1hvJf3dTjYIRQkoWUDd8T8fvxFk5k93W3js6Ro3CItJXvYVm3BteUGdSfciayRkvrYUfTetjRI906QRD2QgRfgjDKhE3mhMk+hWGmVFJx+bU4rFba2tpGujW9Uvp9TL/1akzbNqMMh0j75APSP/w3Wx94glB7nUlBEEY38bNaEARhDMn+5wuYN69HGY5OjyrkCKaS7RT87bERblk8bW015k3r4tbSCcJ4J0a+BEEQxhDzj5vjqkEA6CviU5WMFKXPy+S7b8W0Yysqhx1/Zjb1p5xFzU+XjHTTBGFUEMGXIAi9Mm9eT+4rz6JyOQmkpFJ2+XX48wpGulnjWsgQnyYDei+9NBKKHn+QlNVfd/6tr6ki+/9epG3uoXiKJ49gywRhdBDTjoIgJGTevJ7Jy28jec0qzNs2kfr150y//To0TQ0j3bRxrepnv8Cfaos5FkyyUrv4pyPUonimHfGVHjT2NrLeenUEWiMIo48IvgRBSCj3lWdjaihCdAQj98WnR6hF+y+VvZX8v/2JSfcsxfbRuxDuPVu/t3gyu25chmPGAXhz8nFOnUnpr26g7dAj92GLB2oU7hwVhBEgph0FQUhIlaB+JSBGvoaYvnQnU+/8LfqaSiBa4Dvt0w/Ydu+fQJISPqbtkMNpO+TwfdnMfnFNnoFhd0lMqBWwWEdlrVZBGAli5EsQhIQCKbaEx70FE/ZxS/ZvhU/+sTPwApBCQZI2fI/tsw9HsFWDU3rtLbQecjgBawoRpRJvdi415y0R670EoZ0Y+RIEIaGyK67DULoTfU1V5zH3hElUXXjZCLZq/6NtrI87JgWDJH/3FU2LTh6BFg1eRKvjx3v/hLauBk1TA+7iyaJGqSB0I4IvQRAS8ufms/mRZ8h76Wk0jfV4CyZQdeFlIgHsEAsbjAmP+zKz93FLhp4/Mxv/fvA8BGGoieBLEMarSARNcyMhk7nXUYmgLY3dN9y+jxs2vjQcfwr6ylJUbnfnMW9OPrXn/HwEWyUIwnASwZcgjEPJX39G3ot/Q9PcQESnxzHzQJrueXikmzUu1Z9xHigUpH38LpLHQyA9k9KrbhgTpYKUPi8pX32GIhym+cjjxNSiIPSRCL4EYZzRNDUw4fE/dFtr1Iq2vg5VcjJtV94wom0br+pPP5f6088d6Wb0S9Labyl+7AF0NZUgy+S+8ixlV/5GFPYWhD4Qux0FYZzJev3luEXeCjmC4YfVI9QiYcwJhyl68lH01RUoZBkFoK+ppOCZP6MIBke6dYIw6ongSxDGGZXHlfC4IiS+NIW+Me7ega62Ku64rqYS89aNI9AiQRhbRPAlCONM7WnnEDQlxR33i/xdQh+FdXoiak3c8YhaQ9gg1n0Jwt4Mas3XN998w+uvv051dTX33XcfxcXFCc+7+uqr0el0KJVKJElixYoVg7mtIAiD4Jk8nYafnIHtk/fRtjQR1mjxFBZTe/t9I900YYzw5RXizStE3WOUy1tQhHvi1BFqlSCMHds4zHYAAAywSURBVIMKvvLy8rj55pt5+um913q78847SUqK/7UtCMK+V37lb6g96wKSV32BPyuHtrmHYk1Jgba2kW6aMEZsv+NBJq34PfrKcpBlfDl57Lz1blCI+o2CsDeDCr5yc3OHqh2CIOxjgbSMaJoDQRiAoC2NrQ89hcreiiIiE0xOGekmCcKYsc9STdx7770AHH/88SxatGhf3VYQBEEYRiFL8kg3QRDGnL0GX8uXL6ctwVTE+eefz7x58/p0k+XLl5OSkoLdbueee+4hOzub6dOnJzx35cqVrFy5EoAVK1ZgsyUu7jsUVCpVzPXbrL5hu9doJkkSVuvoT+g4nEQfjL0+cLhlgmY1QRMojA7MZi1WbeL2G2QDZoUZkFDLoI4Ee32uY60fhoPoA9EHHUQ/DE8f7DX4WrZs2aBvkpISHY62WCzMmzePkpKSXoOvRYsWxYyMNTU1Dfr+vbHZbDHXTxRkjgdWq3XcPvcOog/GXh84nU6CSjVBBXhx49QFUHsTt9/j8eB0OcEloXaC2hmkrS3x2qSx1g/DQfSB6IMOoh+Gpw+GPdWEz+fD6/V2/v8bN24kPz9/uG8rCIIgCIIwKg1qzdfq1at57rnncDgcrFixgsLCQm6//XZaWlp46qmnWLp0KXa7nYceegiAcDjM4Ycfzpw5c4ak8YIgCIIgCGPNoIKv+fPnM3/+/LjjKSkpLF26FICMjAz+8Ic/DOY2giAIgiAI+w1RWFsQBGGEKP0+st54BfPWjQQtVqp+fjn+7LyRbpYgCMNMBF+CIAgjQBEMMv3WqzFvXk/H0n/rujXs+N29OGcdOKJtEwRheInajoIgCCMg/cN/Y9q2ie57LrWN9eS98OSItUkQhH1DjHwJgjB8IhHyX/gr1tWrUAYDeHPy2H39UoKpaSPdshGXtOF7lOFw3HFNa/MItEYQhH1JBF+CIAybwicfJePfryOFggAYynejra9l019eRFapR7h1I8tTWIwM9Mw2FjKZR6I5giDsQ2LaURCE4REOYV2zqjPw6mAo203KFytHqFGjR+2ZF+ApLI45FkyyUne6qLcpCPs7MfIlCEK/6HftIPcfz6HyuHFOm0XNTy8motXFnacMBJC8nvjj4RCGilLG++RaxGBk64q/UPjUI+hqqwnrDdQu/imthx0z0k0TBGGYieBLEIQ+s373FcWPLEfbHC3LZV2zCsu61Wx56EmQYj9OIjo9gdQ0tE0NMceD5iSajlyEAEFbGjtvv3+kmyEIwj4mph0FQeiz3Fef6wy8ILpeybRtM7ZPPog/WaGg4pKr8KVndh4K6Qy0LDwab/HkfdBaQRCE0UmMfAmC0Gfq1pa4Y1IoiHXdappOODXuv9nnLWTzY8+T/dqLqBxtNJ5wKvaDDtkXTRUEQRi1RPAlCEKfhcwWoDLmWESpxDllRq+PCdjSKbv65mFumSAIwtghph0FQeizutPPIZhkiTnmmTCZhpMXj1CLBEEQxh4x8iUIQp81nnAaIVMSmW+/huTz4s0vpPyK65E12pFumiAIwpghgi9BEPqldeFRtC48aqSbIQiCMGaJaUdBEARBEIR9SARfgiAIgiAI+5AIvgRBEIT/387dhTT5/mEAv+YszZfMaWlqUlkGdhDkzLDMSouooIjoBXo7iIj1gkWhEmlUVlRmhEpGJrEIIkg6k4TSiN6cZqGVNjMQKU2nUzPJuft/5DC03J/fdj+5XZ+j3G7s2vXcy6/P8zQikojDFxEREZFEHL6IiIiIJOLwRURERCQRhy8iIiIiiTh8EREREUnE4YuIiIhIIg5fRERERBJx+CIiIiKSiMMXERERkUQcvoiIiIgk4vBFREREJBGHLyIiIiKJOHwRERERSaQSQgilQxARERG5C7c+85Wenq50hH8Ce2AHADsYwh7YAcAOhrAH53Tg1sMXERERkWwcvoiIiIgkUp86deqU0iGUNHv2bKUj/BPYAzsA2MEQ9sAOAHYwhD04vgPecE9EREQkES87EhEREUnkqXQAmfR6PaqqquDp6YmQkBDodDr4+vqOWFdTU4Pi4mJYrVYkJydj48aNCqR1jhcvXuD+/ftoaWnBuXPnEBUVNeq6AwcOwNvbGx4eHlCr1bhw4YLkpM5lbw+uvBd6e3uRm5uL79+/Y+rUqThy5Aj8/PxGrNu6dSsiIyMBAMHBwUhLS5Md1eHGOq4DAwPIy8vD58+f4e/vj9TUVEybNk2htM4zVg/l5eXQ6/XQaDQAgDVr1iA5OVmJqE5RUFCA6upqBAQEICcnZ8TzQggUFxfjzZs38PLygk6nc8lLcGP1UFdXh4sXL9reA/Hx8di8ebPsmE7V3t6O/Px8dHV1QaVSISUlBWvXrv1tjUP3g3AjNTU1wmKxCCGE0Ov1Qq/Xj1gzODgoDh48KL59+yYGBgbEsWPHRHNzs+yoTtPc3CxaWlpEVlaWMBqNf1yn0+mE2WyWmEwue3pw9b2g1+tFSUmJEEKIkpKSUd8PQgixY8cOmbGczp7jWlpaKgoLC4UQQjx79kxcuXJFiahOZU8PT548ETdv3lQoofPV1dWJxsZGcfTo0VGfr6qqEtnZ2cJqtYr6+nqRkZEhOaEcY/VQW1srzp8/LzmVXCaTSTQ2NgohhOjr6xOHDx8e8X5w5H5wq8uOCxYsgFqtBgBER0fDZDKNWGM0GhEaGoqQkBB4enoiISEBlZWVsqM6TUREBMLCwpSOoTh7enD1vVBZWYmkpCQAQFJSkku9tr+x57gaDAYsX74cALB48WLU1tZCuNjtsa6+v+0RExMz6tneIQaDAcuWLYNKpUJ0dDR+/PiBzs5OiQnlGKsHdxAYGGg7izVp0iSEh4ePmBEcuR/c6rLjcI8fP0ZCQsKIx00mE4KCgmxfBwUF4dOnTzKj/TOys7MBAKtWrUJKSorCaeRz9b1gNpsRGBgIAJgyZQrMZvOo6wYGBpCeng61Wo0NGzZg0aJFMmM6nD3HdfgatVoNHx8f9PT0YPLkyVKzOpO9+/vVq1f48OEDpk+fjt27dyM4OFhmTEWZTKbfXm9QUBBMJpPtfeNOGhoacPz4cQQGBmLnzp2YMWOG0pGcpq2tDU1NTZgzZ85vjztyP7jc8HXmzBl0dXWNeHzbtm2Ii4sDADx48ABqtRqJiYmy40lhTwf2fA+NRgOz2YyzZ88iLCwMMTExjo7qVI7oYbz7WwfDqVQqqFSqUb9HQUEBNBoNWltbcfr0aURGRiI0NNQpeenfEhsbiyVLlmDChAkoKytDfn4+srKylI5Fks2aNQsFBQXw9vZGdXU1Ll26hGvXrikdyyn6+/uRk5ODPXv2wMfHx2l/j8sNXydPnvzr8+Xl5aiqqkJmZuaoP2w0Gg06OjpsX3d0dNhuNh0vxurAHkOvOSAgAHFxcTAajeNu+PqvPbj6XggICEBnZycCAwPR2dn5x7M6Q685JCQEMTEx+PLly7gevuw5rkNrgoKCMDg4iL6+Pvj7+8uO6lT29DD8NScnJ+POnTvS8v0LNBoN2tvbbV+Px38DHGH4ELJw4UIUFRWhu7vbpc4EA4DFYkFOTg4SExMRHx8/4nlH7ge3uuerpqYGDx8+RFpaGry8vEZdExUVha9fv6KtrQ0WiwXPnz+HVquVnFRZ/f39+Pnzp+3P7969s/1vN3fi6ntBq9WioqICAFBRUTHq2cDe3l4MDAwAALq7u1FfX4+IiAipOR3NnuMaGxuL8vJyAMDLly8xf/78P54ZHK/s6WH4/SwGg2HcH/v/l1arxdOnTyGEQENDA3x8fNzykmNXV5ftnkej0Qir1epyv4wIIXD9+nWEh4dj/fr1o65x5H5wqw9ZPXToECwWi+3Gwrlz52Lfvn0wmUwoLCxERkYGAKC6uhq3b9+G1WrFihUrsGnTJiVjO9Tr169x69YtdHd3w9fXFzNnzsSJEyd+66C1tRWXL18GAAwODmLp0qUu1QFgXw+Aa++Fnp4e5Obmor29/bePmmhsbERZWRn279+P+vp63LhxAx4eHrBarVi3bh1WrlypdPT/bLTjeu/ePURFRUGr1eLXr1/Iy8tDU1MT/Pz8kJqaipCQEKVjO9xYPdy9excGgwFqtRp+fn7Yu3cvwsPDlY7tMFevXsX79+/R09ODgIAAbNmyBRaLBQCwevVqCCFQVFSEt2/fYuLEidDpdH/8WJrxbKweSktL8ejRI6jVakycOBG7du3CvHnzFE7tWB8/fkRmZiYiIyNtv2ht377ddqbL0fvBrYYvIiIiIqW51WVHIiIiIqVx+CIiIiKSiMMXERERkUQcvoiIiIgk4vBFREREJBGHLyIiIiKJOHwRERERScThi4iIiEii/wF2ZWXnxZiAggAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAl8AAAF2CAYAAABQ9P1+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xd0VNXaB+Dfmd6STJJJ743eQaKgFEHEgl0UUUFFRfQT8IoiIBZEEeFSxYYiAjZE4V4V5YL0GkiAkIQkkN7rpEwv5/sjZMgwE5KQaYH3Wcu1nD17zn6zSWbe2WcXhmVZFoQQQgghxCU47g6AEEIIIeRGQskXIYQQQogLUfJFCCGEEOJClHwRQgghhLgQJV+EEEIIIS5EyRchhBBCiAtR8kUIabfo6Gh88MEHLmvv5MmTCAkJgUqlarVOXl4eGIbBoUOHHNbut99+Cx6P1+76U6dOxdixYx3WfleSn58Pf39/lJaWujsUQroMSr4I8VBTp04FwzCW/3x8fHDLLbfgzz//dFtMSUlJmD17tsvamz17Nt544w1IpVKXtQkAjz32GIqLi9tdf9WqVdi6dasTI2pSVFQEhmGwb98+p7fVXlFRUXjsscfw9ttvuzsUQroMSr4I8WC33XYbSktLUVpaimPHjmHQoEF44IEHcPHiRbfEExAQ4LJEKCkpCUlJSZg6dapL2mtJLBYjKCio3fV9fHzg6+vrxIg6xmw2w2Qyuay9adOmYfPmzaiqqnJZm4R0ZZR8EeLBBAIBgoODERwcjJ49e2LJkiUwGAw4e/aspc6qVaswYMAAyGQyBAcH4/HHH7e5BbRnzx707dsXIpEI/fr1w/79+8EwDDZv3mypk5KSgptvvhlCoRAJCQnYunWrzW1Ge48XLlyImTNnws/PD0FBQZg9ezaMRqOljkajwQsvvGBJUGbMmIG33noL8fHxV/3Zt2zZghEjRtgkNT///DPi4+MhEokwbNgwq75oduHCBTz88MOQy+Xw9fXFuHHjkJqaalXn1KlTGD9+PLy9vSGTyTB06FAcP34cgO1tx/r6ejzzzDMIDg6GUChEREQEXnvtNcvzV952ZFkWy5YtQ2xsLAQCAeLi4rBy5Uqr9tvTd1eKiIgAAIwePRoMwyA6OhoA8O677yI+Ph4//fQTevToAYFAgKysLADAjz/+iAEDBkAkEiE6OhqvvfaazW3cNWvWoEePHhCJREhISMDixYut4tixYwcGDhwIiUQCuVyOoUOHIiUlxfL8oEGDEBQUhF9++aXV2Akhl1HyRUgXodfr8dVXX0EoFGLQoEFWzy1btgypqan47bffUFBQgMcff9zyXHFxMSZMmIDExEQkJydjxYoVNrcO1Wo17r77bgQEBCApKQmbNm3CihUrUFFR0WZca9asQUhICI4fP441a9Zg7dq12Lhxo+X5N998Ezt27MCmTZtw7Ngx+Pj4YN26dW1ed//+/Rg6dKhVWUpKCiZNmoRHH30UZ86cweuvv46ZM2da1SkvL8ett96KwMBAHDx4EMeOHUP37t0xatQoVFZWAgDS0tIsid0///yDlJQUzJ49G2az2W4sCxYsQHJyMnbs2IHs7Gz89NNP6NmzZ6uxr1u3Dm+//Tbmzp2LtLQ0zJkzB3PnzsXXX3/dob67UnJyMgBg27ZtKC0tRVJSkuW5kpISrFu3Dhs3bkR6ejrCw8Px7bff4qWXXsK//vUvpKen47vvvsPu3bsxffp0y+veffddLFu2DB999BEyMjKwatUqfPHFF3jvvfcAAGVlZXj00UcxadIkpKWl4ejRo5g1a5bNnLjExETs3bu31dgJIS2whBCPNGXKFJbL5bJSqZSVSqUswzCsVCplt23bdtXXJScnswDYoqIilmVZdt68eWxUVBRrNBotdXbu3MkCYDdt2sSyLMt++eWXrFQqZZVKpaVORkYGC4BdtGiRpSwqKsrm8YQJE6zaHz9+PPv444+zLMuyjY2NrEAgYNevX29VJzExkY2Li7vqz+Hj48OuW7fOqmzy5MnssGHDrMrWrFnDAmAPHjzIsizLvvPOO2xiYqJVHbPZzMbGxrIrVqxgWZZln3zySbZfv36syWSy2/aGDRtYLpdreXzfffexU6ZMaTXWKVOmsGPGjLE8Dg8PZ+fMmWNVZ9asWWxMTIzlcVt9Z09hYSELgN27d69V+TvvvMMyDMPm5+dblUdFRbGfffaZVdn+/ftZAGxNTQ2rUqlYsVjM7ty506rOxo0bWR8fH5ZlL/8+5ebmthoXy7Ls7Nmz2SFDhly1DiGkCY18EeLBEhMTcfr0aZw+fRonT57Eyy+/jKeffhonT5601Nm3bx/uvPNOREREwMvLC7feeiuAplVoAJCeno6bbroJXC7X8ppbbrnFqp309HT07NkTPj4+lrIePXpALpe3GeOAAQOsHoeGhqK8vBxA0+0/vV6Pm2++2arOle3bo9FoIBKJbOIcNmyYVVnzz9ssKSkJp06dgkwms/zn5eWFvLw8ZGdnA2i65ThmzBhwOO17C5wxYwZ++eUX9OnTBzNnzsTOnTtbHSWrr69HUVERRowYYVU+cuRI5OXlQa1WW8qu1ncdFRQUhMjISMvjyspK5Ofn47XXXrPqi7vuugtA079NWloaNBoNHn74Yas6L774Iurq6lBZWYl+/frhzjvvRJ8+ffDggw9i1apVKCwstGlfJBJBo9FcU+yE3Gjav5aaEOJyYrHYam7UoEGDsGPHDqxcuRKbN29GQUEB7r77bjz11FNYuHAhFAoFioqKMHbsWOj1esvrGIZps6321LFHIBDYXOfKxORarh0QEICampoOv85sNmPMmDFYu3atzXMtk8uOuPPOO1FQUIC///4b+/btw5NPPom+fftiz549VkltR7Wn79rryoUQzddZtWoVRo8ebVM/PDwcZ86cAQBs3boV3bp1s6nj5+cHLpeLnTt3IikpCbt378a2bdswd+5cbN26Fffee6+lbk1NDQICAq4pdkJuNDTyRUgXw+VyLSMMSUlJ0Gg0WLlyJYYPH47u3bvbjJz06tULSUlJVqvfjh07ZlMnIyMDdXV1lrLMzEwolcpOxRofHw+BQICjR49alV/Zvj2DBg1CWlqaTZxHjhyxKjt8+LDV4yFDhiAtLQ3h4eGIj4+3+q85ORg8eDD27NnToUTHz88PkyZNwhdffIE//vgD+/fvR3p6uk09b29vhIeH48CBA1bl+/fvR0xMDCQSSbvbvFJzstaelYxBQUGIiIhAZmamTT80L1jo3bs3RCIRcnJy7NZpTiwZhsHQoUMxb948HDhwACNHjsSGDRus2ktNTcWQIUOu+Wcj5EZCyRchHkyv16OsrAxlZWXIzs7GokWLkJ6ejgcffBAAkJCQAIZhsHz5cuTm5mL79u14//33ra4xY8YMlJeX46WXXkJGRgb27t2L+fPnA7g8IjV58mTIZDI8/fTTOHv2LI4fP47nnnsOYrH4mkfEgKbRmBdffBELFizA77//jqysLMyfPx8ZGRltXvfuu++2SWBmz56No0ePYv78+cjKysJvv/2G5cuXW9V55ZVXYDKZcP/99+PgwYPIy8vDoUOHMH/+fEvi9sYbbyA7OxuTJ0/GyZMncfHiRWzdutUmSWw2f/58/Prrr8jMzER2dja2bNkCmUxmdZuvpbfeegtr1qzBV199hezsbHzxxRf47LPPMG/evPZ2nV0KhQIymQy7du1CWVkZamtrr1p/8eLFWL16NRYvXoxz584hMzMT27dvx4svvggAkMlkmDdvHubNm4dPP/0UmZmZSEtLw48//og333wTAHDkyBEsWrQIx48fR0FBAfbs2YOzZ8+iV69elnYaGhpw6tQp3HPPPZ36+Qi5Ybh70hkhxL4pU6awACz/yWQytn///uxXX31lVW/t2rVseHg4KxKJ2OHDh1sm07eclP2///2P7d27NysQCNi+ffuyf/75JwuA/eWXXyx1kpOT2cTERFYgELDx8fHs1q1b2YCAAHbZsmWWOvYm3Ld8zLIs+9xzz7EjR460PFar1ezzzz/Penl5sT4+PuxLL73Ezpw5k+3Tp89Vf/76+nrWy8uLPXz4sFX5Dz/8wMbGxrICgYAdOnQou337dqsJ9yzLsnl5eewTTzzBKhQKViAQsJGRkezkyZPZnJwcS53jx4+zY8aMYSUSCSuTydjExET2+PHjLMvaTrh///332d69e7NSqZT19vZmR4wYYdXelRPuzWYzu3TpUjY6Oprl8XhsTEyMZbJ/R/rOno0bN7LR0dEsl8tlo6KiWJZtmnDf2gKG3377jb355ptZsVjMenl5sf3792ffe+89qzpfffUV279/f1YoFLJyuZwdOnSoZbHDuXPn2LvuuosNCgqy9OXrr7/O6nQ6y+u/+eYbtnv37leNmxByGcOyLOvO5I8Q4nrNt47Onj2Lvn372q2Tn5+P6Oho/Oc//8GECRMc2v7tt98OX19fbNu27ar1Fi1ahFOnTmH79u0ObZ84jtlsRv/+/bFgwQI89thj7g6HkC6BJtwTcgP47LPP0L9/f4SGhiI9PR2zZ89GYmKiVeK1efNmhIWFISYmBvn5+XjjjTcQFRWFcePGdart1NRUJCcn45ZbboFer8emTZuwd+9e7Ny5s83XzpkzB5988glUKpXLjxgi7VNcXIypU6dS4kVIB9DIFyE3gLlz5+L7779HeXk5goODcccdd+Djjz+Gv7+/pc6qVauwevVqFBcXw8/PD8OHD8fy5ctbndfUXufOncO0adOQkZEBs9mMHj16YP78+XjggQc6+2MRQkiXRMkXIYQQQogL0WpHQgghhBAXouSLEEIIIcSFKPkihBBCCHEhj1/tWFJS4rRrKxQKVFVVOe36XQX1A/UBQH3QjPqB+gCgPmhG/dCxPggNDW1XPRr5IoQQQghxIUq+CCGEEEJciJIvQgghhBAXouSLEEIIIcSFKPkihBBCCHEhSr4IIYQQQlyIki9CCCGEEBei5IsQQgghxIUo+SKEEEIIcSFKvgghhBBCXIiSL0IIIYQQF6LkixBCCCHEhSj5IoR4LO6FC+CfPAnodO4OhRBCHIbn7gAIIeRKnJoa+E6bBv7582DUahijotA4cyY0Dz3k7tAIIaTTaOSLEOJx5LNmQXj8ODh1dWAMBvAvXIDXxx+DU13t7tAIIaTTKPkihHgWvR68rCybYl5RESTff++GgAghxLHotmMLeRll7g7BLZRyLZRKpbvDcCvqA8/pA8ZggJ/BZPfNqba8AcVO/jv1lH5wJ+oD6oNm1A8d64PQ0NB21aORL0KIR2H5fKijYm3KtYHBKL/nQTdERAghjkXJFyHE41x4413U9R0Ig8wLZi4X6ohoFDz7CoxyX3eHRgghndbp245VVVX49NNPoVQqwTAMxo4di7vvvtuqDsuy2LBhA1JSUiAUCjFjxgzExtp+syWEEAAw+vgibcV6iAtywWuoR2NCT7ACgbvDIoQQh+h08sXlcvHUU08hNjYWGo0Gc+fORb9+/RAeHm6pk5KSgrKyMqxevRrZ2dlYv349Pvzww842TQi5zmkiY9wdAiGEOFynbzv6+vpaRrHEYjHCwsJQU1NjVefkyZMYMWIEGIZBt27doFKpUFtb29mmCSGEEEK6HIeudqyoqEBubi7i4+OtymtqaqBQKCyP/f39UVNTA19f2/kbu3fvxu7duwEAS5YssXqdo/F4PKvrK+Vap7XlybhcLuRyubvDcCvqA+qDZtQP1AcA9UEz6gfn9IHDki+tVovly5dj6tSpkEgk13ydsWPHYuzYsZbHVVVVjgjPLoVCYXX9G3U5rVwuv2F/9mbUB9QHzagfqA8A6oNm1A/O6QOHrHY0Go1Yvnw5brvtNiQmJto87+fnZ5XkVFdXw8/PzxFNE0IIIYR0KZ1OvliWxeeff46wsDDce++9dusMGTIEBw4cAMuyyMrKgkQisXvLkRBCCCHketfp246ZmZk4cOAAIiMjMWfOHADApEmTLCNd48aNw8CBA5GcnIxXX30VAoEAM2bM6GyzhBBCCCFdUqeTrx49euDnn3++ah2GYTBt2rTONkUIIYQQ0uXRDveEEEIIIS5EyRchhBBCiAtR8kUIIYQQ4kKUfBFCCCGEuBAlX4QQQjwGr04Jv/27Ic1IBVjW3eEQ4hQOPV6IEEIIuVZhm9cj6M/fIKoog1EigTomAecXrYDR28fdoRHiUDTyRQghxO3EuRcQ8tsPEFWUAQB4ajW8084gdsViN0dGiONR8kUIIcTtgnf8DEGd7fl5krwLboiGEOei5IsQQojbsXy+/Sc4XNcGQogLUPJFCCHE7UoeeRI6RaBVGQugoWdf9wREiBNR8kUIIcTt9EEhyJ/2ClRRsTBKpNApAlF96+3IefVNd4dGiMPRakdCCCEeoWrsPagafSfERQUw+PjCKPd1d0iEOAUlX4QQQjwHlwdNVKy7oyDEqei2IyGEEEKIC1HyRQghhBDiQpR8EUIIIYS4ECVfhBBCCCEuRMkXIYQQQogLUfJFCCGEEOJClHwRQgghhLgQJV+EEEIIIS5EyRchhBBCiAtR8kUIIYQQ4kKUfBFCCCGEuBAlX4QQQgghLkTJFyGEEEKIC1HyRQghhBDiQpR8EUK6PpaFqKgAwpIid0dCCCFt4rk7AEII6QxxbjbiP3kPotJigGGgDYtE1rzF0IWEuTs0QgixyyHJ17p165CcnAwfHx8sX77c5vm0tDQsXboUgYGBAIDExEQ88sgjjmiaEHIjM5uR8NHbkOVkW4r49ano9uE8pK7Z6MbACCGkdQ5JvkaNGoXx48fj008/bbVOz549MXfuXEc0R0jXYjLBf///4HviMFTx3VF+78Mwi8Tujuq6IEs/C3Fhvk25qKgAosI8aCOiXR8UIYS0wSHJV69evVBRUeGISxFyXWEMBvR86xV4pZ0B12AAu2cnAv/+HelLP4XB19/d4XV5HKMRjNlkU86YTOAYjW6IiBBC2uayOV9ZWVmYM2cOfH198dRTTyEiIsJuvd27d2P37t0AgCVLlkChUDgtJh6PZ3V9pVzrtLY8GZfLhVwud3cYbuWsPvDb8jV8ziaDMZsBAAzLQpqbjW7r16B48UqHt9cZXfL34NaR0EfGQJR7warYEB4FwYDBEDBMhy/ZJfvBwagPqA+aUT84pw9cknzFxMRg3bp1EIlESE5OxieffILVq1fbrTt27FiMHTvW8riqqsppcSkUCqvrK5VKp7XlyeRy+Q37szdzVh8EHz1kSbxa4uRe9Lg+76q/Bxdeeg2xaz6BqDgfLIcLbXgkLsycC1Vd3TVdr6v2gyNRH1AfNKN+cE4fuCT5kkgklv8fNGgQvv76a9TX18Pb29sVzRPiNoZWfsdNYprz5Sj1gxJx5ssf4J2SBPC4qOs/GODSQm5CiOdyyT5fSqUSLMsCAC5cuACz2QwvLy9XNE2IWxVPehY6RaBVmUHmhfJ7HnJTRNcnls9H3dBhqBuUSIkXIcTjOeRdauXKlUhPT0dDQwOmT5+OiRMnwnhpsuu4ceNw7Ngx7Nq1C1wuFwKBALNmzQJzDXMxCOlqtBFRyH7jXUR89yUEtdUwyrxQcdcDqL59vLtDI4QQ4iYOSb5mzZp11efHjx+P8ePpw4bcmOoHJSJtUKK7wyCEEOIh6Hgh0mXx6usgzs8BYzC4OxRCCCGk3WhyBOl6TEbEL30XPmdTwFU1QK8IROn9E1F+/0R3R0aI03A0GkR+sxbSrPNgBXxUjJuAqjvucXdYhJBrQMkX6XKivloNxd6/wbm0hQOvIBcRm75EfZ8B0MR1c3N0bWBZwGyiSeGkY8xm9Jz3f/BJTbEUyTLTIaiqQMmkZ9wYGCHkWtBtR9Ll+Jw5ZUm8mgmUtQjb9r2bImqf0J82ov8LkzDoqfvR95Wn4Xt4n7tDIl2E/MQRyLLSrcp4ahUC9uwE7OwjRwjxbPT1m3Q9rXzYMAa9iwNpv8A/fkX45vXgadQAAFFFGWJXf4T0sEhoomPdHB3xdF4ZqeDqdDblvMZ6cDVqmKSyq1/AZELQf3+Bb9JhmAUilDzyJBp793NStISQttDIF+lyVHHdbcqMUhnKPHjvrIDdf1oSr2bC6iqE/bjBTRGRrkQ5aCiMLTarbmbw8YVJIm3z9d3fewMxny2H3/HDUBzcgx4LZyPwz9+anjSb4b/3b3Rb9CZi1nwMQWW5o8MnhFyBRr5Il5P7f29AWFEGWXYGeKpG6BSBqBp9JxoGDHF3aK3i2Bm1AACuqtH5jbMsJBezwFWr0NijD1iBwPltEodq6DcI9X0HQZ50xHLL3eAtR9l9jwJt7JkoSzsLnzMnwTFdPoBcUKdE8I6fUXHnfej+3hzIk46Ae2nVsO+xgyh9fzmUdr7kEEIcg5Iv0uWYxRKkL/sckuzzEBflo77/YBj8nHcAuyNoIqLgdcWcHTOXC+VNw5zarqCyHN3fmwNxfg44Oh20oREoeGYGakaObfvFBADgv/dvBO/YCp6qEXo/BfKf/z+o412cmDAMzr+/HCHbfoA85filW4eT0dB3YJsvlZ86Bp6dJJ+vrIXvkf3wSTlhSbwAQFReiqB1y1G6/EuH/giEkMso+SIeyfv0SYT+/B24ajW04RHIf/5VGH18reqoE3pAndDD5bEJKssRs3YpRKXFMAlFqB49DqUPPXHV1+TNeB2SwjxILmaDYzLCKBajvt9glN/zoFNjjV+yEF7n0yyPJUX5iFq/BnWDE2GS0RFfbfE7sAexa5aCX990qK40NxvikgKcW7Ee+iuOjXI6Lg+lE59C6cSnOvSyxh69YRIKbeaMmWRekCcdAU+jsXkNr7K8aWUunURCiFNQ8kU8ju/hfYhbuRiC2hoAgM+5FMiyMpC66huYxbbzXlyJo9Oi51uvQpp3wVImybsIjlaL4ieebfV1Rh85UldvgOKfvyHNykDtLbc1nUPoxA83bmMDxMUFNuWi0iIo9v6N8gmPOK3t60XIjp8siVczUWkxwrZ8jdyZb7kpqo5R3jQMjd16WW1TYRRLUDVqHIwyL7AMBwxrvYjFLJVR4kWIE9GEe+JxQrdusiRezSQ52Qj+7Uc3RXRZwM4dkOTnWJXxNGr47/9fm69leXxUjrsXea/MQd3gm1304Wa/DZbLdUHbXR9XrbZbLqiudHEkncAwyPhwNUonPIr6Xv1Q138w8l56DUVPPY+Kux+AOirGqrpJJEbd2LvdFCwhNwYa+SIeh99Qb1PGAJDmZLs+mCtIc7NtRgkANM2p8bDbNCaZFzRR0RBWWa9e04aGo2r0nW6KqmvRBQRBlp1hVcYyDBp693dTRNfGLJYgd+Zc23KhCBkfrkbM2k8gKi2CWShC1ag7oHnuZUCptHMlQogjUPJFPI5B7gvkW5exDAeNPfq4J6AWqm+9HQF7/gJXaz1PRucf4FGJV7PsuYvQ/b03mibc6/XQBoch/4VX3X77tiVhSREiv/kUgupKGOR+KHhmOrSRnrH3Wd702RAX5EJS1PQLaeZw0NizL0offNzNkTmOPjAYme8vtyqTuykWQm4UlHwRj1P45PMQFRVA2OLWTmO3Hii7z/1zlOqG3ALlwKGQn7y8NF8bGIzCZ2a4OTL7DL7+OLfya4iKCsDVqKCKTfCoo40E5aXoNfdliEuKLGWyrAykf7TKIxIwXWg4zq36BqE/fwdRaTHq+wxA+b0P03YdhJBO8Zx3YUIuqR94E9I/Wo2ILV+D29gAdWw3FD71PFiB0P4LWBYB//sd/vt3AwyDsrsfhHLYSOcExzDIfG8Z/Pftgv+hvTDIfVE86RnoA4Kc054d0uwMhH3/DXiqRqij41D49IttrlzUhke6KLqOifz2c6vECwBE5SWI3PA5st5Z6qaorBl95Ch4/lV3h3FjMJkQ9uO3kKecAMtwUDV6HCruesAjR5UJ6QxKvohH0sR2Q9bbH7erbtyKD6DYvRNcfdNSeu+zySh98HHnjUZxOKi+fTyqbx/vnOtfhfepY0hY+q5lVFCefALe507j3Ir1MAtFLo+nswTVFXbL+coau+Xk+tZt8Tz4Hd5r2RDWK/0sxAV5yJ8+282REeJYtNqRdGmCqgrIjx+yJF5A04HDir27wNHYX6nWlUVs+cbqdiwASLPPI2jHVjdF1Dm6wGC75XoXbprLq1Mi4K//QH78ENBiF3jiWqKiApud+Lk6LfwO77su/5bJjY1GvkiXJs1Mg7C6yqacX1MFUUkR1HHdOnV9xmiA4n9/Qp58HOqoWJQ+NAnmdpyl5yz8ulqbMoZlIctMs1Pb8xU8MwNe505DUnR5PzJNSDgKnn3ZJe2H/LIFIb/+AFFFKUx8PrSR0ShZ/iUg83ZJ++QyWVY6+HW2Kyz5dbUQVpRBE+X+OYCEOAolX6RLU8fEQ+8jh+CKN22jj7zVUZX2YvR69Jr7MrzSzoBjMoEFoNj7NzI+WgN9J699rQzePjZlLMNAdem4G45WA++UEzCLJajvOwjw8P28DP4BSFv2JSK/XQdhRRn0fgoUPDMD+qAQp7fNr6pE6C+bIKxqGknkGgyQXsxG6AdvoXLJp05vn1hr6NEberkvBErrLxgGuR90gc7/fSDElSj5Il2aLjQCDX0HwvfIfsuBwyY+H7U3DYPJq3OjF8Hbf4R36mnLvl4MAGl+DqI//zeyFrpnMnjxxKchLiqAoLbaUqaKTUDZA4/Df9/fiNzwGUQlRTDz+NBGRCFzwYcesWrwagyKAFx8/R2Xtxv41w5L4tUSv6gAHK0GZpHY5THdyHShEagblAj//bvBMRkBAEaxGNUjxsIs9vB/C7MZopIiGL28bI5BI8QeSr5Il5e14COEf/clvM8mAxwOahNvQ0kHz7+zxzs1xe6GqsKykk5f+1opbxmBzIUfI+ynjeCqVdCER6HguVcA1ozIr9dBXNq0cpBr0EOak434ZYtwbvUGt8XryUxSGVjYOQOAywXrQdtxdBZHp4X3mVMwSr3Q2KuvR68czJ67CA09esPv+CGwHC4q7rgX1bd79obAvkf2I/LbzyCoKINZJEJjt17InreYkndyVdfPOwy5YbE8PgqdMEfIKLf/DdbdG5Q29B2I830HWpUp/vcHRKVFNnVFpUXgV1VrxjQ2AAAgAElEQVTCoAhwVXhdRsWdExCy/UeIiwutyjU9+4Dl853TKMvCKzUF/gf2QB0Th8o77nXqnmGKPTsR8d2XTaOhfD40UbE4/85S6INDndZmp3A4KHvoCZS1cVC9p+ApaxHz6ScQlZc2FTQ2QFi1H+Z/f4DseYvdGxzxaLTakZBWFE2eBu0Vc7sMXt4ou/dhN0XUOrNAAJZj++fMcrhgefQdyx6zRIqLsxegMb4HDD5y6AKCUD1sJEoWtm+Lk443aEa3RXPRc8FMhG7/EbGrPkK/V54Gv9L+dhudxW1sQOSGdRAXF4BhzeDqdZBlZyDhk3ed0t6NKPg/Wy8nXi1IM9ObjhsjpBX0rkxIK3TBocia/yEiN6yDoKYaRqkM5RMeRrUHnotYe8tIaMMiISnMsyrXREa3OoLXXry6WsT9ezHEBTlgOVw09u6HnFfevC52ea8fMARnP9sMYUUZTGIJjN4+kEukgN7x5xr6HfwHvscOWrZF4ZjNkOZkI3btx8h8b3kbr+44xT87IbJzi1xUXABuQ32n50QS2Bwz1oxjMnrcWa/Es1DyRchVNPbuj/RlX7g7jDaxAgEuvL4QsWs+hrCsBCyPD3VUDLLnfdjJC7PosWA2vDNSLUWSglxwVCpkv72kk1G7GguhqBRmsxAGvf/lYoaBzsmrK2XpZxG79mOr/eiaiUpsbxc7gpkvAMswYK4cgWE4gJ1R0pa4yhr4Hj4AbVgENNFxTonvelA24REE/O8PqwUwAKCOjG6zj8mNjZIvQq4Tjb374+xnWyAqLoBZILRsh8Ho9Yj6eg1kGefAcrmoGT4KpQ9Pbte3cq/UFEhzs63KGJaFd3oqePV1MNrZ+sITSb3SENvjI4iEpTCzPKhVccg+9xGMRufHL8rPQfdFcyGotb9rv1nYyrFZnVQ96k6E//itzZw2dVQsTFJZq6+LXL8WgXv/gqC8FAaZF1Tde+H8u8s9f8WhG+hCwlDyyGTL7UeTUAR1VKxbVu+SroWSL0KuJwwDbXiUVVGPha9BfvKoZVWfLDMNwvJS5L08p83LCSvKwNVqbco5GlWXSb4YRo+EXu9AIsu1lAlFVUjoPR8ZZ9Y6vf2ITV9BWFlu9zmjUITqW293SrtmsRgXX52LmM9XQFheCrNAAHVUHLLfWtTqa7zOpiD4963gNTYCAPiNDZCfOo6YTz/BxdcXOiXOZtzGBoT+shmiogLU9xuEirsecN7CBwcqeWwKKu56APKTR6FTBKCh7yC63UjaRMkXIdcxSVYGvM6nWm2nwNXr4Xv8MAqefaXN0Yy6wTdDFxAI4RWTwvUBQdCGhDkhYsfz8/8Hotp8gAugxY8rkV0Ej1fn9NEvXkOd3XKTUISSiU+h5LEp7b8YyyJg1+9Q7NsFAKgaPQ6Vd9zb6od9/eCbceaLHyDJz4FJIm3z9mrw779YEq+WpNkZ7Y/xGgjKStBr3quQFDQlyP4H90Cx9y+kf7wOrMA5I4OOZPT2QZUbznolXRfdlCbkOia9mGn3w5TXUAd+re2xTFcy+PqhfPz9MHjLLWU6RSCKnnjW43fPBwDFrt8RPXEVOEPMQF8AMwBc2rqNYUxgOHqnx6BpZZPb6ttuR9GU6R0aJYlZuxSxq5fAN+kIfJOOIHbVR4he28aGvxwO1DHx7ZrX1ur+ZoxzPyqiP19hSbwAgGMywfvcGYT89mOnr82vrUb4xs8R8c2nELQyAkmIqzlk5GvdunVITk6Gj48Pli+3XbXDsiw2bNiAlJQUCIVCzJgxA7Gxnr3rNiHXg/p+g1s9skUf0L4jkoqmTEf1rbcj5D8/wySSoPSRydAHBDkjXIcS5+Ug6qvVEDZPhq4AsB6AP4BFgFYTCoPe+fufFU55Ed5nkyG9mGkZgVRFxSL/hZkdug6vrha+Rw+Aq7t8G5ir08Hv6AEUPf2CQ3ZWL3n4CchPHLI6rotlOKi/Yl85R7O3XQPDsvBKO9Op6yr27ETU+jWW276Bu/6LoieeQ/l9j3bquoR0lkO+zowaNQrz5s1r9fmUlBSUlZVh9erVeOGFF7B+/XpHNEsIaYMuLALKIcNgajF3xiDzQsX4+zs0n0YT1w05sxcg/6XXukTiBaDp3MYrVqHBALB/AarGOJT8OgmxKxYj/NvPwLNzYLmjmGReOLdyPQqnvoSqW29H4aRncG7VNzD4KTp0HXFBHgRVtnuCCaoqIC7Ic0is6vjuKJo8DbqoWBhFYugUgagaORb5L3YsUewoUysbFxvkftd8TcZoQPiWr63m2wmrKhH6yxZwNPa3iCDEVRwy8tWrVy9UVLS+UeDJkycxYsQIMAyDbt26QaVSoba2Fr6+dAYWIc524c33UDdwCPwP/gMzl4eyByaifuBQd4fldK19wGrrw1D39GDE7V4CfkM9ACBwz05c+NdC1A8Y0uF2hOWlEFSUQR0TD5PMy24ds1iCoienXfU6xWX1KNfUISbyBPg8LXLyb4beIAUAhEgliA6LgMHP3+Y8SoOfP7RhER2OuzVlD02CbvKz0J07A71/QKf3iWuP0gcmQpx3AYL6y/PjtEEhKJr83DVfU5J7we5RYKLSIsjSz6B+8M3XfG1COsslE+5ramqgUFz+lufv74+amhq7ydfu3buxe/duAMCSJUusXudoPB7P6vpKue2qrhsBl8uFXC5vu+J17HrvA8NjT6PssacBNA132/tJr7c+UD/4GEwnDoOrUVuVG4N6IGDfbkviBQCi0mLEbliHvI2/trsfGL0OYW+9CnFqCnjKWhhCwqC850FUtfd2IqNHQMhacPhHoTUA2vCeuD3iEOSiInA5JtRpQ3CiaAoyq8bjaHY56nSBCOmXiNCDf4NjMABA05FBt4yANDa+/R3TDhwuF4LBQ+GqbXTN9z2CCj4Pflu/B6exHkZFACpmvA5J95641sO8+OGRMEulNnurmSVSiCOjwWnj3/h6+3u4VtQPzukDj1vtOHbsWIwdO9byuKqq7UnB10qhUFhdX6l0/K7WXYFcLr9hf/Zm1AfXXx8oew+AcPSd8DuyHwJlDUxCEVRx3VAXHomIg3ts6nPLS9BQVAiv8Ih29UPMmo/hdWCPZRNTQXEhfL/fgPJe/dHQZ0Cbr4/u/jL8g46Dw2l6fUhwCjjM5Q1RfUSlGBa5HkAQfMQs0i5y8fnDT+NOeQC6ZyRDKOChduitKHn0ScDB/27u+F1Q3jIKBbeMuqKwAzGYzU2LF5oXMIilUMR2g++pY1bVVDHxKA8IbvPa19vfw7WifnBOH7gk+fLz87NKcqqrq+Hnd+338glxG5YFr14Js0gMs1Dk7mjI1TAMcl5bgOLHpsD3xGGoo2JQP3AoFHt2wszlgmMyWVU3C0Ud+jf1Sj9rs3s8v7EBgi3f4eS0qy9mCPDPxgBFqiXxAmCVeDWT8KswwK8cJ83R6BkdACi4KIx8DmV1TyMsmI4HAgBhaTHiViyGqKQQZh4fDX36I+fVt8AKBMh6ewnili+C9GImwLLQRMfiAm2ASjyAS5KvIUOG4K+//sLw4cORnZ0NiURC871Il+N9+iSivloFQWUFzEIhGvoMxMV/LQDL8/yNIG9kurAIlD34uOVx9cg7EPbTRkhzL1jKzBwO6voP6eCmnva3iDB5AdqEq79SEXYeEpGqHW1wwbK0s3yrTEb0eOdfkOZcPoVBXFwAjl6P7HmLYZJ5IeudpU2jYgAd+UM8hkOSr5UrVyI9PR0NDQ2YPn06Jk6cCKPRCAAYN24cBg4ciOTkZLz66qsQCASYMWOGI5olxGV49XWIX/4+RKXFljJRWdOu4Tmz57sxMsIYDfA9sh/8OiWqbxvT5gRxls9HxqIViFv5YdNoiUCAugE3Ie+l1zrUbml0D8RlnwcHl0es9D4SKJ8fir5hhVd5JSASKKA3SiDgqa9az2CMgEabCCCvQ7HdKPwO7YM4P9eqjGFZyNJTwdFqYBZdSlwp6SIexiHJ16xZs676PMMwmDbt6it9CPFkwf/ZapV4AQDDmuF9NtlNEREAkGSmofebr4DXWA8GQOQ3n6LoiWdQ+ujTV32dPjgUGUs6d7TQmckvgaksQ2BOBqSN9VCF+qLsoWGoG2R7EHWw0At+vJZbdMTDYNgHPvcoGKbp9qfZLIHRJAeH0YFhzDAag1CtnAUPnJrrMYSVZeCYjDblXL0WHK32cvJFiIehv2pC2oGntH8oMmMwACzbJc9yE5aXwu/QXmjCIqAcOtzd4XScyYQ+r08Hr8VqRn5DPSI2rUfV6LtgUDh3A9XQcH9ULFuL82kXoC4uwLl+wQiOZtFf8CdYloPTxXdDbWgahavyy4RC3GCVhFXVvA0v2TaIhafAsjw0qO6GVjccHE4dGBhgMjtvpff1onrkHQj9eROENdYLs3SKIBh9buwVesSzUfLlZiFbNyFgz1/gqlXQKwKQ/9wraOzd391hkSuU3/swAv75G/x66xUv2rCILpl4RX32bwT88xcEtdUw8QVQx8SjZM0GoLXjZTyQYvdOm20kAICnViFg139R8sSzTQVOTo79esfDr3c8YqTfo0efb+Ela9rYtX/ALhw5PgWZF2/HMa4CoQlApE+5VRLW0DgRDY0Tra5nNnv+YeXOxNFpAbMZ5lY2Xm1JHxCEirvuR9Dvv0JwaaNcTUh40+kBXfDvktw4us477XUoaPtPiPjuS8s3d3FJIbp9uADnVn0NvSLQzdGRljTRcSi/634E7vodgtpqmLk8aKJicLELzveSZaQi8O//gN/YAADgGvTwykpHyIfzUf32x26Orv1aHtfTEgvAJJEgcv0a+B47CK5WA11AEPJenAVVjz5OiYXD0aB3362QSC/vqO8lq8JtidvgzTyKkFIJTqdXIy0wEl4KNSJ9ytFHjituRd7YuI0NiF/6DqQ52WBMJmhCw3Hx9Xega+MA98JnZqBy7N0I+uNXGL3lKLvv0VY3uyXEU1Dy5UYB/+y0umUCAKLyEoT9sAG5//emm6IirSl4/lWU3/co/Pftgi4oBNW33d6lRoqaBf3+qyXxakmYl+P6YDpBmTgcIdt/BKd5JdslrIALSW0WAn/dCa6+6eBsUVkJui2ej9S1G51yO0rmnQ6RuMimXCgugVSWhbDg3gCA0io1yiuAtMBI1IVmI86vwc58MPcTnTmFhC3fACyLsgmPoqFf62c7igpyEf7DBnAbG6EcnIjyCQ9f099Fwofz4HfiiOWxsLIc3d+bg7PrNrc5YV4bEY386R1bMEGuHUergaikCLrAYEp0r1HX++S4jnBbOf6EX2t/fhFxP11QCEoem+L0dgRVFfBOPgFNZBRU3fs49BaKSSqzW27u0DYL7cfo9Qj6fRu8z52GJiIKJY8+5ZA37LpBiagbcBPkycctI2AsD+DMMyHo1/+Co7dOysSlRQjZ9j0Kn3X8amuDQQ6zSQIOp9Gq3GyUwGBouo0YFuyNMHijuKwepVVqlFYkoK6X2uZWpLuFbV6PsF+/B+/SUT/yE0dQ+tDjKJoy3aau/PghxP37Awirm4488j1xCH7HDiLjozUd+p3l11ZDejHLplxckAfv0ydRP8iJx2GZzRCVFsMokznkcPLrXfh3XyJgz5/g11TD6O0D5ZBbkDNrHt3m7SBKvtxIGxJmtdcQAJh4fNTcfJubIiKeIPrTZfA/sBvC6koYJVKoEnogY9EKmCVSh1y/ZOJT8Du4B6IWBw6bORyoByc65PotcXRa9JrzEmTnz1lGqPwP/oP0JWuhD7z6RqRtYhhkfLQaIdt/ht/JXfAKTAdnoQkYCnC+N9t9iaCq3G55Z2lUcVA1xsPH97RVuUqVAJ023KqsZRJmqA9GkckfKh81goV6p8TWERy1CoF//8eSeAEAX9WAgN1/ovThyTZJc/jm9ZbECwA4JhO8U5MhP34Iyg68j3HVanD0tj8/R68D34mHnsuPH0Lk12shrCyHWShEY0JPZM/7EGYxrZK0R37iMEK3bQFP1fQlg6dRI2DXf6ENDkPJpKnuDa6Loc1P3Cj35TlQRcWCvfSNwSQUom5wIqrG3OXmyIi7+Jw6hsC/dlg+0HhqFXzOnELs2k8c1oZeEYjcV95AY3x36H39oAkJQ+W4e1HuhPlroVs3wyv9rNWtQUlBLqI/X+GYBrg8lD78BLS/xYDze1PiBQCItq1qFEtQecc9jmnXjsyzy1FTOQIadRg06nBUV4xGZmrb/25BXM+ZYC/JuwhhhW2CKiorsdrIFADAshDUVtvU5ep08D12sEPtakPDobOTjOtCwqC8aViHrtVevPo6xKz5GLKcbPAb6iGsqoT/0QOIW/6+U9q7HgT9vs2SeDXjGgzwPXHITRF1XTTy5Ub6oBCkrt2I4P/+AknuRVTfdjtqb76NNgS8gQX+tcNmHiAASC5mOrSd2uGjUDtsJPg1VTBJZTCLxJDzHP92IDt/zu6keGF5qUPbYdkrYl8D4AGAPQ8wLGCUSFFzywjUD7jJoe22ZDTKcf7sCgDNxxZxndaWs+gDmrZoEFyxdYPBx9c2OWIYGO3cwjZzuVAl9OhYwwyDvOmzLx0TVAQGgDYgCMUOukVtT+Afv0JcVmJTLsvKAK44eoo0Ycz2R5TRWjlpFSVfbmYWS1Ay8eobQpIbB8sX2i/nOuGDnGFg8HfuXliGVnabN0na3kagI0oKJsMvYB8EwkvzJbsB7AmgYXlfqFJ6onL0eDT2cdUWLl0v6WqmDwhCfa9+8D+813JuJcswaOjZF7rgUJv6lXfcC1HZF+CpLh+VpI6OR+W4CR1uu37gUJz5/HsE7P4DXI0GleMmwODrvDOA7W1TAgC8xgbEL3kbbN8BqL9jAt2CbKFq1Dj4pCSBq9NayliGg4a+rS/IIPZx33333XfdHcTVNDTYrspyFIlEArX68h+gsqrxKrWvXyKRCFqttu2K1zFP6QNNcCj8D+0FV3t5MYaZy0XV7eNRN/hmp7bdVh9wG+oRvvkrBP93K4SlxVAl9GjzXEt1TAL8juy1ulWh9/FFwbMvQxsR7ajQYTTKYTKJIRIXgsPVwqD3RV3jUJyXrETt0JGtzi9jDAbIMtPAa2xoShQZ5pp+FwQVZZBlpDYdzm1nbh6PXwu+oBYmowzNZ0I2NOpgFnFhFgJGQSPCJSaIOfYXQ1QaaqDSBwB6Drg6gKszw1tmP1HvrJrhoyEx6GHW66D3V6Bm+Ghc/NfbgJ0vAI29+kEv973Uf36o7z8Y2fMWwyy9tvmJLF8AVffeaOgzwOlJjzY0Aop9u2ySMI5OB1neBUhPHIHfkQOouXV0u/Ycu161/HtQx8RDWF4KfnUVeBo1DN5yKAcPRe7MtwBO1/3S0ZaOvCdExIa0qx7DsizbdjX3KSmxHRZ2FIVCgaqqy8PreRllTmvLk8nlciiVyrYrXsc8qQ+Cft+GkN9+BL+6EiaZF+r6D8bF12w//KRe5xAVvwZCUTlMRimqysehpODaV2JerQ/4lRXoPXcGJJfO0WMZBqqEnji37PM2FwJIz59D1Defgl9TDZPMC6UPPIbqUeOuOc6rYRg9JLKL0OsUMOivPqrnd2gvIr/5FMKSQrACEdRRMchcuBTShG7t/10wmZDw8UL4nD4JQU0VdP4BUA4djouvLQAYBhyuCt16z4fUKxMcjh46bTBys15DQ93gpgn3PnwYvAGNrBSJ/vpWVzumqy6gorEn0MgFvx7g1xkQFuzd0e5pN0/6e3Ak79NJCP1lMzhaLVRx3WHwkSP4j18hKi+FmcsFYzLZ3CavHH0nsud/6JZ4PYG93wVBZTlk589BHR3n0C9Rnqojfw/DxgxqVz267UiIhym/92FUjL8PwrISGOR+due8VCnz0G/oXMi8Ls+dEoryoaw3Qq18zuExRX+50pJ4AZcOL85KR/j336Bg2v9d9bWqHn2QvvQzh8dkD8sKoGro2WY9rqoR0V+suHxep7ER3hmpSFi6ECVf/dju9kJ//g7+B3aDY2w6X1BYXQnF7j/R0LMPKu5+EAm93oFfwOXJ53yBEvG9FuHMie/b3UaNsWkCfHldI5gaLoTVJoRIb9yRmGul2LMT0euWW3bCl58+ifpe/XB27XeQJx9H8Paf4J2RavM6UcnVD0m/EekDglAT4P5tUboymtlNiAdieXxow6PsJl4nL5bBL26DVeIFAHyBBn7hu3DyouNHcFubIG9vb6auQLF3F4RXHJQOAOLCfHAa6tt9Hd+TRy2JVzOuQQ//A3vAcHSQyGwXSojEhQgI/r3Na9cYy5GuuoBzynLsP6dAXabGkng5c9TLZcxm+B7Zj7DN6yG54NgFJfaE/PaDJfFqJstMh2/SEVSNuQva8Cj7YdLh3MQJaOSLeDxhcQEiN34BvrIG2pBwFE59yakTcT1VcVk9SlVqlCg0GBNaabeOSKZBiUKDkxfLHPohbZLYn4tk6KKHF7Ot7gfZsY0i2dY2lmQYMIwJHI7tqjmGAbg8lZ0XNakxlqNM14AqjRoFdQqUZEvQ3SRGiNd1knShaf5gr7f+D5KLWeAa9AjdtgXKm4Yh+60PnLZZJ7/O9rYRx2SE99lkVI67F4VPToP36SSr/e8MXt4om/CIU+IhNzYa+SIOJSgvRc95r2LA1AfR//nHEPX5ik4t2xbnZqP3GzMQ8M9fkCefQPAfv6L3v14Az4kbL3qi4rJ6nG6oRolCg+hoFnpjX5jMdia7s76IjmahTQBON1SjuKz9ozhXbf+xp6D39bcq0wYGo/DJ5y2POVoNGDsbZXqi6lHjoA0JtynXRETB7NX+BKfmlpEwCQRWZSaRGJVj7oLZJIFWbduGThuIylL7qwGbE6/8Rn+U1nSHOl2CUaIIDIkLvm4SLwCIWbsUXufPgWto+n3hN9TD79Be+O3f7bQ27X1RMHN5qO/XNEdHFxaBC2++h7p+g6AOj4K6T3/kPT/TafMTyY2NRr6IwzAGA3oumGW1a7+4MA+MQY+8azyrMvKbdRBdcctLUpCL8M1fI+/l19t1DVF+DiI2rwevsR6NCT1RPOnZLrd8PCzYG6UqNcorNMgDg+rGW+ErSUGYVyr4XD1YFqjVhuHn9CkoKmLArdAgiCN22Ad2/cChuPCvtxG2dRN4jQ3Qy31R8MwM6MIjISgvRfyy9yAqLgTL4UIV3x0X3njXYTvyO4NJKkPeS68hav0aCEuLwAqFUEfGInvu++hI1GUPTYKkIBfypCPg19bA4KdA9a2jUTX2bgDAhYyF6NHvdYgkeeByDdBqQlBS8CQMegUA+4mxno2CGBKIuVyIOLiukq5mknzbc0S5eh0U+3ahZtQdTmmz9MFJELaY8wUAjd17oXLMeMvj+gE3Ie3SXnDX66ID4hko+SIOo9j7N8QFuVZlHKMR8uTjgMl4TYftClo551JUXNCu13udSUbCkgWWWwm+SUchTz6Bc//+EqzAOUv1O4qraoRZKGxz24YhccGWcwHLK4CtlR8gNugEuocfRHVDBFJyJqCy1IxQjnNuUSlvvs32yBizGT3eeR2yC+ctReKyYnAMepxfvMpSJiwpAkevgyYq1mPOgKsdNhLKocMgzT4Pk0TaFFtHMQxyZs8Hr04JUWkxNOGRVvP0dNpwnDmxBT5+x8AX1KK2agRMRs9NpjgcLYSiUjCcbk5tp7VzRE3XuEVFe1SNuQt6fwVCf9kCjlYDVVx3FE6dfk3vS87Gr61B5PrVTWdOSmUoeuJZqHr2dW6jLAuuWgWTSGx3WxHiWJ73W0fA0Wjge/QAWD4ftTffBtZJBx47mqi4EBw7txi5Gg04ej3M4o7/uhl87B+9Ym/DR3siNn1hNYcDAKRZ6Qj6/VeUPTSpw/E4knfKCUR9vRaCqkqYRCLUDUpE7stzrvrG1/JcQGgBc/4IZOSPaHoOQJiXa0dKvE+ftEm4AUB6IbPpgHijEd0XvwVxQS4Ykwna4FDkvDoXjb3bt+EpYzBA8c9fEJUUomr0ndBExzk0fpbHR6MDPtSMPnI0XrqtxdFqEPzrD/DKTIMuMATFTzyLOgy3+7qqkjr4eDf9jpfpmg7XBlqsbLxUT1RUAJ9Tx6COSUBD3wFOSWDDY75AQNBf4AtqYDL5obpiOPKy/4WOzoNrj5phoyC9mAVui9vUej9/FDt5w+n6ATc59ZQDR+A2NqDXnOmQ5l20lMmy0pE99wPUD3RO7IpdvyP01x/AV9bAKPNC9ag7UNRiSgFxPEq+PIzfgd2IWr8WotIisBwOtGERuPD6O2js1c/dobWpavQ4BP93K/gtDuUFAF1A4DVvUlj49HRIcy5A2CKBUodHoeip9r0x8JW2c8M4ZjO80s+6Nfni11YjftkiiMov72MnKiuBWSBE/vTZbb7eU25F8ZU14Op1NuUcvQ5ctQpxy96H97nLh03LLmYhfvkinPniB8uXCm95EiJivwRfUAujwQulhY+juuJOCMpK0PPt2RAX5IJjMiH4v7+gasRY5M6a57Kfr6M4Wg16v/4iZJnplh3ifU8cRvriVdCFR1rVDQv2BsqA0+ml8I6uRKbOiHyhALklheAV+SGII0aIRIy4Ze/B99hBCJS1MIolUCX0RMbile3+mxIVFYCj10EdHdfq0WW+ir0IjdgMHr9pw1EevxFBYeXQqiNRVjyxEz1iX8njU8FvqIfv0QPgqhth8PVH8cQp0NqMPrIIDv8R/oH/gGEMUNX3Qv7FV2E2ixwek6cI/fk7q8QLAITVVQj//mukOyH5kmamIfrLlRBceq8UVlVA+FMp9L7+qLjnIYe3R5pQ8uVBODotor5ZB/GlfWUYkwmSgjzErl6Cs59t8ZjbNa3RRMehesRYKPb+bdnRXBsUioLnrr4P1NWouvdCxgcrEfHdl+A11EEXEISC516B4YrJ362xt1UDeylWV2D0OoRu3QSvtDMwiaUoeuJZaOK6IXTrZqvEC7h8izbfJZE5hnLocGiDQyG64ow8XWAwTAIhxEW2P42ouADyE4dRO3wURPNBDnUAACAASURBVOJcJPReCKGowvK8WFwAk1GCkLd+tpo/yK+vQ8A/f6Ny7D0uPCqofThcNcIiNyBI8Cv4W5RgVgHYAuAeQPxIAXqaZuIcbwOMRutJ393iizC+54fg8otgNHNR0xiNXalvwtfLH2HB3vDb9z8o9v4Nrq4pweVp1PA5ewrRn/0bOa8tuGpM/MoKdP9gbtOoo9EAXUg4cl6eg4b+g23qBoVutyRezbhcHfwC9zgl+QLDIP+FmSh4dga4ajWMXt5239+i4lYjOOJncLlNu4t7+aRCLMtBespncMaInCdouZ9eS7x6xyyeuVLYz5ssiZelLY0aAXt2UvLlRJR8eRDvlCSIim039BOWlUBcmAdNZIwbouqYnFnzUDH2HgTt3A6Drx9KH57c6W0h1HHdkPnesmt6bclDkyAqzLeaZKuOjkOJK0a9TCb0mvsKvFNTLKMgPqkpuHBpjpA97VktyOFoERr1Lby8z8FkkqI4bypUjW1vLOoMJpkXih95EuE/fgthVQVYANqQcOS9MBOM2QSwtgfuMmYWjNEAAAiPWW+VeAEAX6hESOSPENk53YKnbkTQXzs8Kvni8WvRZ/A0iCV5TflDOIANAD4BIG36T4IC9FVPRebZpVCrmuZTMYwBCb0XQiK7/GHrLT2NR+9dhYzTnwKAVeLVkrQd+2J1W7IA3mlnLseZk424lYubRh2vmO/IMPYPRm6t3FFYHh9Gb/tTCxiODn4B+yyJV1M8gMwrDV7eZ9BQP8CpsbmLKjYe/of32pQb27GtC7exAT6njkPv54/GPu27Pc3R2T82hzF0jZXLXRUlXx7EJBLBzONZll83Y3k8mIWOG2ZnDAbIzp+DWSSCKr6Hw67brLFPf4/5cKwZMRYmiRShv2wBV62CNiQM+dNnu2Qlnv++XZBlpFoSLwAQ1FQh/IcNyH9hJvwP/WN15iEAaENttyawwhgR12s6FIGplvdVkewU9h58GbkFtwCAyzfhLH/gMdSMGAPDli0wCEXIGHYH9GIJ0AiE+QYi5Ipv1cqAYOwJ7AbTxTKEJlTZvabGoIQRHNhbk1oAgVM2km0mkdRbnfnaljEjVkIizbMu5AAIgNXgjFhSCL/wJTjwZ9NRNXHRhyEU2y4c4Qmzca4oE1qdD/z1ZijstNloMF+1D8T1teiXa7uiUFhUiJrt/8HFwdYLJ7SCARhxywnweJc3jGXNHNTXuu/AZP7/s3fe8W3Udx9/39Bpy/JecexssiCEvVMCZballFUotEB5gFLgYRZa9h5ltYyyHiijBVooUFbLXkkgCYQkZA/He8iSrD1uPH/IkS1LnklIAL1fr/yR093v7mRb99F3fL4mP5KcPdtXNkUonfcyY556GCkeJzx+MpvOOn+H7q4dCS3Hn0rRvA9x9DEwjpWW03Da/wx6XMVLf6fqpb9haWtBM1uI1I5n1fV3kSwtG/Q43x774l44L6teNzJu0uhvIs+Q5MXXDkRw5mxiNbXYN6zN2B6tGUe8fHjDOofCPf8j6h79E5bmRnSTQnRsLW13PgT27PTcd4Xu3fehe/d9vvHzuhd/hpRMZm03+b0EZ8yi8+DDKfnwHUwBP7okEa0dz4Yh6pmCPE9h8cqML7QOu4/Ze7/ISvM+eH1R2juitIYj35gIS3mQBdFO+zFFhZly6bVrLuLHN91BUWMToqrir67ig/85nfD0VOSlSZtMrbEo6wu6l0qi+xRQ+HIjstr7UPBXlrPgf35KbBt6u4pWgT5zzYfEVTHA/NkcQQdHUSexzc+08mhuE1aThjpRJZaABWccw5i1S7D7e+sok4qJtXP26F0nB7JHBzl7bK+AgVaiZR27SD2Ccs9Kxrq/wGnpJhZz4emYSWP94A/80SDL3dgca4nHKonHqgfcL5EoJpksQjFninf1Hgsl176HHEwZ1bq+/gr7+lUsv+fx70SXnm6z8/UfH2bsX/+CtWEjqrOAxl/8mui4iQMeo3S2U/38k5i7Ul9mpHgM55oVTLzrBlbedv+g52s/+mcULlqA66tFyJEwmmImPHEK9cOoPc0zevLia0dCklh91S1MvPN6LC3NGJJItKaOtVfdulWWF6NRxv3lbqw9qU1RU3GuWYl47aV0/vHhrXKO7xS6nu7+GY0tRWin6ZS+8zqinpm6UXtMPDdeeCVtPzmRkg//S7R6LF1zDh3QbmKzyeqxRyzOiE5sxm3zMLO6EU9RhIaSElo80N6RUhDbSoBtdtxv16PYpkUYW+ChpH8ReDV88dqluL/cgBRN4NtzEjbFxExSv4NhdW98sQW4LfWIgo5hQDBeTXv4CBI3OGgy+ymZtxIpGidWUcjqS37KxOkBBvLI2hrYbLYRRb7M8vBrjyRRYmZ16t5lcSyRZAl2JTP6l9QLGVcaASJQbWXjRUcx9pkPMHsCJF1WPAdMJ/y7fZkpDDJzsBoStUXgzUxvR8aWYj6hhpnW7GMbAifSGT0YX6yF5o6daV1ZSrnoYfcJFcO+v6GoGX8/pRVvYba0kkwWEvTvzJrlt2MYuQyDZdqbj6Vm3COYlJT41FQZ43EZUzAzYmxfu4rij9/9zhiiak4XG397+bD3L3/9pbTw6ou1sR5BTQ5uYyNJrLrhLpxff4V74TxCU6bj2/uAAZsz8mwd8uJrByM2djzL//xXlM52DFkedmH5cCj69H0sLU1Z25XGTZh8XVv1XN92ij/4L9V/fwLF60Gz2vHvthcbz//diD6QOg7/CeVvvoxjba8HVtLpor1PEWu0bjyNdecMaz13qQNVnIFufIQoZEY1ZIqYZp+I19yOy1KE32Gjw4hC7nKOrUZJVQEFrgKijlZmuMspkgcYtntgqs4p16tB7wPotrewmJeSTI4nGD6GiRYrWIDbr6JL1RASCQyblUpg68SAB8btduMXhm+uqUfORLP9AUnMFGyGISD0+TnpugU9/kOm2XsjGJHQr1Fcf0WWWjGQUZNjiAR+zzR7n/rOMyfi++Uvkdo96EUFCFYL04ZxXdE/XUP83JswrWtASKqoddVE/nAWO5UMfLRXdeJ6xcf+Lz0KwSieggqCl1+VWW+kqZS89x9cy76ge9YedB106LAiTgWFn1E55h/IppRwUhQfRaUfUTfxHjauzS002ppOIhyaTGXN3xGFBN6WAxjT+QQmMsWXlEzi/Hrpd0Z8jRRtgLIUQ5IwhGF8ZgkCwRmzCM74btbR7YjkxdcOSmIrToyXA91UvPw8zuVLwMhORaTSI9/NzqHRYG5upO6huzF39cxP9Hkxd7Si2R00/Hp4nZtSKEjFK/8gPGEK8ZIyTN1+NIuFth+fgG//H4zqunab+CJTS19DIPNnqGkugqHc42q+HZgIR35EODLAPcgShrzjTiSIJ2fi8f6BwoIHkaVOQCCRrKE7eBIux5vIUge6YSESPYhA6MSMYyPRQ4jG9sNqXoBu2IjFdwdyCBlZQqse2WeCOm4MbW8+hPLlKoRIlPieM0EZ3DOw9PE3Kf/vPzCdEodWKH1oJaErWln2pycxTCbESJhpV5yHfc0qJDVJ6duvU/nqP1hx2/1DDqAur3opLbw2IwgGDveyQY8L+mcT9M9O/7/C/TJ0ZNa7aWYz/t32HHSd7zIdRx1L+esvYe3XdRyeuNN3IhX7XSQvvr7jOJd+wcQ7r8Pa2gyALggZBeAAibHjv5eDqgei+oWneoVXD6Kq4l782bDEl33VcibfclXaMiTpcOKZe0QqcjZKHM5N7DXlBeyWTA81VSuky3cxsfg3X9O2fVERhASGMTr/uK1NLL4XrR175dg+Z8hjBSGGzfopstyMYfydcORQQpGjts6FCQKJ2cPvhC2f+AqmC+OwOQh+JthOW03JO2/QecRPGPvEQ7hW9IolKZnEtXwJY555bMi/DWOgUcI5vg8ORsuxJ1P3l7vT9giGIBCcPgv/XgcMepyQiONeOB9dMdM9e48d0tl+tKiuAjaedxm1//cA5s42NLM1Nebr0mu296XlGYDvzm9fnpzUPXJfWngBiIaBLooYkoxuNhMdW0fbjXdtxyscAMPA+fVXFHy5kOC0mXTP3usb8zmTIuGc2zfbIwzFuIfuTgsvAFMoSMn7/6HtR8eN2l9s0k4vZgkvAF13fc+El0qR+z4sylIEIY6qleLrPhNBkNB0F6pau70vcIQkKC/+HYrS25lokjcgCBGC4eO/0SuRpXrko0K9wgtgEoh3GjhuX0HnET/BvmFNzmMdq1cMuX5b0/G4i+ZjUno7GA1dJOAfWUel55AjiVWOoeofTyHFYgRmzqbl+F8M+vlQOP8jah++B2tzE7okEauuYe3vbiAyeftYtGwL/PsciH+v/bE0N6A5nPkykh2cvPj6DiOFQyiejqztoq7TesTRtP3sZKJjx+F2u2FHGiCrqex0zSW4ln2Z6r6xWAnuNJ1VN9+3VS03BqLjsB9RuOBj5GhmDU9kGD5rQiKB0m+cEfQYhL79Gg1nXTjkGo4VS6n568OYun2oLjeNp/waavJpYYCigvtx2N5K11LJsoeK0t8BOrphJ5mso9N7Pbqe2ztqR8NhewuTqT5jmyRFsNve/cbFl932HoIrOwxl1IJ//z1wrFyGpSn3TFXNMvTfZbB7Nq2Np1Ba+RqKuQ016SbYPYuG9SM3YQ5N35k104fn/Sck4tQ+fC+2nmuXVB37pg1MvOdmlj749A5vXj0iRJFYTd32voo8w2CriK8lS5bwxBNPoOs6c+fO5Zhjjsl4/YMPPuDpp5+mqCiV2jr88MOZO3fu1jh1nkHQFTO6ObtLT5ckQtN32WFNW6v++WzKd6anS1CKRSlYsoiaJx5k0zkXb/Pzd++2N56DD6fo0/dR/D40s5nIuElsvOCKIY81JAk9R2ekIYjEKwduq9+MpX49U268ImOcknXTBhYpv6Gi5mMc1sy2+0Ri2w5A3rEwMJuXZhSxAwhCyq5BEkJI5uUUu++g03vz9rjAEaMo63IamYpiKMfe2xZVK8fQoX99tq5ZsK9cS+VLN2MKZneZJgoKaT3uF8M6R1P9WbQ0nozNvoF4rIJkonRrXPqgFHzxOZaWXObVzViaG4iN2YrRUsNA0NTBuwvz5GEriC9d13n88ce56qqrKC4u5sorr2T33XdnzJhMs8h9992XM888c0tPl2cEGCYTgV12w9zanGF3EK2pw/ODw7bjlQ1OwZcLs+wZBIaX2thSlM52qv/+JHIwQMtxv8D92aeYAn40s5mij96l4+hjB/+mLEl0z94TS2tThmlhdGwtnYcePeT5xz79SIbwAjB3dVL3xNu8t8ux7DPrDQptHSR1K8F4HUtaj0YzekfweKIRGro1gh4bUifgHLlFxo6MIAztum0ybQKSwLZ5AEqiB7vtP+i6k3D0UAxj9M0Akeg+2K3vIoqZLvaa9s2njMKRQ7HbX8Cq9JYp6Cp49LmU/eeNLOGlSxKRCZNp+dkpBHKMLBoIXbMTCmz5MPPhYigKhiRBv88UQ5IwTMrWOYmuU/eXe3Avmo8Yi5IoLWfjORcR3gpD2/N8N9li8bVu3ToqKiooL0914uy7774sXLgwS3zl2T6sv/BKdJNCwZKFCIkksaoxbLjwyvRQ4x0RXcn9gbitv006v1rMpNuvwdLTSVX88bupb7IAm8C5+mvMHa00nvnbQdfZeN5lGKKI+8vPERMJYhVVbDj/d8NKmco5IgsADjVGvOEXvLDmKKTCVXTb3Ajm7G/sXl8UqQOqRCuVzl6TVffnn1L1z2eQImHipRXUn/2/JCqqhryeHQMdQYhjGBZUtRKTPBx3+22TSnLa/4nL8U9k2YNhgMvxIl3+S4knRveQjcX3Jp7YGYv5i3QET1VL8AdP7bOXgSBEMAwz27ZSRGFD50WUuZ/GpnSSTJjYVD8d+Y3DKG97PWtvQxTZcMGVhHaavg2vaWiEZBJDlgf8UtS9y+7EK8dga8icmRgbU7vVzKtrH/0TFa++gKimPPgsHW1MvuUqlj3w1IDjk7Y1Jp+X8ffegrWxHt1konvXPdh01oX57scdhC3+S/Z6vRQX935LKy4uZu3atVn7ffbZZ6xcuZLKykp++ctfUlKSa2gGvPPOO7zzzjsA3HbbbQPutzWQZTljfb97G5sibSd8V99K32SVteffZiRJStV97SAEf3EmBcu/Qg701qFpdgehY0/cZtcpSRK1Tz2cFl5AVleoFItRNu8DQudfNqTpqv+qW/BDytpDELCQsq0aCn38JPji8+ztteOYvtNYpjOWhuaxNHmyx64AFGFjTJWTsdWF6W2OD9+h8s7rMfm6AHCu+hpn40bqH3sBzd2730h/DwJhg6TTRNIBgj2A02nGbd66Px9FfhxF/i8IQQyjiETyCDStG1HchCBoWV5aKSbhdo/+c0OW5Jzvg4Afu/VlJDFlZikIYDI1U1L0COHoXyGhpqwcMkSAjiQuQBSbULWDMIxsu4iE9meM+CuY5Pnohot48nSs1hqsVpDEz7EoDyAInYAFVduDWOJyctpRbAWS8cks8z9AMGZH6xbwrvAxZ4KGWuDOmkeqFxRimTARebDfGU3DuvQL7IsWYPtqEagakVm74TnzPNjCqJN93keUPnIvcmcHut1B8KBD6PzNJRnvvxgOUXX9ZZgC3RiiBBjoNjuxiVNou+W+QX/fR/L3UPTl52nhtRlraxN1b/4Lz9n/O6r72yJ0nXEX/Aprn85Ue/0GbJpG6x9GlpLf0Z4P24Nt8R58IwX3u+22G/vttx8mk4m3336bBx54gGuvvTbnvocccgiHHHJI+v8eT+7Zb1uDkpKSjPX9O1LR+TeI2+3eoe7dP3k62mn/Q8XrLyEH/KhOF50HH0HLPnO2WWOAu6AAqb11yP2Ebj/hTfVb1YetL6GTz2T6F59njJgK101g3Wlnk+y5d5ddYJp9cNf6vj/P6r8+khZemzHXb8D+6J8yGgBG+nsQDAZpbYsQL5ZIlHqYH/NQYm2hwpx7VNWABqwD4LC9hsP6JJK4edZPB9BOu+cGFKUBSWpH16047W8gS20YhoVkcjyd3ovQjdR9eNXs5oehcDqdBIPZ4rbY/h4ue46oW6we0zE/Q1oZQXPZiB13JMFzjkcUfZQV/wGTXI8oJlC1xwhH5uIPnJ2+rt73ZG7Pv834EUUfFaU3IEu95xSFFuIxWO89lq2JKMSwmJppjiis6Z5Ae5uNREOUctFKR2UFxZOmUrhofnp/QxDonjIdj8k84N+kbc1KJt15HdZNGxB0PR2LtC9egGnJYlbe+udRF7sr7a1M6FcbaWpqIIJI8ylnpLdNvv5yXB+/l3Fs0m5n+XV/RFMsg36ejOjvYYCJCEZTw3b5bHXP/whlbebwdUFTsXz2KYH2thE1Lu1oz4ftwbZ4D7ZYfBUVFdHV1fvB3tXVlS6s34zT2fthPHfuXJ555pktPW2e7zjtx5xI+4+PRw4FUe2ObR8qFwTUYQzm1RyubeqJproKWH7PY1S98BS2+vVEx9TS/PPT0Ryjn70ph3NHySzN2dMORkJ1hYtqXCxa34a/08bXZWNxlkSA7HmFqdFDwQx396GwWd/tI7xSyJKPAudLeHx/SG8LhY9BMa1D152oWm+5g1dtZ7m/nYbukUXBLOYYsXj2PUwqclBVKCFLma+J3hi2RY3QDbR0Id/zVwJuKP7NMsxKrzWDLHmx295guXcCG/ypYccl1iAVZmdOYeqyv5iVYhUEFUwLWO7fb8T3NRB7V7/OrMoPcJm7qFLtlFqmsnDVDTj7pK1XX3cn4x64E/vqlSBAcNrO1J97ycCLGgYT774R+8Z1WS8JhoFzxVKcy5cQnDmwzYS5rYWSd98kUVKG5weHYfQpR6h+7q9ZtZFSIk7R/A/S4ktIJnGsW0V/LO1tVP7zWXRFQXUX4jn48CENYociVlmdYS8DoNoddBz24y1ad7RYGzchJbPrI6VoBCkc+ka6xvMMzhaLrwkTJtDa2kpHRwdFRUXMmzePCy64IGMfn89HYWEqvbFo0aJ8PVie4SGK32i9RNecH2JtaUIaYKqyAXTtfcA2rz3T7A4aT//NVlsvUVhMf1lpCAKhqTO2yvq7T6hIzXn0RMCT/RBr16OsLK/iBzu/jOy8F5vkJBb+BYnk4LVCopi7uF4Q+v98TCSSvX5NXrWdtniQ9V4fTS2TkDqgXBz+w9Vms2HKEcloF+biq3yF0uL6zOtcZKSEVw9KOIb5uXfQf5vdsShLYWzKPFbNvwC/KULVJBhb0J5ThImSL+t4gISusWBRCVO2QlF+afFa9t3jDazWlEA3Sd3MqPyMqrlPs3p5b7pMt1hZf0kfw05Npfy1l3Avmo9ms9N80q8yBj/bNq7D0jzw7Ek5Eh5UfNX83wOUv/kKiq8LXRSpeuEpVl97J7GxdanjA7mjEGK8T+OCoYOWLaIBql58FjkawRBEqv7xNGuvuInwlOEMbcrNhvN/x9RrL8basAnB0Ek6nHgPmLvdxvV4959D9QtPofi9GdsTxaV5/68dhC0WX5IkccYZZ3DzzTej6zo/+MEPqKmp4fnnn2fChAnsvvvuvPnmmyxatAhJknA4HPzmN1vvwZInz9ai68w5FJ/7NpZEE+KyKOLvDejz2RUvKaPxjPO23wWOkk1nnIe1qR5LWyqtagChKdNoO+bEwQ8cAZujYLlobutm59mXMLHmKyQx1XGWtKzC330ukejAs/gSyXGYlczUiWFIRGMDj5HZLLw2hYqJRGuQOqLMco7sYTN9p7EDphgaVt2DMvUmLLYmdF1GnBfDfGpX1n5RL0iqCUeO0sBwh4tZzmIK6ruouv//sKhhuseVsPbig5nUx/0lFtibCZaPkaVMIejprGKOpWarDEyfOO2BtPDajCAa2BxfDHyQYbDT1RfjXrwg3dHr/nIhGy64Am/P6CxDkgadg6ra7AMKL0vjJspf/xdKd0p8inrKl2vCfbfw9V2PANA151CKFnyEFM/sEo1V1fRepmImVj02o44TQBfFtIefYOjYGjcx7v47WP7nJwe+5yGIjxnL0gefofQ/r2JpaaLz4MOJTB69mNtS4lU1eOYcStnbryOHU18CYqXlNJ766++Wr9m3mK1S8zV79mxmz56dse3EE3s/2E8++WROPvnkrXGqPHkykEJBEAQ0u2OL1rHYljJ+2vlYrD0f1PuCvp+IuL8O3ZBwF9L889MzUh+DobS3MuHeW7C0NKErJrpn7Un9ORdtl06jyOSpfH3Xo4x55lFM3i5CU6bRcvxp2zz10NwWoDUcwV7xGXXVK9LCC8AkBXE5XiISPZSBOhN93edgkutRTOsRxSSaZiOe2GXQ0Tu9kaMuPFIjyWklLPZAsTG83w9/Z4julVAg0EfcaFRU/4PCkk/RdRNN9WcQDOyMYUhUvPgPaqP3IdLPq2vsFNZv0Cme3owk9jYEBEMlWILnsVP7OibfezXmzSbIy6Fy6Uaefej3OMs3p7VnkJT3Z1zBPOxKCFWT8PlrCDXesMXCSxSjlFa+jt2R27F+sJk/BYvmU7D0iwwrFcXrofrvT6TFV3TsOKLVY3GuybaHMQSRwPRdCE7fJef6ZW+9nBZefTG3tSCoSQzZhHf/g/Hu8w6FC+chh0Mp24u6CWy4IHOE17pLr2Gnay/F2rARKREn4XCihLLT8OaOVuRuf+YA8RGimy20//iEUR+/tan/7eV0HXQo5W/8C9XupOWEU0mUVWzvy8rTQ97hPs+3EnNrMxPvvB5LSwMIIpHa8ay98kbUgsKhD85BaeW9vcKrB3GGTvgvEwg9NZOWn51CtG78sNYSkkmmXn1RRtG8taEeIZlg4//+flTXt6XEyysz00bbkM2iq12PopXB8bt9gFmOZ+0nid1pC4lcGIaDds99WC0fYzatJRLbi0RyJkPZSBTJ5RTJ5XjNqXSep8BDWKsZ9JjNJEqjNIesbGyI0hqOsPuECibPuJKi0g8QxZTYcLm/oKn+TFobT6XtmBNxL5mP64DFSPsn0UMC0X+Mw3P6RdDpZPXaJBWVy7CY4sSjpbQ3nkMiXsbEZ6/tFV49VG3axOz73+aLM36d3vbmxosxJY5k70mfQ7wOI/5jDGPL0t42x2omz/g9VtsmBMHI6hg1DIhGdh7w+MLPP82Zmjf5ukBTUzMTBYE1V97E5NuuwtLciJhIYsgSkZo6/HvtR/PPzxgwApMsLMYg+6esm0w9HYuAILD2qltxfP0VxR+/R6RuPJ65R2ZZ6CTKK1n64NO4lixC8XUhxqKM/9NtGcIRwDApOc2Rv+0EZ+46aF1dnu1HXnzl+fZhGEy54XIca3uLac2d7Uy+8QpW/PHhUS0pm7JTRwCxudWsL716RGsVf/g21k0bMraJmob7y4Xpb+7bm+a2wFZJW+Vad0mwC60MnCURxhZ4KDTNRtfnI4qZszF13d7jXTUYEtHYHKKxOSO+lr4iDIY2ZwVoc0QIEWaNw06LBzb65rGb+/O08AIwKUGKyl5m8aKjMAyRcS+qCOUqSCBiYD2pnpmx4/F5d2LhgitYtUTBZAoRi5aQkhQBpnpy/75N6WjHG+v/nuxC4+rNUaJoz7/RM+fQW7DZ69P/FwQDXRcRRZ1YrIBIaAbtjVcDua13QpOmoksyopZpraDZnRnDquM1tSy7/ymsjfWg60Rrxw8r5dV+5E+p+Pc/sfapGTMEIVU/1S+VGZq+C6EBImhpRJHA7FSqWkgkqHzp79j7/X2GJk9Dt25B0X2PpUyePMMlL77yfOtwfP0V1k0bs7bb6tejtLeSGIVxoqrmjpjFImNHvJalpTHrmzWAGI8hxuNooxFfhoF93SpMvi4CM3ZFH0Zn5kAsWt9Gu56K7FTaezraDAPrlwsRGzbRvdteI+6u3BztaimJ4qyJ9HQ32phm3xmSM0gk38Zi/rrP7QiIYgCH/RVC4WMGWTkTQQhR5P4zirwRA4lYbFf8wTMZzPdqJBYXRXI5SWsEBy14CjyU7O9UyQAAIABJREFU2hdjNmenqWSLn86Cdsrc6ygqW4Yo9UaORFHHavNjtS1g9kFX8dxHfwTDBZZeAdhd4CLXb1xDXQ31luwo4WasSjdmJUR3qAJjFF5fJimK2ZFtlyGKOss3HcR/Pj0OU2g8kyuiuOy5xUTXwYdR9fJzONasTG/TRZGk250qcO+bWheEEY8x02121lxxI+MevAtzZxu6YiY4fRYbLtzyqLGhKKy56lYm3Hcr5rYWdJOJ0JTprL90FFFhXaf2kftwL5yHFI8RL69k428uJTJh64z8EhJxChd8DLqOf+8DtrgjM8+ORV585fnWIYdCiDnaqMVEAima229nKDwtF6CYV2O29KaCIqFxNNefMchRmZh8XVQ99yTWho2oFityv9RMoqRsVLVpst/HTtdcjG3jOqRohFjVGFp+dgrtPxlZfUmGQCqJECRC+4oogaYGjnnkFtzNm5DiMWIV1bQcd8qgBfkmpZMx4x5FN1qpb53I8g2HEasKM6MmRInVRoW5vI/oEenoupVi9y1YrZ8jCjqCYCDLXtyuJ1HVUmLx/TLWF4UgTsc/keVWItF9icYOBATKiq/CYl6e3k8xbUCUAnj9l47ovRiMUnMVJrsNr7mdKNXEVQtmOTMKlMCGVCUypXoeSo6Uanqtwo3U7LQKfzxzrueSC46h5A+bcHT0dnR0TRrLyvMPw+lIiT2L3M3e1U9TYG5F0xUkIYHT7EEW44QTxSxsPZ767r1HdG+ikOxN3fXBMGBC1RcceJCJFz87m25vGzsF7Tmjo4ZsYsVtDzDz3FOwtLcikCqKdy37kkm3X8va3980omvKRXjqTJb/+UmkUBBdMQ+71nI4RMdNZPm9jyNGwhiyadRr1z5yH5UvP9frat/WwpQbfsfSB58e+u98iEiZ86vFTLj3ZizNjQiGQayqho3nXIR/nwNHda15djzy4ivPt47ArN2IVY3JSEtAqq5ptMPCo5HZrPrqbsaMewzZFCAerWLT+t+iqsOzurCvXsHkm67A2pqai2cIIrokpSNgsfIqNg0xlmggJt55Ha4VS9P/t7Y0MeZv/4dv34OGZfa6WXTprjVMP+gdZltchOL7YSDzBXDA+XdRvKG3q9DS1kz1c0/Qtf/BJEuyBx/b7GuYsvOlWG2pe60cM5/aKfP4uuNCypTynJEmw7AhCAJivyHSkhjC5Xg1Q3zJUgNlxVdjMqXWt1k+Jp74N4nEeBRTZoG4IGhYzEt6xu/YhnwvRkLqPg4lnnwDRVqeflZqmpl4bHf2KDJwirXohoQo5LY0UKQIs4t9hOP93sdDJ9JUeQnl97+M3B0mXldOy2UnMrtIBhIIQpzJFTdgUxrSh/R9XlvkMD+o/StrWieg6iOzY1HViRhGe8azXxDAagqya+WH6Hu4+KTlJD5Y7mXK+uLe6GgfxHgMKZnMqMsSVRXXV4sweT0kiwbyIDOwWBsQRJVoeDxD1e9tib/dUGxJ9BhIzXHs52pvaW6g/LUXaTnxlzmPcS1ZxNgnHkTxdKDZ7XQdMJemX5yVKcR0nfH334GtcVN6k7W5gbpH7+Or3ffZoUfD5Rk+efGV51uHbrHSeOrZjH3yQSxtLRiCkPpmeO7Fg7a3D4bk68L23AKCzKDjiGNQ3SMr3K999E9p4QWpFnZdMOGftSuhqamC/ZGuCYCu50yxmrs6mXrFbzFkGcNkwrv3ATSfkt1GvjnFeNShj7JLzafYlRC6IaKqC+joupYZBW9TccNK+Aq4m7RfldnTSffTj/P+0dnRr9OOvCMtvABEwaDatQ4bywiGBo7GCULuCFH/YdlF7gfTwgtAFJNYzF9htXyV83hRiCCJ3ajaaMSXjtXyMTbrp2hqBYHwz4C+HW8CPu8dGK4nMCsrMQyRZLIOI/kDiuQyiB9LMvkBZiV7pFrqaKhwLMKnHZD94qxyAo/tlf5vXwnldLyA1ZT55aJ/oMQse6gp+ITu4PCjswCh7j9gFu7BZv0oa6C3LCaZWrKamBCjzOLh6/U22juiLAlm1qjVrfma2cUemAEsBsKp7ZLPy+KFi2gcPyXrvIWOdk449F6KC1oQBQNvoJx/fXA246pWMrVuIaJgsLF1Kl9/8Utmj9/BvSANI+ccVoFUV2YulM52Jt5xbYb1haWlCc1sofWE09LbbBvXYW7NXsPS3Ijz668IzNp9y68/z3YnL77yfCvxHHIEvr32o+T9t9BNCl1zDht1wWzJO29Q9+RDKD0fmhX/fpGGM8/DM/eIYa+hdHVkbZPUJIniUhpGGfFKI+aODvQtGrZtWIscDLLp3IuBzML3KeOWslvth+nUmSjoKKaNVJb+FlGMIvxEg58APwOOAHqe+fYJZmr2zLYcKC7JtgEQBDArK8jtpZ8inpjRM0A6c3sikVkjI0mdOdcfCE0rQtXKMraJYjcu+wvIchvR+B6EI4eSXRemU1p0LRbzonQzgM32IbHEXUBJz3kjOOyvIopB4rHp2GyfYDEvw2F/i6RaS6f3Wjq6bqPQ9TBm81Jkqa1fRMnAZp1HIPRzNG34bf6KaV2OmZXZiMJo5tEqdPl/hyR5sVoWZ71qEmRmFU7Dpa2mZIaHTaFMnzSTGOXwE56DqwVwGbABeAC4DyIVxShHVVPjzL72YybfR4WjV6RWl27kzGNuwiTGkKVUBGls5SpKK5r4+78vZ5azeJs0hWwNnEu/xJTD6FW12en84dE5j6l+7skszzEpFqPko3czxJduNmOY5Kx+B0M2oVm3bnQ3z/YjL77yfGvRnK4t9tUREgnGPPNYWngBWDpaGfPMY3QdeMiwQ/zaACmMWPVIC/YNzJZmdN1CMlECokh4whSsLU399spM2EiJBIWffcL8H/2SlniS1VIXVdNSY30Oqn03q2YJQBRDmaJmOnAXcALEa0qxn3kgexVk19aZxdzWEKo6uLjoDp6EWVmKWVmJKMbRdYVEcjL+4K8y9tP14YtoVSskEDqOvsJKluspK74Wk9yTtrR+gt36Hh1dt6b3E8Vuilx3Y7V8htAnFZo65h7gZmSpkdLiazHJDQhCZtpPEBKYlbUUu++ko+suuvyXU1Z8ecYcxvT1SD6s5s8JRYY/aiYW3xm79UOEAdKZAJrmJhjOXlOS2pAlD4nkBAxj4PcyEt0Ps7I0owvVMGRi8d0R5d5u0Qpz5hif2pKHKLL3FtszCbgCtPdNRPfZk13rTPTvLlXkNkqszfTHImf+DoqCwYSyFdTN6mLJEtJ2HzsaNc8+iphMZm2PlVcRmjoz5zGyP/fEAjGe+bcZG1NLtKYWU5+h2ADRmjrCk6eS57tBXnzl+V7jWLsSS2v2jENzaxP2tSsJTRvY76gvnXOPxNq4CTkSTm+L1NTReuzPh30tdudyxu90GxZLC7ohEw1P4IV/X8TSn53D4V1+ShvXIydiqCYz9lB31vF6IMDqziaC413sPcGTLnx3ibXAp1n754omGftDcup4/FecQUFxbl+zaPgErKb7kKXea0gmqwmEhrpXhY6uO7GYP8NiXkI8MYNobF8gM1Ucjh6MSd6EJA1sqaBpDiKxAwiEjkNVazNeKyp4OC28UvepYTF/hd32NuHI4cjyBsqKr8Mk504PiWJKQBW5H0AxNfRZJ3tfk9yMIISwWT7EYv4q5z6abiGpDs9nbDPhyGE4bP/FrKxIr6nrcs/1qSTVMkLhH2XMshSIU1J0A2ZlFaIYQtXKCYaOIhjO3TgRivwIs7Iai2UhsuRF1QqJxXclEDoRd5/Ma/8aPoeSYyZoBcSem0XM9b/kmnwqywmEYVoxWE0hJpW1oEybTveq7N/zHQE5kPu64lXVObcDeA84mKJ5H2bNXIxVZqdY11x9O5NuvQpr0yYwDGKVY1h7+fV5O4vvEHnxled7jepwoVlsiP2GT+tWG6pz+CmPtmN/jiFJlL7zBlI0Qry8go3nXjpwwbCu41i5DDEWS5kgmmHStOuwOXrru8zmLuYcfisvr7iVF+6/AWdHJ5ZgEMOAky79PbZA5jWHygphD4np7gZmuHsL34PhY7Hb3ssY0tzfWDNNuYD3/XOIq7OzX+shGjsQr0/C6fgXohhG1Urxd5+NPqzCb4FYfG9i8d4uPUlqR0BD1SoBgVD4WADs1neRpCCS2NkvOiMRihyOP3BOepso+ihwPossdWCSs+uvBEHDal5IOHI4RQV/GVB4pda3pa9rOPdjs35AUcFfBoxSqepY4omRzvgz0dF1By7H8yjKKgzdgT/4c0QxhCx1EovvnvV+F7rv74nk9awgt1DgfIFYfHeS6oSc197lvwxJ7MBhfxVFWYNAAouyBDh40HvOhVZTmTHjsi+qOgZVrUTKqo2T6D+IXdeFwQz2dwiSA5g5R+oGHhzfdeAhFL//X9yLP0OOpeZKRmrHs/H8y7P2TZSW8/Xdj2LyekA3cja+5Pl2kxdfeb7XRGvHERk3gYLlSzK2R+omEKupG9Fa7T85YVj2D+bGTUy5+cqU672aJFY9lq5LD8QypyFr36rCJmbXrCSpO6AaIJUG9c6djvLmYuRYSpTESgto/c0PmF0ZTXlr9UHX3XT5LsXtegxZ8mAYFuKJydhtHyEImd1agmjgdL5O3NdffG1OzaWiVNH4fkT72UOMFEnsoKToFkxyE6CjqhV4/JehquMIhY9NizCb9T0KHM8hSl0Yuo1YYhb+wFnpdWSpgbKSq9KCyhjgwS0K3VgtHyJJ2fV5m9F0K0n1hz3rDO14nlBrcdpfRxRz115puo12zy0M1dWXC8Ow0B3M7pobyC7WbFqdFRiRpG5cjufo8v9hwPMUOJ/DbvtPuvjeYllCMrmRVBFgNsnkmIyIIIBuyARDg/m1CXh8l1JaeDuy3Igg6CTVagxDwKxsythTFA0ml77GpuZDB1lv+9L4y7OxNmzE0tkr0MPjJ9FywqkDHySKrLnuTlxLFlL84btExk2g44ifYAzirD9w12iebzt58ZXne8/q6//I1LtvxrQ+ZbcQqR3Pusuv32bnm3THtTjW9Vo72Brrke8KIJypQVbpmIo/HsJucjDN3vutWvvTjXjf+hT7K++h220Ezj2Booljc6Z8AOKJWbR77u+xZDADEoqyLushmqL3CS4IIYrdd6IoqYhcMjGOLv+l6MaWWwCUFN2Exdw7+0+SApQW3kpr51/om4qMRA8mEp2DJHWi666sOqbCgkczIln967MAdEPEal2CxbIMw8htTqqqRQRCxyOZTgX8RGIHYJIbMzoCU6k/BcNQSCTr6PJdQkXpBTnX03WZQPAEDGP08wJHRu7Im9m8LOd2AEn0YLV8mnGPkhgC02sIwlE5Rz8JQnatk4CO2bwCdRBTYlWdQGvnXzArXwMq8cRMSotuBDZl7WuWszsJdyRC03Zm5S1/ouaZR5ED3UTH1NL4q3OH9vcSBAK77klg14GHw+f5fpAXX3m+96gFhTTe9xjdnakuu23po6N4OrC0Zhcemxp9JF4qxHxqZlGu11vBotUzcJZE8BQs7U0nCgLRI/YnesT+Izp/Xy+saGwPTHJjRvpR0x0EQr1F3KVF12G19EYFTXILghimo+uPIzpvf2SppSfi1W+73IhZWU480b/WTkTTypHlTTjtr6HrDoLhY9D1AiTJk7WOIKTqwjTdjSy1IYpqz3YNQdAwDDGj0D6ZrKat88/ohitd7xQIngxo2CyfIIpRVK0Mn//XgA3dsKJpZYCBrjuBzA5NwxAIhn9EIPSL0b9JOTGwWuZht36AprkJhE5E01PREX2A4noBHYE4BtkRFsW0ClnOHnUkCF3IUgtJNbvuT5Zzvd86VvNnhCOHD3H9IvFEb0F6IlmHzZpdjxgfpr/e9iQ6biJrrr59e19Gnm8pefGVJw+ka7DkYIDu2Xuib6OWbkMUcxbNGoKAp+twiiIfY7E2oesmYpFaViy8giqPlfYO+LpsLExooMQapMLsHNHYnFz4A2chSx7M5uWIYjeGXkEgPJdEMiV8ZKkJxbQ+6zjFtB5ZbkJVR+/FJAhJyFEjJQgaAtmRFYAC519x2l9F6in0t9v+i9d/Ibqeu9M0HD0YXXfhdj2T9Zqu20iqlYhiHE0rw9t9LrrRv8ZPIBA8jUDwtKzj++4TjvwQWX4aSexttkiq1chSB8Xu2wiETsgpYkaOQXHhTdgsC9KRKqt1Hl2+y4gnZhGN7YfFvCrHUTIIRnYdlWFgu+tjjEsFhGKj30suNC13ymugbtRc+0tiJy7n80iij3D0IKKx/ekb1QyETsBmmY+i9NqmRJIlLGn5Vc5zbMbk9VD2xsvoikLHEcegjaA+81uBplH08XsULFlEYOYsuuYcmjE3M8+3n/xPM8/3HnNrM+N+exrKxnWIiQSxymqaTj6TzsOHbw0wXJJFJURr6lB8mdGGWPVYGqZcQOPnv8Fd/Amaaqfbtxcum8zuE1K+XfVGHF3bjYQRAby5TzAiZDy+q5FED5LUgc2+C4FgEtBx2F7FbnsHUcx27hLFCJLoR2V04kuS2jDJG1HVEiQlnPGaqlYTy4p6pdJjDtubaeEFYJLbcbv+D3/gNBRTPZLU67uUTFYRCJ6CzfphzkkuuuGi3XM/g82EHC7B8HFoegkO2xsIQhxR7EaWOlBMqciexbIYX/dZRKI/3KLzKKblWM0LM1KEqffgCdo99xGKHInT/hqynNkooKo1OdOH9mdfx3bvJwj7GHAU6bfCUEVUY88cYjRFOPLDnm7UvmKzkkhsb4rddwAGgdBPEcUwJYV3IsupGjurZT7R+F54vNewObVtGHbau+6kwPkkJrmJmGbiy47T6YxMG/B9KH/tRcY88xhmT2rdilf/Qf15l+H7Dozekbv9GILATtdegmPVcqRkkrK3XqHylRdYcdv9W+zKn2fHIS++8nzvmXjn9VhX9Q59trY0UfP0I/j2ORC1YOvX66y58qaegvuNCKpKvKKKDef/DkNRMDQFb8eWPaRzYZLXpx5uiZnoemZlmKaXoOkl2LADfkoKb8Jm/XTA7r2kWkk8MZrhwTrF7juwWhYjSb6etKAtbRSqqtV4u89hc1NBX6yWeTnTXbLkIZmchMd3CS7ni4hCGE0rxhc4C00vJhQ5HKfjlQzrCcOAeGIntobw2kwkOodIdA6yXE9FycWIYm9ZvCz5cDleJBI9hP62GsNFCIRw+x9DKsueXZpKu2roegG+wOkUOJ/BJLdgGBaSahkIUarKTkfX7YQiRxCKHAWA/fWPEGNxOA64FdgX0CG5uoLYiVcBmXVXstSEy/kCghAjFDkEi7IKUYygasUkknWUFt2MJKWOsVo+QzfMyHJvOlYUE1iUxSjKUhKJXdLbdb0AX/eFAHjVdoKJgSoXQYyEqXr+qbTwArC2tTD2/x7At+d+mUO9v0U4l31J3V/uQfG0I8ZiyOFQuvJSUpO4Vixl7BMPUX/e1pthmmf7khdfeb7XSKEglpbGrO2W9lZK3n2TthH4dA2XZGkZy+99HHNLI1IsRqRuwqjHIg2FIEQpLboWRVmDJIZQ1RLC0TkZNg19Mckbe2YlDmSbUEIgeAIw8mHEDtur2GwfIPZ0WEpSKGUbEZ5LJHYgsfhufdY1sChfpB/iVsv8ASJYZnTDTiy+D7H4PlnnNAwbHt9lFBU8hCR1pArlEzvh9V0y4uvPRsdq+RSb9VOS3kqE+w2sU+YjnZ5dLC5JXkSxG10fasRUAsW0Hl1391hvgHn+EoovvQvTYa2wO1na1NCtbBaSkeghRKIHYlZWgRCnxH0PZrk+va9sakI3TKkonN6TakwCfZ7p2gEVcGLm76PN+g6FrkeQ5VTEVddthCKH4us+H0GIUll2Tlp4pe43gKBnCyFJimC3fpwhvkZCwZJFuX352luxNWwkMm5gq4cdFSkUZOKd12UZKffHvn71oK/n+XaRF195vtcYkpSqw+q/XRDQzUNbDWwJ8aqRGW+OhsKCB7Favkj/X5Y9OO1vEIvtSSyR7eVlVpZmPEQ3o+kK4fDhBEInpwu8h8ZAlptJ2UjUYLMuSAuvzQiChix39BNOGqVF12A2f4UkxnKKLkgVtScS04YcqJ1IzKCt835E0Y9hWAZ1fR8+GmXFV2FWvkTsVDFOA94H4VAwTgChX3bI0G0D1qZtxm57A5fjH8hSG4ZhJ56cSPe7J1HyPzcg+wLwJHAe0Ccrq+sKkVh/yw+FeGJnigruSaf8NiOJIZy2N4lEf0j04D2wfL4UIdkrtHWTTPSQvdMxQW+yDfMj/2LM6f9GKuqN5oliBJv1o1R3qOTN6YmWS8AbhkgiWTfo+zAYyYJCdIsFKZZp7aGbLSPy5fvG0TSK5n2Afd0afHvvn+GCX/bGv4YUXpC6x6EQ1CRKZwdJd9Gox619k4ixKGOfeBD7mpUYson2I35M18HDH+v2bSYvvvJ8r9GtNiJ1E7JmrsWqxuA5eKjOrR0fxZRtOCqKERz2N4glZiNLTVit80gma4DDSCSnoOt2RDGzFkvXyvAFzmG4ES9ZaqSk8DZkuQUEA1WtQjcGOjZT/Nptb2K1LEo/vHMJL123EI7OwevPbfOQjTCMqNPwsdvewWJelOoULQLhWWABcBIIS4A+ekjXFaKxvRjovZOlZiyWBRQ4/9ZnaoAf2zWLsDz4JaK/R8QkgINIibAJkCwqI2Q/ikDo5Jzr9q2B64sgpiYHBM86DmX5eiyffIHc6UMtKyJ24G4ETz+GZLyFteEWxl3zDBMWzUf632whtXlsUjS+F4buBCmzU9cwzBiGkZGCTaq1hCOjT6uHps0kUjsB5+qvM7aHJ0wmUVI2wFHbFykYYNoVv8W2YQ1SMknly8/h321v1lx1K4gipu7cP6e+JF0FtB6Te1LBZir+9RwVr72IydeFanfi23Nf6n97+Y7rim8YTP39hRQs7Z0val+9AnNHOy0n/Wr7Xdc3RF585fnes+6KG5l229Uoa1chJuPEyiqpP+eibdbx+M2SO51pGCJFBfdhs36EJHWj6yZ0428EAjcSj0/FYlmctqBICZ39GUp4iR1eCh79G0pgFfJZrcgH9RbHS8oakslyNN2KJPaODdJ1M5FoZqG0zfLZoDMNARLJ8Xj926/+xWZ5p9eiwwRUAj8mNRbySOA+0Pa1k6wdSzS29wACSae48Fas5i+zhVIb8BS9wmszfuCYVITK99B5RA8f2Og2Fp+F1TI/w1ID+szgFEW6/nwlUnM7ppUbSU4bj1ZVhldtZ50nQP16kT3fX4MppEEQ6KdtNo9N0rRy4omdes6Ves0wIBbfhXhiZtpHTFUr8frPZzQp6zSCwKrr70ql6Zo2gSASnjCZdZddO/o1tzHjHrgzQyzK4RCF8z+k5L238BxyJB1HHEPZf15F6Tf7UbXZUZ0uVJeb1h8dh3+vgW1lHCuWUfP0o+lh36ZAN8qbL5MoKaPl56dvmxvbQtwL5+HoJ6JNkRCl775By/Gnfmvr94ZLXnzl2eGQu31Uvvg3zJ3tdB5yJN2z99qm395UVwENDz5FZN0apHCYaE3tNqvB+qaJxWahmNZniBlNKyAW35ki9yOIYqqAWxSTiKykqOAeIrE9keVWBCGCqlYSjv6QUOToAc8hdIcwL/iKkuvuRmrsEVz/Bk4BHuzdT5L8hKMHYVGWI4p+dL2AaGyfrLV1fWjRq6qVw34PBiaB2/U4ZmUVhiETiR5EKPKjjD0EIYosN6FpZRnjfHIV/yMBe5OqUz8dIicfhPeOiwc8u9P+EnbrR7mF5tvAIJkodeJYoofsPfAOQDB8NFbLpykrEUHFMFKRJ1/g3Iz9tOpytOqUbUmIpSTETZjEGgraE9i7gxAH3gVqyNBNyeT49NikTu/VFBU8gKKsQsAgnpyMz38+BuZhzPwcGcmSUlbe/gBCIgGCsE19+dB1yl/9J0ULPsKQJDoO/zHeA+YO+3BzazNFn7yftV1KJin6+D08hxxJbMxY2o/6GWVvvozZ60GXJKI1day67o/Eq2uG9dlX9eKzaeGVPkciQdGCj3dY8eVcsRQpnj0ZQg4EkKKRgUezfUfIi688OxT2lUuZfMtVWHuMSIs+fh/vfgex7sqbtvm5EyVl8B2b5uEPnokkeTGbl6YK7rUSguGjsViWpYVXX6yWxdisC9KCwEAhkcztUSU1tFJy8Z3IG5sR/X7EeB8REQBeAM4Hpm7eqBOKHI2v+3xkqQ1VK8MwsuugukMnYTF/mS7uhl7HesNIdUWCQGHB/QRCx6Npo/E7MygrvgqL+Yv0s82srO4ZPXQpkMDleB6H/R0k0YOuu4jFd6bLfzkgkUzWopiyGzU2t6ip1WV0X3DKoFeQihQNEOEbDziAUOZmXRKJ7zGdrrsuA3moyICJjq7bsVnfx2r5nGSylmD4pwPUyCUoLbqeamUlshQgqZWxybwr4VIXlqYonAv4gEPAsIhEy/eiK3F57w2j4O2+aIjr2boYyhZE0IbJpNuvoejDd5DUlPeca9kXtGxYR9Mvzx76YMNg8o1XIMdyD4jvaxvRePq5tB/1U0o+eJt4SRneAw/GkIcvKoVk7qFTgqrm3L4j4N91Typf+htyJPNzKFlQiPY9sNTIi688OxTjHr4vLbwA5FiEovkf4Vj+FaEZo+uQ+n4j0eW/AlEIIElekmoVoGCS/5Rzb0GIZXzR3uyl1d/RXpGXUbr4BmSXD9rJnUnqIiXAejJCqlZNIjEFkEiq4wa8YlUdh9d/PgWu5xBFH7puQ9PKEIQkotiJLPlw2N8BwGb5BF/gjBF7aCmmFSimVRn3Koox7Lb3EYQV2MsbkKTudGpRFDuxSx+gauV0B88gEDoRi+ULpD4C1tBBX20nsc9E/L87A23M4KLQZNqYc7thgL6XA2YaSPN7a+8MUSB29O74Hj4bbdiRP6mnA/KQQfcqKngwcyi31MG46k/xnbAryUe6MYVicAXoionIMQfTdfdlwzz/txdT4yYKFi9ICy8AORKh9P23aDnpl0MWwNvaOZWEAAAgAElEQVTXrcbakPtnnChw03xi5tzORFnF4LMhB8Ez5zDcixYgJeIZ20OTB/ZL294Ed55NYMauFC6cj2CkUuNJZwHtP/rZdybzMBh58ZVnx0HXUTqzu6bkSJjS99/Ki68tQDdc6GpvN1ggdCK2ft5ZA3UVylJfQ1iN0qLrsEhfIP42Dr8iVWieKytpAmMiYKSKr7sDJzNcb61o/ACinQegmL7C7Xoas3kZohDPql+SZQ8FzueJRA8m18eZJHbhsL+OYUAo8qN00X0qypUd+ZOlTgQh+3cQUt17FvOXdAchkZxKIHQ8Dut/kOU2NL2ARGIKngOuxTjAjNP+PEW2PyOKYQzdjK5bQDCRTNbiC/waQUgi5JjFaBgQjhxEd+hX6I+6KbriHkyr60E2EA8MYr53BRXKhahqJV2+S0iqo7FWSGC3vYckeglH56Jp5al0Yf+h3GII640y3pl/wPm3N0DTiBx5AOETv/2NKMPB8en7WXVYACafF3N7K9GxA3+BgFQnn5jMntZgCAItx/2CaN3WmHqQousHP8S9aD6Fn32C0u1DtdkJTZ7GprMv3Grn2OoIAqtvuIvKfz5LwZJF6IpC609/TmDXPbb3lX0j5MVXnh0HUUTLUeRuCALR6oEH9uYZOZpWjtd/HgWuZ5GkLgzDioAbSVqR7aXVxyLBaX8Zq+Xz3nSZAziElP/UZ0DfLMc0EE4ABBCEeEokxQ4CJCzmxTjtryAICaLxXQmGjgMkFNNy3M5nEcUQumHGJNcjy4N3g8lSOw77qyimTcQTUwhHDgVM2K1vUeD6K6Yeo0+H/S38gTOIRA8hFt8FTXMiSf0d/PWs9fvSdw5mIHgqwdBPUUzr0LQy1B4RY7N8iMP+ZkZjwWYs5mUoyho83ssZqBkiGtsPVa0BN3j+cg1gUFFyAbK5N80pKWspKby9Zwh5bkEryxspdD2OJHnRdQfdwZ+j6UWUFt3QM9NTx+V4kXB0YGd4A4HYofsQOzTbQ+2bwtJYj+LzEpo8Fd2y7e0TSipeYwyPYzmyJTWr/F4yRjMlXW7ipUOnukM7zSBWXYOtX/QrUjuelhMGG1k1CgSB9Zdfh7m5EfeXCwlNnER4p5lDH7edMWQTLSf96nvR3difvPjKs0Ph2/sALC2NSIneGoZozf+zd95hcpVlG/+dOr3s7GzLppJGCjVIEaRLFaV3EbABogLipyCIiiioCCgCn3yIiii9d2nSAiRAGiEkIWWT7TOzs9NnTvv+mN3ZnZ2ZzW6ygSTO77q4yJ4558x7Zmfn3PO+z3Pfk+k65vjPcFTbGdkctneWYrns5PacXbFgNz+7dEBf8bsLv9+FQz0HVVlf2Mcw3CQGhSXbbe+Vr1O6DjgGrMkgSMA04A6KDEFluQWb+j6K0orf87eC8LHb3seuLiWWOI1gzS/LBj0PhyBkqPHegSiauJ3P4XE9SXfoF/g89xWEF4Aid+Hz3EsqfRCaPpVMdo8iJ3/TVBDF8rmSkPcVy2YLBWy4HM/icT1dEIqimEQSw/lZrWFqpFVlNXbbInSjoUT86UYj6ew+RdtkuRW5bAj5Rmzqh2VCyEGSOqmv/SmK3D5w/co6dMNf9PuVpF48ricxDE/JzKdheIgnTqh8ISPCYqAubHQoiQQn/P4KGtvWIScSZMY103bimXR+5dQtHFNlJk27hcZxDyApGZhD3jJkL/LNI4BhsxP5/EHDd0IbOsGXn8e/cD7xGbMQNA17RysgkBk3nrUXXrbVOvmyzRPobN76/oFVtpyq+KqyTdHy9YsxZZnA/NcRs5m+6J3/+VS+8W42ldbrRnis/5038h/Us3YZNkA32p0gLCSYPNlCFfI37aHh2o5nXsd/w10oa9uwVBlt2kRW33oh7mmVlmwH+1+56ApfR43v9j6jTwfx5NGk0kcODLdM9A+ApYPZ5EK6IwkVmsFEUUOSevA4ny4SHYJgYrctQRR7Ri288sdTWI4UBAObuopAzU15j7EhyFIHqrIaXW8mGv8qmexcHPaFWMik0vsR8N1Z1mRWN7zkcrPpiX0TAKf9VWp8fy4zczaS8ZrYbCvo6b2AWv9NyHIrggCaXkcsfnpRE4IkdeJ13YcglHaF5a9ZR5FXUuO7C0kKY5pu4skvY7e9XyS88tceQRRKr00QQJbjGIYbCxVRiGPRSCxxBDltVsn+m8bC5/kLTvvbCGI6H1we/TaaPnNUZ9nvtzcw4eMlhZ8drRuYcO9dRPfen2xT82aMa3hEKUWg7uW88OpHJf9+ngJmi8zGs86n9YzzK55D0DRmXXExnmWLkXQNC0hNmMzaCy5D99cQ2f/gbfuzrMqnRlV8Vdm2EAQ2nnshG8+9cNP7fsYoPWGm3ngtjpa1WJJMYue5rLnkihE5UUP+g3rnq76Pd9kipGwW46lHGPfofSy//taSNuvmxny9VnsoxdKeMOHpTib6Ogk64jTaPATkBoRkmppf3YmyLi86hEwO27LVBC65kxf/+i3m+htKxNpQDKORUOTnFR+PxU/Cpi5FHiRQzIxCInsIqX9/kfpJP0MkWfZYTW8km5uLKP1fyWOimMKmrhx2bKNBlroxLSeSUNwuaFl2vJ57sSmfFHIJ44kTC3YXqrIej+vFPjd8AU0fRyxxItnsPHRjIEjc7Xpqs4RXfgwSmeyuZHO70959B07HS4hiimTqCExzIEvU43oIr+cBZKl8iLqmN6PrTTTUXY4yKExbkVvQjfKGo8P5pwmCSXf4SizLng9ZT2Qr7jscPs/deN0PF8xVFbmDutrr6Oi6tWJYdzkCq1eXbFMjIZoevY91F41FPFQxNns7ilpa40UDMA+0RC0dXz512C9aDU8+hHfJ+4hm35cBwLVhHd5li1h5zW/GfMxVtl+q4qtKlc3Bstj56kvxDA7k3rAOMZ1i5c9+O6JTND18L/73FxQ6fSRdw7NiGZPu/ANrLv1Jyf7NjV6a8dLU4WTR8jAf1k/EE0wx0dfJXD9MeHYJ8rrS2R73uk7WL/TQO6eFqYEBsbY55LRd6Y2dj8f1RD6r0HKTzB5CbLezAUhl9sNhexNJytc79U8K6npdwRbCND1QRlAMrqfaUkzTi6m5kGzLirZblq3PxDX/XKqYxOf9G5ncLuj6JKKxCxCl0zH1h9GNOpLpIxB6NCxFRva1IEsd5HKzEMXSmaihDLbHGHy/ztelHdG3j4NkGQ81QUjgcT9aIrwsC/Ldos1Eohf3La0WNwhIUgyrQpqAZSkIQnlbArAwTS+avhNOHOQNvkaPw/52kas9gCK34XE/Sir9BTzuRxAwiSWOR9MHAtpV5UOmBe5gmhQjq7lRlcTQU+dHuZU8/7KZJrRcDbI85MtDJ/AeJKbP2qT3lG/RwoLwGkx+2bFKlQHGRHwtWrSIu+++G9M0Oeywwzj++OL6HE3TuPXWW1mzZg0ej4dLLrmE+vptMwrivwkxnaL5X3fjX7+GoNPNhq9+k+y48Zs+sAqepe/jXPtJ0TYBcH/8IXJvFN3nL3/gILxL3isIr8E415Z+4x/M4FmwrOWm3agl6NiAX0hQKwoDgcl9yIg0RF180laDrFrkPSBKlyxHSiL1JRKpYxCFJKblZHDBd7jnR9jURbic/8YwfPklTQGSqS8WljeTqUOR3PeX7TashGWJJZ2OlcjXbsXQDT+Z7IzCDJWmTUGS2ksMUmWpB6/7ISLR/GyKaU0mGv868poN1F/+Y+TudsS7Y9BoInoNNL1hREawggCG4aA3diY2+wpEIU0uN53exNls6qPXbnuvRFTlXweJaPxs4okzABmv+7GyxxumFyPnx6YOvJc0rZlUel+8nofLTt5oevOwFiBDRtL3e34RQ28gnjwB08oLE1EoL9rstnfwuJ5A6otQctjfJpY4iVjiLCSpi2DgV4Vr9qhg7CXBEKeGbG0d7Vsh7B7ANJxEug+hsflBJDkvrq0cGPMd9Ew8gE8u37SLvuYvH2Fl2HeEtIwqY8kWiy/TNLnrrru46qqrqK2t5YorrmCvvfZi/PiBm/jLL7+My+Xij3/8I2+++Sb33nsvl1766Rryba/IvVEsSRpzt18xk2bO5d/G8/FyADyAd8lCPv7pb0jOnDOmz7UjYuvqLOvOLGXSyPHYiMSXWeED2VSHD/Re+EkHnWYaox48wRR7NqZotHkQjz0W/Q+Po3xSXJzdNX48q2cmmDshQdDhHNXMlyCkCfhvQlXyN/GcNo1I9FIsy1G42Q45gmxuD7K5PSqeM5Y4C91owON6CJu6epPlcpaVL/4WBANJShRtH3ysaQqAhCBoqOpaVMAwnMQSJxNLnAVINNV9q8J1DpkNMgzqvn0t6kdr4C7ymYp9KHInhuHGMByIYrrs7NbAeU0y2l7EU6MTDIZRi2HakYbMsImigc/9MJKYIhr7NpncnD6z1mLBrRtNhHt+hNd9P6qyps+f7CxM04XNthKbuhxBMPpm0kDTJhDuuYyRFcdb+Vgk+1uF8blcL9EdvgpNn45uNKAoxTM9hmFHliIF4QX5GTq381niya/gc/+zdAbvLoNMpwtxsYKUTJBtaqbt5LPJNYxFukF51q++hFRiKsHG51AUkXDr7rTZz8K6emSlBK1nfR3/wvlFWbGa20NntWGoyhC2WHytXr2axsZGGhryH+af//znWbBgQZH4WrhwIaeccgoA++67L3/5y1+wLAthWw383AawtbYw7be/wN6+EUSR1KSdWHXFL0d0Ux8JjY/dj3vlR0Xb7J0dTPzLbXx0w5/G5Dl2ZKKf249MfWNJIHeuroHMCGcPW087B+/SD1B7BorMdZebzmPKd5i1dsRYFA8XRNdEX4igw8lsV97rSbGvhv/NYV0GwlqwVIHktCCv/uI7HDzZpNG26ZqvoQQDP8dpX1j4WVVakMReusI3jOo8xVikM/vgdT84oj6FfEF4b182ZC2SGEEQdCxLAkQM04ksxfoc+4sdvSUphcv5CrHE6YBETtsJVV1TtI9huoknimOF7K8uRF7dZ+0wr3RMkpTAsoTC+PMCTCgRQabpwTDqNn2RQ8hpc9D1SUjqx2Wf2+38N4nkscQTJ+C0z+8TU2bfsROIJ76Ez/N3DDNAqOeKIlf7ztBvcTn/jd32PpbpIJU+iExud0bqwaaqS3DY3i4ShorcSo3vDrrCNxKJXkxd7TV9dhb5uKisNhu7urjkXLLcgaqsQiwXAu6B2MMNbHjuZpSeCMlpM7A28cVkLOjuOI7ujuPw+/1Eo1Eq9JiUJdvQxKorf8mEu29HDYcwXG46jzme0BeP3XoDrrJdssXiKxKJUFtbW/i5traWVatWVdxHkiScTifxeByvt7T48sUXX+TFF/Pu1ddffz3B4NbLe5Fluej8Uf+m6zg+FUyTKRddiWOQOLJ1dzL7+qtpuf2eMXmKwJpVCFZpjY0jFsXvHxuBtz0hSdLortvvp/eks5Dv+ytyn3jKNY4jfMGl+AOBkZ1jn/3p/OFPqf3H/yFHwhheP9HjTsI48TSGjqSltYcVWpKm3YN4a1JMcqVodk6jzjaub48MLvvvkA/rgg+AD0FwWjinKHyh102tOoNNIUty0WsgChuxq6VLoDb1EwI1CUxr9EvUsvgKNvUuRKETQRjev2sokqSTzFyH0/4jRHFgZkUUk8MWkstSjJoaDcuqx+BqdL0HUVqBKCQxzHo0/SicrgNwFvaX8eR6BwwyK6x2DhVagmAVzYBZlojJPLzeyaO4SgtJXIwsLUA3zkXUH0eW3i1ZcpWkKE31P8AwJ5PVzsbM9SBL72GaE4EE9bU39DUNgM/zHKnsLzDNuYPOcAaGdQYIYHfm/yt+zeSKfw929fWyS8aqGuo7xk86dy+G+QiiuAFNPxrTagS+Rv+Sd+FqLR8u1zQE4QtYVmncUjI1Dses/Ey8j8rYPl5O4IG/Yykq4bPOR5sweZi9R8aoPxP6OeAQ2g44pGjT9vyJutmvww7E1ngNtrmC+8MPP5zDDx+IwgiFygTYjhHBYLDo/NHo6G4GWwvP4oWo69aUbFdXf0xq9cp8BuEW4q1vpFzfUdbh2mZeh7HCs/h9Jt79p75voi5ChxxJ25Boj8K33FEQPelMWvfen8YnHsBwOOk44XS0mloYxXmiex/Ahr0PAEMfsJgoc3w8HsfukfGoAj4EvIaEknYSTUfxuv+F2/k0ktg3CycCu/T/sw0v7xONbvo9M/Q1sKnrcDvKWRPESCbXkc25R3ydALLURkPwBmRp8/6mTdMC6x9IYvGS1nDCC/JeZdEeGYv8tUW5AUX5GEVuJZPdA9OswWF/ErfzecBCFKYgH//vvHfZOmA+sCtFE0OVa9BEclojluUhk92VaOwbwEjfDzp1gZ9hU5cgSSkM00EuNwOoK1v/JYphRDGMJC4lEv0eyfSPkaWNNNZ9H1Hs7XttQBJaUMTf0hm5ZYTjGP7vweMOonpLl1kNXR1yTHEjgRyY0bdEOrAtm5tOpMcDHEx97Quo6hIkUcOyoDc1mVde/zo7Nw7/+o2/506aHr2vECztfvl51p97Id1buNS3OZ8JOyLV12HrvAZbLL4CgQDh8MC3mXA4TGDIN//+fWprazEMg1QqhcezYyeWbwlyIoFYJihV0HKI6ZEXKQ9H62lfI/DWf4rcl3M1AVpPOXtMzr+toHZ3Mv03V2PvHFgetLduwFRtdJxw+hafPzNhEuu+MwY5dxW8vTaFqizB67kfSazQGWZJRQ71oyGnTUPXm0rqd3S9iZw2slgbSeqg1n8LstSGKPYW1WyVwzQlRLG8mBKEDIpcPiuvEoZpJ5k+EIvi5SpNm4mm5X2n/N4/43Y9UVhGs6x3EYIW/Aj4NXApWA3A/iJWUMKgts9MtVR8CYKJYTTSFR69rYDH/XBfekBf96uYxm5bnO8OHQZRzBHw34QirwPBLKqr6keWuhCENJa15R5TieSXcTufQ1UGagtNUySdHT7+qztyNQHfn7CpHwEWWW0GPb3f7XtUoiv8K0zlSWTbcroS01ix9mQSycqmtwByrJf65x4vCC/I21E0P/QPQkccO6pw6m0dOdqDf8Fb5OoaiO02b/O9BatsE2yx+Jo6dSrt7e10dXURCAR46623+N73vle0z7x583j11VeZMWMGb7/9NnPmzKnWew1D7577kGkaj6NtQ9H2bEMTmfGTxuQ5DI+X5dffyqQ/34Ir3E1WtdF66leJzdt3TM6/rdD8z7uLhBeAnE4RfOW5MRFfnzVe92MVhReApo8nndm8aBjLshNLHo/P809kKe9/pBs1xJJfwbJGUoCsUR+4GlUdXjD1r37nPxJUDEMou6wlSSlEcVXJ9nLkZ41mkkwfTrLPoV+W2vC4H0QUMsSTx5LT5iIICZyO14rqlwrLiRcAJwP3gtALIeM7aJFZ+H23ocgdpU/ahyiW9znbFA7bByWCThCAEXR5iqKBz/sQhuEsW/xvWSqWNTZCxLKcdEd+TkPt/yBJEQTBQhRNXI43yWT3IpOt9BmiEukdrtFKIprej5aeY4kmnWBKQKmQHIxn6fvYO9tLtqvdnTha1pHaafqIr2vMEXQmTbsFn/8DwCQZ35m1K3+IaY5eAI+/504annkUW3cnhs1OaspUVlx7M1rNCEscqmxzbLH4kiSJ888/n+uuuw7TNDnkkEOYMGEC999/P1OnTmWvvfbi0EMP5dZbb+W73/0ubrebSy65ZCzGvsNiOhxsOOebTPzrHdg72rAEkUzzBNZedPmYftvJ1Tey6qpf79DTykq0vEGllNlG6vu2lApLbqYpkdOmE4lewpb8mSeSJ5DJfA6P+2EA4okT0Y2RxZe4HK+iKC2b3G/wW1oU05hm5cLvcrNNml6Hpk/oc3Q30PXxhHt+iGEOFLo7HS9S472z4KDvcMwnkTyaVPogJHEYV/0g8P3866mFpqHpzShSZeEFoBtBJDGMz3MPktSNpk+iN34mljX8Mm0lby6xjLt9JSQphWWJDC5UsyyBTG5XRv8+sLCpi3E7n8cwfcQSp2KagcJj+Rilgbo3We7G772Hju59UBcux/uXR8EwSZx+FJlD9i46syKvxut+AEHMkkwdQjpzEJsTQ5Stb0J3uZGTxV9ADJerojBp7YjRnqy8gtDkchbsXLaE6bOvobb+eUQx/xq5vR9js3ewfNFtozqPff0aGh+7D7U3/xktZTN4VnzI1N9fy4prb9ricVb5bBiTmq8999yTPffcs2jbaaedVvi3qqpcdtllY/FU/zWEDj+Wnn0PJPjK85g2G+EDD6/GUmwGPfsdSM3815D04uWLTOPYx5N8FiRTX8Rue69o5sayIJk6gkjv2LiA68Z4enq/P+rjJLm9bD1Wf1egaeZnYobmKYqigWmqJUadgzFNB9nczhhmDb2xc/rc53XyomOoiDHwue8vii6SxAQux8skk1/ENP2IYjfDI6Dr49lUR6CmNxNPfJmGuktRCvFG7+CwLaQj9PthBVgseTx22weIgwK587NYw9e0lYxUMNG0egRBwLRUstldiPR+b9MHDiHgvwmX45XCeJyO1wn3XEY2Nw+n4/WyMUySGMJ79z14b3wUKdqX3fmfhSTOOJroNfnUCpfjGWp8f0Hq63B02BaQSr9DOPqjUY8xNX1nklNn4lvyXmGbBcR33iVffzmIftHVb9MSqCn/efpeT5j2T1LMaLTwujbvy253zwbmuhcWhFc/dveHOFyrSSdHtmwP0PT4AwXhNRjHoJIRQddofPxBvIsWoHt8tJ55PpnxEzdr7FU+Hba5gvsqAxhuD53HnfxZD2O7pvuwo6n9z4v4Fr2LlM1iCQKpSTux9uIxqNPaBkhn9ieZOhKn/Q1kOYxheMjmZhMp1NJ8dqTSB+F1PVZyk9a0SSQzB6Jpk/F57sWmflJyrKY1I4hGX62YXvK4YdT21VUNvjmW/ziTpU4kqXR2S5bDqLaPyWT3xCm9hCjkn6fcsp0gGChKC4bpKhSzD8ayIJ3Zg3D0SgK+WwYJrzyqugaf5x9EYxeUHSOArjdjWiJi0fOW7pfTGpDEBJJUfnnTsiCePJF48gTy3RejFxCisAqn7fUiIajIndT47qajex6aNgHTkguvWeG5TTvue14sCC8AKZHC9dRrxC46HbPOi9f9aEF4Qb5mzW5/F1leD/rAcnZ3Ry8N7S0oAaVESA1mxS9uZKebf5U3JxYE4rN3Ze3F/1O0T7/wytZKOOsGbFrKsd5XyzrS9EY68HUI7DW1cWQv2uDnUj5BtZWWA9htCdpii0l11494ds2oME5L7PsiYBjMuuJ7eBcPuOv733+HVT+8ZocrI9mRqIqvKtsfloWYSefNSKVNeBNJEit+eRP+d16n9vVXSE2ZSueXTtqBZhEFenq/Syx+Bqr6EZo+EV0ffV1gRO9kXU8bqVRqi+KHBqPrk0imD8HlfKlQl6bpjcSTRxWMPkUhWlbsqOpaTNNLd+QqAv6bUeSBm7Vl5SN6RioqDNOXz3kkNmS7HU1vJpk6Ek1vzBe7Y6IoLQhCesi+NWDpNNReVTJTB/0+X25Mswa5jNADNrkE63P/C7mCoBqMps2mO/ZVavy3Y1OW91ltDDyu6+NIpI5mpL5dZccqv1g2u1ISQwhCmnTmC2jav4qEs2FKdG1son7jkpLj5PZuut56id4jJ9Mglna7ylIvvcKzLIt+npZeg+D9Czn38SfwRrqxnA6S03Zm5VW/xiwjRAy3h1VX/XrY62lu9NL+SYpodwJDcNJCkKCjdOkxlE4RTjiJ98A0Wy2+zSyTk2JTiSUC2GuKRXg0XktbxxzmNI98WbP9xDOoe/k5bN0DHa8WEJ+Vb2kOvP4ynmUfFMUa2UJdTLjnTj6siq9tlqr4qrJdEXjtRcbf91eUSBjD6SJ8wCFsOO+i4WvhBIHovgcS3ffAT2+gnzKGGSSd+cJmHbs8uZpQOkVXZjxGqpZQ4OOiwO4toaf3uyRTh+N2PYth+BHFODW+e8oWpQ8WYYKQd0APBq5H6BNZliVgWQrpzN5EoiMvY7AsF9ns3L6Ov4EblKbtRC43m4D/99jVxYhiBt2oxTBnIghLC8t9liWRze6Kx/0Estw1zDMJyFIbpll+adEwKs/eAIjipoO6TVMhldkH3ZhId/jXQI7amt9hU5YjiDkMvY5I74VY1uZ1uPYTzXmpl0s7TzOG1FebJtEV/jW1/psxxLXkDJEV3Xvw0mvH813nj6hJFQvQpNvNK/rORJfWs0u9G7un+Fqzmo03VsxhTWginjUxznrgftyhPrGRTmILv8GcH15I727zCB10OKkZs0d9TXtNbczPSoVSdHbBf0LlZ5SkLjjYU8ucnSduVi1sfwZr6+oTcc+9B6cjP1OayTrpaPkic5p3HtX5tNo61l50ORPu+TNqdyem3UF81i6sueQKAALvvIGklX4hUCPD1DJW+cypiq8q2w329WuY8qffYgsPfHNWH25H9/ho38YsMhQlgi/wDpl0M8n4zgQbnsPtXU5PeH+iof2p/c+L1P7n3xguN62nnkNm4kgz9caOiN5JRzbO+x1O4qEgrpgdXw7eloKMmw4TfZ1jIsJy2iwi0VlIYpjGuosqdgOW08+ikBkkyCzAIJubW2IdsSnC0R9imm5stiUIgoGmTSES/T413v/F7XxhwN5B6sE064knvoyqrgQsMtnd6Y1/jYZg5aVqy5Kw29+l0bYI3Qii6zXIck/hcU1rIhY/FUFIVKz7SqYP7gulLp+NaFkS2dyupNKDDTxVwj1XIpBFEDOY5nBWpJum/z2RTO7EF8aNo8E90HGtGTLLQ7sSTq6l0Za3v1i24Zy+948TqQsaRAe9M/fEF3kZ0ewTr0Dv1DlMqt2NSUno2bg/NdOfQJYHavpikRnUbDiIeQjss+zFAeE1CPeKZXhWLKPh6Ufo2fdAVv/o56NuQCoIo44YpCvs5GFMCu7TsfNYuWQ//HV/QxB0YuHTiPfutVnninzhUCL7Hz3kRZkAACAASURBVIy9vRXd40X3DvyeE9NnUvfiMyU5sfoYR9JVGVuq4qvKdkPzA38vEl4AcjZD7esv0X7K2UjxGIgihmt05p9jzYSd/kR941PYHF1omh3LAknKIUkm9eOeQD/Fjfx0AimXv8n6F7zF2ot+SOSgwzdx5rEnZ01iPB4UFQIBO16XQFOHk0XLw3xYP7EvxqiTuf7ND+Lux2b7oKjofSSUq71y2BcQT462FlKiJ3Zx4SdFXk1tzQ3Ybe+XdFCKYhcWIp2hYlPSSjNXpikiigaSYAAZJClGNjcdLT0NUYpjGF4EIUt98EcImH25i5ejG8VNH+nMAaQy++GwL0ASk1iWRE4bTzY3F0mMk87uTTL1RcotJ1rYsMyxid7JWZMQxQZeW3YL8xqvp7Gui2xWoi28L69uPJ2Z42QgUthXMUx2VX0oHo3mRi9tP70O+e7b8C1aAKZFYuYc1l94Kc190UChth+jKo0Egq8hCDqpxHTWrvoBzY352TqxTGYqDCwyK4k4gTdexn/okUT33n+zrnEsxNVISCV2JpUYfkl0xIj5rvehdB17Ig3PPoFr7YANi+b20HXkcSX7Vtl2qIqvKtsNUrK8n5WUSjLnsm9ib9sAgkhq8lRW/fjaMcvBHA1Oz3Iaxz+EouTrixSl+EYifZBFfCXL4BxnWzjE+Pv+SuTAw7YJ48TmRi/Njd58gHcXfFg/kZZgij0bV2/RLJiuj8c0nX0ZjKVUCqcu3U8su11IZ1GXrsQI1qDvVDn6SJZa+rIHS2dX+ulfAhSFGDW+O1DkDViWjmG4igrddT2AJJXamUhiD12h32BaHuprf4zDPpBrKMshgoFf0NF9OxSX1xPuuQpV+Qin/Q00fTLJ9CFszse02/UELscLiGIKw6gj0nsBuj662dVUrp6nXrimsFyn+RRwDe+7BYAk0fKN4Ro+BNrWn0fb+vPKPtpx3MnUv/AUaqRyEoKcSVP34jObLb52JEybneW/+ROTb/sd9taNmHY7nUdX8yS3dariq8p2Q+SAQ6kpU9+ghrpwrRso/LV1dzLj2h+x/Hf/+2kPkabmBwvCqyxPQrlIQ6UnhJRKVpi1s1BtvYiCBHx6jQKfd5mMu/FG1M42UqrC4sP2YNl399vspcicNpOsNh2HrTRgGfqL1gf+b5pOBEEvspwwTBvJ1BElx7r/8RTe/30IuaUN0+MkN2ca3X/+GZav9PX0e+8ZVniZpptE8jhAoz74Y2zqysJj/YawliWg6U3Ek8cR8JV5nwkmCCaiEEFRSqPCFHk9LucT6PpksrnZDLbHyGmzyGmzKo5vU7idT+D33DUgEpUW6qVr6Aj9AdPc9jP6cg1NtJ56Do2P3Yejow1LEMrm0Gq+ms06vyim8dYsRNe8JGK7sjndoNsaWk0tq34yRjNsVT4VquKrynZD6NCjCMz/D/6FbyMnExiKQraxGVtHW8m+znVrULs7ydVtedfeaDA3tfSzK2ADhpT1GE43hr3UNb62/gWaJ/0VSe7mAMNBZ3pfVvWcP2bjrYSYTjPrJ9/HtX5AODTeu5Gljho2XnwC/ctOo0OgO3wtAd8fcdjfKesTpRtBYvGT0PTJZHPz8Hv/D6f9NSS5O3+LtGTcrufJ5HYrGH5KbV34broHuTO/pClFEzjeXETtD28k9OdrSq+tjFXEwPPXYBhHkdNm4XI+i6oUB4sPrj9T5DYEEliWHWGIEaokRgnW/BxNm4xAmagwQSew4jaEq03olNHczUTPP5f0MZWaJgxqvHdgty1CEDQ0vZlI9JIiI9l+3K4nS2woFKWNxuB36Qz/DsP4dP8mNof2k8+i66gv4/vgXWrmv0bw5eeQ9AFbi0x9I22nnTPq89Y1PsH4yX/B7tiIbqhEeiby9L9/QiI58DqOlclqlSrDURVfVbYfRJGVV9+A+6Ol1Mx/jeROMzAVhVk/u7x0Vy2HlBqbHMzR0NZyFoH6V7DZBpZMipbTjgdzroz43sCNxFBtRPY7EFuoG8PuKCyX2hwbmDz999jseQNQB+BzPYEo2kgnt+6SQsOTDxblfgKomQyT//1yn/jaPCzLSTj6I1TlI+qDV5REIxlGI4nUKYWfo7FvoSqrUZS8q7wkJXFICxkXPofsD/YgetnXcD3+ckF4FY33ozVl1zJ1o1SwAGSyMwj1/BSPZ2cgiqp+XCE8O48ggM/zCJZV+jEqCCYO+xLstmVl42SENSCcbkILgI7KempX3UzIbiNz6N4l+wd8t+B2PV/owFSUjUjS1XR0/4nBNWA1vj+iKuvKjldR2qmvvZKO7lvHJONxa2O4PUS+cBiRAw5F9/mpeedNpHSKbG0dLeddSC646bD4wchKD+Mm3Y7Dle9YVcQsDfWrOPTom3hg8a8AiPSk6exK055Mcfi8bX+WcKwQ02maHrkX96oVpJsn0HbauUVF/VXGnqr4qrLdkZi1C4k+jxsxnSYzbjyO1tIczPSEscnBHA3ZzARaVl/MuIn3YLN3ks06SaTdqBI4HGm0XC3tN55Mzc/n49iwDlNRSE6Zjn/h2zQ89zimopKcNpOVV17H+J3/WhBe/ciiRoNrIeu2svhytqwru9SjVIhlEYQkHtejKEoL6czefR15pYXhQiKFumw1RmOQtOvzOOxvFHIcNa2JSO+3i/aXxBBKGTEhTc7g7J2Pev5q0p/ffVTXJlBa0G1ZAvHkiRjGgKFmJvM53I5nKwZ9AwhCjuH8tATBRBAsDMOBKKYHdOC19AmvAaRwL947HyojvnLY7YtKnO4VZR0O+xt90TygyCtxOV4uivwZiiK34HY9RTxxSsV9tjkEgfXfvpT13/w+opbDtI0kV7SY1o4YDVPuw+UutQpp8LSw+/hVGJadUCBFSzBIWwgeXvoROyuuHX4WTEwlmXv5t3Gt/KiwABuY/xrLr/8TufrRGcxWGTlV8VVlu8Z0ONhw1jeY+Lc7sHe2YwkCmXETWHvhZSCWL8ze2nR3HEd359H5pY1cDbre/w3SpL/AOvSTfCeSmEqy2wVnFYWo20JdTPvNz7AeLH9TF4VST59K9FsHjLZGK3zAoQRfeR5pSOdZqj5Ysq8kdVBfeyWK3IIggNPxGm7n83SFf83gjxjP7Q/g+cdTyBvaMfwecrvOoPuen+Cq+Q+GESSePKnEKkEUE+WzDZ1APcjvdCN1RdB3DSB/PQLjgBeAD0D7XEPZch5F2ViyTRAsnPb5pNIDHafpzH5kc3Ox2xZXbASwLBem6UIUS8OdB64hha4Hi41bK9SSi4lScZsXbaWvgSjoKHJbwTHB7Xq+rDHqYPLLpevKPtb/XlnWaaAnTHItaRrEbWiGTBQ3S3j1YxhKhdBxAavv7zLocNIyaFW6PZmimR1bfI2/9y7cKz8q2uZsWcekO2+p1pFtRariq8p2T+iIL9Gz34EEX3oWS1UJHXIUpuMzvmlYMpnU5CEbS8Vg3QtP5bs0h+BavYJ1LZcSEF9HEjIwaKUsntt0sHX/jTSUTtHSGxy1Z1d0n/2J7rk3/oXzCw0OvXUNvP+db5XsG/DdhjrIvV0UdOy2Jbidz5BIfRkAZcVafLffjxTJ39nkSAz51YWYl9UQvqlypp+mT0A3GlDF4iVQ1gKv5P8pTepBeEyHvvpr60TAAjvLaTS+QyhyxZAw8EoF1kNFrUhX+Hpqa67HaZ9fNmsyp00nldkXv+feosicwRiGD2voR+084OnSffUJpTMNpunFMILIQ7oqDcNPKn3goJ9LhXHpuWyk0gcUbcvOfw3nv/6N4rWz8NBjySSDTHE78Xl2nNqn5kYvknE6vbFH8fuKg9HbYlNZvHFq4efUx2nGiQ5mNDZsdrbj9oRrzaqy222dwwfIjwYhl8W1ZjW52uCnXoe7rVIVX1U2CyXcje/9d8k0T8gvAX7GFgmGx0vn8adtesctxP/Om4z/510oPRF0j5fOY0+g65jyNVCimEa1dZPNNlT0YJLSqbJSQNQ0mr51P3T1BVFPs9D+TyI6fjpLOr9Dk1qad9hPRO9kWbSTlt4g8VAQqc8uot+zK+iIM9u1iWBfQeDjn99I7X/+TeCNV+ixe3nx5BNx7T4eP8WzM5JU2jkoCAZ2+/sF8eW569GC8BqMuqT8B/+gsxPtPZca/+0oct/NYCNwI9BXLiZdFkGqGSjeF/o0rkAGm7SSYM0NdIT+SF50WQhCeZNXu20JPs//AYNrCBXCPVfTI/bicT2Msz9o2lLI6VMIR67AtDxksnvgdT+EKq9EVtoKQeeWJZHO7oEopAfGD/A/YD0PLMg3RgLkpk+i56riZdf+K4nGzqPWf1PBYd8w3SRTBxd5hcWTx+F2PYcitxa2DZ7pMS2ZTHZ3MtlBkTOX/5zJTy1ATWQYD0x48kM++NaPqD9pj81ydx8rlJ4I4x68BzXUReigw+n5/MFb/BljGG7a1lwJk2/G4exAN1TCkUm89NIPsA9qgJniqaW50Yvf7/9MX4NPC81fvmvUcI6NX2LDEw/S9Mi/sHW1Y7g8JGbOZuXV12/RLOaOQFV8VRk1k/58C8GXn8UW6kZ3OklOncmKa2/C2MEdlR1rVzP199diCw/UYdnbNqC7PUQOHGyQajFp2s0Egv9BVnpJp330dH+J1vXfKDln9xFfounxB7CFimtRpEwa/+KFAxs6IHFcHc/880/4PTkqdRtG9E5aMgFMYzxqd5pxYYO9pjey8JMOspYb0z2JnJUionfgtS9itnsd63N70xXbD3tXO9Puvx013E0u2EDLeRcSPuRIwoccSWtHjKQ9S7nQmkrF24ZR5kPdBriB/vr4EdxQ09n9yXbPxcPDuB98GvmGXuhrcNUmj4MZAJVvkrLciiy3Iolhany3F4ugQUhSAo/rWVLZU4Di5U/T9NEbP5/e+PmIYi+WJRcifGzq+/g89yMIaXRjEvHkV3A63kIQDNKZfYgnv4IkhpDlq1Dk9QiCgWH3kn56N/RbG1AWdqFNnUDsW6eUtcYAyGQ/R3v3rXjdDyKKMRLJY8lpxRE7luWmO3wlAf/tSFIXlmUjl5uFaTmQxB7Smf1Ipg+lf+Yv+dF7TH3uA9TEwJKmL9zFvEf/woaTjq/8CxmEKqxHk5wsyaXZxIpnWcrNrLk+WsrM636CvSMvIgNvvkLP3vuz8qe/2WIBFo3sTzSyHw7XWgzdRS7byC6VLeH+K9jw1W/hXfIe9kEzXbmaWlo3o5t0KPYN65lwz52oPX2dyLkwNW+/zpQ/XM8nP/zZFp9/e6YqvqqMCs+yRTQ88whyIj/tIKdS+JZ+wE5/uJ5VV173GY9u6zL+X3cXCS8AJR6j8cmHisRXQ/ODNI5/CEnK39QUNYZi/xstGyYgmUcWHa/V1tF66jmMe/he7J3tefuMpmaUnh6G4l4foX7xh+QOmD7iMTe5nIX/r+vztxCFHNPqf4XTthpJzDHZ/yTR2qk49+/FtW5g1sSzfDHLb/wDjfs+xPRdFpMWBNrSh9KZLr6GRPIoFHkdkjTQuajpDcTiZxZ+jn3zK7iO+Tfivlq+bbMNuBKygZkjug7T9NHL+cQPPhHfe39DaWlHbwzS+4NzqZN+RkGNlUOwEEhT6/89itJaeT9AknpR5MeByjeewXVpdtt8gjW/R5L6f1/LUZQ1dHbfjGkNfBkxzHrau27D6XgVRW4lmT4IXZ8M3yD/3wgwzQDRWLmZsQE0fSadoZvJL6FKlFvq7mfcM8uwRcsYF3d00LpqI6664Wc+AnJDfgm7cTUhX4iWYJD3QlBbIT5pKNHuBO3JVIm1w+Q7/1AQXgBSNot/wXx8779D75gERYukk1M3vdt/Cdlx4/n4p79l4t239c3oe2g79Rxie3xui8/d9PC9BeHVjwC4P16+xefe3qmKryqjouGphwvCazDONavL7L1jISXLf7UXM8XF0B7/8wXh1Y/DlqJ2yiO8+MJuJTebjhPPIHT4Mfjffh3NX0MuWM8ul5bekSVNQ0mmyrhGjY6Ztf/EbV9emERQpCzBxuUI3wR+MmjMrRuY++g3UU8NFfZtMlaxLrqRROLEwn7J9FEIgobb+TyimEQ3aonGzi3yoPJ87mmEA/WBxsBmMP+u0psZXSanWeun9/pzcNpfwzBrMDJ+EqkjkeWNReJvMLo+DlVdgSwPI9D6sCywrPIxQoryMV7XIwiCTiJ1FJns5/B5HhwkvPKoynoa6y4AVEzLQTJ1MPHkqYBcVNC/dVE2uYc2pRlLlhD0IeHZThvvJKN4Y4kReV7Ndk0jYuuk0dXFtF1uwmfvAgS6ktN4fcM3MKzyS+65ujRtIQrWDntNzde7qd2ly9hyJk3w1RfGSHxVGUpy5mw+uv7WMT+vYFawainTSf3fRlV8VRkVplr+g9SSPpvOwtHge+9t6p95DEuWaTvpTFIzZm/6oEHE5+xOzbtvlVgwZMYXW1poVvluRLvHotNMQ5KSDird6yN0xJfyP5gmmYZxuNesLNon2jiOjr32xENl6wPILwWlqSUsCETjeUGyKB7GcICHOAH7otLMRBHYp/RcSmekaF9FytDkeZ3VyS8V7ZdIHUcidRwO23x83nsJBn6NabpIpQ4jljwNu20JglT8uolNOTyxZ4jGR24a63Xdj8f9GLLcjWVJaNpEuiM/JZXZD5fzVYRBRfOWpaLpEwn1/A8O+4JhLRj60fVmcvrxDC2+d7sewe/5R8EY1m5bQDJ1FKJYXvApyoCAkOUWBCxiya1fkzgaUscdjHb7A3k/tD5MWSJ18HQcc7O0tWoFYQTQ5kjj8aZQhRRQXGIQkBvYueG3OOyLCttq7BtpdMZY2/2Dss/f4U6x3u0gVuMkmPTR2hGjudGL4Sxd3LYEgdSEyVt+0VU+VdpPPIPAm6+g9haXBaSmzviMRrTtUBVfVUZF2ylfpebt17BFBqaSTVEktuu8z3BUm2bSHTfR8MyjyKl8sXXNgrfYcNY36DjpzE0cOUDbyWfjXzgf90dLkTQNSxBJ7jSddRdcUrSfmdkby1yOIA7c7HVDZumHe7B7XzHvsIgia79zOVNvui7vX2aZJOuaeOO0szHsNqCyeexAJ2MY19RUYSnIMyHFRF+IoMOJIlToBC3j6CA2l35ztYkpgqqKMUQDynILAf/NReHZstSOabmKRFHR+aURZAX2IYndeNwPI8v5ejdBMFDVtQT8v0OWu0osOLK5SXSGbgMEkik/HtcjKHLxsrFhOjBNHwI6utFAJHohLreL4hqyHB7Xk0WO/JKUwul4DU1v2uQkkyRmcDlfKCO+LGzqImSpk3R2H0xz8+JyNhtFputvvyRwxS0oa1sxbSqZA/eEK7/JXmInLfZQwfPKUiPMnZAg6HCW7ZhV5HWoamkagNu2jjpVwjDLd2LmLDex3uL3WGT/Q7BvbEEeZHOSnjCZzuNGG6Ze5bMmPXkqbaeeQ8NTD2Nvb0X3eElO25lPLrnysx7aZ05VfFUZFZkJk2g5/zuMe+gfqKEQhtNJfJc9SgTItoQSCRF89YWC8AJQYr00PvUwncedjKWqwxw9gKWqfPjbOwi+8jz+994mMWM2nceegDVkNnDj2m/jcq/G5V2EzRYnlfaxoXV3chvOGHHrfny3eSz5338SeP1lBC3Hspn7sDogMxJP7/5anIgt39kY6hNdjbb89kzqVNy2T4o8ofScA+1+GQcD2+ITalF+msJOuuj8lhXAMEqX5nzufxUJLwBJSuNyvoRmNJfUWxmGh3jiWCCHx/0odnUppummN3Emuj6x5Pwu53MF4TUYRVmHLJV2MMpSFEFI9Xlx+YnFz8DreQBF7sA0FXR9At2Rn6IbjQiCVrFxQJHbkaVSB31ZDhFLHIcidxa6ECuhKBsRxd5CvZgo9lBfezWKvBZRzKLrQRKpo+mNf23Y81RCkjrxe/6OJIXR9CZ64+eWeKYNYOCwv47dtpSMfXe6/3YtQ2vDdvfPxmt8XPb9U/75u0rSCgBEIYEk9VQUX+XY+NVvYskygTdeRspkyDSOY+13Lsd0OEd8jirbDm2nfY3OL52Ee8UycnUNpCeOLuB9R6UqvqqMmu6jvkL3F4/F3t6K5g9s812OnqWLSroJIR/I7WhtITVlE7YLg5EkQocfQ+jwYyruYlkKK5bcjNO1EpfnI+K9u5NJT6J5lGbRps1eeB69I0a0O4wVMEiTQhVG1lrWaPPgkDSm2AeuMZPdm2jsPDyupxDFOKbpIZ46lvh3Z+OI3InaHSNX72XtZV9iStNTKOZipD6Xd91w0hU/gIieFyODb8aiWN7CQRCyRCJXINVejaKsRxR0DKOGROpQNH0q9bU/6jMyzc8U2m2LCEUvJ5vdq+g8stRabJJpAM+A8EwO69sgDDG6zxtnDhjVJlJfJpk+FIf9bQzDRza3Z+HxchFB/RhGAMN0I4rFM46G6SaT3Zd05hB8nnsQpSiWacfpeL10WVcw8LoeLiyx1vp/j01dMXBtcgiP63FS6QPR9NHdnGRpQ97kVskbvTrI22Z0hn5fIsAEIU197Y9RlZWIoobb+QxZbRbdoV9jUfwlYrCI35Q3XDY3G01rLMRA9aMbDeS0ykkTg7slxyUd0JHvgGw983xaz9z6GaZjiZSIM/6eO3Gu+wTd62PDOd8iM2EymCb1zz5O4K1XsCSF9uNPJbZnmTX+HRjD5a7W6w2hKr6qbB6SXFLrtK2SGT8Rze1BSRQLFt3jJVdbPudvLEglZ5BKjk1tQ/+M2aKPw0TqBcJBJ7Xukc3YQSNpY3XRclEi9WUSqeMQyGGhsjz5CQmfRfgXVxQduWjVHGbVvsRk/wJMS2ZZ11G0JnYFwCVtKPIMS2X2xmF/pyQGR9MmYJj1dHTfhsP+JrLcTip9EIbRiNP+Mjb1w6J6LFkOEfDeTk/vBWQKAknDZltRLGokYCZIp2lYDwhwrpX3/yo8705YVrGXkGW5R130bloestndkKWXi64tl5uJpue75iK9F+Nz/wtFWYNlSSWvQf66BlzwFbml5HFJiuF2PUFP7/dHNT6/966C8OpHVdbj89xDT+/FRdt9nr9it31Y+FkUNezqEnyeeyvW3g0WXoKQwOt+GEXeQDq7B8nUkYCMZblJJL+E1/MgUt9Ssm4EiCdOAsq/T/vPu2djfnbtQyYWasy2t3BrMZ1mzg8vwL1qQFB7ly3i46uvp+mx+wm8/lLBrNi79H02nnk+7ad89bMabpVtgKr4qrLDk5o6g+TM2fjfe6ewzZQkYrvO267CY5sbvTQ3eln4SQedXaBuwgpgMK/aepg7YajLvUBYj9KRjfN+hxM9UYszXRpp9O7aE3mXE0u2rxPyxq0h3xLm+hsgdTRO+3xstsVIYgbLkshpU+iJXdR3hEQ6c2DRORz2BYhiaT2YoqynPngVmj6BUORyBAHkMmauTAU+B8JrFuY9ItYFNqydHGjaToR6Kjvnj5Zw9HIMI4DdvggwyWnT6enNX5cgpGgI/gCbWrnj1zQVUpmB2Q6rQh5kxaXPj9bg/dN9iPEkmf33IH7e8aDkP74lqbzn22Cz1X7KjVEQQFU/Ktk+lP4Yqf40A6fjdVzOl+gKXQ+oxJKnk8rui9f9KJYlEU+cjG6MG/acg2fXmNpSqDEb2gG5rTPu4XtxDRJeALbuTibdcRPO1paC8IK8PU39c0/QcfzpWMqmu1Kr7JhUxVeV/wpW/PxGJt92I+6VH2GJIrHd5rH+Gxdv+sBtkL2mNtLaEStbIF8JW7iZD3PpIpd7gFA6xbINbuSNTqa4nfgNnVmP30PdisVYgkjLvofScsAXmfXEvdSuXIapqKw+7Ct07LkftqRB1nLTbtQCHzPXD0R+id22EIf9bXLadJKpwxnuYyanTceyXizpRMzPcBmoyjpqa24iFLkG03IiMqRAPwYEgZ1BXGHS+5djiH/vVAyzvF3E6LDwef6Cw/4OopjGND309J5HNlfsf+R13z+88LJksrld+8LG82Rzc1DkDUXXret1fTNFxTiefYPAT/6A3JUXWY5XF+B4dQFd914PgoBplp8h0o1a7LZ3UZU1pDL7ouuTMc3ys1Ci2IskdhfZgwylxntHUYyUIBjY1aV4XE8QT57cdw2TiUQvrXiOSgTkBg4MNrDcsbrQAZmNSCz8pGOzBVjtK89T/+xjiLkcyRmzaTn/Ikz71okdc67+uGxSha2rA6W31ABY7YmghrvJNg4vTqvsuFTFV5XtC8PAsWEdutuLFhz5kqFpd7Dmsqu24sA+XUa7JNOMl9aOGIuWD0QNAcRDQZpDDpo8TubsPJGG732dwPzXEKx8B1pw9XJ2eepebF0dhZtL8JPlbDj3At7b9xg0m4ImQdKYAOQAgUz2c2SyIzNoTCSPwev5J3KFXETIF7wLQhZN2wlZ+mDIg8DfAA2slaB/1DBGwgt8nrvxuh8elOnYTkPwJ6QzexDq+VlhlkpVPil7vG7UkM3uRjqzN8n0YQyuP4tEv4sopFHV5YhCBsMIEo19tezYfbffXxBeAIJhYluwDPtL75A5fF+isa9iU5cgSQM1aZYl4LAtwOV4BVHM4nU/QDqzN/HkV7CpK4qaLSwLbOoaGusvJpU6aNBMZTGyXC5GysJuW1IQX1tKo81DznLjwAmSRG945N2wg2l68O+M/8ddKMl8E4Bv2SJcq1bw4e//vFWi0NITypdgaDW1yOkUcrK4GUH3eNBqAmM+jirbD9u+OVOVKn3UvPkqu11wJrt87zx2u+hsZl1xMVKyvM9SlVKaG73s7qllXMhBarmT1HIn89K17DW1keZGL+rqj/Eufb8gvACkXBZbV2fRt3olEafh6cegkoHiKPB6/olYIWuxH8uSsCyF7sg1JFMHoOnjMDscWHHyUUVuoAaEfcB1+n+2eEz9lAvTFgQTp+M9Ar5bCtt0o3wPqqZNIdRzFcn0EVCyzKgS6rmK9q4/09F9K+3dd5DOfqH0JKaJ1FW6rCimszhefqfv+ZtLlisFwUKWw4hiPtVAQL3WMAAAIABJREFUkmJ9jQAaPbFvks1Nx+zLG+3XIrIUxu16HlVZUvZ6LHMUMVKfJaZJ/QtPF4RXP+6VH+J/582t8pRtp32N5KSdirZlA7W0nH8R8VlzGTyva9jsRD5/8H99tuF/O9WZryrbBXJvlCm33Yi9s8+lPJVEjYSY+rtfsPKa33y2g9tGEXJZJvztDjwfLcOUFbqO+jIcelRhFgyKZ9Acy5egxGOl56HUnFSOR5EzaTTKm+6OFIf97bI1X4PR9PHoRj6AL9TzM8DEIb9GneOXJfsqSusml89GiiBmKz6mqgMGuL3xs3HY3yuqsdKNAL3xMzb5HJblRjeGqd0TRQyfG3lj8ayTJUvkdt85PxZlNVIZK4zSU2VxOV4m1HMNydQxNNV/A1VcN2SfJB730xjWgSXHx1PHoShri2bNNL2B3sRZm3zuTxMxk0Yu8z6Wslk8yxcT3feAMX9Ow+1h+Q23MenOW/IxYU4XG08/l/iuexLbbR4T7/oTnhVLsSSZ8AGH0nHC6WM+hlFjWbhWfoStq53YbnttV/WvOwJV8VVlu6D+mUcHhNcgXKtXgKGDVH0rF2FZzLrqEnzvv1uYtfKsWIats522M84ru2yZ3m0vcj5/iRu1BSX1LLrHhz4G9TOiUF7gmKaCaTnR9fGEeq4YehTIEkgiMHT2zQBhy2fkAAzDi1IxkmhAkJpmgK7Qdfi9dyLLYUzTRW/8dLK5PcZkHMlTjkDe8Hek2MAMYW72VJInHNY3znpM0480zNJtYdSDuj8r2WtYFeKA8jVrWp9FSRLDCNDT+3WMCjN/nxWmw4nm85fYy+h2J7177L3VnlcL1rH6itIvBJZqY/2Fl221590cpHiMna++FNeaVcipJJn6RjqPOYHWs0cYNFpli6nesapsF4i58jdpwTQRTAurfPPYdoeYSjLugXuoe+V5MA1ytXWEDzw8/015FLUqnsXv4V6+rEg0yakk9S8+Q9upXy0rVnOTphDbY28Cr7+MaOgA6H03MntHW+FcmttD59HHg7jlVQu60VjGfNVBJPp9stpcDKN8sXU6sw+63lRyrCCkkaUODGN4X6pNYyEK6YqPalpxMLNujCfU8/NBW3I4HS8iCBnS6YOKQrZHS/wbJ2H4PbgfeB4xnUWbPpGeqy8odDvq/8/efUe3WZ0PHP9Kr/awZFveM3H2AAJJCHsFWigjrLBHgRbKLC0FAj9KIUBDGSlQRih7rwIFWlYgQCGBJED29Ij3lrX3+P0hL0VyvGMnuZ9zOAdfv+PqjWw9vuN5wrn4A5PRalZ0vkViFbBk8Qv6w6nYXV1Z9v3+A1Apy5F1C1ZD4TQczvn0lLrP4z0Bj/eEHnoaIcXwFhr1SiCWT87hms9uX90ik9Fw2nyKnvkHSkcsII3K5Din7Y/jgPjccbKAn/xXn8W4aT0RjYa6M8/HccDgC0qPdiWL78W0oasUlKapgZz3Xsd62NF4+5P3UBgwEXwJe4SmX51B1sf/Tvhr1ltQvNds11Y1NTB5wXXoKss7Ax1tfS2GbZtRtTZT9Zvr+3ytnKo3UPgSyxBJTgcKl5OQKfk6nW233Uv2+2+Q+sN3RCWJphPn0XbwYeS/8gzGTeuIKFU0nnIWbYceBQ2JUzv9ZbVdQ0b6Xzp3/kUiOrz+Q/H4jiNxvK07FV7/figUtXExqVwewmR8mabW/QfVL4WiCkmROJUXjUIgUELrLnb0qZSbSE99AKWiBpksSsj4OjbHhbi9Jw64P56zTsBzVk9BDzRb7yDV9GRn4la/fypRZOg0q5DJ3UTCadhdZxMKFXee0+b4DXK5A7V6LXKZl3A4DbtrfucUb3+lmx9Ar1uGTBYL3NXqTSgVlbTahi7lR181nXQ6vrwCcv71GvJAAPv+B1F/9oXxf8BEIky59VpS1v3UNTq8cR07rryB5l+cutv7vDvpKhJ356rsNnLee2Ov2pg0mg0q+HK5XCxevJjm5mYyMjK48cYbMRgS1y+cc845FBbGyoVYLBZuuWX3/zAKe7aAJZOa835N7juvoKmvJaLR4CkqofTmv4x014ZM8RMPoa8sT2iXAn7Sln9F9aW/61OgmWpZhvmMlfACsVQM3YRMZirdUN8Sy0SekMxSLqfhjPNpOCO+5mX1Zdf089XEbGtcz+SFb2DcWkdUIafl8Clsv/6UzlGzbLWRcPPjGHT/QaGoxe05jkBwWp+uLck9SQcD+zL9NlBRFLQ5riYaTSz+3HFEmvkxVMrqzhaFohFTymt4fEfu4rzBUiVNzmpzBJHLXe2Z7ncegZJotd2MTOZGktsJhbNI3BjQN3K5FY36p87AC0AuC6FR/4xcbiUS2f07+xz7z8Sx/8wev5+64msMWzbGbyZx2Mh5/629PvjqadQ60sdSa8LgDSr4ev/995k+fTrz5s3j/fff5/333+fCCy9MOE6lUvHAAw8M5laCQONp82k+/leYfl5F0JyGa8r0Ydk2PlKSrWnroHA5UTjtBNN6r5GXU/Amiv3cMAdYSueyqLBJwapZx7LGbaNjmU5jU2xqbSDZxJVKF7MPfAizuYyILEw0XITLcRvRqBZrqJENbQ0cdekS8td3LU7Xb66luTzMv3/727icY9nBI3otYbOzQLAIXTTxLRCJDL7cVShUSCiUi9RtYX2sPR9/oOfgUKGoQKmoiH0RBfyAOlZgXKtZ0e/s+oOn7LVgdzSqJxQeXFCoVNQiSW0J7ZJkRamoxR8YfWkVTD+vRkqynEFhb4utI92LOSdPR9tthB3An55B/VmJn9/C8BjUZPyqVas46qijADjqqKNYtWrVkHRKEHoS0eljU17RMOlff47CMbA8QKNRWNNz4eCgOY1gD1OFO1Oua4XDgPWACcgCToTaR4r45JwT0E3xMLWkiqkllcw86gtmHHMr2SVX4w2/16/+HnrkHRTlfoVJV02qto40wwpM5tvZ5C5lg60RxbIgWVvjy+goQyGm/byB2TY9nk06NpYV8lODjg22RqyhJBnsd8HpPotgML4OYiicit05v1/XSU5GS9st+APjiEQ0RCIq/IGxtLb9gV2NDqWZliCXh+BNYBYwAdgPoot6Toa6NwiGCpMWWw+FLQSTFEkfDRzTDiCcZCQ5lGLe6zfwlF9/C62HH4vfkklIp8ddNJaqy68RSV93o0G9w+x2O6mpsQ8Es9mM3Z78gzAYDHLrrbciSRKnnXYas2f3vONk6dKlLF26FIBFixZhsfT+l/5AKRSKuOvbzP1IGb4XkSQJs9k80t3oE8naQsEffou6dBuSz0sgJ4+2sy6g9ZIrB3fdUfAMnGdfgH5HGQpn/M9RKMWE/ZyLMaf3njxU5vOiubQRdlrSETVD3ZxjmS0fi1nnoVBrxqJ7CqPqC6T2lArBvPXYWi6mue5Pvd5HpdmOOS0xuahRU02q0YPbr2DaR2+hDiT+TCm8bvwOOxqzgYhGxoTsZjJ1Fow6I2Z17/8GbcEf0av+iVqy4o6ocHmnIsmDhCIaqp0nYQ8UAT2PInaXpzOToY7/wFFIivb3ghlf8HUC4W3ICBOOTEKnl9NTiCzDhlpVBT8DNwAdsWQ1yP4axWh2o7l4z/g563oGPZPLylGrnkIuayMSTSccnYkU/RJZ+w7WaFRNJHoMKSl9rwEb9HswKoyE5TpAIqgLDtvPZeSUM/B9+Db6tT92toVSTDjOOp+suiosDy8kLxKh9ewL8e1/0LD0YSQ1/f2ftFpbULRZ8ReNAYWSZE96NPxuHGnD8Qx6Db4WLlyIzZa4huLcc+PzlMhkMmQ9TAE98cQTpKWl0djYyN13301hYSHZ2cl3Mc2dO5e5c7uG5ltaWnrr4oBZLJa46yd7nfsCs9m8x7z2SX++Cd2GtZ1fq+prSX35GWpmHoo/r2DA1x0Nz8B26NH4ftNG1n/fR91YD+EQ7jHjqb7sGlxT94M+9C/ro3eRlyUutI8sV/L92l+SOs6JFPHgjdSgTfm+M/ACUCo9GEwfUr71PMK7yj0FpKRWoFQkS3DrZvyKjcy68GXk3kCS74PTkkrNNB1ylZViUwvj1FmkybLACzZvz6/RGmrEGqpjZt7fMGmau+7oN/PfLb+nwhrbpZZesYPcTVupnTIJ65ieP/iDUiuFplIs2rrO4uCQ7L3QMR266w0GCqkevcYPf6Mr8Gonc4Lj2TeoP2lKv6dXR0JvPw8q5UYyLbchybvSXwSDOVjtv0ar/hGQ4fYei8d7HND3nytnyInTp8TpDoNLwuPxDOvPpeOeRyh44UkM2zcTUWuoP20+uspyCq+9FGX7qLru22XUn34uNZdcNWz9GDFyBaRngqvnRMej4XfjSBuOZ9Br8HXHHXf0+D2TyURbWxupqam0tbWRkpJ8WD0tLTbfn5WVxZQpU9ixY0ePwZcg9CgaRVtVkdCsslnJ+fdb7Lj6jyPQqaHVdNLpNJ10+oDPV9isyKKJSVH9TjMEulYZaFWVqBWJmdNV6mY0uirczim7vI/LMQ2XOwejMX6EKRywYL78FaSOwEsGaIH2eNBnMdJwxZFMK4ydN0W/X59elzXUyAZbIxPSPse0sBk+BNxAIej/bmOO5V0alh/IiU//lbztG9C6nXj1RmonTOfj395KVJ44VWiP6DpLLXUUBx9MYBQKZ+MPpaJzJQ/SAg45G2zxxc0lqR6z8WXkko1goAS76zyi0Z6nn0cDjXolGWl3dWbP76BU1qNWltJs/esI9az/IlptXA4uudfLmCce7Ay8IFbRIfPz/1B/xvmEjXvv1LGwew1qzdfMmTP5+utYOY+vv/6aWbMS86O4XC6C7RXdHQ4HW7duJT9/YFuZBSHawy6dsEaU6gBoPuFk/EkW5bst2cg9KjbvaGZDYzPrWpV4g4mjW6FAKn5fTq/3iYR1lG45A6+vayF1IGQm+E4ekq39Q/mPxKbgNgOrIXyDAevrD2G65GKm6MfFjTZBFK1mOZbUhaSZFqOQkk8blixeCw8RW89WDnwFnA0ar4ODPn2HMWt/QOuOZWDXup2MWfsDB372bq+vx6IdioBHRm3bBfiP0yfNO9dYEP97T6nYRpblJgz6z9BpVmJKeZ0sy03IdpFfbORFMac8lxB4dZCkxIB+T6KrLEPVnLj2UNNQh377lhHokbC3GtSar3nz5rF48WK+/PLLzlQTAGVlZXz++edcddVV1NbW8vTTTyOXy4lEIsybN08EX8LAyGS4Jk5FVxO/iNuXmU3DvHN6OGnXNDWVaGqqkGYfEhuC38MFMrNpOPVssj94B7W1mYhcjq+giJo/3c7MMdmsLmugsQlWZh7AuJTpTM39AUke2w4ZCStw1kwlFDDvOsVWu9JtZ1LlPILxE98iqPAhBU+g6D+fxL55HvB/0LmIpBBk4/zI/B5IUk0o3fw3dNpvOj/UtZofsNquxeuPlYJJU2QxzQyGz7yxHYTdbQf5M37yqtYgReOz20uRMHmVP/Pd+LMT7qmTPBSaWrBodTsFggOnCh9L4xmzyPjvtaiWNyC5w4SUShrHFWC7/5S40bVU099R7lSsWqXchlH/Lxyu0bnrTJKaUChqevx+T3Uu9xR+SxYhYwqSNT7HWzDFjD+79z9KBKGvBvVpYzQa+fOf/5zQXlJSQklJLAP0xIkTeeihhwZzG0HoVH7j7Ug+L4atG5F8XvwZ2VRf9Js+pWDoThbwM/GumzFuXo/SYSeYlYPh8GNHXRmQgai98Aqaf3kqli8+JpiaTsvRJxBtz98zsySb2gYH9S0evv54AanH/Ruz/ju0VVXI/hvG9NBKpudcTOmf7uxTpmu3J49VZTfiNdRzcHoA1/knoXv/C6TLA+y8elduCpLieYeWtvhUDUpFWXuNx66oSqFowZTyKt7mw+iIBNMUWUhuPcnWXpkaWzClJ18fqtcFmZ5XnfR72erBTTUmE5WMND33Aurla5B98RV1U3JoOOFAprvTUK4vR33w+yj1zSgUiVPoMhmoVNuHtD9DyWh4G7ks+cakUMiC3XFpXJtcbsdsfB6FopZIxIjNeRGh0Jik548GQUsGzin7o/puWef0fRRwTp6GP3fga0oFYWd7/p/6wj4lotGy9a6HUNjaUDpsePMKQep/YsjiJX8n9YdvOwd4lI31ZH38HvaDDsY2+7Ch7fQICFgyqTvnkqTfy8tOIY/Y2pXq8t9hvmYFim2xRVkSfpTOTYxf9GfWPfVqr3nUWursNNZ40U3xskFqoWGijoPOOZoM7edJC3InK1at1X4XV6y5gyS3IpO5iUa7pkdD+dkod9THH2gExSVBqAW+B7rtAwjq1dScfkiP/W/wO2nwx99bF9Xh8SRuWui3A4xwwCnIQmEO+OOzpDZuQf2KG1l7ze8kS/MACIV63+6/yZ2YoXwo7fwMYmvUUtGpVyV9S4TDWhqaHyMc6fojSCZzkZV+EypVV5CpUm2mxXoHgWDimkJrqJEGv5MNjWGcLTqkJsiSD75+aH9tv/0+gksWY96ygXAwhGvSVCquuWm390PYu4ngS9gjhcyphMx9y3uVzM6ZrQEUHg+ZH/97rwi+eqPftom8159H1dqCrjxxpEVbU4l+22bcE2MfkvqtG8l762Xkfh9tcw6n8cTTO4O42gYHazbRtXj9xl9ybGobecTn/YtGZfj8icWmg8FiIhElcnn8fGQkqiMajf/wrfjzueT9toaUHc3IgKgRZKcBRxEbINsC4TfkBBp1eEwmthxzBN/NOCMWmPWRTqvH4+1591d/HbXkOTI//Rnp7QhMbm8Mx3ZARlNA1m0ZYyBYgMN1btLrQNfGgyq7BWWSvFp9lVFazuEvvILW7sCdmso3V1xMW2HXyM7Oz6AlbSt5hmpy5YlBMoDTdSbhSEZcW4rhrbjAC0CpaMac8iJNrffHvaYGv5MWr4cN1QYUNTpy5VpyjLoBJf8drKhSScW1N4tdfsKwEsGXsG/qYUQnOoBRtD2NecU3jFt8LyrrLtK4hEPI2rN8Z3zyAUXPPIrKFstgbl61AvPq79n6lweB9pG07JTO9WQbMwupz/wNV1ismDRVSPIg4YiGQGA6TtcZCbfy+g4jGCpG3W26rWtUKPbv1PEBXWaM0HTPwxz6zidMC64h/+aNcET7oaXA5eC/Uc9/X/w/GlUTCCtVaPo5i6fRRYkMwcBXh8KVG5AiEejINboQeAOwg8wCgd8piF6uIRrVYLdd1V4KKF73AGVjWWH7qNDA+pNeU8Ep/7iblLaudB3ZW8p49/f34cyI7ULf+Rl8L1nIGx9hRq6eVG38tG84nILbd3TCfVTKHUnvL5d37STc5C6lxeuhym7B2WIhr2Xkgi5B2J1E8CXsk+wHzES/fTPycLizLWhMoeG0ociOPrrlv/nCrgMvwJdfhGviVIhEyHnv9c7AC0AeDmH6eRWGzetxTZ7e2d59PZnJMoW3ttzHrKxl5BlqkAWOxBeYQfKV/BIe7xGolKXIZLGoSyYDpaIOo+EdnK75NPidVLrSMQamkWYC51lXUFcQxjLrQjTrGuAKoAxQgGpsiOIbppKb2fMC6dpdFAU3Go04B1G1aufAwaBpr5fnAp4hlgesY2q0FpR3hJDt74I5LpRp9+NwnY3DdR4AWs03pBjeIzVqJd1v4YutV7CfKhulMdi3ACUcpvC5xzGtXQ3GENwooZzVimZqM3zbdZippZFfffUvSm9dCCTmNcpp0LFmUytfGk7ll9NeQ6+KjYCFIwoa3BNZZw+xc2bfCRojJUlmDZ1BZULQJTXBQcZ08kpE0CXsG0TwJeyTqn59NarmRkzrfkLhtBPKyKb+hF/hnJ44Lba3UfYwlRKVyYjKJbx5hZRfdwtIEpLTgdKWWLNP4XZhXr0iLviCWOBR357kVUs2Na7TkIesvS5q16jXdgZeHWSyMFr1Kpyu+e3Xi99JFwqmUl95NkUXPIF8Y1cQrWj2MuGe29jw6PMJ96ltcFDv9tAY8WLOSJ5IVq9U4NYkT6XQG1uzi3q3J65guWP6jNg095MRqCFuTRqArJlY+oy3QZIcGHT/xek+FbVqLWnmR1BIdjRAiqaWs6bfx9LvHidk79uU+7gH7sKy7BPkM8LwGtCxh+JI4F/A5V3HqtpaEy/QruO11K4+lRcrijl09ofoNBG2NR/KpsZjSRZUb2u8jPNnbCHDUNnZ5vCl8+mWS6l1FGBt8yI1MaJTjIIwUkTwJeybJInSBfegsLeham5CPW0/2nwD+8AdUdEo2spykMnxFhb3qdB40JjCzgMSEbmctjMvoH7mHJz7H0RUEat5F9bpCOsN0Nocd3xYrcY1fteJWPsj2mNui12/Htd/phLdlniMtqYSdV0N/tyutDaryxpojHgJZ4LR4sFoSL7iXaePIHcPbM2XcQzs2OGlscnbGYTJLrsadUMd2k9+RKdqS/6KuqX2UigaUClLSTG+i0KKLzVl1NYzddyLrG38fa99UdjbSFm7Oja6+xBdgRfEan6eDjwFHUvzfNl5u7xe1xo/Hd983JUct+cMewber7iPQ2a/iDmlHp/fwMqfzqW1dRwaIBcRdAn7LhF8Cfu0kCmVkCkVlUYLe1jwpSvdxrgH70JTXwMy8OYWsv3Wu/EV7norf/3p56Kpr4mbSvSUTKDp9wtweHdK8CkpaDlqLnlvv4Lk6/qee9wkbLMP7fEe2zY1YJqoxYsHlSw2RbWr0S+P5xg0qvVx6SYiERUe75G7fC3yYBBZOJLQLouEkYe6FvDXNjiw5Jrw6SHF5KHI4GGKPvmuwsEstLaGGikyOPmpQUddC+1BmJaPzrsK/YWtnPHiEia1/BR/kgT8ouvLcMRIOJyBXJZ84ZlW04osHEbucRPR6noMuDM++whVa3MslXay2tapwPnAKvAUjqH60t/16TV23y3bu2wctX/F0b7hodgc+2800+woo/Clp9G6XaRnZFF1+TUEUwe+uUEQkhHBlyDsiSIRxv3tTgzl2zqbjNs2MWHRHax7/OVdjoC1HvtLQilmcv/1KnKvB2/RWCqvuA6jWg07B19AzSVXEUxNw7LsM+TBIO6x49lx1Y3QQ7WBjrVfa7a2Ys2U0WrRUWiKldWZoh+HJG9BLncRDBUQizzA7T0BpbIcnfY7JLmVcCQNr3cOLs+vdvkYHPvPxJdXgK66Mq7dl52Pt6A4rq3W7yIr14BZLydbnbzu5M6kxlYU1Q0EJhQRTdl1vUuIBZhpiizILqXF1EKVxUJdS2ykrcDkpmrmxeT/1oVuQyVyn59oigRHhZFd3XWNQHAioXAu4XBigBONgvabVk649deog34C6RaqL74S28GHxx2X/f6b5L/yDPJIe2CapAxnNAyeYBGOU2ZRfclVg9o9vDtk/uddMr74GHkggGdMCTuuvJGwwTik99Bv3cTEu/6Epqkh9jVg3LyODQ8/Q8g0yqNGYY8igi9B2AMZtm1CW1OZ0K6pqUJbWY63uGSX59tnzsE+c06f79d46nwaT+37ZoRkOyDTs1o5KPcGzJoaFPIgoVAmNscleP1HADJsjt9hd16EUlFPMJQTl9+rJ1GVih1X/YHipx5GU1tNFBkhk4m6efP7NAUbL9y+E08P4TDpN9yPZsVapFYboZwM3Kcdjf3WK5KeKcmbSTU9jSQ1EY3oUKvOo16dhUXrpKU9i35HQtfWd/+Be9lK1Gu24j1yPwzHL0Md3gJh8AfH02a/LvbaoqqE+8hkkGrbjNSe6kzd0kTJ3+9j/aPPE8hoH1mMRsn8+H2U7m4R18fEph27zRF6vWNYP/NlIgfuNAkdjaL/3xekfrUU18SptBz7i85p6JGS9+qz5L3xAgpvbDTQuGUDuooy1j/y3IDy/PWk4KWnOgOvDrrKCvJffXavqB0rjB4i+BKEPVEkApFwYns0giycpH2EdN8Beez+z5Np2Nj5PZVqBybT4zQ05BCOdBvBCKYQq5rdLc+U10Oj3YXMKqFuDZOj76rFaDv4cNZNP5Cpf7oKbWU56jYrY594iIyvl7Ll7oeJKnsPHAy6DzDqP0Qu2ZDJTKge16P5aAuyUOxZKqsbML7wAf5Z0/Edd3DcuXK5nUzLLaiUXWWvlKoy4BbSFAdhVTfGT7nK5fiOm4PvuFjwa7Ul3+ShtFZDkpKT0k6zyurmRvLefJGKa28GQBYOoXDstJvzFiAMoTP1BPPS8HnzqNj6JyKR+MBLFgoy6fbfk7JhDZLfR0RSkP3+m2y6//GRKyodiWBZ9mln4NVBV7aVtG+XYT1q7pDdqqfNKJraqqTtgjBQIvjqriO5UL//YhaE3cs1cSq+/CL0O8ri2n25hXjGjh+hXiUXWyOkpygj8QNMrWzBK/+Kn5vP7PH8VpcXZ0shUpOXLLmWmSXZCcfkvPdGLHVI+zSbwuPGvHoF+a/8k+pfX51wfHcq5QZMKS+gkDoCljY038qQheIX5EsuD4bX/pMQfKUY3ogLvAAUkhWT8Q2aWg8acPkieZULkpXBTZIlROHoWpgfVShjU4jN3UZwohD+i5pt4XuxzTki8QLtst9/E9PPKzufozwcwrhtE8VLFlN2051963g0iqauhohSSSAz8d+qv+QBPwp3kgoIwSCGbZuGNPjqaWrRJ0oLCUNMBF+AzG7H/Mc/krpuAyDDXTKBsj/eEdvlJQijkSRR/vsFjP37X9HUVIJMhi+/iLI//N+w//GgbGvtvG9UocQ2cw5Vl1/b4xowAGRRehqACjvAWdHz2h1Ps6zXdAQpa1d3rW/qvGUU44a1CcdqFU3kpb6GVgKX+2RSDP/qFni1n5ukNFJPlIq6pO3yHrLB91XgnbEoCn6E7p/7lcBf4o8LaXQ0nXByXFvd6edSvOTvqOyxTRVRmQznlP0S1obtzPTzqoTnCKCtTKxDmYyudCslDy9EU18bS1tSWMy22/9K0JLR+8k9iKg1BM3pqJub4tpDOh1ts3re9DEQVZdchXZHWdzUo6dwDDUXXr6LswSh/0TwBaRecQWa5cs7v9a17aJTAAAgAElEQVTWViG5nGz+2xMj2Cthb6Kuq8by5acEMrNpOeYXfZoK641z2gzWLnkN48Z1RCUJ16RpQ7r+JalwmMm3XY9h+5bOJl1lGZLPS8V1t/R8XlSB112MRhsfqHjc6Vg3n0GxT93zuUZ1r+kIoorkv8p2bi8yf8nsgsfRKWPDRzrtd4TDSQK/oyD6A8i6zeCGdRpc5/wy4VB/sASt5ruEmDcSiS1gt4Yad9n3nniPmUfJbzajvMEDFqAZgncp8DWkoJPsSOEwQWMK1kOPwn5Q/Pq9lhNOxpebT+7bryD5vTimzaBu/sW9BuZhnT5pe0S1i3+fzpNDjF90R9xorGp9GxPuXcDGxc/0fn5PZDLqzrqA4qceRtVmjfVHknDsdxDO/Q8a+HWTcE+cwuZ7H6HgpafRely4LJlUXX4tIdPo3owg7Hn2+eBLUVqKasOGhHZD2daEPEGCMBBFS/5OxucfobK1EZEkct96iS1/eRB/frL9//0kKXDud2B8WyRCwQtPkrpqBbJQEG9BMeU33DokHyBpy79Cu9NUpzwUwrz6e2TB4C6DytLNf2HSfn9Aqy9Hkjz4vHk01JxPmqkklndqEBpPPpOUjWtRdFtkHtLpaPrlqd2OirBf9iudgReAJHdBNEo0Kkcm6zbiczeEtuQi+9aL1NxGKC8Tz6+OxHdC4kiL03U2Os2KuPJIoVAWldZTqWjP5O4OD2DaKm8SDbMuYtoNn6BubsOn0lO13xwqHvkd07evQldZQeuRx+EpmZD0dNe0A9g27YB+3bL23EtIWbsatbUr4WpQb6DpxNN6Pdf082o0NYlTy9rqSlRNDbucgtRv3UTeGy8ged04J0+n7txLiai7dge0HHcinqIx5L35EpLXQ9usQ2k8+cxhGeX1jhnHtjv/Jmo7CsNqnw++5C0tyFyJ+7DlbhdKW5sIvoRB0VaUkvnphygdsV/i8nAY/Y4ySh75K5seeHJY7ln8xINkffQuUnueK31FKeqGOtY/9sKgR8Y01ZVIwWBCu9znQfK4d7kdPxhIZ/3qFzAYN6BUW3G0HUQ4PDRT+22HHkXN+ZeR+dlHKBw2QkYTzcf+ktZjuhJopeia4wKvDpLkxucfh1LRiCQ5iUS1+MMTaP7HvchrHSgq6wlOKiaSmjxCjEa1NLY8gMn4KiplBeGwmdVNR1Lt0FNl1+FssZDeh52byaw64HRWTz8Vc6WV1IxMcgos5AKtBb+k53z0A+cpmUjFtTdT+K/XkLc0ETIYaT7+ZFrmntTruXK/L65cVwdZOIQ8yXumQ9r/vmTMo4tQt2fYN6/+HtOa1Wx8cEnc+9UzbhLbb79vAK9KEEaffT74Cu6/P6GiIpQV8Wsa/Dl5uMdNHKFeCXuLrI/f7wy8utPU18R2LO5qndQAyIJBUlet6Ay8OugqSkn9/n+0HXb0oK5vPexo8v71Kkp7/GsKpmUQSunL8JUMl3M6DG45VFJ151xC3VkXoLTbCKWYOtMjdJQUihiMBCNaNMRnjY9E1NgcvyUSMaLR/IRKdSCt1nGAjHCuhnBuV1kjudyOSrmBcDiXYKhr22E0asDmuLKzAHalKx2HXYdnq5eDjINP0Jl34OAXrveV9ci5RE49C5vV2q/3p33mHLx5+eh2Gv3y5eTh2+mPWPMP35L97zeRBwJoqys7Ay+I1TQwbNmAZdmnfQr6BGFPtM8HX1GtFteVV2JcvBhFY2xthj89g9r5lxBVJebZEYT+CPSQGTuiVA3LlInkcSH3JpbGkYIBtJXlgw6+fEVjaT38WCzLPkHhiW3992VkUX3xb4fk9Shbm0EmI5hmGdgFJEXcuXElhVI9NLnzMKga4+pIBkNj8LcX/Q66xmM2m4HEgNlkfA69bilKRRPhiIFAYALN1ruIRrvSNXTtbGylLFCKNXM8a5payZInqTDdD/Vl8fUih1pHgAqQo9fFnkE//zCIqDVU/uYGiv75CNraGiKShC+/kLI/3BH33sj+91sUvPAkSmdsk0OyrQ1SMIjp51V7dPAluZyUPLwQXUUpIMM1aSrlNywgohnce0HYO+zzwReA96KLCBx7LKEHHgOZjPrTz+1KWCgIg9B4yllkffw+2rqazraoTI5jvwOHJfgKpZgJpmXErdkBCJrMWA87Grnfh6auBn9G1oCzg5f//jZajj6ezE8+IGwwUnvOJYNOKaCuq2H83+5EU1sdK5WUX8T2BfcM+OewI5ios3gxWjwUtic6VXjvxal6Eo1qHchCBINFWO030lsNSZVyI0bDB7E1YsTWimk1P5FmeoxW281xx3Zkuc9WN7JBW0WVxYKT5KWC+srZoqOxKVZ9YKgDsNoGB2ucrYQzISNdYm2bHftmMMn6f6+2w47GPnMOptXfE1FrsM+YCVK3j5lolMz/vNcZeEHyJx+RJJxTpif5zp5j0p//gGldVykpXfUOFC4nWxYuHsFeCaOFCL7ahfPyqLyy92K1gtAfYYOR0pvvonjJYlTNjURUahzTZ1Bx3c29nzwQMhk1F1zOmMcfQN0S25of0mixHnoUaSu+IfOTD1BZWwgZU7AfOJuyG/+v/1OfMhmOGbNxzJg9NH2ORpl4z60Ytm3ubFJZW5mw8FY2PPp8vy/XfbSruDhKkcHTmV0e6Mwg3x9G/YedgVd3KmVpj+ekKbI40pKF1Tyw3Y4dGvyxLPn1qRPZYfVTX9YwJKNgHQGqP10iuyjA6fvdTZqmiVBUTqO9iLf+ezX1ZdlJ86rtSkSt6XGEVe7zoXTYk36vO0/JRJpPOKVf9x1NdGXb0JdtS2jXb92IsqV5UKk3hL2DCL4EYZg5px3A+sdeRHI5iag1yXcERiKkffsl6d98QdCcRu25lw74F7T1iGNxj5tI3psvIrldNB//K8IqNZP+chNKV2yxlcLjRvX5f/BnZFNz8W8H8/IGTV+6BW1VYh4pbVUFmuod+Haq0dibHL0O3LGi1q0WL3rJQ4vXA/QtCNK4tfh2qnE5R+NgfJIsDM6Qj29a1nV+nfvtZqY//RmG2lbCKiWbLziSrRce1a/+J1Nlt+Bs8yI1wwHG9CEZ/crLToEGWNPcyuVHLiQ/pSt9SEpmC5f+4iGWf/n4oO/TXUSjIZhiQt0S/28RVirxFI0lotHiLS6h8orrek3HIrmcFLz4FLrKckKGFKovvBzv2OQ7P3c3VUtT3M7bDpLHg9JmFcGXIIIvQegPhd1G3uvPo6mrwTOmhNpzLiHSQ26knfU4zReNMmHhLaT+8B1SwA9A2oqv2X7zXQPOY+TPyaP897d1fj3hrps7A68OsRQRK3oOvsLh4ckbFolgXvkduopS2g45ErnPhyzZDspQEMnn6/flYxn1U2LTaZugIWNKv87X6/S4PfHr5r5t/DW5R25Fr+katYlEZOyom0lDaez66VU7mHPnW+idXcfMfOgD1D95+ezqP/T7dXTnaXaRK9cyc/zQLrzPy05h3NhWco2JKSIy06sZN7YVr6f3QE9XuhXLsk/w5RbSfPxJRHvIC6a0tqBwOYjSNd0YBZxT92fTA0/1eSpe7vUy9aarMJR2BYzGTevYtuCeIc/9NRDOqfvjzc5F2xCf1y6QmY23aOwI9UoYTUTwJQh9pGpuZMot16BrH6VJX/4Vqd//j40PPT3g9VMAKWtWY171fWfgBaBprKfwucfZ+Mhzg+02ENvun1Q0MZt52v++JO+NF1C2tRIypNB8/InUn33xkPRDcjqYctv16Mq2IQX85L39Mm0zD8WXV9j5XDv4cvJx71wqKRwm/evPSVn3E479DqT1qON7DBA7invXNjiSfr8nRqUWp2+n5+WbxNb1lzBuwvtodc0EA3pamqdRsfI6iqOxX6Oz3347LvACkEejTP1mGZVnXoV/MHnW+pBodqAkhROl0p/YLnlRKB3IgkH0ZdsImlPxZ+fGHxSNUrL4HtL+9yVKp4OIXE7Oe6+zZeFi/Dl5Cdcc98BdCYWrw1ptwqL83mS/9zr6boEXxIqMF7z8NJv2X9Ln6wyXsMFI4ylnk/v2y6hsscSwfksGtfMvHpIEy8KeTwRfgtBHRf98NCFAMJRto+Dlp9nxuz8O+Lrp3yxF4UtckK1ubY7VGx2ChfnNc0/C/OMPSP6ukaQoxLLid6Mt387Yxxah6liw39SA5uXaWN6sX/aeaLM7WTBI8RMPkrJxLUQisZqT4TDGzes7j1E67KR/9yV1Z5yPLBxGU1cNyPDmFVBx9U0YN6+j4KWnUdhthPVGFPY2NHXVSMEgmZ9+QM6/32LToseJaHveQdbfoCWWXDPxmfscl7Dxx/PQ6ncQ9FsIBtPIT/GS8cV/kNxuTC5r0uspQkGmbVxOwxnn9asfu4vbORm/Nx+dYUdcu8+Xj+bdCkpeuRt1Yx1hnR53yUS2/fn+ztJrxvU/k/7V5yjaRwrlkQj6HWWMfXQRm//6WNz1ZMEg2ur4ewAovF4yPv+Imkuu6nOfDaVbki7U3zkFSn/IvV5UrU34M7N7HLnrj7pzLsZ66FHkvPc6UYWSujPPJ5CVM+jrCnsHEXwJQh+pG+uTtmsrywd1XU/RWKIyObKdRqHCOt2Q7Yi0HnEcTT+vIm3516hbmwkaU3BOmU7lb26IOy7vjRe6Aq92Co+bzM8+6nfwNX7RHaR/sxRZe8F6/Y4ywkm22Ut+P9qaKtYueY205V8TkSTaDjkSTW01k2+7Hk1z8rVaUjBIysa1FLy0ZLdtlolGVXhcsXVFxvU/M+7Bu9HUVSOLRglp9XHTaZ3nACHj8IxaDYVoVEl1xW8pGvcYGm3sPR7w51G/9hwK/7mkc+OGFAig+vF7Sh5ayLY/3w9A5qcfdgZe3Wm67e7tvI9cRrSHzR3ds9n3had4HNFvvkh41n3LNbdzx6IUPbWYtBVfo3A4CKam0jz3JNzX3NT/a+3EV1BExfW3Dvo6wt5HBF/CnisaxbhhDZZln+LNL6LppHnDmkMnZEiepTw4yLI9zSeeRvZH/4qriRdWa2g9/NhBXTeOTEbFDQuoveByDBvX4i0qwVucuPakI3fXzuT+xGmpXVHY2zBuXNsZePV2nbBOR0SjpeXYrtqJBS//s8fAqzt9t12Su000ypgnHkJb27VWSuF1E5XJYqOV3fjyCmg9au7u7mG/tDb9Arv1YDJy/40M8LsvRvePxzsDr+70ZVs7R2SDaT3ksVMnGTmSFLjHTUJbXxvX7M3Opemk0/vV37qzLiD9f1+gr+jabepPs1Bz7qVxx6X8+D2FLz2NqrWFkMFA83EnUX/2hSgcdlKXf0XIaEbdWEv2h+90TvsrXQ7y3nqZuv0OxDZ9p9JdgjBERPAl7JmiUcb/9f9I/f5/KDyxD72s/7zLlrsfxp83gDp6fVB73mXot29Fbe0qUePLzKb6osHtFoyoNWy+71HG/ONvaOpqiGg0tB5xLHXzLxlslxMELJlYjzq+x+/bDjoY86rvEsrEeAvHJD0+593XSF/2GZLPiz87l/JrbyaQlYOyzYqUZEREFo0QkaS46/vT0qmdn7imTOHq21qtiCY2aqLWVFE8/hFU6mbCIT01lZfgaJvTy9kDo2pqQNWUOBIqi0bxZmajstuIyiW8BUWU37BgSKaxhlsoZKa+KvaeM5vNSUsFAXHBZd2ZF2D56jM03QKqiEJB26zEGpgApX+6E7nfi750G5Lfhz8zm6rLrun3iFVEp2fjA09R9MxjaOqqCesN1Jz3a1xT9us8Rl1XzfgH70bdLYDX1NWg37qJlM3r0DTWE1YoQaGIW28JoHC7ML/3BjV7UPAlOR2UPLQQfUUpURm4x0+i7Mb/6/OGIGH3EsGXsEcyrV5B6vKvUfhiKQFk0Sj6ynLG/uNvCWtNhopz2gFsX3AP+a8/h8LpIGhOperSq4ekQHYgM5utdz88BL3sG8nlxLBpHYGsXLxFXYFVw6lnkbryW1LWr0HyeYlICjwl49nxu8Tderlvvkj+K8+g8MZGy/QVpajralj/j5fw5RUSsGSi2HkRfUYWbQcfjmnNahQeN4HUdOrmX4QvyQ4wb0Ex5p9W7vJ1BE1m6s44H6WqhSkzrkerq+78ns5QxvZNf8FuTR4IDEZEqyOqTKyAEVYqqbj+FpzTZiAP+AeUqd+49kcKX3wKpbWVsMFIw0mn03zSvKHodr/UnzYfyxf/Rd0aXw/TUzyuczo8ZE5l+813UfTPR2N57DRabLMOperya5NeM6LTs+W+x1C2NqNwufDmFw54R23InErZTX/u8fv5r78QF3hBbAo9/dsvO8tvSaEghJLXnZSFetikMkpNuvOP8Ulda6pQOJ1sXvSPEeyV0BMRfAl7pIwvPu4MvLpTN9QmOXroOGbMYtOMWcN6j+GW98ozZH3ybzQNdQQNRtzjJ7Pl7ofAbAZJweb7HsO8ajnmlctxT5hMy7G/6KyT2J1l2aedgVcHXVUFWR++Q/38i6g76wIKnn+ys25f0JBC8wmnUP3r3yELBpHcrtiIRw/rgKovuQrjhjXoy7Z1ru3xWzIJmswoXE5CJjP1887BcdDBjCm+Py7wAlCpW8krfHlYgq9Qign3uIkJ03K+gmJssw4DSSJM/3fAqmurmbDojvjRmtoqIlptXJHw3SGQlUPNBVeQ+69X0dTVENbrcY+dkBDwOKfPYMOjzyML+GPvkz4k7Q2mZxBMH95cV4oekrnuXPcUSFirF1Yoce5ihHi00e4oQ1+eJKlr6VbUjfX4xUL/UUcEX8IeKdDDL+6IWtRN2xXd9s3kvvtaZ5ZxpcuJ+eeVjH3kr7Qsah8xlMmwzT4M2+zDdnktyZ1sWjGKrn0DQtNJp+OYfiC577yCLBigft45eCbEcmJFlUpC5l2vlQulmNiw+Bly334ZfUUpnsIx1M2/OJbWY6ddoCpNQ9JrKJTDUMG73bbb7mX83+5EX7oVWSiELzef0j/cMajcaPmvPpswWqN0Ohjz2P0o7DaaTj4jaSA8XBpPPZvm40/GsHk9wbR0vMUlPR472qZWbbMOJfX7bxKmT5Ntioio1ERUKpQuJwFzKvaD5mA7/Rxw9C9NyUhRWluT/jxKHhcKW5sIvkahQQVfK1as4O2336a2tpb77ruPkpLkP5hr1qzh+eefJxKJcNxxxzFv3u4fQhf2LnVnX0T6N0vjFu+GVWqshxw5gr0a/XLefzNpeRd96TZakhy/KwFLBtr6+F1tYXVsvVoHX0ER5TfePpCuArFpqqQpCHbaBep2TCLN8k3C5lC/f/hGVyI6PVv/8iByvw/C4SFZW9NT6R2Vw86YJx8ibcXXbP7rP/pfEmoQIlotjgOHqJTUbtR44qmkfbeMlHU/Ivn9RGVyPEVjkPv9Ce9b28xDqLr0Kgzbt+CYdgD+vALMu/EZD5Zr8jR8OXlxNWQB/Fm5eMaMG6FeCbsyqHdXQUEBN910E5MnT+7xmEgkwrPPPsttt93G4sWL+e6776ipSdyGLAj9ETKnsu22e7BPn4EvKwd3cQn1Z54/4qVyRrtoT6MyA/hNUHX5Nfi6/UUdViqxz5iF7eDDB9i7gaurvgi3I/73kNeTT2Vp/+s49ldErRmyRc32/WYQlSX/x5CHw6SsX0Pad8uG5F57PUnB5vseZesdi2g4aR7l1/6JdU+8zPZb78Y5fjIBcyq+jCyscw5n+6134x07nuZfnDJsG3aGU0Sro/6M8wh0W2Pot2RSe84lRFWJaxOFkTeoka/8/PxejyktLSU7O5usrFhR20MPPZRVq1b16VxB2BX35P3YuPgZiER260jAnqz+jAtIW/5NZ9ZtaC/vMml6v6/lnDaDDY88R97rz6Nss2I99ChajvnFkOUm649IWMeGn58mr/BF9MatBPyZVFf8hmBgz6qh1zDvXNK+/x+GTeuTrk2SAn5SV/wP6xHHjUDv9kAyGbY5R2Kb0zUi7pq6P+ufeLlzg8CAcoONQg3zzqVtzpFkv/cGyOWxYCwja6S7JfRg2Nd8Wa1W0tO7csGkp6ezffv24b6tsC8RgVefeYvHUn3hFeT8+03UjQ2EDEZck6dTce2fGMhHUMCSScV1twx5PwciEtZRXfG7ke7GoERVKjY+8CQZX3xC8RMPodwp3UZUJsc9buII9W4vIpMRyBzaOpmjgT87l8okO5OF0afX4GvhwoXYbIklG84991xmzRr6XV9Lly5l6dKlACxatAiLpf9btftKoVDEXd9m7n8R372BJEmYzeaR7saI2peegf/SK6k871LUFdsJWTIJWTIxsW89g10ZyHOQ+byxhfCKofl7Njj/QlqiETKffBipW0F0/7gJ+M//NWZN/zLC95d4L4hn0EE8h+F5Br3+prjjjjsGdYO0tDRaW7vKlbS2tpKWltbj8XPnzmXu3K5s0C0t/V0G3HcWiyXu+smCzH1BrJbdvvnaO+yTzyC7feq//XXvk88gif48B/3m9Yx5ajHqpgbCajWO/WdSfv0tIA0+CLP94lRcUcj89AMknw9vbj47rrmJoM8HvuH9Q1G8F8Qz6CCew/A8g2GfdiwpKaG+vp6mpibS0tJYvnw5119//XDfVhAEYUhJLidEo4Tb6zRKLicTFt2BtrYrv5imroaoJA1ZPb/mX55K8y9PHZJrCYIwegwq+Fq5ciXPPfccDoeDRYsWUVxczO23347VamXJkiUsWLAASZK47LLLuPfee4lEIhxzzDEUFOx5u0kEQdg3KVubGf/XO9DWVALgzSug9NaFWL74OC7wApBHIph+XpWQh0wQBKG7QQVfs2fPZvbsxPwvaWlpLFiwoPPrAw88kAMP3HNqZAmCIHSYeNfNpGxa1/m1uqWJiXfdjHPStKTHy4MBEXwJgrBLYpuYIAhCD7RVFWh3qk/Z0e6YPoOgMXGPqC87T+zAFQRhl8RvCEEQ9jiqpgayPnyHlJ9WxkaZhonk8SAP+BPa5X4/QUsGTcf/ioAptgsqIo9lUC8fovVegiDsvURtR2H0iEQw//AtprU/Yj9gZixTupi6EXZS/MRDpH/1KWprK2G1BvfY8Wy595FhSZbpGj8Rf05+Z73KDr7cfFwTpuCcuj+Np5xJxuf/wZ+VS/PxJ426GoeCIIw+IvgSRgW538fkBddj2LoBye8n+8O3cU6ezub7HhUfZkIn47qfyfzkAxQeFwCS30fK5vWM/ft9bPvz/X2+TuZ/34ulcPB68WdmU3H1Tfhzk1TdkBTsuOI6xjz5EJr2unm+nHyqLr+WqDJW4NpXUEz1ZdcM/sUJgrDPEMGXMCrkv7SElHU/0jHOJfn9mNasJv+VZ6m+7OoR7VtPMv/7HpYvP0EeDOIeP4nKK64jotGOdLf2aln/fbcz8OpOu9PI1C6v8eE7FD7zGEp37Dr68u1oaqtZ/9gLhA3GhONthxzJ2gNmkv7VZ8iiUVqOPmHIajkKgrBvEsGXMCoYtmxi5wlGGWDcvC7Z4SMu/6WnyX37JRReLwApG9eiK9/OxoeeHtxUaTRKyprVqBtqsc0+jGD6nlWbcLiFtbqk7dF+ZJbP/OSDzsCrg656BznvvkbNxVcmPSei1dF84ry+d3QEKOw2xjx2P9qqHUSVSqxzDqf2wt+IqXtBGIVE8CWMChGVqof2UTjlGA5h+eqzzsCrg2HbZkw//YD9oDkDuqzC1sbk/7sBXXkpUsCP35JJ0wkniymtburOvoi05V+jbm3ubItIEvYDZvb5GpLHnbQ97dtltBx9AjmPvkmG007TCadgn3XooPu8W4TDTL7tOoxbN3U26Sq2o3C5RK0/QRiFxG5HYVRoOG0+wfbM4R2CxhTqTz93hHrUM4XLGVdvr4Pk86Lv9uHXXyUP34Nxy0ak9t116pYmsj/8F7rSrQO+5t7Gn5vPjitvxD12PEGTGW9OHs1zT6Lytzf0+RohU/KF+erGOqb+8UpSP3ibjGWfMfHuWxjz6KKh6vqwSl3xDbry7XFtUiBA6g/fIgsFR6hXgiD0RIx8CaOCbc4RVP36arL+8y5Kh52gyUzDyWdin3nISHctQchoImQyo7bG1x0NGQw4Zgy82Ly2OjGflNJpJ/uDtyj/w+BqrO5NWo/9Ba1HH4+6uZFgiolID1ORPZ5/8BGkbFib0K7weJC5u0bFFF4P6f/7krr5F+PPzh10v4eTbkcZUjAxyJK8biSPZ1h2ggqCMHBi5EsYNRpPPZt1T73GTy++x7onX6Xp5DNHukvJyeU0nHIWwW4faBFJwj79IFyTpw/4stEeijH3N7jYJ8jl+LNyBvRsbIceTVBvSGhPli1M1daK+YdvB9DB3ct6yJEJI8cAwTQLoSTtgiCMLDHyJYwuMtkekVqi8dSz8RSXkPOv15AHA9hmHUrDqWcP6prOqfujqyxH1i1pqC8ji7qzLxpsd4VuvEVjcE47gNSV33U+67CkIKpSIfd64o4Na7R4C8eMRDf7xVsygbY5R5D+zVIkf2za2p9uoea8X4sF94IwCongSxAGyLnfgTj3G7qapRXX3ozkcWPctA7J6yFgyaT6gisIWDKH7B5CzNY7H6Dw2ccwbloHMom22Yegbmok87MPkYdCnce5Sybg6Mdi/pFUevNdtB5+LJYvPyGsN1B7ziX48wpGuluCICQhgi9BGCWiSiXbb78PyeVE4XTgz8oRNQKHSVSlovJ3f4xvjEQIpZhIW7uaiN+Pt3gc5dffMjpHjsIhMpb+F9PPq3BNnErjr04nqlLTdtjRtB129Ej3ThCEXojgSxBGmbDBmDTZpzDM5HKqrrgOh9mMzWYb6d70SO73MeWWazBs3oA8HCLji0/I/PQDNt3/BKH2OpOCIIxu4s9qQRCEPUjuGy9g3LAGeTg2PSqLRjCUbqXon4+OcM8SqetrMa7/OWEtnSDs68TIlyAIwh7EuGVDQjUIAG1VYqqSkSL3eZlw9y0Ytm1C4bDjz86l8VdnUHfOJSPdNUEYFUTwJQhCj4wb1pD/6rMoXE4CaensuOJ6/AVFI92tfVpIl+TsRXkAAA/ISURBVJgmA3ouvTQSxvzjb6St/K7za21dDblvvYRt5iF4SiaMYM8EYXQQ046CICRl3LCGCQtvJXXVcoyb15P+3VdMuf16VC1NI921fVrN+ZfhT7fEtQVTzNTPO2eEepTIsC2x0oPKbiPnvddHoDeCMPqI4EsQhKTyX302roYixEYw8l96eoR6tPdS2Nso/OcjjL9nAZbPPoJwuMdjvSUTKPvDHTim7o83rxDnpGlU/O5GbIccuRt7PFCjcOeoIIwAMe0oCEJSiiT1KwEx8jXEtBXbmXTnn9DWVQOxAt8ZX37C5nsfAUlKeo7t4MOxHXz47uxmv7gmTEVXXhoXagVM5lFZq1UQRoIY+RIEIalAmiVpu7do7G7uyd6t+Km/dwZeAFIoSMra1ViWfTqCvRqciutupu3gwwmY04jI5Xhz86mbf4lY7yUI7cTIlyAISe34zfXoKrajravpbHOPHU/NBZePYK/2PurmxoQ2KRgk9YdvaZl70gj0aPAiag1b7n0EdUMdqpYm3CUTRI1SQehGBF+CICTlzy9kw8PPUPDy06iaG/EWjaXmgstFAtghFtbpk7b7snN3c0+Gnj87F/9e8DoEYaiJ4EsQ9lWRCKrWZkIGY4+jEkFLBuU33r6bO7ZvaTr+V2irK1C43Z1t3rxC6s+6cAR7JQjCcBLBlyDsg1K/W0bBS/9E1dpERKPFMW0GLfc8NNLd2ic1njYfZDIyPv8IyeMhkJlNxVU37hGlguQ+L2nfLkMWDtN65HFialEQ+kgEX4Kwj1G1NDH2Hw90W2vUhrqxAUVqKrYrbxzRvu2rGk89m8ZTzx7pbvRLyo/fU/Lo/WjqqiEaJf/VZ9lx5e9FYW9B6AOx21EQ9jE5b7+SsMhbFo2g+2nlCPVI2OOEw4x5ajHa2ipk0SgyQFtXTdEzjyELBke6d4Iw6ongSxD2MQqPK2m7LCQ+NIW+0ZdvQ1Nfk9CuqavGuGndCPRIEPYsIvgShH1M/SlnETSkJLT7Rf4uoY/CGi0RpSqhPaJUEdaJdV+C0JtBrflasWIFb7/9NrW1tdx3332UlJQkPe6aa65Bo9Egl8uRJIlFixYN5raCIAyCZ8IUmk48DcsXH6O2thBWqfEUl1B/+30j3TVhD+ErKMZbUIxyp1Eub9EY3OMmjVCvBGHPMajgq6CggJtuuomnn+691tudd95JSkriX9uCIOx+lVf+nvozziN1+df4c/KwzTwEc1oa2Gwj3TVhD7H1z39j/KL/Q1tdCdEovrwCtt9yN8hE/UZB6M2ggq/8/Pyh6ocgCLtZICMrluZAEAYgaMlg04NLUNjbkEWiBFPTRrpLgrDH2G2pJu69914Ajj/+eObOnbu7bisIgiAMo5ApdaS7IAh7nF6Dr4ULF2JLMhVx7rnnMmvWrD7dZOHChaSlpWG327nnnnvIzc1lypQpSY9dunQpS5cuBWDRokVYLMmL+w4FhUIRd32b2Tds9xrNJEnCbB79CR2Hk3gG4hl0EM9BPAMQz6CDeA7D8wx6Db7uuOOOQd8kLS02HG0ymZg1axalpaU9Bl9z586NGxlraWkZ9P17YrFY4q6fLMjcF5jN5n32tXcQz0A8gw7iOYhnAOIZdBDPYXiewbCnmvD5fHi93s7/X7duHYWFhcN9W0EQBEEQhFFpUGu+Vq5cyXPPPYfD4WDRokUUFxdz++23Y7VaWbJkCQsWLMBut/Pggw8CEA6HOfzwwznggAOGpPOCIAiCIAh7mkEFX7Nnz2b27NkJ7WlpaSxYsACArKwsHnjggcHcRhAEQRAEYa8hCmsLgiCMELnfR847r2LctI6gyUzNhVfgzy0Y6W4JgjDMRPAlCIIwAmTBIFNuuQbjhjV0pCU1/7yKbbfdi3P6jBHtmyAIw0vUdhQEQRgBmZ9+gGHzerrng1c3N1LwwlMj1idBEHYPMfIlCMLwiUQofOFJzCuXIw8G8OYVUH7DAoLpGSPdsxGXsnY18nA4oV3V1joCvREEYXcSwZcgCMOm+KnFZH3wNlIoCICushx1Yz3rH3+JqEI5wr0bWZ7iEqLAzpUQQwbjSHRHEITdSEw7CoIwPMIhzKuWdwZeHXQ7ykn7eukIdWr0qD/9PDzFJXFtwRQzDaeKepuCsLcTI1+CIPSLtmwb+a89h8Ljxjl5OnXnXExErUk4Th4IIHk9ie3hELqqCvb1ybWITs+mRY9TvORhNPW1hLU66uedQ9thx4x01wRBGGYi+BIEoc/MP3xLycMLUbf+f3v3GhtTt4cB/JnuaqsXY2ZKq60GpZL6IKFVKVW05A3OISIuidsHR6QuByE0ohUUQVWEBkHjHXEiEo5vDTm0Im69KGnRanFOI6h22mmpxkxnnQ9vOmkzpfPmnVm7M/P8Ppm9l3r2f6+pf9faxh//LdfQ0ofQPnuK6uNnAaX3txNb0GD8MAxDYFNjr+OWsCFompEBAizhw/Bmz2G1YxCRZNx2JCKnxfzrkr3xAv54Xin0VRXC/1PkOFijwf/WbkDn8Ej7IWtQMEwpM/E9Ll5CWiKigYkrX0TktEEtJodjitWCoc+eomnuAodz5qQUVJ0qRNS13+Hf1oovcxfAPClZRlQiogGLzRcROc0apgXQ0OuYzc8P7eMn/PT3/Agfjvcbd7g5GRGR5+C2IxE57dPfl8AyRNvrWMeYeDTOW6RSIiIiz8OVLyJy2pe5f4M1dAgi/30NSud3fI8dhf/+458QAYFqRyMi8hhsvojoT2lJSUNLSpraMYiIPBa3HYmIiIgkYvNFREREJBGbLyIiIiKJ2HwRERERScTmi4iIiEgiNl9EREREErH5IiIiIpKIzRcRERGRRGy+iIiIiCRi80VEREQkEZsvIiIiIonYfBERERFJxOaLiIiISCI2X0REREQSsfkiIiIikojNFxEREZFEGiGEUDsEERERka/w6ZWv3bt3qx1hQGAdWAOANejGOrAGAGvQjXVwTw18uvkiIiIiko3NFxEREZFEyr59+/apHUJNY8aMUTvCgMA6sAYAa9CNdWANANagG+vg+hrwgXsiIiIiibjtSERERCSRv9oBZDIajSgvL4e/vz8iIiKQmZmJkJAQh3GVlZUoLCyEzWZDeno6Fi1apEJa93j06BGuX7+ODx8+4NChQ4iLi+tz3MaNGxEUFAQ/Pz8oioIjR45ITupeztbBm+fC169fkZ+fjy9fvmDYsGHYtm0bQkNDHcYtW7YMsbGxAIDw8HDs2rVLdlSX6+++WiwWnD59Gm/fvkVYWBi2bt2K4cOHq5TWffqrQ3FxMYxGI/R6PQDgt99+Q3p6uhpR3aKgoAAVFRXQarXIy8tzOC+EQGFhIZ49e4bAwEBkZmZ65RZcf3Worq7G0aNH7e+B5ORkLFmyRHZMt2pqasKZM2fQ2toKjUaDjIwMzJs3r9cYl84H4UMqKyuF1WoVQghhNBqF0Wh0GNPV1SU2bdokPn36JCwWi9ixY4doaGiQHdVtGhoaxIcPH0ROTo6oq6v76bjMzExhNpslJpPLmTp4+1wwGo3i5s2bQgghbt682ef7QQghVq5cKTOW2zlzX4uKisS5c+eEEEI8ePBAnDhxQo2obuVMHe7duycuXLigUkL3q66uFvX19WL79u19ni8vLxe5ubnCZrOJmpoakZWVJTmhHP3VoaqqShw+fFhyKrlMJpOor68XQgjR0dEhtmzZ4vB+cOV88Kltx4kTJ0JRFABAfHw8TCaTw5i6ujpERkYiIiIC/v7+SElJQWlpqeyobhMTE4OoqCi1Y6jOmTp4+1woLS1FWloaACAtLc2rru1XnLmvZWVlmDlzJgBg6tSpqKqqgvCyx2O9fX47IyEhoc/V3m5lZWWYMWMGNBoN4uPj8e3bN7S0tEhMKEd/dfAFOp3Ovoo1ePBgREdHO/QIrpwPPrXt2NPdu3eRkpLicNxkMsFgMNhfGwwGvHnzRma0ASM3NxcAMGfOHGRkZKicRj5vnwtmsxk6nQ4AMHToUJjN5j7HWSwW7N69G4qiYOHChZgyZYrMmC7nzH3tOUZRFAQHB6O9vR1DhgyRmtWdnJ3fT548watXrzBixAisWbMG4eHhMmOqymQy9bpeg8EAk8lkf9/4ktraWuzcuRM6nQ6rVq3CyJEj1Y7kNo2NjXj37h3Gjh3b67gr54PXNV8HDhxAa2urw/Hly5cjKSkJAHDjxg0oioLU1FTZ8aRwpgbOfA29Xg+z2YyDBw8iKioKCQkJro7qVq6og6f7VQ160mg00Gg0fX6NgoIC6PV6fP78Gfv370dsbCwiIyPdkpcGlsmTJ2PatGkYNGgQ7ty5gzNnziAnJ0ftWCTZ6NGjUVBQgKCgIFRUVODYsWM4deqU2rHcorOzE3l5eVi7di2Cg4Pd9ud4XfO1d+/eX54vLi5GeXk5srOz+/zLRq/Xo7m52f66ubnZ/rCpp+ivBs7ovmatVoukpCTU1dV5XPP1V+vg7XNBq9WipaUFOp0OLS0tP13V6b7miIgIJCQk4P379x7dfDlzX7vHGAwGdHV1oaOjA2FhYbKjupUzdeh5zenp6bhy5Yq0fAOBXq9HU1OT/bUnfg9whZ5NyKRJk3Dx4kW0tbV51UowAFitVuTl5SE1NRXJyckO5105H3zqma/KykrcunULu3btQmBgYJ9j4uLi8PHjRzQ2NsJqteLhw4dITEyUnFRdnZ2d+P79u/3XL168sP9rN1/i7XMhMTERJSUlAICSkpI+VwO/fv0Ki8UCAGhra0NNTQ1iYmKk5nQ1Z+7r5MmTUVxcDAB4/PgxJkyY8NOVQU/lTB16Ps9SVlbm8ff+z0pMTMT9+/chhEBtbS2Cg4N9csuxtbXV/sxjXV0dbDab1/0wIoTA2bNnER0djQULFvQ5xpXzwac+ZHXz5s2wWq32BwvHjRuH9evXw2Qy4dy5c8jKygIAVFRU4PLly7DZbJg1axYWL16sZmyXevr0KS5duoS2tjaEhIRg1KhR2LNnT68afP78GcePHwcAdHV1Yfr06V5VA8C5OgDePRfa29uRn5+PpqamXh81UV9fjzt37mDDhg2oqanB+fPn4efnB5vNhvnz52P27NlqR//L+rqv165dQ1xcHBITE/Hjxw+cPn0a7969Q2hoKLZu3YqIiAi1Y7tcf3W4evUqysrKoCgKQkNDsW7dOkRHR6sd22VOnjyJly9for29HVqtFkuXLoXVagUAzJ07F0IIXLx4Ec+fP0dAQAAyMzN/+rE0nqy/OhQVFeH27dtQFAUBAQFYvXo1xo8fr3Jq13r9+jWys7MRGxtr/0FrxYoV9pUuV88Hn2q+iIiIiNTmU9uORERERGpj80VEREQkEZsvIiIiIonYfBERERFJxOaLiIiISCI2X0REREQSsfkiIiIikojNFxEREZFE/wd2/s/7RBfR2gAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "np.random.seed(42)\n", "X, y = make_circles(n_samples=500, factor=0.1, noise=0.35, random_state=42)\n", @@ -870,7 +984,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 14, "metadata": {}, "outputs": [], "source": [ @@ -888,7 +1002,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 15, "metadata": {}, "outputs": [], "source": [ @@ -905,7 +1019,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 16, "metadata": {}, "outputs": [], "source": [ @@ -924,7 +1038,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 17, "metadata": {}, "outputs": [], "source": [ @@ -940,7 +1054,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 18, "metadata": {}, "outputs": [], "source": [ @@ -956,7 +1070,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 19, "metadata": {}, "outputs": [], "source": [ @@ -972,7 +1086,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 20, "metadata": {}, "outputs": [], "source": [ @@ -988,9 +1102,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "CV accuracy score: 91.48%\n" + ] + } + ], "source": [ "print(\"CV accuracy score: {:.2f}%\".format(results.mean()*100))" ] @@ -1004,7 +1126,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 22, "metadata": {}, "outputs": [], "source": [ @@ -1020,7 +1142,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 23, "metadata": {}, "outputs": [], "source": [ @@ -1039,7 +1161,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 24, "metadata": {}, "outputs": [], "source": [ @@ -1055,7 +1177,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 25, "metadata": {}, "outputs": [], "source": [ @@ -1083,9 +1205,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 26, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Best accuracy on CV is 92.44% with 50 trees\n" + ] + } + ], "source": [ "train_acc, test_acc = np.asarray(train_acc), np.asarray(test_acc)\n", "print(\"Best accuracy on CV is {:.2f}% with {} trees\".format(max(test_acc.mean(axis=1))*100,\n", @@ -1101,9 +1231,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 0, 'N_estimators')" + ] + }, + "execution_count": 27, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.style.use('ggplot')\n", "\n", @@ -1137,7 +1288,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 28, "metadata": {}, "outputs": [], "source": [ @@ -1157,7 +1308,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 29, "metadata": {}, "outputs": [], "source": [ @@ -1185,9 +1336,37 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Best accuracy on CV is 92.68% with 17 max_depth\n" + ] + }, + { + "data": { + "text/plain": [ + "Text(0.5, 0, 'Max_depth')" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "train_acc, test_acc = np.asarray(train_acc), np.asarray(test_acc)\n", "print(\"Best accuracy on CV is {:.2f}% with {} max_depth\".format(max(test_acc.mean(axis=1))*100,\n", @@ -1224,7 +1403,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 31, "metadata": {}, "outputs": [], "source": [ @@ -1244,7 +1423,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 32, "metadata": {}, "outputs": [], "source": [ @@ -1272,9 +1451,37 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Best accuracy on CV is 92.41% with 3 min_samples_leaf\n" + ] + }, + { + "data": { + "text/plain": [ + "Text(0.5, 0, 'Min_samples_leaf')" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "train_acc, test_acc = np.asarray(train_acc), np.asarray(test_acc)\n", "print(\"Best accuracy on CV is {:.2f}% with {} min_samples_leaf\".format(max(test_acc.mean(axis=1))*100,\n", @@ -1311,7 +1518,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 34, "metadata": {}, "outputs": [], "source": [ @@ -1331,7 +1538,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 35, "metadata": {}, "outputs": [], "source": [ @@ -1359,9 +1566,37 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 36, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Best accuracy on CV is 92.59% with 12 max_features\n" + ] + }, + { + "data": { + "text/plain": [ + "Text(0.5, 0, 'Max_features')" + ] + }, + "execution_count": 36, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "train_acc, test_acc = np.asarray(train_acc), np.asarray(test_acc)\n", "print(\"Best accuracy on CV is {:.2f}% with {} max_features\".format(max(test_acc.mean(axis=1))*100,\n", @@ -1398,11 +1633,48 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 37, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 8 candidates, totalling 40 fits\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 40 out of 40 | elapsed: 33.1s finished\n" + ] + }, + { + "data": { + "text/plain": [ + "GridSearchCV(cv=StratifiedKFold(n_splits=5, random_state=42, shuffle=True),\n", + " error_score='raise-deprecating',\n", + " estimator=RandomForestClassifier(bootstrap=True, class_weight=None, criterion='gini',\n", + " max_depth=None, max_features='auto', max_leaf_nodes=None,\n", + " min_impurity_decrease=0.0, min_impurity_split=None,\n", + " min_samples_leaf=1, min_samples_split=2,\n", + " min_weight_fraction_leaf=0.0, n_estimators=100, n_jobs=-1,\n", + " oob_score=True, random_state=42, verbose=0, warm_start=False),\n", + " fit_params=None, iid='warn', n_jobs=-1,\n", + " param_grid={'max_features': [10, 13], 'min_samples_leaf': [1, 3], 'max_depth': [5, 10]},\n", + " pre_dispatch='2*n_jobs', refit=True, return_train_score='warn',\n", + " scoring=None, verbose=1)" + ] + }, + "execution_count": 37, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "# 原实验搜索参数\n", "# parameters = {'max_features': [4, 7, 10, 13], 'min_samples_leaf': [\n", @@ -1424,11 +1696,28 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 38, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(RandomForestClassifier(bootstrap=True, class_weight=None, criterion='gini',\n", + " max_depth=10, max_features=10, max_leaf_nodes=None,\n", + " min_impurity_decrease=0.0, min_impurity_split=None,\n", + " min_samples_leaf=1, min_samples_split=2,\n", + " min_weight_fraction_leaf=0.0, n_estimators=100, n_jobs=-1,\n", + " oob_score=True, random_state=42, verbose=0, warm_start=False),\n", + " 0.9270927092709271)" + ] + }, + "execution_count": 38, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "gcv.best_estimator_, gcv.best_score_" ] @@ -1855,7 +2144,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 39, "metadata": {}, "outputs": [], "source": [ @@ -1878,7 +2167,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 40, "metadata": {}, "outputs": [], "source": [ @@ -1905,7 +2194,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 41, "metadata": {}, "outputs": [], "source": [ @@ -1928,7 +2217,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 42, "metadata": {}, "outputs": [], "source": [ @@ -1945,9 +2234,47 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 43, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Feature ranking:\n", + "1. Staff 0.182757 \n", + "2. Value for money 0.148373 \n", + "3. Shared space condition 0.128296 \n", + "4. Extra services 0.116604 \n", + "5. Customer Co-creation 0.106668 \n", + "6. General conditions & conveniences 0.088589 \n", + "7. Shared kitchen condition 0.074273 \n", + "8. Check-in and check-out 0.061521 \n", + "9. Hostel booking 0.053615 \n", + "10. Room condition 0.039305 \n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 43, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "print(\"Feature ranking:\")\n", "\n", @@ -3073,7 +3400,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic06-\347\211\271\345\276\201\345\267\245\347\250\213\345\222\214\347\211\271\345\276\201\351\200\211\346\213\251.ipynb" "b/jupyter_chinese/topic06-\347\211\271\345\276\201\345\267\245\347\250\213\345\222\214\347\211\271\345\276\201\351\200\211\346\213\251.ipynb" index 5903b06130..a2a204b2ff 100644 --- "a/jupyter_chinese/topic06-\347\211\271\345\276\201\345\267\245\347\250\213\345\222\214\347\211\271\345\276\201\351\200\211\346\213\251.ipynb" +++ "b/jupyter_chinese/topic06-\347\211\271\345\276\201\345\267\245\347\250\213\345\222\214\347\211\271\345\276\201\351\200\211\346\213\251.ipynb" @@ -78,7 +78,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:07.067528Z", @@ -95,7 +95,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:07.067528Z", @@ -103,7 +103,156 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
bathroomsbedroomsbuilding_idcreateddescriptiondisplay_addressfeatureslatitudelisting_idlongitudemanager_idphotospricestreet_addressinterest_level
101.5353a5b119ba8f7b61d4e010512e0dfc852016-06-24 07:54:24A Brand New 3 Bedroom 1.5 bath ApartmentEnjoy ...Metropolitan Avenue[]40.71457211212-73.94255ba989232d0489da1b5f2c45f6688adc[https://photos.renthop.com/2/7211212_1ed4542e...3000792 Metropolitan Avenuemedium
100001.02c5c8a357cba207596b04d1afd1e4f1302016-06-12 12:19:27Columbus Avenue[Doorman, Elevator, Fitness Center, Cats Allow...40.79477150865-73.96677533621a882f71e25173b27e3139d83d[https://photos.renthop.com/2/7150865_be3306c5...5465808 Columbus Avenuelow
1000041.01c3ba40552e2120b0acfc3cb5730bb2aa2016-04-17 03:26:41Top Top West Village location, beautiful Pre-w...W 13 Street[Laundry In Building, Dishwasher, Hardwood Flo...40.73886887163-74.0018d9039c43983f6e564b1482b273bd7b01[https://photos.renthop.com/2/6887163_de85c427...2850241 W 13 Streethigh
1000071.0128d9ad350afeaab8027513a3e52ac8d52016-04-18 02:22:02Building Amenities - Garage - Garden - fitness...East 49th Street[Hardwood Floors, No Fee]40.75396888711-73.96771067e078446a7897d2da493d2f741316[https://photos.renthop.com/2/6888711_6e660cee...3275333 East 49th Streetlow
1000131.0402016-04-28 01:32:41Beautifully renovated 3 bedroom flex 4 bedroom...West 143rd Street[Pre-War]40.82416934781-73.949398e13ad4b495b9613cef886d79a6291f[https://photos.renthop.com/2/6934781_1fa4b41a...3350500 West 143rd Streetlow
\n", + "
" + ], + "text/plain": [ + " bathrooms ... interest_level\n", + "10 1.5 ... medium\n", + "10000 1.0 ... low\n", + "100004 1.0 ... high\n", + "100007 1.0 ... low\n", + "100013 1.0 ... low\n", + "\n", + "[5 rows x 15 columns]" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = pd.read_json('renthop_train.json')\n", "df.head()" @@ -155,14 +304,26 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:07.087385Z", "start_time": "2018-03-15T14:06:07.068964Z" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Vocabulary: [(0, 'i'), (1, 'have'), (2, 'and'), (3, 'you'), (4, 'cat'), (5, 'dog'), (6, 'a')]\n", + "Vectors:\n", + "[1. 1. 0. 0. 1. 0. 1.]\n", + "[0. 1. 0. 1. 0. 1. 1.]\n", + "[1. 1. 2. 1. 1. 1. 2.]\n" + ] + } + ], "source": [ "import numpy as np\n", "import pandas as pd\n", @@ -222,7 +383,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:08.998673Z", @@ -230,7 +391,19 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[1, 1, 1],\n", + " [1, 1, 1]], dtype=int64)" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.feature_extraction.text import CountVectorizer\n", "\n", @@ -247,7 +420,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:09.002804Z", @@ -255,7 +428,18 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "{'no': 2, 'have': 1, 'cows': 0}" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "vect.vocabulary_" ] @@ -269,14 +453,26 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:09.110448Z", "start_time": "2018-03-15T14:06:09.003924Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[1, 1, 1, 0, 1, 0, 1],\n", + " [1, 1, 0, 1, 1, 1, 0]], dtype=int64)" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "vect = CountVectorizer(ngram_range=(1, 2))\n", "vect.fit_transform(['no i have cows', 'i have no cows']).toarray()" @@ -291,14 +487,31 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:09.218867Z", "start_time": "2018-03-15T14:06:09.113204Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "{'no': 4,\n", + " 'have': 1,\n", + " 'cows': 0,\n", + " 'no have': 6,\n", + " 'have cows': 2,\n", + " 'have no': 3,\n", + " 'no cows': 5}" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "vect.vocabulary_" ] @@ -317,14 +530,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:09.774148Z", "start_time": "2018-03-15T14:06:09.220060Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(2.8284271247461903, 3.1622776601683795, 3.3166247903554)" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from scipy.spatial.distance import euclidean\n", "from sklearn.feature_extraction.text import CountVectorizer\n", @@ -437,14 +661,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:25.714680Z", "start_time": "2018-03-15T14:06:09.775547Z" } }, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "Using TensorFlow backend.\n" + ] + } + ], "source": [ "from keras.applications.resnet50 import ResNet50, preprocess_input\n", "from keras.preprocessing import image\n", @@ -459,16 +691,6 @@ "同时,由于原 ResNet50 模型托管在外网上,速度较慢。我们直接通过实验楼服务器来下载到线上环境指定路径。" ] }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# 直接运行下载预训练模型,本地练习时无需本行代码,直接去掉即可\n", - "!wget - P \"/root/.keras/models\" - nc \"http://labfile.oss.aliyuncs.com/courses/1283/resnet50_weights_tf_dim_ordering_tf_kernels_notop.h5\"" - ] - }, { "cell_type": "markdown", "metadata": {}, @@ -478,7 +700,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 11, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:25.714680Z", @@ -486,7 +708,390 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:From /opt/conda/lib/python3.6/site-packages/tensorflow/python/framework/op_def_library.py:263: colocate_with (from tensorflow.python.framework.ops) is deprecated and will be removed in a future version.\n", + "Instructions for updating:\n", + "Colocations handled automatically by placer.\n", + "__________________________________________________________________________________________________\n", + "Layer (type) Output Shape Param # Connected to \n", + "==================================================================================================\n", + "input_1 (InputLayer) (None, None, None, 3 0 \n", + "__________________________________________________________________________________________________\n", + "conv1_pad (ZeroPadding2D) (None, None, None, 3 0 input_1[0][0] \n", + "__________________________________________________________________________________________________\n", + "conv1 (Conv2D) (None, None, None, 6 9472 conv1_pad[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn_conv1 (BatchNormalization) (None, None, None, 6 256 conv1[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_1 (Activation) (None, None, None, 6 0 bn_conv1[0][0] \n", + "__________________________________________________________________________________________________\n", + "pool1_pad (ZeroPadding2D) (None, None, None, 6 0 activation_1[0][0] \n", + "__________________________________________________________________________________________________\n", + "max_pooling2d_1 (MaxPooling2D) (None, None, None, 6 0 pool1_pad[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2a_branch2a (Conv2D) (None, None, None, 6 4160 max_pooling2d_1[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2a_branch2a (BatchNormalizati (None, None, None, 6 256 res2a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_2 (Activation) (None, None, None, 6 0 bn2a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2a_branch2b (Conv2D) (None, None, None, 6 36928 activation_2[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2a_branch2b (BatchNormalizati (None, None, None, 6 256 res2a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_3 (Activation) (None, None, None, 6 0 bn2a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2a_branch2c (Conv2D) (None, None, None, 2 16640 activation_3[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2a_branch1 (Conv2D) (None, None, None, 2 16640 max_pooling2d_1[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2a_branch2c (BatchNormalizati (None, None, None, 2 1024 res2a_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2a_branch1 (BatchNormalizatio (None, None, None, 2 1024 res2a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_1 (Add) (None, None, None, 2 0 bn2a_branch2c[0][0] \n", + " bn2a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_4 (Activation) (None, None, None, 2 0 add_1[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2b_branch2a (Conv2D) (None, None, None, 6 16448 activation_4[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2b_branch2a (BatchNormalizati (None, None, None, 6 256 res2b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_5 (Activation) (None, None, None, 6 0 bn2b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2b_branch2b (Conv2D) (None, None, None, 6 36928 activation_5[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2b_branch2b (BatchNormalizati (None, None, None, 6 256 res2b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_6 (Activation) (None, None, None, 6 0 bn2b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2b_branch2c (Conv2D) (None, None, None, 2 16640 activation_6[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2b_branch2c (BatchNormalizati (None, None, None, 2 1024 res2b_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_2 (Add) (None, None, None, 2 0 bn2b_branch2c[0][0] \n", + " activation_4[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_7 (Activation) (None, None, None, 2 0 add_2[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2c_branch2a (Conv2D) (None, None, None, 6 16448 activation_7[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2c_branch2a (BatchNormalizati (None, None, None, 6 256 res2c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_8 (Activation) (None, None, None, 6 0 bn2c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2c_branch2b (Conv2D) (None, None, None, 6 36928 activation_8[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2c_branch2b (BatchNormalizati (None, None, None, 6 256 res2c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_9 (Activation) (None, None, None, 6 0 bn2c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res2c_branch2c (Conv2D) (None, None, None, 2 16640 activation_9[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn2c_branch2c (BatchNormalizati (None, None, None, 2 1024 res2c_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_3 (Add) (None, None, None, 2 0 bn2c_branch2c[0][0] \n", + " activation_7[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_10 (Activation) (None, None, None, 2 0 add_3[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3a_branch2a (Conv2D) (None, None, None, 1 32896 activation_10[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3a_branch2a (BatchNormalizati (None, None, None, 1 512 res3a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_11 (Activation) (None, None, None, 1 0 bn3a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3a_branch2b (Conv2D) (None, None, None, 1 147584 activation_11[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3a_branch2b (BatchNormalizati (None, None, None, 1 512 res3a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_12 (Activation) (None, None, None, 1 0 bn3a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3a_branch2c (Conv2D) (None, None, None, 5 66048 activation_12[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3a_branch1 (Conv2D) (None, None, None, 5 131584 activation_10[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3a_branch2c (BatchNormalizati (None, None, None, 5 2048 res3a_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3a_branch1 (BatchNormalizatio (None, None, None, 5 2048 res3a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_4 (Add) (None, None, None, 5 0 bn3a_branch2c[0][0] \n", + " bn3a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_13 (Activation) (None, None, None, 5 0 add_4[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3b_branch2a (Conv2D) (None, None, None, 1 65664 activation_13[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3b_branch2a (BatchNormalizati (None, None, None, 1 512 res3b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_14 (Activation) (None, None, None, 1 0 bn3b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3b_branch2b (Conv2D) (None, None, None, 1 147584 activation_14[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3b_branch2b (BatchNormalizati (None, None, None, 1 512 res3b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_15 (Activation) (None, None, None, 1 0 bn3b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3b_branch2c (Conv2D) (None, None, None, 5 66048 activation_15[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3b_branch2c (BatchNormalizati (None, None, None, 5 2048 res3b_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_5 (Add) (None, None, None, 5 0 bn3b_branch2c[0][0] \n", + " activation_13[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_16 (Activation) (None, None, None, 5 0 add_5[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3c_branch2a (Conv2D) (None, None, None, 1 65664 activation_16[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3c_branch2a (BatchNormalizati (None, None, None, 1 512 res3c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_17 (Activation) (None, None, None, 1 0 bn3c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3c_branch2b (Conv2D) (None, None, None, 1 147584 activation_17[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3c_branch2b (BatchNormalizati (None, None, None, 1 512 res3c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_18 (Activation) (None, None, None, 1 0 bn3c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3c_branch2c (Conv2D) (None, None, None, 5 66048 activation_18[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3c_branch2c (BatchNormalizati (None, None, None, 5 2048 res3c_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_6 (Add) (None, None, None, 5 0 bn3c_branch2c[0][0] \n", + " activation_16[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_19 (Activation) (None, None, None, 5 0 add_6[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3d_branch2a (Conv2D) (None, None, None, 1 65664 activation_19[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3d_branch2a (BatchNormalizati (None, None, None, 1 512 res3d_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_20 (Activation) (None, None, None, 1 0 bn3d_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3d_branch2b (Conv2D) (None, None, None, 1 147584 activation_20[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3d_branch2b (BatchNormalizati (None, None, None, 1 512 res3d_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_21 (Activation) (None, None, None, 1 0 bn3d_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res3d_branch2c (Conv2D) (None, None, None, 5 66048 activation_21[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn3d_branch2c (BatchNormalizati (None, None, None, 5 2048 res3d_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_7 (Add) (None, None, None, 5 0 bn3d_branch2c[0][0] \n", + " activation_19[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_22 (Activation) (None, None, None, 5 0 add_7[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4a_branch2a (Conv2D) (None, None, None, 2 131328 activation_22[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4a_branch2a (BatchNormalizati (None, None, None, 2 1024 res4a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_23 (Activation) (None, None, None, 2 0 bn4a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4a_branch2b (Conv2D) (None, None, None, 2 590080 activation_23[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4a_branch2b (BatchNormalizati (None, None, None, 2 1024 res4a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_24 (Activation) (None, None, None, 2 0 bn4a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4a_branch2c (Conv2D) (None, None, None, 1 263168 activation_24[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4a_branch1 (Conv2D) (None, None, None, 1 525312 activation_22[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4a_branch2c (BatchNormalizati (None, None, None, 1 4096 res4a_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4a_branch1 (BatchNormalizatio (None, None, None, 1 4096 res4a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_8 (Add) (None, None, None, 1 0 bn4a_branch2c[0][0] \n", + " bn4a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_25 (Activation) (None, None, None, 1 0 add_8[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4b_branch2a (Conv2D) (None, None, None, 2 262400 activation_25[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4b_branch2a (BatchNormalizati (None, None, None, 2 1024 res4b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_26 (Activation) (None, None, None, 2 0 bn4b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4b_branch2b (Conv2D) (None, None, None, 2 590080 activation_26[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4b_branch2b (BatchNormalizati (None, None, None, 2 1024 res4b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_27 (Activation) (None, None, None, 2 0 bn4b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4b_branch2c (Conv2D) (None, None, None, 1 263168 activation_27[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4b_branch2c (BatchNormalizati (None, None, None, 1 4096 res4b_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_9 (Add) (None, None, None, 1 0 bn4b_branch2c[0][0] \n", + " activation_25[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_28 (Activation) (None, None, None, 1 0 add_9[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4c_branch2a (Conv2D) (None, None, None, 2 262400 activation_28[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4c_branch2a (BatchNormalizati (None, None, None, 2 1024 res4c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_29 (Activation) (None, None, None, 2 0 bn4c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4c_branch2b (Conv2D) (None, None, None, 2 590080 activation_29[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4c_branch2b (BatchNormalizati (None, None, None, 2 1024 res4c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_30 (Activation) (None, None, None, 2 0 bn4c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4c_branch2c (Conv2D) (None, None, None, 1 263168 activation_30[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4c_branch2c (BatchNormalizati (None, None, None, 1 4096 res4c_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_10 (Add) (None, None, None, 1 0 bn4c_branch2c[0][0] \n", + " activation_28[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_31 (Activation) (None, None, None, 1 0 add_10[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4d_branch2a (Conv2D) (None, None, None, 2 262400 activation_31[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4d_branch2a (BatchNormalizati (None, None, None, 2 1024 res4d_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_32 (Activation) (None, None, None, 2 0 bn4d_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4d_branch2b (Conv2D) (None, None, None, 2 590080 activation_32[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4d_branch2b (BatchNormalizati (None, None, None, 2 1024 res4d_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_33 (Activation) (None, None, None, 2 0 bn4d_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4d_branch2c (Conv2D) (None, None, None, 1 263168 activation_33[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4d_branch2c (BatchNormalizati (None, None, None, 1 4096 res4d_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_11 (Add) (None, None, None, 1 0 bn4d_branch2c[0][0] \n", + " activation_31[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_34 (Activation) (None, None, None, 1 0 add_11[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4e_branch2a (Conv2D) (None, None, None, 2 262400 activation_34[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4e_branch2a (BatchNormalizati (None, None, None, 2 1024 res4e_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_35 (Activation) (None, None, None, 2 0 bn4e_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4e_branch2b (Conv2D) (None, None, None, 2 590080 activation_35[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4e_branch2b (BatchNormalizati (None, None, None, 2 1024 res4e_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_36 (Activation) (None, None, None, 2 0 bn4e_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4e_branch2c (Conv2D) (None, None, None, 1 263168 activation_36[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4e_branch2c (BatchNormalizati (None, None, None, 1 4096 res4e_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_12 (Add) (None, None, None, 1 0 bn4e_branch2c[0][0] \n", + " activation_34[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_37 (Activation) (None, None, None, 1 0 add_12[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4f_branch2a (Conv2D) (None, None, None, 2 262400 activation_37[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4f_branch2a (BatchNormalizati (None, None, None, 2 1024 res4f_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_38 (Activation) (None, None, None, 2 0 bn4f_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4f_branch2b (Conv2D) (None, None, None, 2 590080 activation_38[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4f_branch2b (BatchNormalizati (None, None, None, 2 1024 res4f_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_39 (Activation) (None, None, None, 2 0 bn4f_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res4f_branch2c (Conv2D) (None, None, None, 1 263168 activation_39[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn4f_branch2c (BatchNormalizati (None, None, None, 1 4096 res4f_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_13 (Add) (None, None, None, 1 0 bn4f_branch2c[0][0] \n", + " activation_37[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_40 (Activation) (None, None, None, 1 0 add_13[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5a_branch2a (Conv2D) (None, None, None, 5 524800 activation_40[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5a_branch2a (BatchNormalizati (None, None, None, 5 2048 res5a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_41 (Activation) (None, None, None, 5 0 bn5a_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5a_branch2b (Conv2D) (None, None, None, 5 2359808 activation_41[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5a_branch2b (BatchNormalizati (None, None, None, 5 2048 res5a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_42 (Activation) (None, None, None, 5 0 bn5a_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5a_branch2c (Conv2D) (None, None, None, 2 1050624 activation_42[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5a_branch1 (Conv2D) (None, None, None, 2 2099200 activation_40[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5a_branch2c (BatchNormalizati (None, None, None, 2 8192 res5a_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5a_branch1 (BatchNormalizatio (None, None, None, 2 8192 res5a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_14 (Add) (None, None, None, 2 0 bn5a_branch2c[0][0] \n", + " bn5a_branch1[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_43 (Activation) (None, None, None, 2 0 add_14[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5b_branch2a (Conv2D) (None, None, None, 5 1049088 activation_43[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5b_branch2a (BatchNormalizati (None, None, None, 5 2048 res5b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_44 (Activation) (None, None, None, 5 0 bn5b_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5b_branch2b (Conv2D) (None, None, None, 5 2359808 activation_44[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5b_branch2b (BatchNormalizati (None, None, None, 5 2048 res5b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_45 (Activation) (None, None, None, 5 0 bn5b_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5b_branch2c (Conv2D) (None, None, None, 2 1050624 activation_45[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5b_branch2c (BatchNormalizati (None, None, None, 2 8192 res5b_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_15 (Add) (None, None, None, 2 0 bn5b_branch2c[0][0] \n", + " activation_43[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_46 (Activation) (None, None, None, 2 0 add_15[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5c_branch2a (Conv2D) (None, None, None, 5 1049088 activation_46[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5c_branch2a (BatchNormalizati (None, None, None, 5 2048 res5c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_47 (Activation) (None, None, None, 5 0 bn5c_branch2a[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5c_branch2b (Conv2D) (None, None, None, 5 2359808 activation_47[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5c_branch2b (BatchNormalizati (None, None, None, 5 2048 res5c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_48 (Activation) (None, None, None, 5 0 bn5c_branch2b[0][0] \n", + "__________________________________________________________________________________________________\n", + "res5c_branch2c (Conv2D) (None, None, None, 2 1050624 activation_48[0][0] \n", + "__________________________________________________________________________________________________\n", + "bn5c_branch2c (BatchNormalizati (None, None, None, 2 8192 res5c_branch2c[0][0] \n", + "__________________________________________________________________________________________________\n", + "add_16 (Add) (None, None, None, 2 0 bn5c_branch2c[0][0] \n", + " activation_46[0][0] \n", + "__________________________________________________________________________________________________\n", + "activation_49 (Activation) (None, None, None, 2 0 add_16[0][0] \n", + "==================================================================================================\n", + "Total params: 23,587,712\n", + "Trainable params: 23,534,592\n", + "Non-trainable params: 53,120\n", + "__________________________________________________________________________________________________\n" + ] + } + ], "source": [ "resnet_settings = {'include_top': False, 'weights': 'imagenet'}\n", "resnet = ResNet50(**resnet_settings)\n", @@ -507,7 +1112,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 12, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:25.714680Z", @@ -515,7 +1120,19 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "img = image.array_to_img(face())\n", "img" @@ -535,7 +1152,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 13, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:27.770041Z", @@ -561,14 +1178,109 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 14, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:27.770041Z", "start_time": "2018-03-15T14:06:25.718729Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[[[0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " ...,\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 1.4725132 , ..., 0. ,\n", + " 0. , 0. ]],\n", + "\n", + " [[0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0.38773406, 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " ...,\n", + " [0. , 1.8051306 , 0. , ..., 0. ,\n", + " 0.26263073, 0. ],\n", + " [0. , 2.4187722 , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0.78430367, 0. , 0. , ..., 0. ,\n", + " 0. , 2.4506278 ]],\n", + "\n", + " [[0. , 2.323234 , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0.4393989 , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 1.8710582 , 0. ],\n", + " ...,\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0.17906535, 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 1.3661028 ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 0. , 7.8284254 ]],\n", + "\n", + " ...,\n", + "\n", + " [[0. , 0. , 4.6128216 , ..., 0. ,\n", + " 2.3170495 , 0. ],\n", + " [0.10072136, 0. , 1.125718 , ..., 0. ,\n", + " 1.0769875 , 0. ],\n", + " [0. , 1.9259409 , 1.7246397 , ..., 0. ,\n", + " 0. , 0. ],\n", + " ...,\n", + " [0. , 0.5183075 , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0.8698427 , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0.9889063 , 0. , 0. , ..., 0.6885177 ,\n", + " 2.81631 , 0. ]],\n", + "\n", + " [[0.60336626, 0. , 0. , ..., 0. ,\n", + " 6.8600435 , 0. ],\n", + " [3.007604 , 0. , 0. , ..., 0. ,\n", + " 7.0592794 , 0. ],\n", + " [1.8286723 , 0. , 0. , ..., 0. ,\n", + " 1.6133047 , 0. ],\n", + " ...,\n", + " [0. , 1.3878359 , 0. , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 1.765471 , ..., 0. ,\n", + " 0. , 0. ],\n", + " [1.0991517 , 0. , 1.0436618 , ..., 0. ,\n", + " 0. , 0. ]],\n", + "\n", + " [[0.412171 , 0. , 0. , ..., 0. ,\n", + " 3.5769184 , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 6.4475327 , 0. ],\n", + " [0. , 0. , 0. , ..., 0. ,\n", + " 4.576949 , 0. ],\n", + " ...,\n", + " [1.3614016 , 0. , 7.473207 , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 3.3999186 , ..., 0. ,\n", + " 0. , 0. ],\n", + " [0. , 0. , 0. , ..., 1.6259203 ,\n", + " 0. , 0. ]]]], dtype=float32)" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "x = image.img_to_array(img)\n", "x = np.expand_dims(x, axis=0)\n", @@ -609,7 +1321,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 16, "metadata": { "ExecuteTime": { "end_time": "2018-03-14T14:47:46.671934Z", @@ -639,7 +1351,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 17, "metadata": { "ExecuteTime": { "end_time": "2018-03-14T14:47:46.671934Z", @@ -663,14 +1375,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 18, "metadata": { "ExecuteTime": { "end_time": "2018-03-14T14:47:46.671934Z", "start_time": "2018-03-14T14:47:43.945326Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "'Google'" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "text" ] @@ -684,9 +1407,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 19, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "''" + ] + }, + "execution_count": 19, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "img = requests.get(\n", " 'https://doc.shiyanlou.com/courses/uid214893-20190505-1557033014576')\n", @@ -740,14 +1474,37 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 21, "metadata": { "ExecuteTime": { "end_time": "2018-03-14T15:12:50.468269Z", "start_time": "2018-03-14T15:12:50.455393Z" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Loading formatted geocoded file...\n" + ] + }, + { + "data": { + "text/plain": [ + "[OrderedDict([('lat', '40.74482'),\n", + " ('lon', '-73.94875'),\n", + " ('name', 'Long Island City'),\n", + " ('admin1', 'New York'),\n", + " ('admin2', 'Queens County'),\n", + " ('cc', 'US')])]" + ] + }, + "execution_count": 21, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "import reverse_geocoder as revgc\n", "revgc.search((df.latitude.iloc[0], df.longitude.iloc[0]))" @@ -818,7 +1575,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 22, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:27.782320Z", @@ -841,14 +1598,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 23, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:27.883311Z", "start_time": "2018-03-15T14:06:27.784833Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "0.5176380902050424" + ] + }, + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from scipy.spatial import distance\n", "euclidean(make_harmonic_features(23), make_harmonic_features(1))" @@ -863,7 +1631,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 24, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:28.250852Z", @@ -871,7 +1639,18 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "0.5176380902050414" + ] + }, + "execution_count": 24, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "euclidean(make_harmonic_features(9), make_harmonic_features(11))" ] @@ -885,7 +1664,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 25, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:28.801865Z", @@ -893,7 +1672,18 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "1.9828897227476208" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "euclidean(make_harmonic_features(9), make_harmonic_features(22))" ] @@ -937,7 +1727,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 27, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.336832Z", @@ -961,14 +1751,28 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 28, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.336832Z", "start_time": "2018-03-15T14:06:28.804134Z" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Is a bot? False\n", + "Is mobile? False\n", + "Is PC? True\n", + "OS Family: Ubuntu\n", + "OS Version: ()\n", + "Browser Family: Chromium\n", + "Browser Version: (56, 0, 2924)\n" + ] + } + ], "source": [ "print('Is a bot? ', ua.is_bot)\n", "print('Is mobile? ', ua.is_mobile)\n", @@ -1047,7 +1851,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 29, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.382748Z", @@ -1076,7 +1880,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 30, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.382748Z", @@ -1084,7 +1888,18 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(0.8632055521011353, 1.2426352779491647e-28)" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data = beta(1, 10).rvs(1000).reshape(-1, 1)\n", "shapiro(data)" @@ -1099,14 +1914,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 31, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.509590Z", "start_time": "2018-03-15T14:06:29.385020Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(0.8632055521011353, 1.2426352779491647e-28)" + ] + }, + "execution_count": 31, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "shapiro(StandardScaler().fit_transform(data))" ] @@ -1132,14 +1958,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 32, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.602528Z", "start_time": "2018-03-15T14:06:29.511150Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[-0.31922662],\n", + " [-0.31922662],\n", + " [-0.35434155],\n", + " [-0.38945648],\n", + " [-0.28411169],\n", + " [-0.31922662],\n", + " [-0.28411169],\n", + " [-0.24899676],\n", + " [-0.42457141],\n", + " [-0.21388184],\n", + " [ 3.15715128]])" + ] + }, + "execution_count": 32, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data = np.array([1, 1, 0, -1, 2, 1, 2, 3, -2, 4, 100]\n", " ).reshape(-1, 1).astype(np.float64)\n", @@ -1155,7 +2002,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 33, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.713603Z", @@ -1163,7 +2010,28 @@ }, "scrolled": false }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[-0.31922662],\n", + " [-0.31922662],\n", + " [-0.35434155],\n", + " [-0.38945648],\n", + " [-0.28411169],\n", + " [-0.31922662],\n", + " [-0.28411169],\n", + " [-0.24899676],\n", + " [-0.42457141],\n", + " [-0.21388184],\n", + " [ 3.15715128]])" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "(data - data.mean()) / data.std()" ] @@ -1191,7 +2059,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 34, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.855619Z", @@ -1199,7 +2067,28 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[0.02941176],\n", + " [0.02941176],\n", + " [0.01960784],\n", + " [0.00980392],\n", + " [0.03921569],\n", + " [0.02941176],\n", + " [0.03921569],\n", + " [0.04901961],\n", + " [0. ],\n", + " [0.05882353],\n", + " [1. ]])" + ] + }, + "execution_count": 34, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.preprocessing import MinMaxScaler\n", "\n", @@ -1215,14 +2104,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 35, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:29.955155Z", "start_time": "2018-03-15T14:06:29.857042Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "array([[0.02941176],\n", + " [0.02941176],\n", + " [0.01960784],\n", + " [0.00980392],\n", + " [0.03921569],\n", + " [0.02941176],\n", + " [0.03921569],\n", + " [0.04901961],\n", + " [0. ],\n", + " [0.05882353],\n", + " [1. ]])" + ] + }, + "execution_count": 35, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "(data - data.min()) / (data.max() - data.min())" ] @@ -1243,14 +2153,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 36, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:30.067680Z", "start_time": "2018-03-15T14:06:29.957011Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(0.6570976376533508, 9.53583604973038e-41)" + ] + }, + "execution_count": 36, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from scipy.stats import lognorm\n", "\n", @@ -1267,7 +2188,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 37, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:30.180348Z", @@ -1275,7 +2196,18 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(0.9988785982131958, 0.8044737577438354)" + ] + }, + "execution_count": 37, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "shapiro(np.log(data))" ] @@ -1310,7 +2242,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 38, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:31.801140Z", @@ -1331,7 +2263,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 39, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:31.801140Z", @@ -1357,14 +2289,36 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 40, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:32.717805Z", "start_time": "2018-03-15T14:06:31.802677Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "execution_count": 40, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sm.qqplot(price, loc=price.mean(), scale=price.std())" ] @@ -1378,14 +2332,36 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 41, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:32.987753Z", "start_time": "2018-03-15T14:06:32.719093Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "execution_count": 41, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sm.qqplot(price_z, loc=price_z.mean(), scale=price_z.std())" ] @@ -1399,14 +2375,36 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 42, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:33.243510Z", "start_time": "2018-03-15T14:06:32.988869Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEKCAYAAAD9xUlFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAHRZJREFUeJzt3Xu4XHV97/H3J5tgiCLRZLc1dwqpbaQKsuUipy2SaAPa5OFYBRq84dPUpFw8olZPPIggT1F69Mgl0o1auexCo5z2pEhFCKgcVJodCJcE9YlASAKWcK0aj4Hke/5YayaTYe+ZNXvPmjWXz+t55tmz1qy95rs3ZD57/X6/9fspIjAzMwOYUHQBZmbWPhwKZmZW5lAwM7Myh4KZmZU5FMzMrMyhYGZmZQ4FMzMrcyiYmVmZQ8HMzMr2K7qARk2bNi3mzp1bdBlmZh1l/fr1T0VEf73jOi4U5s6dy/DwcNFlmJl1FElbshzn5iMzMytzKJiZWZlDwczMyhwKZmZW5lAwM7Oy3EJB0tckPSnpwVFel6RLJW2WdL+kN+ZVi5lZXlasAKk5j76+5Ot++yVfp01LHhMmwNy5yXvNnbt3e2goqWFoaOT9Y6G8Vl6T9MfAL4FrIuKwEV4/CTgLOAk4GvhSRBxd77wDAwPhIalm1g5mzIDHHy/u/SdPhve9D66+Gnbu3Hf/4CAsXbp3n6T1ETFQ75y5XSlExPeBZ2ocsoQkMCIifgRMkfSavOoxM2umhQuLDQRIgmBwcN9AKO1fuXJs5yyyT2EGsLVie1u67yUkLZM0LGl4x44dLSnOzKyWtWuLriCxe/fI+x97bGzn64iO5ogYjIiBiBjo7697l7aZWc/o6xt5/+zZYztfkaGwHZhVsT0z3WdmZhlMngzLliVfq/dfdNHYzllkKKwB3puOQjoGeD4iniiwHjOzzBYsaP45J6SfyKW//qdOTR4SzJkDy5cnX0vbg4OwalXytXp/ZSdzI/IcfXQ9cDwwDfgP4NPARICIuFKSgMuBRcBO4AMRUXdYkUcfmVm7WLhw376FBQvgttuKq6eWrKOPcpslNSJOq/N6AH+d1/ubmeWtXQNgPDqio9nMzFrDoWBm1qCR7mJeuLDoqpqj4xbZMTMr0mh3Ma9dmwRDpzcp+UrBzCyjencxt8sNbePhUDAzy6gbPvTrcSiYmVmZQ8HMrEnyuKGt1RwKZmZ1LFyYjDCqZf78zu9kBoeCmVlN1Xctj2TqVNi4sTX15M2hYGZWQ5bO5S99Kf86WsWhYGY2TmOdfK4dORTMzKzMoWBmNoIZM+p3LkN3jDiq5FAwM6sy2lQW1dp5quyxciiYmVXJEghTp3ZfIIBDwcxsTJ55pugK8uFQMDMbg9mzi64gHw4FM7MKWdZFkOCii/KvpQgOBTOzVJa7l/v64Npru+vehEpeZMfMLFUvEK67rnvDoMRXCmZmGXV7IIBDwczMKjgUzMwymDKl6Apaw6FgZkbSgVzLs8+2po6iORTMrOctXAh79oz+epY5kLqFQ8HMel69UUcRramjHTgUzMzqqNe01E0cCmbW07LcwbxsWf51tAuHgpn1rKGh+k1HCxbAqlWtqacdOBTMrGetXFn/mG6cHruWXENB0iJJP5G0WdInRnh9tqQ7JN0r6X5JJ+VZj5lZpS1bar/eS30JJbmFgqQ+4ArgRGA+cJqk+VWHfQpYHRFHAKcCPXSRZmbtrpf6EkryvFI4CtgcEQ9HxC7gBmBJ1TEBvDJ9fhCQYb0jM7Pxq3cVMHFib/UllOQ5S+oMYGvF9jbg6Kpjzge+I+ks4OVAhnEAZmbjk+VmtF278q+jHRXd0Xwa8PWImAmcBFwr6SU1SVomaVjS8I4dO1pepJl1j6Ghoitob3mGwnZgVsX2zHRfpQ8CqwEi4ofAJGBa9YkiYjAiBiJioL+/P6dyzawXnHNO0RW0tzxDYR0wT9LBkvYn6UheU3XMY8ACAEl/QBIKvhQws9w8/XTRFbS33EIhIl4EzgRuAR4iGWW0UdIFkhanh50L/KWk+4DrgfdH9NIsI2bWjqZPL7qC4uS6HGdE3AzcXLXvvIrnm4Dj8qzBzKxkxYr6x0yfDturG7p7iNdoNrOeMThY+3W3UxQ/+sjMrGV27y66gvbnUDCzntGL01Y0ys1HZta1Glkx7YAD8qujk/hKwcy6UqNLaO7cmU8dncahYGZmZQ4FMzMrcyiYWdfx/EZj51Aws67zoQ8VXUHnciiYWdf55S8bO943re3lIalm1lUWZliVxSEwurpXCpIOkfSy9Pnxks6WNCX/0szMGrd2be3Xly9vTR2dKkvz0Y3AbkmHAoMkayT8Y65VmZnlpBeX2GxEllDYk06DfTJwWUR8DHhNvmWZmVkRsoTCC5JOA94H3JTum5hfSWZmY9PoXcz2UllC4QPAscBFEfGIpIOBa/Mty8ysMVkCwR3M9dUdfRQRmyT9DTA73X4E+FzehZmZZZVl8RzLJsvooz8DNgDfTrcPl1S91rKZWWG+/OWiK+geWZqPzgeOAp4DiIgNwO/mWJOZmRUkU0dzRDxftW9PHsWYmTUqa+fyBM/fkEmWO5o3SvoLoE/SPOBs4Af5lmVmVl8jgeClOLPJkp1nAa8DfgNcD/wn8OE8izIza5YIB0Ijsow+2gmsTB9mZtbFRg0FSf8KjDqqNyIW51KRmZkVptaVwt+1rAozsxxM8dSdDRs1FCLie60sxMysEfU6madMgWefbU0t3aRW89HqiHi3pAcYoRkpIl6fa2VmZuPgQBibWs1H56Rf39GKQszMssqykI6NzahDUiPiifTpiojYUvkAPNOImRWm3kI6NnZZ7lN46wj7Tmx2IWZmzTLRk/uPWa0+heUkVwS/K+n+ipcOBO7KuzAzs5FkuYt516786+hWta4U/hH4M2BN+rX0ODIiTs9yckmLJP1E0mZJnxjlmHdL2iRpoyQv82lm43LddUVX0NlqDUl9HngeOE1SH/Db6fGvkPSKiHis1onT77mCpPlpG7BO0pqI2FRxzDzgk8BxEfGspN8a909kZl0rSwfz0qX519HN6k5zIelMkumz/4O9s6MGUG9I6lHA5oh4OD3PDcASYFPFMX8JXBERzwJExJONFG9mvcUdzPnLMkvqh4HXRsTTDZ57BrC1YnsbcHTVMb8HIOkuoA84PyK+XX0iScuAZQCzZ89usAwzM8sqy+ijrSTNSHnYD5gHHA+cBlwl6SU3pkfEYEQMRMRAf39/TqWYWTvzGsytkeVK4WHgu5K+RTJ9NgAR8YU637cdmFWxPTPdV2kbcHdEvAA8IumnJCGxLkNdZtYjsgSCO5ibI8uVwmPArcD+JMNRS4961gHzJB0saX/gVJKRTJX+heQqAUnTSJqTHs5UuZlZBXcwN0eW9RQ+M5YTR8SLaSf1LST9BV+LiI2SLgCGI2JN+trbJG0CdgMfG0PfhZmZNYmiTiOcpH7g4ySrr00q7Y+IE/ItbWQDAwMxPDxcxFubWUHcnzB+ktZHxEC947I0Hw0BPwYOBj4DPIrb/M2sRRwIrZUlFKZGxFeBFyLiexFxBlDIVYKZWTUHQnNlGX30Qvr1CUlvBx4HXp1fSWZmVpQsofBZSQcB5wKXAa8E/luuVZmZka3pyJory+ijm9KnzwNvybccM7PsvAZz82WZ++gfGHk5zjNyqcjMjGxXCV5ys/myNB/dVPF8EnAySb+CmZl1mSzNRzdWbku6Hvi/uVVkZj1v8uT6x7jpKB9ZhqRWmwd43QMzy82vf13/GDcd5SNLn8IvSPoUlH79OfA3OddlZmYFyNJ8lGXyOzOzlvENa/mpGQqSDgCWAvPTXcPANyPCy2KbWS5e9arary9f3po6etWofQqS/pBk6cw/Ipnv6FHgT4G7JE2R9NlWFGhmveW552q/vmpVa+roVbWuFC4FlkXErZU7JS0EHgQ25lmYmZm1Xq3RR6+pDgSAiLiNZD6kk3OryszMClErFCZIeln1TkmTSGZM3ZlfWWbWizzXUfFqhcI1wI2S5pR2SJoLrAauzbcsM+s1XjehPYzapxARn02X07xTUun+wl8BfxcRl7WkOjMza6maQ1Ij4nLgckkHptu/aElVZtZT9t+/6AqsJMuEeA4DM8vVCy/UP8ZaYyxzH5mZWZdyKJhZobKOOHInc2vUDQVJkyX9D0lXpdvzJL0j/9LMzGDBAgdCK2W5UvgH4DfAsen2dsBTXJhZS9x2W9EV9JYsoXBIRHye5C5m0pvWfIuJmVkXyhIKu9LZUgNA0iEkVw5mZuPiO5jbT5YhqZ8Gvg3MkjQEHAe8P8+izKz7+Q7m9pRlkZ1bJd0DHEPSbHRORDyVe2VmZtZyo4aCpDdW7Xoi/Tpb0uyIuCe/ssysm7nZqH3VulL4nzVeC+CEJtdiZlY2cWLRFfSmWhPivWW8J5e0CPgS0Ad8JSIuHuW4dwLfBN4UEcPjfV8z63y7vOhvIer2KaTrJ6wA/gvJFcKdwJUR8f/qfF8fcAXwVmAbsE7SmojYVHXcgcA5wN1j+gnMrKO4g7m9ZRmSeg3wOuAy4PL0eZb1FI4CNkfEwxGxC7gBWDLCcRcCnwNqhoyZmeUvy5DUwyJifsX2HZI2jXr0XjOArRXb24CjKw9IO7NnRcS3JH0swznNrINlmSLbVwnFynKlcI+kY0obko4Gxt3uL2kC8AXg3AzHLpM0LGl4x44d431rMyuIp8huf1lC4UjgB5IelfQo8EPgTZIekHR/je/bDsyq2J6Z7is5EDgM+G563mOANZIGqk8UEYMRMRARA/39/RlKNrN242GonSFL89GiMZ57HTBP0sEkYXAq8BelFyPieWBaaVvSd4GPevSRWffx9NidI8sdzVskvYrkr/79KvbXvHktIl5M13i+hWRI6tciYqOkC4DhiFgzvtLNrBP4CqGzZBmSeiHJXEc/I50Uj4w3r0XEzcDNVfvOG+XY4+udz8w6SyOB4KuE9pCl+ejdJNNn+1YSM7Mul6Wj+UFgSt6FmJlZ8bJcKfwtcK+kB6lYRyEiFudWlZl1PDcddaYsoXA1yR3HDwB78i3HzLqBRxt1riyhsDMiLs29EjPrCjNmZDvOgdCesoTCnZL+FljDvs1HXk/BzF7i8ceLrsDGI0soHJF+PaZin9dTMLN9+H6E7pDl5rVxr6tgZt2t0UBw01H7ynKlgKS3k0yZPam0LyIuyKsoM+teDoT2Vvc+BUlXAqcAZwEC3gXMybkuM+sQHnraXbLcvPbmiHgv8GxEfAY4Fvi9fMsys07QSCBMn55fHdY8WULh1+nXnZKmAy8Ar8mvJDPrBI32I2zfXv8YK16WPoWbJE0BLgHuIRl5dFWuVZlZW3PHcvfKMvrowvTpjZJuAialayGYWQ9yIHS3UZuPJL1J0u9UbL8XWA1cKOnVrSjOzNqLA6H71epT+HtgF4CkPwYuBq4BngcG8y/NzNqJA6E31Go+6ouIZ9LnpwCDEXEjSTPShvxLM7N24buVe0etK4U+SaXQWADcXvFappvezKxzSXsfjfJVQueq9eF+PfA9SU+RDEu9E0DSoSRNSGbWpcZ6ZeAw6HyjhkJEXCRpLck9Cd+JKP/nnkByd7OZdSEHQm+r2QwUET8aYd9P8yvHzIrkQLAsdzSbWQ9wIBi4w9is541nZJEDofs4FMx61HiHmToQupNDwawHuanIRuM+BbMe40CwWhwKZj3EgWD1uPnIrMu578Aa4SsFsy7mQLBG+UrBrAs5DGysHApmXcb3Hdh45Np8JGmRpJ9I2izpEyO8/hFJmyTdL2mtpDl51mPW7RwINl65hYKkPuAK4ERgPnCapPlVh90LDETE64FvAp/Pqx6zbjWeKa5LHAhWkueVwlHA5oh4OCJ2ATcASyoPiIg7ImJnuvkjYGaO9Zh1nWYsfuNAsEp5hsIMYGvF9rZ032g+CPzbSC9IWiZpWNLwjh07mliiWeca75VB6WFWqS2GpEo6HRgALhnp9YgYjIiBiBjo7+9vbXFmbcZNRZanPEcfbQdmVWzPTPftQ9JCYCXwJxHxmxzrMetofX2wZ8/Yv99hYFnkeaWwDpgn6WBJ+wOnAmsqD5B0BPD3wOKIeDLHWsw6VunKwIFgrZBbKETEi8CZwC3AQ8DqiNgo6QJJi9PDLgFeAXxD0gZJa0Y5nVnPGW8zUYkDwRqR681rEXEzcHPVvvMqni/M8/3NOpGDwIrkO5rN2kAzgqDEgWDj4VAwK0gzg6DEgWDj5VAwa6E8ggAcBtY8DgWznOUVBOAwsOZzKJjlIM8gAIeB5cehYNYEeYdAicPA8uZQMBujVgUBOAysdRwKZg1wEFi3cyiY1dDKEAAHgRXPoWBWodUhAA4Cay8OBetpRYQAOAisfbXFegpmrTA0tO/SlUU0DXlhG2t3vlKwrlTUFUAlf/hbJ3IoWFdohxAAB4F1PoeCdZx2CYASB4F1E4eCdYR2CgKHgHUzh4K1nXYKAHAIWG9xKFjhHAJm7cOhYC3Rbh/8JQ4As305FKwp2vVDv5IDwKw+h4Jl1gkf/JUcAmaNcyhYWad96FdyAJg1h0Ohx3TyB381B4FZ8zkUukQ3fdiPxAFg1hoOhTbX1wd79hRdRes5BMyK4VlSW2jFipfO0lnv0c2BUDlraPXDzIrhK4UmGxqCM86AXbuKrqR4/nA36zwOhTEaGoK/+iv41a+KrqQ4Eyc6/My6jZuPqgwNwdy5SdPNhAmjN+ucfnpvBEKtJh4Hgln3cShUWLEC3vMe2LIl2e6F5o/ly922b2Z75dp8JGkR8CWgD/hKRFxc9frLgGuAI4GngVMi4tE8axrNihXw5S8X8c758Ye6mTUqtysFSX3AFcCJwHzgNEnzqw77IPBsRBwKfBH43Fjeq9TkM2FC8nVoaOT9K1bs3Z42LXmUmok6JRCmT6/9l73/yjez8cjzSuEoYHNEPAwg6QZgCbCp4pglwPnp828Cl0tSRPaPtKEhWLYMdu5MtrdsSbbvuguuvnrf/ZUf/E8/vfd5UR+gCxbAbbcV895mZiPJs09hBrC1Yntbum/EYyLiReB5YGojb7Jy5d4P/pKdO2Fw8KX7i1Crzd6BYGbtpiM6miUtkzQsaXjHjh37vPbYYyN/z+7dLSgsNXUqXHfdyB/8q1a1rg4zs/HKMxS2A7Mqtmem+0Y8RtJ+wEEkHc77iIjBiBiIiIH+/v59Xps9e+Q37+sba9kJqf7InNLjqadg6dLxvZ+ZWTvIMxTWAfMkHSxpf+BUYE3VMWuA96XP/xy4vZH+BICLLoLJk/fdN3ly0q9QvT+rqVPh2mv9V76Z9Z7cQiHtIzgTuAV4CFgdERslXSBpcXrYV4GpkjYDHwE+0ej7LF2a9B/MmZP8dT9nTrK9atVL9y9fvnd76tTkAXuvKubMSZqB/Je/mfUqNfiHeeEGBgZieHi46DLMzDqKpPURMVDvuI7oaDYzs9ZwKJiZWZlDwczMyhwKZmZW5lAwM7Oyjht9JGkHsCXj4dOAp3IsZzxc29i4trFr5/pc29g0UtuciOivd1DHhUIjJA1nGYJVBNc2Nq5t7Nq5Ptc2NnnU5uYjMzMrcyiYmVlZt4fCYNEF1ODaxsa1jV071+faxqbptXV1n4KZmTWm268UzMysAT0RCpLOlRSSphVdSyVJF0q6X9IGSd+RNL3omkokXSLpx2l9/yxpStE1lUh6l6SNkvZIaotRIZIWSfqJpM2SGp7tN0+SvibpSUkPFl1LJUmzJN0haVP63/OcomuqJGmSpH+XdF9a32eKrqmapD5J90q6qVnn7PpQkDQLeBswyhpthbokIl4fEYcDNwHnFV1QhVuBwyLi9cBPgU8WXE+lB4H/Cny/6EIg+YcJXAGcCMwHTpM0v9iq9vF1YFHRRYzgReDciJgPHAP8dZv93n4DnBARbwAOBxZJOqbgmqqdQ7I0QdN0fSgAXwQ+DrRd50lE/GfF5stpoxoj4jvpmhgAPyJZOa8tRMRDEfGTouuocBSwOSIejohdwA3AkoJrKouI7wPPFF1HtYh4IiLuSZ//guTDrXod98JE4pfp5sT00Tb/RiXNBN4OfKWZ5+3qUJC0BNgeEfcVXctoJF0kaSuwlPa6Uqh0BvBvRRfRxmYAWyu2t9FGH26dQNJc4Ajg7mIr2VfaPLMBeBK4NSLaqb7/RfIH755mnnS/Zp6sCJJuA35nhJdWAv+dpOmoMLXqi4j/ExErgZWSPkmyUt2n26W29JiVJJf5Q62qK2tt1h0kvQK4Efhw1dVz4SJiN3B42qf2z5IOi4jC+2YkvQN4MiLWSzq+mefu+FCIiIUj7Zf0h8DBwH2SIGn+uEfSURHx86LrG8EQcDMtDIV6tUl6P/AOYEGja2ePVwO/t3awHZhVsT0z3Wd1SJpIEghDEfG/i65nNBHxnKQ7SPpmCg8F4DhgsaSTgEnAKyVdFxGnj/fEXdt8FBEPRMRvRcTciJhLckn/xlYGQj2S5lVsLgF+XFQt1SQtIrk0XRwRO4uup82tA+ZJOljS/sCpwJqCa2p7Sv5a+yrwUER8oeh6qknqL426k3QA8Fba5N9oRHwyImamn22nArc3IxCgi0OhQ1ws6UFJ95M0c7XTkLzLgQOBW9Mhs1cWXVCJpJMlbQOOBb4l6ZYi60k75M8EbiHpLF0dERuLrKmSpOuBHwKvlbRN0geLril1HPAe4IT0/7EN6V++7eI1wB3pv891JH0KTRv62a58R7OZmZX5SsHMzMocCmZmVuZQMDOzMoeCmZmVORTMzKzMoWCFkDS1YhjizyVtT58/J2lTi2s5vHIopKTFY53pVNKjI83GK+kgSdeks6j+TNKQpFeNp+5R3n/Un0XS+ZI+2uz3tO7iULBCRMTTEXF4OkPslcAX0+eH0+S5XAAk1bp7/3Cg/EEaEWsi4uIml/BV4OGIODQiDgE2k8xe2myt+FmsizkUrB31SboqncP+O+ndpEg6RNK3Ja2XdKek30/3z5V0e7r2w1pJs9P9X5d0paS7gc9Lenm6tsC/p3PQL0nvQL4AOCW9UjlF0vslXZ6e47eVrCdxX/p4c7r/X9I6NkpaVuuHkXQocCRwYcXuC4A3SHqtpOMr58OXdHk6xQiSzpO0Lr3JcTC9CxhJ35X0ufRn+amkP6r3s1TVNNrv8l3pe90nqS2mJrfWcihYO5oHXBERrwOeA96Z7h8EzoqII4GPAqvS/ZcBV6drPwwBl1acaybw5oj4CMkkibdHxFHAW4BLSKZDPg/4p/TK5Z+qarkU+F46p/4bgdKdymekdQwAZ0uaWuPnmQ9sSCdXA8oTrd0L/EGd38XlEfGmiDgMOIBkLqqS/dKf5cPAp9Npu2v9LJVG+12eB/xp+vMurlObdaGOnxDPutIjEbEhfb4emKtkJs03A99I/1gGeFn69ViSRXcArgU+X3Gub1R8GL+NZBKxUrv6JGB2nVpOAN4L5Q/y59P9Z0s6OX0+iyTIns724zXkLZI+DkwGXk0SSv+avlaaQG49MDfrCev8Lu8Cvi5pdcX5rYc4FKwd/abi+W6Sv5AnAM+l/Q6N+FXFcwHvrF6gR9LRjZxQyVTFC4FjI2KnpO+SBMxoNpFMvzwhIvak55gAvAG4hySYKq/aJ6XHTCL5C34gIrZKOr/qfUq/p9009m951N9lRHwo/X28HVgv6ciIyCPsrE25+cg6QjrP/iOS3gXJDJuS3pC+/AOSmSIhWazozlFOcwtwVkW7/BHp/l+QTP43krXA8vT4PkkHAQcBz6aB8PskS0nWqn0zSVPRpyp2fwpYGxGPAVuA+ZJepmRWzgXpMaUAeCr96/7Pa71Php+lVM+ov0tJh0TE3RFxHrCDfacEtx7gULBOshT4oKT7SJpRSktengV8QMlslu9h9NlmLyTpQ7hf0kb2dvzeQfKhvEHSKVXfcw5JE84DJM0084FvA/tJegi4mGS50nrOIJle+2eSdpAEyYcAImIrsJpknv7VJAFCRDwHXJXuv4Vkps56av0slUb7XV4i6QFJD5KEbduuWmj58CypZi0m6bXAt4CzI+Lmousxq+RQMDOzMjcfmZlZmUPBzMzKHApmZlbmUDAzszKHgpmZlTkUzMyszKFgZmZl/x8rKMZ+rOqPQQAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "execution_count": 42, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEKCAYAAAD9xUlFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAHRZJREFUeJzt3Xu4XHV97/H3J5tgiCLRZLc1dwqpbaQKsuUipy2SaAPa5OFYBRq84dPUpFw8olZPPIggT1F69Mgl0o1auexCo5z2pEhFCKgcVJodCJcE9YlASAKWcK0aj4Hke/5YayaTYe+ZNXvPmjWXz+t55tmz1qy95rs3ZD57/X6/9fspIjAzMwOYUHQBZmbWPhwKZmZW5lAwM7Myh4KZmZU5FMzMrMyhYGZmZQ4FMzMrcyiYmVmZQ8HMzMr2K7qARk2bNi3mzp1bdBlmZh1l/fr1T0VEf73jOi4U5s6dy/DwcNFlmJl1FElbshzn5iMzMytzKJiZWZlDwczMyhwKZmZW5lAwM7Oy3EJB0tckPSnpwVFel6RLJW2WdL+kN+ZVi5lZXlasAKk5j76+5Ot++yVfp01LHhMmwNy5yXvNnbt3e2goqWFoaOT9Y6G8Vl6T9MfAL4FrIuKwEV4/CTgLOAk4GvhSRBxd77wDAwPhIalm1g5mzIDHHy/u/SdPhve9D66+Gnbu3Hf/4CAsXbp3n6T1ETFQ75y5XSlExPeBZ2ocsoQkMCIifgRMkfSavOoxM2umhQuLDQRIgmBwcN9AKO1fuXJs5yyyT2EGsLVie1u67yUkLZM0LGl4x44dLSnOzKyWtWuLriCxe/fI+x97bGzn64iO5ogYjIiBiBjo7697l7aZWc/o6xt5/+zZYztfkaGwHZhVsT0z3WdmZhlMngzLliVfq/dfdNHYzllkKKwB3puOQjoGeD4iniiwHjOzzBYsaP45J6SfyKW//qdOTR4SzJkDy5cnX0vbg4OwalXytXp/ZSdzI/IcfXQ9cDwwDfgP4NPARICIuFKSgMuBRcBO4AMRUXdYkUcfmVm7WLhw376FBQvgttuKq6eWrKOPcpslNSJOq/N6AH+d1/ubmeWtXQNgPDqio9nMzFrDoWBm1qCR7mJeuLDoqpqj4xbZMTMr0mh3Ma9dmwRDpzcp+UrBzCyjencxt8sNbePhUDAzy6gbPvTrcSiYmVmZQ8HMrEnyuKGt1RwKZmZ1LFyYjDCqZf78zu9kBoeCmVlN1Xctj2TqVNi4sTX15M2hYGZWQ5bO5S99Kf86WsWhYGY2TmOdfK4dORTMzKzMoWBmNoIZM+p3LkN3jDiq5FAwM6sy2lQW1dp5quyxciiYmVXJEghTp3ZfIIBDwcxsTJ55pugK8uFQMDMbg9mzi64gHw4FM7MKWdZFkOCii/KvpQgOBTOzVJa7l/v64Npru+vehEpeZMfMLFUvEK67rnvDoMRXCmZmGXV7IIBDwczMKjgUzMwymDKl6Apaw6FgZkbSgVzLs8+2po6iORTMrOctXAh79oz+epY5kLqFQ8HMel69UUcRramjHTgUzMzqqNe01E0cCmbW07LcwbxsWf51tAuHgpn1rKGh+k1HCxbAqlWtqacdOBTMrGetXFn/mG6cHruWXENB0iJJP5G0WdInRnh9tqQ7JN0r6X5JJ+VZj5lZpS1bar/eS30JJbmFgqQ+4ArgRGA+cJqk+VWHfQpYHRFHAKcCPXSRZmbtrpf6EkryvFI4CtgcEQ9HxC7gBmBJ1TEBvDJ9fhCQYb0jM7Pxq3cVMHFib/UllOQ5S+oMYGvF9jbg6Kpjzge+I+ks4OVAhnEAZmbjk+VmtF278q+jHRXd0Xwa8PWImAmcBFwr6SU1SVomaVjS8I4dO1pepJl1j6Ghoitob3mGwnZgVsX2zHRfpQ8CqwEi4ofAJGBa9YkiYjAiBiJioL+/P6dyzawXnHNO0RW0tzxDYR0wT9LBkvYn6UheU3XMY8ACAEl/QBIKvhQws9w8/XTRFbS33EIhIl4EzgRuAR4iGWW0UdIFkhanh50L/KWk+4DrgfdH9NIsI2bWjqZPL7qC4uS6HGdE3AzcXLXvvIrnm4Dj8qzBzKxkxYr6x0yfDturG7p7iNdoNrOeMThY+3W3UxQ/+sjMrGV27y66gvbnUDCzntGL01Y0ys1HZta1Glkx7YAD8qujk/hKwcy6UqNLaO7cmU8dncahYGZmZQ4FMzMrcyiYWdfx/EZj51Aws67zoQ8VXUHnciiYWdf55S8bO943re3lIalm1lUWZliVxSEwurpXCpIOkfSy9Pnxks6WNCX/0szMGrd2be3Xly9vTR2dKkvz0Y3AbkmHAoMkayT8Y65VmZnlpBeX2GxEllDYk06DfTJwWUR8DHhNvmWZmVkRsoTCC5JOA94H3JTum5hfSWZmY9PoXcz2UllC4QPAscBFEfGIpIOBa/Mty8ysMVkCwR3M9dUdfRQRmyT9DTA73X4E+FzehZmZZZVl8RzLJsvooz8DNgDfTrcPl1S91rKZWWG+/OWiK+geWZqPzgeOAp4DiIgNwO/mWJOZmRUkU0dzRDxftW9PHsWYmTUqa+fyBM/fkEmWO5o3SvoLoE/SPOBs4Af5lmVmVl8jgeClOLPJkp1nAa8DfgNcD/wn8OE8izIza5YIB0Ijsow+2gmsTB9mZtbFRg0FSf8KjDqqNyIW51KRmZkVptaVwt+1rAozsxxM8dSdDRs1FCLie60sxMysEfU6madMgWefbU0t3aRW89HqiHi3pAcYoRkpIl6fa2VmZuPgQBibWs1H56Rf39GKQszMssqykI6NzahDUiPiifTpiojYUvkAPNOImRWm3kI6NnZZ7lN46wj7Tmx2IWZmzTLRk/uPWa0+heUkVwS/K+n+ipcOBO7KuzAzs5FkuYt516786+hWta4U/hH4M2BN+rX0ODIiTs9yckmLJP1E0mZJnxjlmHdL2iRpoyQv82lm43LddUVX0NlqDUl9HngeOE1SH/Db6fGvkPSKiHis1onT77mCpPlpG7BO0pqI2FRxzDzgk8BxEfGspN8a909kZl0rSwfz0qX519HN6k5zIelMkumz/4O9s6MGUG9I6lHA5oh4OD3PDcASYFPFMX8JXBERzwJExJONFG9mvcUdzPnLMkvqh4HXRsTTDZ57BrC1YnsbcHTVMb8HIOkuoA84PyK+XX0iScuAZQCzZ89usAwzM8sqy+ijrSTNSHnYD5gHHA+cBlwl6SU3pkfEYEQMRMRAf39/TqWYWTvzGsytkeVK4WHgu5K+RTJ9NgAR8YU637cdmFWxPTPdV2kbcHdEvAA8IumnJCGxLkNdZtYjsgSCO5ibI8uVwmPArcD+JMNRS4961gHzJB0saX/gVJKRTJX+heQqAUnTSJqTHs5UuZlZBXcwN0eW9RQ+M5YTR8SLaSf1LST9BV+LiI2SLgCGI2JN+trbJG0CdgMfG0PfhZmZNYmiTiOcpH7g4ySrr00q7Y+IE/ItbWQDAwMxPDxcxFubWUHcnzB+ktZHxEC947I0Hw0BPwYOBj4DPIrb/M2sRRwIrZUlFKZGxFeBFyLiexFxBlDIVYKZWTUHQnNlGX30Qvr1CUlvBx4HXp1fSWZmVpQsofBZSQcB5wKXAa8E/luuVZmZka3pyJory+ijm9KnzwNvybccM7PsvAZz82WZ++gfGHk5zjNyqcjMjGxXCV5ys/myNB/dVPF8EnAySb+CmZl1mSzNRzdWbku6Hvi/uVVkZj1v8uT6x7jpKB9ZhqRWmwd43QMzy82vf13/GDcd5SNLn8IvSPoUlH79OfA3OddlZmYFyNJ8lGXyOzOzlvENa/mpGQqSDgCWAvPTXcPANyPCy2KbWS5e9arary9f3po6etWofQqS/pBk6cw/Ipnv6FHgT4G7JE2R9NlWFGhmveW552q/vmpVa+roVbWuFC4FlkXErZU7JS0EHgQ25lmYmZm1Xq3RR6+pDgSAiLiNZD6kk3OryszMClErFCZIeln1TkmTSGZM3ZlfWWbWizzXUfFqhcI1wI2S5pR2SJoLrAauzbcsM+s1XjehPYzapxARn02X07xTUun+wl8BfxcRl7WkOjMza6maQ1Ij4nLgckkHptu/aElVZtZT9t+/6AqsJMuEeA4DM8vVCy/UP8ZaYyxzH5mZWZdyKJhZobKOOHInc2vUDQVJkyX9D0lXpdvzJL0j/9LMzGDBAgdCK2W5UvgH4DfAsen2dsBTXJhZS9x2W9EV9JYsoXBIRHye5C5m0pvWfIuJmVkXyhIKu9LZUgNA0iEkVw5mZuPiO5jbT5YhqZ8Gvg3MkjQEHAe8P8+izKz7+Q7m9pRlkZ1bJd0DHEPSbHRORDyVe2VmZtZyo4aCpDdW7Xoi/Tpb0uyIuCe/ssysm7nZqH3VulL4nzVeC+CEJtdiZlY2cWLRFfSmWhPivWW8J5e0CPgS0Ad8JSIuHuW4dwLfBN4UEcPjfV8z63y7vOhvIer2KaTrJ6wA/gvJFcKdwJUR8f/qfF8fcAXwVmAbsE7SmojYVHXcgcA5wN1j+gnMrKO4g7m9ZRmSeg3wOuAy4PL0eZb1FI4CNkfEwxGxC7gBWDLCcRcCnwNqhoyZmeUvy5DUwyJifsX2HZI2jXr0XjOArRXb24CjKw9IO7NnRcS3JH0swznNrINlmSLbVwnFynKlcI+kY0obko4Gxt3uL2kC8AXg3AzHLpM0LGl4x44d431rMyuIp8huf1lC4UjgB5IelfQo8EPgTZIekHR/je/bDsyq2J6Z7is5EDgM+G563mOANZIGqk8UEYMRMRARA/39/RlKNrN242GonSFL89GiMZ57HTBP0sEkYXAq8BelFyPieWBaaVvSd4GPevSRWffx9NidI8sdzVskvYrkr/79KvbXvHktIl5M13i+hWRI6tciYqOkC4DhiFgzvtLNrBP4CqGzZBmSeiHJXEc/I50Uj4w3r0XEzcDNVfvOG+XY4+udz8w6SyOB4KuE9pCl+ejdJNNn+1YSM7Mul6Wj+UFgSt6FmJlZ8bJcKfwtcK+kB6lYRyEiFudWlZl1PDcddaYsoXA1yR3HDwB78i3HzLqBRxt1riyhsDMiLs29EjPrCjNmZDvOgdCesoTCnZL+FljDvs1HXk/BzF7i8ceLrsDGI0soHJF+PaZin9dTMLN9+H6E7pDl5rVxr6tgZt2t0UBw01H7ynKlgKS3k0yZPam0LyIuyKsoM+teDoT2Vvc+BUlXAqcAZwEC3gXMybkuM+sQHnraXbLcvPbmiHgv8GxEfAY4Fvi9fMsys07QSCBMn55fHdY8WULh1+nXnZKmAy8Ar8mvJDPrBI32I2zfXv8YK16WPoWbJE0BLgHuIRl5dFWuVZlZW3PHcvfKMvrowvTpjZJuAialayGYWQ9yIHS3UZuPJL1J0u9UbL8XWA1cKOnVrSjOzNqLA6H71epT+HtgF4CkPwYuBq4BngcG8y/NzNqJA6E31Go+6ouIZ9LnpwCDEXEjSTPShvxLM7N24buVe0etK4U+SaXQWADcXvFappvezKxzSXsfjfJVQueq9eF+PfA9SU+RDEu9E0DSoSRNSGbWpcZ6ZeAw6HyjhkJEXCRpLck9Cd+JKP/nnkByd7OZdSEHQm+r2QwUET8aYd9P8yvHzIrkQLAsdzSbWQ9wIBi4w9is541nZJEDofs4FMx61HiHmToQupNDwawHuanIRuM+BbMe40CwWhwKZj3EgWD1uPnIrMu578Aa4SsFsy7mQLBG+UrBrAs5DGysHApmXcb3Hdh45Np8JGmRpJ9I2izpEyO8/hFJmyTdL2mtpDl51mPW7RwINl65hYKkPuAK4ERgPnCapPlVh90LDETE64FvAp/Pqx6zbjWeKa5LHAhWkueVwlHA5oh4OCJ2ATcASyoPiIg7ImJnuvkjYGaO9Zh1nWYsfuNAsEp5hsIMYGvF9rZ032g+CPzbSC9IWiZpWNLwjh07mliiWeca75VB6WFWqS2GpEo6HRgALhnp9YgYjIiBiBjo7+9vbXFmbcZNRZanPEcfbQdmVWzPTPftQ9JCYCXwJxHxmxzrMetofX2wZ8/Yv99hYFnkeaWwDpgn6WBJ+wOnAmsqD5B0BPD3wOKIeDLHWsw6VunKwIFgrZBbKETEi8CZwC3AQ8DqiNgo6QJJi9PDLgFeAXxD0gZJa0Y5nVnPGW8zUYkDwRqR681rEXEzcHPVvvMqni/M8/3NOpGDwIrkO5rN2kAzgqDEgWDj4VAwK0gzg6DEgWDj5VAwa6E8ggAcBtY8DgWznOUVBOAwsOZzKJjlIM8gAIeB5cehYNYEeYdAicPA8uZQMBujVgUBOAysdRwKZg1wEFi3cyiY1dDKEAAHgRXPoWBWodUhAA4Cay8OBetpRYQAOAisfbXFegpmrTA0tO/SlUU0DXlhG2t3vlKwrlTUFUAlf/hbJ3IoWFdohxAAB4F1PoeCdZx2CYASB4F1E4eCdYR2CgKHgHUzh4K1nXYKAHAIWG9xKFjhHAJm7cOhYC3Rbh/8JQ4As305FKwp2vVDv5IDwKw+h4Jl1gkf/JUcAmaNcyhYWad96FdyAJg1h0Ohx3TyB381B4FZ8zkUukQ3fdiPxAFg1hoOhTbX1wd79hRdRes5BMyK4VlSW2jFipfO0lnv0c2BUDlraPXDzIrhK4UmGxqCM86AXbuKrqR4/nA36zwOhTEaGoK/+iv41a+KrqQ4Eyc6/My6jZuPqgwNwdy5SdPNhAmjN+ucfnpvBEKtJh4Hgln3cShUWLEC3vMe2LIl2e6F5o/ly922b2Z75dp8JGkR8CWgD/hKRFxc9frLgGuAI4GngVMi4tE8axrNihXw5S8X8c758Ye6mTUqtysFSX3AFcCJwHzgNEnzqw77IPBsRBwKfBH43Fjeq9TkM2FC8nVoaOT9K1bs3Z42LXmUmok6JRCmT6/9l73/yjez8cjzSuEoYHNEPAwg6QZgCbCp4pglwPnp828Cl0tSRPaPtKEhWLYMdu5MtrdsSbbvuguuvnrf/ZUf/E8/vfd5UR+gCxbAbbcV895mZiPJs09hBrC1Yntbum/EYyLiReB5YGojb7Jy5d4P/pKdO2Fw8KX7i1Crzd6BYGbtpiM6miUtkzQsaXjHjh37vPbYYyN/z+7dLSgsNXUqXHfdyB/8q1a1rg4zs/HKMxS2A7Mqtmem+0Y8RtJ+wEEkHc77iIjBiBiIiIH+/v59Xps9e+Q37+sba9kJqf7InNLjqadg6dLxvZ+ZWTvIMxTWAfMkHSxpf+BUYE3VMWuA96XP/xy4vZH+BICLLoLJk/fdN3ly0q9QvT+rqVPh2mv9V76Z9Z7cQiHtIzgTuAV4CFgdERslXSBpcXrYV4GpkjYDHwE+0ej7LF2a9B/MmZP8dT9nTrK9atVL9y9fvnd76tTkAXuvKubMSZqB/Je/mfUqNfiHeeEGBgZieHi46DLMzDqKpPURMVDvuI7oaDYzs9ZwKJiZWZlDwczMyhwKZmZW5lAwM7Oyjht9JGkHsCXj4dOAp3IsZzxc29i4trFr5/pc29g0UtuciOivd1DHhUIjJA1nGYJVBNc2Nq5t7Nq5Ptc2NnnU5uYjMzMrcyiYmVlZt4fCYNEF1ODaxsa1jV071+faxqbptXV1n4KZmTWm268UzMysAT0RCpLOlRSSphVdSyVJF0q6X9IGSd+RNL3omkokXSLpx2l9/yxpStE1lUh6l6SNkvZIaotRIZIWSfqJpM2SGp7tN0+SvibpSUkPFl1LJUmzJN0haVP63/OcomuqJGmSpH+XdF9a32eKrqmapD5J90q6qVnn7PpQkDQLeBswyhpthbokIl4fEYcDNwHnFV1QhVuBwyLi9cBPgU8WXE+lB4H/Cny/6EIg+YcJXAGcCMwHTpM0v9iq9vF1YFHRRYzgReDciJgPHAP8dZv93n4DnBARbwAOBxZJOqbgmqqdQ7I0QdN0fSgAXwQ+DrRd50lE/GfF5stpoxoj4jvpmhgAPyJZOa8tRMRDEfGTouuocBSwOSIejohdwA3AkoJrKouI7wPPFF1HtYh4IiLuSZ//guTDrXod98JE4pfp5sT00Tb/RiXNBN4OfKWZ5+3qUJC0BNgeEfcVXctoJF0kaSuwlPa6Uqh0BvBvRRfRxmYAWyu2t9FGH26dQNJc4Ajg7mIr2VfaPLMBeBK4NSLaqb7/RfIH755mnnS/Zp6sCJJuA35nhJdWAv+dpOmoMLXqi4j/ExErgZWSPkmyUt2n26W29JiVJJf5Q62qK2tt1h0kvQK4Efhw1dVz4SJiN3B42qf2z5IOi4jC+2YkvQN4MiLWSzq+mefu+FCIiIUj7Zf0h8DBwH2SIGn+uEfSURHx86LrG8EQcDMtDIV6tUl6P/AOYEGja2ePVwO/t3awHZhVsT0z3Wd1SJpIEghDEfG/i65nNBHxnKQ7SPpmCg8F4DhgsaSTgEnAKyVdFxGnj/fEXdt8FBEPRMRvRcTciJhLckn/xlYGQj2S5lVsLgF+XFQt1SQtIrk0XRwRO4uup82tA+ZJOljS/sCpwJqCa2p7Sv5a+yrwUER8oeh6qknqL426k3QA8Fba5N9oRHwyImamn22nArc3IxCgi0OhQ1ws6UFJ95M0c7XTkLzLgQOBW9Mhs1cWXVCJpJMlbQOOBb4l6ZYi60k75M8EbiHpLF0dERuLrKmSpOuBHwKvlbRN0geLril1HPAe4IT0/7EN6V++7eI1wB3pv891JH0KTRv62a58R7OZmZX5SsHMzMocCmZmVuZQMDOzMoeCmZmVORTMzKzMoWCFkDS1YhjizyVtT58/J2lTi2s5vHIopKTFY53pVNKjI83GK+kgSdeks6j+TNKQpFeNp+5R3n/Un0XS+ZI+2uz3tO7iULBCRMTTEXF4OkPslcAX0+eH0+S5XAAk1bp7/3Cg/EEaEWsi4uIml/BV4OGIODQiDgE2k8xe2myt+FmsizkUrB31SboqncP+O+ndpEg6RNK3Ja2XdKek30/3z5V0e7r2w1pJs9P9X5d0paS7gc9Lenm6tsC/p3PQL0nvQL4AOCW9UjlF0vslXZ6e47eVrCdxX/p4c7r/X9I6NkpaVuuHkXQocCRwYcXuC4A3SHqtpOMr58OXdHk6xQiSzpO0Lr3JcTC9CxhJ35X0ufRn+amkP6r3s1TVNNrv8l3pe90nqS2mJrfWcihYO5oHXBERrwOeA96Z7h8EzoqII4GPAqvS/ZcBV6drPwwBl1acaybw5oj4CMkkibdHxFHAW4BLSKZDPg/4p/TK5Z+qarkU+F46p/4bgdKdymekdQwAZ0uaWuPnmQ9sSCdXA8oTrd0L/EGd38XlEfGmiDgMOIBkLqqS/dKf5cPAp9Npu2v9LJVG+12eB/xp+vMurlObdaGOnxDPutIjEbEhfb4emKtkJs03A99I/1gGeFn69ViSRXcArgU+X3Gub1R8GL+NZBKxUrv6JGB2nVpOAN4L5Q/y59P9Z0s6OX0+iyTIns724zXkLZI+DkwGXk0SSv+avlaaQG49MDfrCev8Lu8Cvi5pdcX5rYc4FKwd/abi+W6Sv5AnAM+l/Q6N+FXFcwHvrF6gR9LRjZxQyVTFC4FjI2KnpO+SBMxoNpFMvzwhIvak55gAvAG4hySYKq/aJ6XHTCL5C34gIrZKOr/qfUq/p9009m951N9lRHwo/X28HVgv6ciIyCPsrE25+cg6QjrP/iOS3gXJDJuS3pC+/AOSmSIhWazozlFOcwtwVkW7/BHp/l+QTP43krXA8vT4PkkHAQcBz6aB8PskS0nWqn0zSVPRpyp2fwpYGxGPAVuA+ZJepmRWzgXpMaUAeCr96/7Pa71Php+lVM+ov0tJh0TE3RFxHrCDfacEtx7gULBOshT4oKT7SJpRSktengV8QMlslu9h9NlmLyTpQ7hf0kb2dvzeQfKhvEHSKVXfcw5JE84DJM0084FvA/tJegi4mGS50nrOIJle+2eSdpAEyYcAImIrsJpknv7VJAFCRDwHXJXuv4Vkps56av0slUb7XV4i6QFJD5KEbduuWmj58CypZi0m6bXAt4CzI+Lmousxq+RQMDOzMjcfmZlZmUPBzMzKHApmZlbmUDAzszKHgpmZlTkUzMyszKFgZmZl/x8rKMZ+rOqPQQAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sm.qqplot(price_mm, loc=price_mm.mean(), scale=price_mm.std())" ] @@ -1420,14 +2418,36 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 43, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:33.510998Z", "start_time": "2018-03-15T14:06:33.244652Z" } }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "execution_count": 43, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "sm.qqplot(price_log, loc=price_log.mean(), scale=price_log.std())" ] @@ -1462,7 +2482,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 44, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:33.800002Z", @@ -1565,7 +2585,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 45, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:34.669290Z", @@ -1573,7 +2593,18 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(100, 20)" + ] + }, + "execution_count": 45, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from sklearn.feature_selection import VarianceThreshold\n", "from sklearn.datasets import make_classification\n", @@ -1591,7 +2622,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 46, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:34.674947Z", @@ -1599,7 +2630,18 @@ }, "scrolled": false }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "(100, 14)" + ] + }, + "execution_count": 46, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "VarianceThreshold(.9).fit_transform(x_data_generated).shape" ] @@ -1613,7 +2655,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 47, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.235212Z", @@ -1636,7 +2678,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 48, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.235212Z", @@ -1657,7 +2699,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 49, "metadata": {}, "outputs": [], "source": [ @@ -1673,14 +2715,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 50, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.251270Z", "start_time": "2018-03-15T14:06:35.237608Z" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "-0.513793222609363\n" + ] + }, + { + "data": { + "text/plain": [ + "-0.477658695408659" + ] + }, + "execution_count": 50, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "print(cross_val_score(logit, x_data_generated, y_data_generated,\n", " scoring='neg_log_loss', cv=5).mean())\n", @@ -1711,7 +2771,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 51, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.975262Z", @@ -1736,7 +2796,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 52, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.975262Z", @@ -1757,7 +2817,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 53, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.975262Z", @@ -1779,14 +2839,24 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 54, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:35.975262Z", "start_time": "2018-03-15T14:06:35.502079Z" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "-0.5124249591820398\n", + "-1.1201528526721884\n", + "-0.3864257779481845\n" + ] + } + ], "source": [ "print(cross_val_score(logit, x_data_generated, y_data_generated,\n", " scoring='neg_log_loss', cv=5).mean())\n", @@ -1849,7 +2919,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 56, "metadata": { "ExecuteTime": { "end_time": "2018-03-15T14:06:44.047841Z", @@ -1857,7 +2927,89 @@ }, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 20 out of 20 | elapsed: 5.7s finished\n", + "\n", + "[2019-05-21 06:16:00] Features: 19/3 -- score: -0.46534612515439716[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 16 out of 19 | elapsed: 1.0s remaining: 0.2s\n", + "[Parallel(n_jobs=-1)]: Done 19 out of 19 | elapsed: 1.1s finished\n", + "\n", + "[2019-05-21 06:16:01] Features: 18/3 -- score: -0.4373643882780966[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 18 out of 18 | elapsed: 0.6s finished\n", + "\n", + "[2019-05-21 06:16:02] Features: 17/3 -- score: -0.41222482008032246[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 17 out of 17 | elapsed: 0.4s finished\n", + "\n", + "[2019-05-21 06:16:02] Features: 16/3 -- score: -0.3985660117554966[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 4 out of 16 | elapsed: 0.1s remaining: 0.3s\n", + "[Parallel(n_jobs=-1)]: Done 16 out of 16 | elapsed: 0.4s finished\n", + "\n", + "[2019-05-21 06:16:03] Features: 15/3 -- score: -0.3890294663773112[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 4 out of 15 | elapsed: 0.1s remaining: 0.3s\n", + "[Parallel(n_jobs=-1)]: Done 15 out of 15 | elapsed: 0.3s finished\n", + "\n", + "[2019-05-21 06:16:03] Features: 14/3 -- score: -0.38135327949435743[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 14 out of 14 | elapsed: 0.3s finished\n", + "\n", + "[2019-05-21 06:16:03] Features: 13/3 -- score: -0.3768714073283511[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 13 out of 13 | elapsed: 0.3s finished\n", + "\n", + "[2019-05-21 06:16:04] Features: 12/3 -- score: -0.3711100956915695[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 12 out of 12 | elapsed: 0.3s finished\n", + "\n", + "[2019-05-21 06:16:04] Features: 11/3 -- score: -0.3674662466096492[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 11 out of 11 | elapsed: 0.2s finished\n", + "\n", + "[2019-05-21 06:16:04] Features: 10/3 -- score: -0.3669643697575921[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 10 out of 10 | elapsed: 0.2s finished\n", + "\n", + "[2019-05-21 06:16:04] Features: 9/3 -- score: -0.36660077037626193[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 9 out of 9 | elapsed: 0.2s finished\n", + "\n", + "[2019-05-21 06:16:05] Features: 8/3 -- score: -0.3642973882950128[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 8 out of 8 | elapsed: 0.2s finished\n", + "\n", + "[2019-05-21 06:16:05] Features: 7/3 -- score: -0.3641399881513986[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 4 out of 7 | elapsed: 0.1s remaining: 0.1s\n", + "[Parallel(n_jobs=-1)]: Done 7 out of 7 | elapsed: 0.1s finished\n", + "\n", + "[2019-05-21 06:16:05] Features: 6/3 -- score: -0.36432482794050297[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 3 out of 6 | elapsed: 0.1s remaining: 0.1s\n", + "[Parallel(n_jobs=-1)]: Done 6 out of 6 | elapsed: 0.1s finished\n", + "\n", + "[2019-05-21 06:16:05] Features: 5/3 -- score: -0.36358783796012656[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 5 out of 5 | elapsed: 0.1s remaining: 0.0s\n", + "[Parallel(n_jobs=-1)]: Done 5 out of 5 | elapsed: 0.1s finished\n", + "\n", + "[2019-05-21 06:16:05] Features: 4/3 -- score: -0.36909228313128195[Parallel(n_jobs=-1)]: Using backend LokyBackend with 2 concurrent workers.\n", + "[Parallel(n_jobs=-1)]: Done 4 out of 4 | elapsed: 0.1s remaining: 0.0s\n", + "[Parallel(n_jobs=-1)]: Done 4 out of 4 | elapsed: 0.1s finished\n", + "\n", + "[2019-05-21 06:16:05] Features: 3/3 -- score: -0.3745627036791589" + ] + }, + { + "data": { + "text/plain": [ + "SequentialFeatureSelector(clone_estimator=True, cv=5,\n", + " estimator=LogisticRegression(C=1.0, class_weight=None, dual=False, fit_intercept=True,\n", + " intercept_scaling=1, max_iter=100, multi_class='warn',\n", + " n_jobs=None, penalty='l2', random_state=17, solver='lbfgs',\n", + " tol=0.0001, verbose=0, warm_start=False),\n", + " floating=False, forward=False, k_features=3, n_jobs=-1,\n", + " pre_dispatch='2*n_jobs', scoring='neg_log_loss', verbose=2)" + ] + }, + "execution_count": 56, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "from mlxtend.feature_selection import SequentialFeatureSelector\n", "\n", @@ -1906,7 +3058,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" }, "toc": { "nav_menu": {}, diff --git "a/jupyter_chinese/topic07-\344\270\273\346\210\220\345\210\206\345\210\206\346\236\220\345\222\214\350\201\232\347\261\273.ipynb" "b/jupyter_chinese/topic07-\344\270\273\346\210\220\345\210\206\345\210\206\346\236\220\345\222\214\350\201\232\347\261\273.ipynb" index b7b209a7c2..a021affefb 100644 --- "a/jupyter_chinese/topic07-\344\270\273\346\210\220\345\210\206\345\210\206\346\236\220\345\222\214\350\201\232\347\261\273.ipynb" +++ "b/jupyter_chinese/topic07-\344\270\273\346\210\220\345\210\206\345\210\206\346\236\220\345\222\214\350\201\232\347\261\273.ipynb" @@ -209,10 +209,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 1, + "metadata": {}, "outputs": [], "source": [ "from mpl_toolkits.mplot3d import Axes3D\n", @@ -237,10 +235,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 2, + "metadata": {}, "outputs": [], "source": [ "iris = datasets.load_iris()\n", @@ -257,11 +253,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAALsCAYAAAACpj21AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAAWJQAAFiUBSVIk8AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3VmsLGtZP/5v9bx6nqqntfZwNufIFBIBo0aIAoEwJCoROSEY4o3caUKM0RsIMQYvJGr0jhiNNxCJieaIRqO/gxhEgoSAhAjHM+y9hp6r53ms/8X6v0Wt2r3W6qGqu7rX95OQwxl2d3WtXt311Ps831dSVRVERERERES0HMeuD4CIiIiIiGifsIgiIiIiIiJaAYsoIiIiIiKiFbCIIiIiIiIiWgGLKCIiIiIiohWwiCIiIiIiIloBiygiIiIiIqIVsIgiIiIiIiJaAYsoIiIiIiKiFbCIIiIiIiIiWgGLKCIiIiIiohWwiCIiIiIiIloBiygiIiIiIqIVuDb4s6ppR0FERERERLR90jp/iCtRREREREREK2ARRUREREREtAIWUURERERERCvYZCaKiIhoa+bzOcbjMabTKdxuNzweDyRprVZ2IiKijbCIIiIiW1NVFbPZDLPZDMPhENPpFNPpFJPJBF6vFy6Xi8UUERFtFYsoIiKyJVVVMZ/PMZ1OMZ/PoaqXobCSJGlF1Ww2g8vlgs/ng9Pp3PERExHRXcEiioiIbEdVVUynU8xmM8zncwCAw+GAw+HQCif9fzObzeB2u+H1euFwcNyXiIisxSKKiIhsQ9+6J1afJEnS/qfndDq1omoymWA2m2E6ncLj8XBeioiILMUiioiIbEG07s1mM614cjgcNxZDkiRpq1Kz2Qzj8VgrqjgvRUREVmERRUREO3Vd694qxY8opubz+ZViSrT4cV6KiIjMxCKKiIh2YlFwxHWte8sSxZf+cfUtfpyXIiIiM7CIIiKirRMrRpusPl1HkqQr81LVahXz+RzxeByhUAhut5stfkREtBEWUUREtDWrBEdsSrT4DYdDDIdD+P1+uFyuK/NSRERE6+A3CBERbcV8PsdkMrlSPJm1+rQMl8vFSHQiIjIFiygiIrKUGcERZpAkCW63WyvmGIlORETrYhFFRESWsCI4Yt3jEIzzUoxEJyKidbCIIiIi083nc4zHY5TLZQCALMs7WX26DiPRiYhoEyyiiIjINPrgiOl0CkVR4HA4kMlkTHnsRqOBfr+PeDwOv9+/8WMaI9HFcXNeioiIbsIiioiINnZd6574d5vq9XooFAoYjUYAgH6/j1AoBFmWl07Zu24VzNjip5+X8nq9jEQnIqKnsIgiIqKNXBccYUbxNJ1OUS6X0Wg0AAButxs+nw+dTgedTgfdbheJRALRaHTjVSPR4idej2jxc7lcnJciIqIrWEQREdFabtvzaZMiSlVVtFotFItFzGYzSJKEZDKJcDiM2WyGeDwORVHQ6/WgKAparRZkWUYgENi40NGn+HFeioiIFmERRUREK9O37s3n84V7Pq3bzjcajVAoFNDr9QAAfr8fuVwOPp8Po9FIK2iOj4/R6/VQrVYxHo9RKBTg9/shyzK8Xu/Gr9E4LyWKKlFMcVWKiOjuYhFFRERLE6tPoqgAzNvzaT6fQ1EUVKtVqKoKp9OJTCaDaDR67eMHAgH4/X40m03UajX0+32cnp4iGo0ikUhsvGp0WyQ656WIiO4mFlFERHQrq/d8MgZHRKNRZDKZpUIjJElCLBZDKBRCrVZDq9VCs9lEp9NBIpEwZTbLGIkuWhj1+0sREdHdwU99IiK60XXBEbcVT/p/r0/r05tOpyiVSmg2mwAAj8eDXC6HYDC48nG6XC6k02lEIhFUq1UMBgNUKhVTV4rE69afE32LHyPRiYjuBhZRRES00G3BEZs+drPZRKlU0oIjZFlGMpncuBDx+Xw4OTlBp9OBoiiYTqcAAEVRkE6n4Xa7N3p88fpF+IQ+Et3j8cDj8bDFj4jowLGIIiKip+g3nxXFk1mzT8bgiEAggFwuZ0oYhCBJEsLhMILBIB4/fozZbIZ+v48nT54gHo8jFouZEol+07wUI9GJiA4XiygiItKs27q3zOOqqopqtQpFUbTgiGw2i0gkYlmx4XA44HK5MJvNcHR0hMFgoM1NybKMYDBoSiS6fl6KkehERIePRRQREVkWHCHmh7rdLkqlEsbjMQAgFoshnU5vNZBBlmXM53NUKhWMx2MUi0UcHR0hlUpZFomub/HjvBQR0eFgEUVEdMcZE+cA82LLRTLe2dkZAMDr9SKXyyEQCGz82KseA3C559SDBw/QarWgKAoGgwFOT08RiUSQTCZNj0SfTCZXUvwYiU5EdBhYRBER3VFWB0c0Gg3t7yVJQiqVQiKR2NmKjHhNkiQhGo0iFApBURS0Wi20Wi10Oh0kk0lT2gtFi5+xPXI8HsPn88HpdLKYIiLaYyyiiIjuILE6oi+ezFp9Gg6HKBQK6Pf72j979OgRjo6ONn5sMzmdTqTTaUSjUVQqFS0SvdlsIpVKwe/3b/wc+hS/RZHonJciItpPLKKIiO4Qq4IjgMvCrFqtolqtAoAW6KCqKjwez8aPbxWv14uTkxN0u11Uq1WMx2NcXFwgGAxCluWNI9GBy3OsL6ZEC6UoprgqRUS0X1hEERHdAVYFRwidTgeFQgGTyQQAEI/HkU6n8dJLL12ZSbIrSZIQCoUQCATQaDRQr9fR7XbR6/UQi8UQj8ctj0TnvBQR0f5gEUVEdOD0xZPZq0+TyQSlUgmtVgvA5Ua3uVxOa4UTz7EPhRRweV4SiQTC4TAURUGn00G9Xke73bYsEn0wGKDT6cDv9yMYDG41sZCIiNbDT2oiogOlD46YzWYAzE3dazQaKJVKmM/nWnBEMpk8iNUUt9ut7WFVrVYxGo20SHRZluHz+TZ+DvGzqNfraLVa2mqXaPFjJDoRkX2xiCIiOjBWt+4Nh0Pk83kMBgMAQCgUQjabtfXc07r8fj/u37+PVquFWq2GwWCAs7MzUyPRBYfDgclkgtlsdmV/qUMoSomIDg2LKCKiA2J1cESlUoGiKAAugyOy2SzC4fBBX+jrI9FrtRqazaYWiZ5IJBCNRk15/WIVatG8lMvlOuhzTES0b1hEEREdACv3fAKuD464bSVm32aibuJ0OpFKpbQWv36/j2q1ilarBVmWTdlA2DgvJYopRqITEdkLiygioj1nDI4wc8+nyWSCYrGIdrsN4OngiLvI6/Xi+PgYvV5Pi0TP5/MIBAKQZXnltkZRYBpb+yRJuvKz1bf4cV6KiGi3WEQREe0psfokLrIBc4Mj6vU6yuUy5vM5HA4HUqkUEokE28pwWfAEg0H4/X40m03UajX0ej30+33LItHF5siMRCci2j0WUUREe8bq4IjBYIBCoWBKcMQhtfMt4nA4EI/HEQ6HUa1WtUh00eIXCoVu/ZksWonSEy1+xnk3/bwUERFtFz95iYj2iJXBEbPZDJVKBbVaDcBlcEQul0M4HN74sQ+dCNmIRqOoVCoYjUYolUpoNptIpVKmRKJLkgS3260V0GIGjpHoRETbxyKKiGgPWB0c0W63USgUMJ1OAQCJRAKpVIpBBis6OjrC/fv30W63oSgKhsMhzs7OEA6HkUwmF64a3bYSZSRS/MRqFCPRiYi2j0UUEZHNiYtlffFk1urTeDxGsVhEp9MBcFkE5HI5HB0dbfzYerts59v2c0uShEgkgmAwiHq9jkajgXa7jW63a1okunFeipHoRETbxSKKiMimrGzdU1UVtVoNlUpFC45Ip9OIx+OmXnzb6UJ+28fidDohy7IWiS7S/ESLn4hE36TIM0aij0YjzGYzuFwu+Hw+riQSEVmERRQRkc1YHRzR7/dRKBQwHA4BAOFwGNlsFm63e+PHpqd5PB4cHx+j2+2iWq1iMplciUQXNvnZGiPROS9FRGQtFlFERDYiVhSsCo4ol8uo1+sAALfbjVwuh1AotPFj0+2CwSACgQAajQbq9Tp6vR56vZ5p6XqLItE5L0VEZA0WUURENmBlcISqqmi32ygWi1pwRDKZRCqVsnyF4tAjzlclSZIWia4oCtrttvYz6ff7CAQCpsxLiUh0zksREVmDRRQR0Y5ZHRxRKBTQ7XYBXAZHHB8fmxK5TetzuVzIZDKIRqM4Pz+HqqpoNpsYDoeQZdmUYA/jvJQopkSLH+eliIjWxyKKiGhHrA6OUBQFlUoFqqrC4XAgk8kgFotxFcJGfD4fvF4vhsMhHA4HhsMhzs/Pb4xEX5VxXkr81ePxwOv18v1ARLQGFlFERFu2jeCIfD6P0WgEAIhEIshkMjsJjmA73+3EOcpkMhgOh1okeqfT0SLRN227XDQvJVZAvV4v3G43iykiohWwiCIi2qL5fI7RaITpdKq17ZkZHFEqldBoNAAwOGJfiALT6XQimUwiHA5rkeiKoqDVakGWZdPnpfSroPp5KSIiuh0/LYmItkAfHPHkyRP0+308fPgQwWDQlMdutVoolUpaSIEsy5BlmdHWe8C4Sici0cW+UmKuze/3I5VKwePxbPyci4opRqITES2PRRQRkYWua90T/25To9EIxWJRC47w+/3I5XIMjthDxlWmQCAAv9+PZrOJWq2Gfr+PJ0+eIBqNIpFIbBwMIdpH3W63thrFSHQiouWwiCIisshtwRGbFFHz+RyKoqBarUJVVTidTqTTadsFR3AmajOSJCEWiyEUCqFWq6HVaqHZbKLT6Witf2a0+OnnpRiJTkR0OxZRREQmu23Pp00vSHu9HgqFghYcEY1GkclkOM+yp0SBedP7wuVyIZ1OIxKJoFqtYjAYoFwuo9lsIpVKMRKdiGjL+I1LRGQifevefD5fuOfTuqsz0+kU5XJZC47weDzI5XKmzFXRfvD5fDg5OUGn04GiKBiNRjg/P0coFEIymTQlgdEYiW5s8eO8FBERiygiIlOI1SdRQAHX7/kk/pn475Z57GaziVKphNlsBkmSkEwm9yI4wg7tfHZvJVxmJUpPkiSEw2EEg0HU63U0Gg10Oh10u13E43HEYjHTI9GLxSJUVUU6nUYgEGAkOhHdeSyiiIg2sM6eT6tcfI5GIxQKBfR6PQCXwRHHx8fwer2mHP9dcmgX/Q6HA8lkUmvx63a72tyULMsIBoOmRaIPh0NMp1OMx2Ptn3FeiojuMhZRRERrui044jrLrM4sCo7IZDKIRqN39qJVtDP2ej3EYjHbhWisa9WVKCOxH1i/30elUsF4PEaxWMTR0RFSqZQpBbc4RjEzxXkpIrrrWEQREa3otuCI29xWRHW7XRQKBYzHYwCHERyxSUudsZ0RABRFQbvdRiqVgt/vN+sw95rf78eDBw/QarWgKAoGgwFOT09NiUTXbwjscDiurL7qi6lDKGqJiJaxv9/IREQ7IPbT0RdPy6w+6V1XRE2nU5RKJTSbTQCA1+tFLpdDIBAw7wVs2aYX1cZ2xqOjIwQCAbRaLYzHY1xcXCAYDEKWZVNCFXZh05UoPUmSEI1GEQqFoCiKFonebre11r91nkd/jLdFonNeiojuAhZRRERLWLd1bxFjEbUoOEKWZSSTSdsHR1jlunZGv9+PyWSCcDiMdruNWq2GbreLXq9nWqjCIRD7hkWjUVQqFQwGA1QqFS0S3YzVO2Mkuvjd0O8vRUR0qPgJR0R0g3WCI26jL6KGwyEKhQL6/T4AIBAIIJfLHVxwxCrtfP1+H/l8fuE+WKLFUZIkxONxbcWl0+mYHqqwLWauRBl5vV6cnJyg2+2iWq2utXqnquqNxyhuJuhvNOhb/FjUEtEhYhFFRHQN4x12YP3VJz3x58WFrVhpyWaza7db2dUqr2U2m6FcLqNerwNYbh8st9utnbdqtYrRaIRisQi/3w9Zlg+uGF2HJEkIhUIIBAJoNBqo1+va6l0sFkM8Hl+60LkpcVKSJLjdbm01yri/1CG9r4mIWEQRERlsGhxxG7GaIlafYrEY0un0nW1/UlUV7XYbxWIR0+kUACDL8kr7YPn9fty/f18LVej3+1qowr7sE2U1h8OBRCKBcDisrd7V63W02+0bV+9WWSm7bV6KkehEdCju5jc2EdE1zAiOuM50OkWxWESr1QJwObdy//79vQ6O2JSI4+50OgAugyOOj4/h8/lWfqzrQhUEuxdT2yourlu9Ozo6gizLT537ddoNjfNSjEQnokPDIoqICOYGRyx67EajgVKppD02AITD4YMvoK5LIlRVFbVaDZVKBfP5HA6HA5lMxpS9n0SogigSBoMBAKBQKCCdTuPo6Gijxzfbroo7/epdrVbDYDDA2dkZIpEIksmkVuhsMrMlfof0c4X6Fj/OSxHRvmIRRUR3mhXBEXrG4IhgMAi/349KpbLxY++rwWCAfD6P4XAI4LKYzGazpkeU+3w+nJyc4NVXX9U2iD0/P0c4HEYymbRd++Qu2tz0q3e1Wg3NZhOtVgudTgeJROJKO+QmQSr6Fj+x0stIdCLaZ/b6BiEi2iJ98WT26tN8PkelUoGiKAAAl8uFbDaLcDistZjZvb3MbLPZDJVKBbVaDcCP28rC4bBlz6kvhiORCFqtFtrtNrrdrlYk7PIC3i7vAafTiVQqpa3e9ft9VKtVtFotxGIxAJsXeaLFz7jqOx6P4fP54HQ6WUwR0d5gEUVEd44+OGI2mwEwr3gCgE6ng0KhgMlkAgCIx+NIp9Nae9R1LW6HSLzWfr+PYrGonZNEIoFUKrXV2Rixj1S1WkWv19OKBFmWbdFWaYcCwuv14vj4WDs/4/EY5XLZ1OfQp/gtikTnvBQR7QMWUUR0Z1jdujeZTFAsFtFutwFctpPlcrmnNja9S0WUWOETK3I+nw/Hx8c7m0vyeDw4Pj6+sm9SPp9fad+kQydJktZ22mw2UavVoKoqJpMJFEVZKRL9Jg6H40oxJUIoRDFlh6KSiOg6LKKI6E6wOjiiXq+jXC5jPp9DkiSk02kkEomFj38XiigRptHtdgHg1nOyDfrnNRYJ6+6btCkrN9rdlMPhQDweh8fjQaFQAADU63Vt9S4UCpnS4ndTJDrnpYjIrlhEEdFBs3rPp8FggEKhoCXAhUIhZLNZeDyea//MoRdRxjANAMhkMkgkEjs8qqeJIkFEoi+7b9JdI9rrxIa5o9EIpVIJzWYTqVRqrTh6I2Mkuvh91e8vRURkJ/xUIqKDZQyOMHPPJ2NIgj444rbHP9Qiaj6fo1qtQlEUqKoKl8sFj8eDfr9v6zmX6/ZN8vv9kGUZXq/Xsue280qUII7R6XTi5OQE7XYbiqJgOBzi7OzM1LRDYyS6cV6KkehEZBcsoojo4IjVJ1FAAeYGR7Tb7Y1CEg6xiOp2uygUChiPxwCAWCyGTCajtYHtA/2+SYqioN/v4/T0FNFoFIlEwtaFoJX0hZ4kSYhEIggGg6jX62g0GqanHepb/MRqlPh9FvtL2bnoJKK7gUUUER2MXQRHrBOScEhF1HQ61Vq7gMt0t1wuZ4u0u3Xo901SFAWtVgvNZhOdTgfJZHKplcZV7NNKlP4YnU4nZFnWVu9Emp9o8TPj53/bvJTL5bL1eSOiw8YiiogOwjaDIxwOB1Kp1NohCYdQRKmqimaziVKphNlsBkmSIMsyksnklZarfX2tTqcT6XRaKxIGgwHK5bJWJOwqXXAXbir0jGmHk8kE+XwegUAAsizfOBu4LOO8lCimGIlORLvEIoqI9to2giPy+TyGwyEAIBwOI5vNbhSFva+FhTAajVAoFNDr9QAAgUAAuVzO0tmhXfH5fDg5OUGn09Hmpc7Pz02bA9rXlSijYDCIQCCARqOBer2OXq93Je3QjELHOC8l/ipa/DgvRUTbxCKKiPaWmJfQF09WBUeI8IFwOLzxY+9rETWfz6EoCqrVKlRVhdPpRCaTMWUOxs4kSUI4HEYwGEStVkOz2TR9DugQSJKEeDyOcDgMRVHQbre1mSmzWiGNLX7i95+R6ES0bSyiiGjvWN261+l0UCgUMJ1OAaweHHGbfSyi+v0+8vk8RqMRACAajSKTySy9ErNPr/U6Dodj4RyQ2DdpnTmgQ1mJ0nO5XFpxXalUMBwOUS6XtfNkRiukaPEzfhYwEp2ItoWfMkS0N6wOjhiPxygWi+h0OgCAo6Mj5HI50+df9qmIms1mKJfLqNfrAC5nYHK5HILB4FJ/3s7FwbqMc0Dj8Rj5fB7BYBCyLG/U6mlH6xZ6Pp8P9+7d01ohh8Ohqa2Q4pjcbjfm8znK5TJGo5FW6DISnYisxCKKiPaCcc8nwNzVp1qthkqlogVHpNNpxONxS4qAfSiiVFXVotzFipwsy5BlmRem/79gMAi/349ms4larYZut3tlDmiZ82Tn94CwyWqZvhVSH4ne6XS0Vkgz3k/6BL/JZILhcMhIdCKyFIsoIrI1q4Mj+v0+CoWCqcERt7F7EWVckfP7/cjlcvD5fDs+svVYeZ4dDgfi8bgWid7pdFCv19FutyHLMoLB4FLvUztf5JvRcuhwOLS5KNEKKSLkRSvkpudAHKf43WUkOhFZiUUUEdmW1cER+jY1t9uNXC6HUCi08WPfxq5F1KIVuUwmg1gstvY5t+K1bnosVhDBI2IOaDQaoVgs4ujoCKlU6trkQru9BxYxc25LtEKKebLxeIxCoQC/349UKrVRJLo4TofDcSUSfTQaMRKdiEzHIoqIbMfq4Ahjm1oymUQqldpam5odiygrotzvoqOjI9y/fx+tVguKomAwGOD09BTRaBSJROLaC3g7r5BYEX4RCASutEL2+308efLk1vN0E/1nhfirPhJ9NpthOp1qxRTbUoloEyyiiMg2thEcUSgU0O12AVxe8B4fH++0TU28xl2xMsr9rpIkCdFoVGvxa7VaaDab6HQ6T0V926mQvo5VCYKSJCEWiyEUCqFWq914ntY9zkWR6KKY4rwUEW2CRRQR2YLVwRGKoqBSqUBVVVPa1DYhikJVVXdaRIko98lkAsD8KHfAnqtu2+J0OpFOp7UWv8FggHK5jGaziVQqdSX1cR8u5K06RpfLhXQ6rUXH33SebnJTsWeMROe8FBFtikUUEe2UPjhiOp2aOvcEPL2/USQSQTab3fk+MvoiatsmkwmKxSLa7TaAyyjq4+Nj06Pc6ZLX68XJyQk6nQ4URcFoNNKivtfZW2rbtvUe9fl8C89TKBRCMpm8tbV0mRUzfSS6SPPjvBQRrYNFFBHthL51r1QqQVEUpFIpyLJsWnBEqVRCo9EAcDnQns1mtxIcsYxdrNCoqopGo4FSqaQFR6RSKSQSCd6Ft9hNUd92t80NgRedp06ng263i3g8jlgsdu0sk3Em6ibGeSlRVIliir8PRHQbFlFEtHWLgiPEPzcj5rjVaqFYLGI2m0GSJCSTSdvtb7TtImo4HKJQKKDf7wMAQqEQstnsRmloq7iL7XyLLIr6BoDRaIRer2fLlaltFlGCOE+ixa/b7WpzU4ui4/Wrussep3Feytji53a7WUwR0bVYRBHR1ly355Noodn0Qns0GqFQKGgXpnbe32hbRdR8Pke1WoWiKFBVFS6XSwuO2NbKAj1NRH3XajXUajWoqop8Po9gMAhZlm2ViriLIkoQWw/0+31UKhVtD7ObouNXPU4xLyVWo8Tnk35eiojIiJ8MRLQVxuAI/eyTWCHSr0qt+tiKoqBarUJVVTidTmQyGUSjUdtexG+jiOp2uygUChiPxwCAeDyOdDrNuQ8bEQW+2+3GdDpFt9tFr9dDLBZDPB63xerpLosowe/348GDB9dGxwubnC/xeaRfKde3+NnhZ0FE9sEiiogsJVafRAEFPJ26t0kR1ev1UCgUtOCIaDSKTCZj+7vHVhZRYs6s2WwCuAw2OD4+ht/vN/25lsV2vsXEefF4PLh37x6q1So6nQ7q9Tra7fbC1rVdHeOub0hcFx3fbrcRj8dNOUaRnCnCJxiJTkTXsfdVBhHtrVX2fFqnoDAWCh6PB7lcDsFg0LwXYSEriihVVdFsNpHP5/GDH/wA9XodyWQSP//zP7+zAooXnMsTrZYiEn00Gt3aurYNdimihEXR8YqimPoct81LMRKdiFhEEZHpjLMFwM17Pq2yEiUKhVKppAVHyLKMZDK5V+02ZhdRYh7sq1/9Kr7yla+gXC5jNpvB5XLhr//6r/GOd7wDv/mbv4lIJGLK85E5FhUoR0dHuH///rWta9tux7RbESWI6Phut4tKpaJ95hQKBdPmyozzUoxEJyKBRRQRmea64IhFq096yxZRxuCIQCCAXC63szv0mzCriNLPg33ta1/Dl770JRSLRaiqilAohOl0ivPzc1QqFZyfn+Pzn/+8bWLe6XrXta51Oh0t3W/bRY3diijg8phCoRCcTicuLi4AwJK5MmMkurHFb59u4BCROVhEEZEpxPyAvnhadtPc2woKY8LcPgRHLGuTIko/D9Zut/HCCy8gn88/td/WeDzGq6++iu9973v4m7/5G3zyk5806/CXxpmoxW5b5VnUulYul9FsNpFKpbayQfI+/OzE+fN6vfB4PJbMlRlb/MTnHSPRie4m3johoo2oqorJZKK1uaiqCofDsXQBBdy8EtXtdvHKK69oyXvRaBTPPfccYrHYXl+wbLISNZvNkM/n8fjxY4xGI3g8Hrz88stot9va/Iz+3Hg8Hjx48AClUgn/9m//poVwbIMdfkb7UATcRrSuidCU0WiE8/NzlEolTKdTS5/bru18euIYHQ4HstksTk5O4PV6MZ1OUSwWcXFxYdr7XrT4ifCJ8XiMfr+PXq+H6XR6EO83IrodV6KIaC2rBEfcZlERtShhLpfL2XIz0nWI17zKBZeqqmi32ygWi9qFsyzLkGUZX/7yl9FutxGLxRb+Wb/fD4fDgVqthouLC7zuda/b/EXsGTsWAasUKJIkIRwOIxgMol6vo9FooN1uo9vtIh6PW3ZjYR+KKP3sJXD5fhdzZbVaTZsri0QiSCaTpswy6VP8OC9FdPewiCKilRn3fAJuDo64jfhzohhrNBpaMMK+Bkcsa9kiSmwy2ul0ADy9kbD4Odj1QtfMu/Pz+fwg3wvLcjgc2lxUtVpFr9eDoiha65rZNxr2oYhadIz6ubIskw7rAAAgAElEQVRarYZms4lWq4VOp4NEImFaO7BxXkoUVaKYsvN5I6L1sYgioqWtGxxxG/1K1OPHj9Hv9wHsd3DEbZZt51NVFbVaDeVyWWuVzGQyT606PPvsswiFQmg2mwtXowaDAWazGWKxGHK5nLkv5gZmXkCOx2NcXFyg3+8jFApBlmXb7wd2m02KS4/Hg+PjY/R6PVQqFYzHY+TzeQQCAciyDI/HY+ox2rkYuOkYnU4nUqkUIpEIqtUq+v0+qtUqWq0WUqmUKfH/t0Wic16K6PDs97cPEW3NJsERqzxHv9+H0+lENptFJBI52AuPZYqowWCAfD6P4XAIAAiHw8hmswujm9///vfjS1/6EkqlEur1urb5KHDZGnl6eop0Oo13v/vdWwkjMJOxkASATqeDXq+HZDJ5EO+TTY4/EAjg4cOHaDQaqNVq6PV66Pf7pqXT7UMRZWznW0RsOt3r9VCtVrWiPBgMWhaJLm446feXIqLDwN9mIrqRqqpapK8ZrXtGnU4HhUJB+/tYLIZMJnPw8wQ3FVGz2QyVSgW1Wg0A4Ha7kcvlbowmTyaT+PVf/3V84QtfwGuvvYZKpaJFnLdaLSQSCbz5zW/Gxz/+cWtekEWMhWQoFEIwGESz2cRgMEClUtFWFPatOATMa3OUJAnxeFxr8TMznW4fiqhlj1GSJASDQfj9fjSbTdRqNUsj0fWfn/oWv7vcjkp0KFhEEdFCZgZHLDKZTFAqldBqta7882w2eycuMK4rokRwxGQyAQAkEgmkUqmlisqPfvSj8Pl8+OIXv4hCoYDBYACPx4NcLoef+qmfwqc+9alrgyessm4K4Xw+R6VSgaIoAH5cSHq9XkwmE2SzWQwGA1SrVS2pbp9b/MwqUFwuF7LZrBaJPhqNUCwWtdTGdVpjD6mIEhwOx7VFZzKZRCgUMiUSXR8+MZlMntpfys7nlIhutn/fNERkObODI/REcESpVMJ8PockSUilUqhWq9rz3aUiSphMJigWi2i32wAAn8+H4+PjlVZXJEnCL/3SL+H9738/vvnNb6JUKsHr9eJtb3sbHjx4YOrxW6nb7SKfzy8sJMfjMYAfb7IaCAS0pDrR4mdlUp3ZrIrDPjo60tLpFEXR0umi0SgSicTBrfSuG6yyqOgUqaCpVEoLbtmEfl5qOp3i4uJCa1n2+XxwuVx78V4loqtYRBGRRh8cMZvNAJjbujccDpHP5zEYDAAAwWAQuVwOHo8H9Xr9StF26PSJhGLeRxSQqVQKiURi7fPu9Xrxrne9y8Sj3Q5jrL3P50Mul7tx8P+mpDqzQgO2wYqLaH06naIoaLVaaDab6HQ62jm77XlVVd2rlah1b8CIorPdbkNRFAyHQ5ydnSEcDiOZTJqyuimKqX6/D0mStBlTRqIT7ScWUURkeeuesTVL3P3VX8RtsvnsPhKvt16vaysuoVAI2WzWtFQ1O1jm56qqKlqtForFohZrn0qlkEwml37/iaS6brf7VGjAXXlPXcfpdCKdTmurLYPBAOVyWVttWXa1cx+KqE2OUZIkRCKRhftwmRWJrl/ZFytT4rNXtPjdhZV4okPAIorojttWcIQoFOLxONLp9FN3XRdtuHuoRAohcNnGt6iovCvG4zEKhQK63S6AzWPtF4UGCHYspra5yuP1enFycqIVmmKW7KbVln1YhQLM3SfN6XRClmUtEl2k+Ymic5N9uPSfsfpIdLEqxUh0ov3BIorojrJqzydh0YzPTa1Zd6WI6na7KBQK2myPz+fDM888c+daeYyx5U6nE5lMxpS7/SI0IBQKoVqtaoXU2dmZllRnF9su7BbNkonVlkWzZPtSRG3azreIcXVzMplsvA+XsdgTkejGm1nj8Rg+nw9Op9P2557ormIRRXQHGYMjzNzzSVVV1Ot1bcZHkiSk0+lbZ3wOvZ3POO/jdDoxm80QDAbvXAFljC2PRCLIZrOmp+qJRL//+7//A3BZ2BcKBdM3ozXDti+Ur5slE3HxYrVl34ooK44zGAwiEAig0WigXq+j1+tpkeiJRGKlwu26Yk+f4meMRPf5fGzxI7IhFlFEd4hYfRIFFGBu695gMNCitYHVZnwOdSVKVVU0m02USiVt3keWZUiSdGXz2EOlL46viy2/af8rs45BVVUkEgk0Gg3TN6PdZ2K1pdfroVKpPLXaYpxZtCsz2/kW0e/DJYJLxCresiEd+uO87j3ncDiuFFNi014RPmH3nwPRXcIiiugOsDo4wrg5rMvl0i6OV9m3BTisImo0GqFQKKDX6wG4Ou8jztWhF1HCZDLByy+/vNb+V5sS5zgWi2lzLmZuRmvGse364jgQCODhw4doNBqo1WpaoRkOh21xfLexop1vEZfLpbWdVioVDIdDlMtltFotyLJ8a0jHMsWePhJ9NpthPB5rc1OMRCeyDxZRRAdO3Mm0Kjhik81h9fSR3/tuPp9DURRUq9Vr530OvX1REFH5Yi5pmdhyq4j5k0Wb0fr9fqRSKVu1+G2bfrVFFJpiM2z9zRc72nYx6vP5cO/ePXQ6HVSrVQyHw1tDOvTHuUyxJ96vxs9wl8sFr9e7l5tKEx0S/gYSHSirgyPG4zGKxSI6nQ6A9TaH1RMXFfteVPR6PRQKBYxGIwBANBpFJpN56oLn0Iso0cZYrVa1f5ZOp1eKLbeScTPafr+PJ0+erDXnsgm7rETp6QvNcrmsrYRcXFwglUqtnZxopV2cR0mSEA6HEQwGUavV0Gw20W630el0tEh04/votna+RcRNr0XzUl6v9063oxLtEosoogMkonL1xZOZwRG1Wg2VSkXbHDadTiMej2/0+PvezjebzVAqldBoNABczprkcrlrk+AOuYgyxpYDl/Nxsizv8KieJjajDQaDT825yLK8UjvqITo6OkI6ncb5+TmAy5nH09NTRKNRJBIJWwWirFOcmMXhcDwViS5COmRZRiAQeGqlfdXjNLb4TSYTbb5V7C91l9+rRLvAIorogFi955MxVS0cDiObzcLtdm/82PvazqeqqtbSOJ1OIUkSkskkZFm+8ULpEIuoRbHloVAIzWbTVhfcRmLOJRKJaC1+pVJJS6qzcuXFjitRi3i9XhwdHaHZbKLZbGqrLZFIxBbHbofzqA/pEBs+FwqFK62imwZg6CPRjfNSosXPDj8PoruARRTRAdhGcES5XEa9XgdwmaomNoc1yz628xlXXPx+P3K5HHw+361/9tCKqOtiyzudDprN5l68TtHi1263oSiKrVdetkU/w5NKpbRCczAYoFKpaIXmum28Zh+nHQqIQCBwZcNn0SoajUa1/2bTFTPjvJQopkSL3118rxJtG4sooj1n3PMJMG/1ybjKAkBbZTH7S3qf2vmMKy4OhwOZTOapjUqvc3Fxgb/7u7/DN77xDQDAG97wBnzwgx/ET//0T+/dfMN8Pke5XNbSBo2x5Xa4qF2FJEmIRCJX5lzEyssqUdbLsntxaSxOvF4vTk5OtA1oR6PRUoEKVttlO98ikiQhFoshFAqhVquh1Wqh2WyaHhlvnJcSfxUtfnY5H0SHiEUU0Z7adnDE0dERcrmcZXec96WI2rSl8R//8R/xZ3/2Z1ci4b///e/j3//93/GOd7wDn/nMZ3aSXLeOTqeDQqGwk9hyqzmdzqdWXkSUdSqVWmq1cRV2LTYXrfBIkoRQKIRAIIB6va7NkXW7XcTj8aVvJlh9nHbgcrmQTqe1eSmxh16j0YDP5zPl83TRvJSYi/V6vXC73bY7L0SHgEUU0R6yOjhCURRUKhVtlcWM4Ijb2L29zbgX1jobxf7Xf/0X/uRP/gSvvPIKjo6OkEql4Ha7MR6P8dprr2EwGMDn8+Gzn/2sVS/DFNPpFMViUYu/3jSZ0c7Eyos+yvrs7AyRSATJZHLjgtGuF//CTcfncDi01TljoEIqlUIgENjqMV53nHbg8/lwcnKCs7MzjEYjTKdTnJ+fIxQKIZlMmjZXKual9LOx+nkpIjIPf6OI9ojVwRH9fh+FQsGS4Ijb2HklatFeWOl0eqVWGVVV8cUvfhHn5+eIx+OQZRmDwQAOhwPRaBSRSAQvv/wy/uM//gOvvfYaHj16ZNXLWZuILS+VSpjNZpAkCalU6sbYcrsXx8sQUdb6lZdWq6W1+NklXMEKyxR5+kCFSqWCyWSCfD6PQCAAWZYt33vL7oWoIFaMgMu0ym63i06nc2UFz4z2u0XFFCPRiczHIopoD+wiOGLVVZZN2bGImkwmKBaLaLfbADZbcTk7O8P//u//ot/v4+HDh0/9e6/Xi2g0ilqthhdffNF2RdR4PEY+n0ev1wNwOTyfy+VsuWeQVZxOJ2RZ1lZe+v3+xuEK+1xcGgUCATx8+BCNRgO1Wg29Xg/9fh+xWAzxeNyyi3e7zUPdRBxrNBpFMplEtVpFt9tFrVZDu91GMplEMBjc+HNdfDe43W5tNYqR6ETmYhFFZHO7CI5IpVJbvyCx04qFqqqo1+sol8vaXlipVAqJRGLt816r1TAej3F0dASHw7GwWPT7/eh0OlAUZdOXYBpje6fT6UQmk0E0Gr2zF2FerxfHx8emhivY9VyuusojSRLi8bhWaHY6HdTrdW3vLTMKhE2PcZf0n+HiZpUoxsUcqt/vhyzLptygMM5LMRKdyDwsoohsSh8cMZvNAJjburdJPLcV7LISNRwOkc/ntQHwUCiEbDa7cUtSIBCAy+XCeDy+Uijq//94PIbb7d7aLMltrostX6VIsFNxbKabwhUSicTSRabdz8u6BYrL5UI2m0U0GtX23ioWi9osoJkrmPtYROmP1e/348GDB2i1WlAUBf1+3/RofWMk+mg0YiQ60YZYRBHZjNWte/P5HLVa7UpwxCrx3FbZdRE1n89RqVS0VSBxEWhWpPVzzz2H+/fv4+zsDK1WC5FI5Mq/n81mqNVqePToEd75zndu/HybuC22fF9Z8f7WhytUKhX0+31Uq1WtxW/ZpEW7FgCbFihi761Wq4VarWbJ3lv71M6n33dLT5IkRKNRhEIhLZyj2WxqLX5mzd0ZI9FFix/npYhWxyKKyEZUVcVoNMJwONT62c1cfer1eigUChiNRgDWW1mwyi5XLLrdLgqFAsbjMQAgHo8jnU6benfW4XDgwx/+MB4/fownT55gMpnA5/PB4XBozx+NRvGmN70Jb33rW0173lUtii1fNUTDTrb1ftKHK1SrVYzHY1xcXNyavnaoK1F6+gJBv/eWWQXCPq5EXff75HQ6kU6ntRU8salxs9lcqSi/yaJIdM5LEa1u91dORHSlda9Wq6FUKiEWiyGXy5nyZTadTlEul9FoNABcXvDlcjkEg8GNH9ssu1iJmk6nKJVKaDabAH4862LVPk2/8iu/gidPnuCFF15AqVTCxcUFJEmCz+eDLMt44xvfiM9+9rM7uYCxOrbc7sWCGSRJQjAYhN/vR6PRQL1e19LXEonEjau9dr1oNbNAWbT31qbBHGYfo5VUVV36WI2bGouiPBgMQpZlSyLROS9FtBoWUUQ7ZgyO0BcTm36BqaqKVquFYrGoRVInk0nIsmy7lYVtFlHXRXUnEglLz4skSfjt3/5tvO1tb8MLL7yAb3/721BVFffv38cHPvABfPjDH0Y0GrXs+RdZJ7Z8FXfxIszhcCCRSGjhCt1udyf7J5nBigLFWCCIYI5QKARZlldeGV80Z2RH+uNc5lj1c3eiKO92u+j1eqYmHupT/PThE5yXIroZiyiiHRGrT6KAAi4vvsQFxKbFxGg0QqFQ0CKpdx0ccRt9O5+YA7OC8bxsO6pbkiS8+93vxi/8wi/ge9/7HubzOd7+9rfv5AJw1+fi0IlZMuP+SfrVBLuvolh1fIuCOZZdtbvuGO12Y8ho3ePUF+WKoliWeGiclxoMBnC5XPD7/Tg6OrLte5RoV1hEEW3ZbcERm67IzOdzKIqCarW6V5HU4vVbVUTZ7bxIkqQl/m37+XcRW34X2vmuY9w/SawmxOPxvTkvVr0v9MEc1WoVvV5v5VU7uxeiwqYBGG63G9lsFpFIRFvBMzvxUD8vdXFxgel0inv37mE2m8Hr9cLtdtv+PBNtC4sooi0S7RKz2ezaPZ9E64SINV9Fr9dDPp/XAhKi0SgymYwtgiOWIQad9W2NZjAGatjtvFi58mZkRmz5KnjBdUnsnyTS1zqdDmq1mu3Pzy6COfSrdoFAALIs37jFwD62823C7/cvTDyMRCJIJpOmRaLrj3c8Hmub9op5KaK7jr8FRFugD464LbZ8nZUoY0CCHYMjliHOhVlzUbPZDKVSyZaBGlavvBkdamz5vtGvJogNVgFAURRkMpmN9yMz27ZXeYyrdr1eD/1+/8YZoENv51tkUeJhq9VCp9NZaZ+ym45VfA6L1ScRia6fl7L7OSeyEosoIouJu3f64umm2HLxpbTMStSiUABZlpFMJvfyy00c86Z3v1VVRbvdRrFYxHQ6tW2ghr6IstKhxZYfArHB6muvvYbZbIbhcIjT01NTAwPMsItWObFqJ2aA2u32jTNAd6WdbxF94mG1Wl17nzIj/TkVK1sifIKR6ESXWEQRWUTExt7UureI+MK6bTVmNBohn8+j3+8DOIxQADMS+sbjMQqFArrdLgB7B2pYvTeW1bHlq9iX2Z9tEhHTs9kMgUAAvV7PksCATeyyQHG5XMhkMtqq3XUzQPvWzmdFgSy2ZzDuU7ZuJPqiYzXuL8VIdLrrWEQRmey24Ijb6C+sF80GzedzVKtVKIpii4AEM21SRKmqilqthnK5DFVV4XA4kMlkVkr52jariiirY8tXYddzbxfiZ59MJhGPx28sFnZ5fLv8OR4dHeH+/ftot9tQFEWbAYpGo0gkEnvTzmd1saffp6zZbF4JMVl1hfOmgk8U/4xEp7uORRSRiYx7PgHLrT7pibt9iwIWut0uCoWCNkcRi8WQTqcPZsh33aLCGJYQDoeRzWZN2ZDSSlYUUYtiy4+Pj203a0NPE8VCq9W6UiyIC+BdXJzaoYgSzx+JRBAMBrUZoGaziXa7rRWZuz7G22yr2HM4HE+FmIgVzmQyiVAodOu5WmbVzBiJLv4qWvzsXtQSbeowrryIdmyV4Ihl6FPqgKfbsrxeL3K53F5t2rmMVVeiZrMZKpXK3oYlmFlE7SK2fBlWtyzuO2ORog8MEFHfjUZDa/Fb5gLYyuPbNf0MUKVSwWAwwGAwAHD5OWlnVrbzLSJCTKLRqLbCKQKIUqnUjS3OYib3tmM1tviJ+V/R4sdIdDpkLKKINrRqcMQyxBfXdDpFt9tFuVw+iOCI26xSRIngiH0OSzCrwNh2bPk+2dfizel0Ip1Oa8XCcDhc+gLYTHYrogSv14uTkxN0u12USiWoqopGo4HpdApZlm353t92ESUY2yGHwyHOzs4QDoeRTCYXnqtVj1W0+BlngcfjMXw+H5xOp+3eQ0Sbst+nDNGeWDc4YhmibSefz2t7GwWDQWSz2b0OjrjNMkXFZDJBsVhEu90GsNuwhE1tWkTt+0oc3f6z9/l8uHfv3lMXwGbuCbQMO14AS5KEUCiEdrutta92Oh10u10kEgnbzUPuMgBD3w5Zr9e11U1xrowr1uJYV31/SZKkpfgtikTnvBQdEhZRRCvaNDjiNmJlC7icb9EnVNnpgsAKN61EqaqKer2OcrmszYqlUikkEom9PS+bFFHG2PJkMolUKrVXK3HbZPf3yE3Ht2geqNVqodvtIplMIhwOW/b69mklL5VKodfrodfraa2QqVTKNm3PdgjAcDqdkGVZW+EUkehihVOcq01XzRwOx5ViSoRQiGLK7r+PRMtgEUW0AjOCI25ivDAOBAK4f//+nbl7d10RNRwOkc/ntdmHUCiEbDa792EJ6xRRdootX4bZM1Fi/vBQisVVzsuieaByuaxdAFvxHrBrO5+e+LzweDyIRqPo9XqoVCqYTCbI5/MIBAKQZXnnnxe7audbxOPxaO2Q1Wr1qXNlxrHeFonOeSnadyyiiJagD47QD9ya9QUwmUxQKpW0C2ORzhcOh+9MAQU8fcE9n89RqVSgKAqAy31jstmspXfel/WjH/0IX/3qV9FutxGPx/He974Xjx49WukxVikwFsWWp9PpvV6JW9V4PNb2RvP7/Uin07ZPYFzWKj9D/TxQtVrFaDTC+fn5jTMu69qHIsp4jIFAAA8fPkSj0UC9Xkev10O/39/5RsZ2KqKEYDCIQCBw5Vz1ej2tbdyMYzVGoosWeP3+UkT7iO9cohtY3bpnbFET+/lMp1PUarWNNp3dR/qVKGOcezweRzqd3nlRWa/X8dnPfhbf+ta30Gw2MZ1O4Xa78Zd/+Zd4z3veg09/+tNLtw8tW0Td9dhy4x5gANDv9/HkyRPE43HEYjFbXZiuYt0VOjEPFAgEUKvVrsy4JJNJ09p/97GIEv8/Ho8jHA5DURS02+2VY77NZtdNgRedKzGLOxqNtO+9TYkbj/p5Yn2L377+DtPdxSKK6BpWBkcAN7eoVSoVAOttOrvPxJeoGKIHLu+6Hx8fw+/37/LQAFym4H3qU5/Ct771LdTrdUQiEfh8PgyHQ7z22mvodrtotVr48z//86Xurt5WRC2KLc9ms3s1H7dpO9+i3xMRJtDtdlGr1bT472AwaNpxb9u6P0+Hw/HUjEulUtHmgTZt8duHIuqm4kQ/U6qP+RbnZ5tBPXaYibqJOFfRaBQXFxeYz+fodDqYTCaQZdmUdlFxA1LMS00mE8xmsyv7S9n5vUakxyKKyMDsPZ+MlmlRE1+yonXwLlBVVbtQHo/H2qpcMpm0zZfqV77yFXz3u99Fq9XCo0ePrhRKiUQCp6en+OY3v4mvfe1reO9733vr491UYPT7fRQKBS22PBqNIpPJ3JnWl/l8jmq1imq1CuDy9ySXy+Ho6Ajj8RjpdFrb/2Y8HqNQKCAQCCCVSh1Mi98qPB4Pjo+PtXkg0eIXCoU2ivzehyJqmeLEGPMtNjLeZsqhHdv5FvH5fPB6vRgMBnA4HBgOh6a3i942L+VyuWz9niMCWEQRXWEMjjBjzyc9495G17Woib+/KytRxnY1l8uFZ555xnZx7i+88ALq9TpSqdRTFxIejwfJZBL1eh0vvPDC2kUUY8uBXq+HfD6/sJVT/O4AgN/vx4MHD9BsNlGr1dDr9fauxc/MIkWSJASDQfj9fi3GetPI730qom47xutSDjudjqktkNfZlyIK+PE5zWaz6Pf7aDabaLfb6HQ6WiS6FfNSophiJDrtAxZRRPjx6pMooADzgyOMexvlcrlrW9TuykrUfD6HoiioVqtQVRUOhwPz+Vy7E2on8/kcT548wWAwuLZtLBgMQlEUPH78eKXHFhcsdz22fDaboVwuo16vA7hs5czlcjfOmEmShFgshlAohGq1ik6nc6XFzw5toNvmcDi06PNqtapFfotzskrk9yEVUcKilEMzWyCvY9eZqEXEsbpcLq1dVP9earVa2nvJzHkp/Y1MfYvfXfkMpP3CIorutG0HRyy7t9FdWInq9XooFAraAHM0GkUwGMTFxYUt96YRd0zFF/2iO6Ri9XLZdjLxHphOpzg/P9+b2PJVLDsT1W63USgUMJ1OIUkSkskkZFle+uJJtMWKC2N9i5+dWVmkiBY/keIn0g2DwSBkWT6Ytsd1V3iuSznctAXyOnafidLTp9ACV9tFxXupUCjA7/cjlUqZEnJjbPGbTCZXUvwYiU52wyKK7ixj3Cpg7urTYDBAoVBYa2+jmzad3Xez2QylUgmNRgPA5ZdzLpdDMBjU2vnsWkS99a1vxenpKZrNJhKJxFP/TbPZRCgUwk/+5E8u/ZgAtOCIuxhbPp1OUSgUtFXao6MjHB8fw+fzrfV4+hY/RVGuvKfEjYy7RrT4ibbHbreLXq93a9ujqqq2X4na9LNCn3K4qAXSrLa1fTiXetcVpoFA4Mp7SaRkRqNRJBIJU9rvxA0rY7jTeDyGz+e7M3OhZH98J9KdY3VwhHGmRQzEh8PhpR9DfBEdUjufqqraTNh1qw12Lx4/8pGP4Otf/zrOzs7g8XgQDAa1yN5Wq4V2u42HDx/iV3/1V299rNFohE6nA+Dy3ASDQeRyuTsVW67f92rZVdpl6Fv8KpUKut0uAOD09NRWKX76AsDqC2uHw4F4PK61Pa6abGjXC399YbLJMYoWSLGSqW9bS6VSG69oWnGjzir6gm9RAan//arVami1Wmg2m9psmVn7+OlT/PSR6B6Ph5HoZAssouhOEa0B+uLJ7OAI0ZIEXCa2pVKple/O2b2YWJVo/RAXs36/H7lc7qnVBru/7ne+85346Ec/ii9/+csolUqoVCrweDwYjUZwuVy4d+8ePvnJT+LNb37ztY9hjC0HgHA4jHv37tn+4modi9r5RFuZWCWyqoAUkc2vvPIKgMvZxLue4ieCSkQUur7tUZblKz+DfVg5MXvOyO12P9W2ls/nF56fXR6nlZYt+FwuF9LptDYvNRgMUC6X0Ww2TZ0tczgcV4op47zUPpxTOkwsouhOsHrPp/F4jGKxqK0sHB0daXHM6ziUYAnjJqkOhwOZTObalLBN9xSymiRJ+N3f/V3cv38fX/7yl3F+fq5ttvvo0SN84hOfwC/+4i9e++eNseVerxej0Qg+n+9OXAgY3w/b3vdKlmWtxc8OKX67LFIWJRv2+33EYjHE43E4HI69KKKsmjMSbWuNRgP1en3h+bHDcVph1Rkzn8+Hk5MTdDodKIpyZbYsmUyacrOCkehkRyyi6KBtIziiVquhUqlo8xbpdBrxeHzj1hLx+GbtFr9txoIhEokgk8nc+IVq95Uo4PIYP/7xj+P555/HD37wA7RaLSQSCbzpTW+69qJjUWy5/m63XYtGM6mqitdee02bEYxEIshms5bPN+gLgZtS/OzS4rdNi85JvV7XzolYKbbz54+VhZ4kSYjH4wiHw1q6oTg/yWQSoVBo6efdp3jzdfLuI04AACAASURBVI5VkiSEw2EEg8GnZsvMvFlhjEQfjUaYzWZwuVxaMUW0LXy30cEy7vkEmLv6ZCwSwuEwstmsaXfdRNy3+ILYF5vsc7QPRZTgcrmWCpC4Kba83+8DsO/KmxnEz3I6nWqrdrvY90r83t+U4rftFj+7rPSIcyI2Lx6NRigWi3tRRG2jTU60hYr3zGg0QqlU0ualltmOYZ/a+YzJfKvQz5YZZ++SyaQ2R7opfST6aDRCrVaD1+tFPB7nvBRtzf5cmREtaRvBEfq9bKy6KHQ6nVcKwH2waCYsnU4v/YWmb+fb1xU4YTqdolgs3hhbbvf2xU31ej1cXFxof3/d5tK7sCjFzw4tfrt0dHSE+/fvo9VqQVEU7QaR+Byy4znZZpucOD/tdhuKomAwGOD09BSRSATJZPLG9/Uht/Mtsmj2rlgswu/3Q5ZlU/YBFC1+ANBoNODxeOD3+zkvRVvDIooOipXBEcZ0OcDazVD3aVXGuJnwujNhotDd5yLKmDp3U2z5Pr6+ZRhj7IHLmwK5XG6HR/W0Xbf42bF4liRJ27NNJBvO53M8fvwYsiyv1MK2DdtezZMkCZFIBMFgELVaDc1mE61WS0umu26+bx/b+cy42SFuVojCvN/v4/T01NRIdP3GwAA4L0VbwyKKDsI2giP06XKb7mWzjH2IOV93M+GbiMFhu975vsloNEKhUFg6de4QV6KMm+bG43GttdOudt3iZ8cLPJfLhUQigW63C0mStMJ4lRa2bdhVm5zT6UQqldLeM4PBAJVKRTs/xhtI+1hEmXWsojAPhUJabHyz2dRa/DYNltG3H+rnpUQx5Xa74fV6bbECToeFRRTttW0ER+jjqG9LlzOT3VeihsMh8vn8WpsJ30ScVzu8bnExPZ/PF0ayC8b3ybKpc1a9VlVV8corr+CrX/0qKpUKfD4f3v72t+Od73ynZftQLVqNPD4+hsvlsn0RJWy7xc/uxbM4PrfbjVgsdqWFzcyVBDOOcVfFidfrxcnJCbrdLqrV6rXJdPs0E2VVwed0OpFOp7XZO33hKcsy/H7/Wo9rXDnTz0stikTfh0KW9gOLKNpb2wiOyOfzGI1GAJZLlzOTXWPO5/M5KpUKFEUB8OO7+GZtsKhPJtyVXq+Hv/3bv8WLL74IRVGgqiqi0Sje9a534fnnn0c8Htf+W2PASDQaRSaTWSoMxIoLquFwiD/90z/FN77xDe1CxeVy4V/+5V/w4MED/N7v/R5e//rXm/Z8on2xWCwuTKgUra/74qYWPzM2Xb3uOe1IX6AYW9is2Fx1k2Pc5TmUJAmhUAiBQOCpZLpEIoFoNLrzYm8VVq+aLSo8Ly4uEAwGIcvyyt+x4jtSX9AbI9FFm79o8XO73bb9vaP9wSKK9o4+OEK/jG/WB6JxnmNXaWLiC8EOKzJCt9tFPp/XkuasCArY9Qpcp9PBZz7zGXznO99BsVjUVjWn0ynOzs7wne98B5/73OeQSCQWxpavMkdjdjufqqr44z/+Y/zrv/4rzs/PtZk9sWFopVLB7//+7+OP/uiPcHJysvHzrdq+uE8WtfiJTVfNavHbl5Uo8T5d1MJWLpe1FjYr25uXPcZdWpRMJ9rXRPvjPhRRm6TzLUtfeIq9uLrdLnq93sorvzcVfSIS3djyr5+XIloX3z20N7bRutdqtVAqlbS757IsQ5blnXzx2Wklypg05/V6cXx8vHb7xU12PSf0F3/xF/j2t7+NarWKR48eaUXRYDDAkydP8N3vfhef//zn8Ru/8RsLY8tXYfZr/eEPf4hvfOMbOD8/xxvf+MYrF7WyLOPVV1/Fq6++ir//+7/Hb/3Wb639PKu0L+7657mpbbT42aEAWOS6AkWsJHQ6HVSrVQyHQ5ydnS2VUmc2O7bJiRtvYh+48XisfVbsw++BmcESt3E4HEgkEtpeXGLlV7T4LROJvmglymhRMaWfl9qH4pbsh+8a2gvig28ymWA2m2nzSWatQI1GI5yenuLi4gLT6RR+vx/PPvvsSvHcZrPDSpSqqmg0Gnj55ZfRarW0pLlnn33WkgIK2O1KVL1ex3/+53+iUCjgueeeu7KqdHR0hGeffRaVSgX//d//jcePH8Pn8+F1r3sdMpnMWu8TswoMVVXxwx/+EJ/+9KfxP//zP5hMJmg2m1cKcEmScHJygmq1iq9//evaLNuqBoMBXn31VZTLZaiqikgkgueeew7RaNRWF7JmEi1+zzzzDEKhkLbJ9unpqbYKtw67X1DftMojNld9+PAhYrEYAKDVauHx48doNptbe212bpMLBAJ48OABZFnW/lm9XoeiKLbqMDDaRQiG2+1GNpvFyckJvF6vduPu4uJCa6m/zjJFFPDj/RfdbjccDgcmkwmGwyF6vR5Go5Htfx/JfrgSRbZm9Z5P8/kciqKgWq1qd9TT6fRWgiNus+u2NmOrViAQQC6XszyVa5ev+/vf/z7q9TqCweBTr3MymWA0GiEUCqHdbqNUKuH973//Ru8TM4qo0WiEz33uc3jxxRfxyiuvoNvtwul04qWXXsLp6Sle//rXIxqNArjcq8rpdKLX66Fer+P4+Hjp5zHOwu2qzXWXrGrx2/VnzXWWaZVzOp2QZRnhcBjValXbF+i6lLpdHOMuiQK83+9rn6X1el1LprNbZDyw2yRBv9+v7VVWq9WW2otr1eM1zksxEp3WxSKKbMsYHGHmnk/AZXhAoVDQ7nKtEgiwDbtq51tUWC6TNGeWXRZR+khcYT6fYzgcaj8Hj8cDt9ttykaOZhRRf/iHf4ivfOUrKBaLAKBdHIzHY4zHY/zwhz/EW97yFgSDQS361+l0rlQMd7tdFAoFjMdjAJebKKdSqZXafQ7pLq9o8Ws0GqjVage7Ue8qBYpo8TWm1IXDYSSTScs+V/cpOhy4bP3tdDoYjUa2jIwHdn9O9ZHoxr24RFCH/j257ErUoudhJDptwh5Xi0Q6onWv2Wyi0WggGAxqSV9mmE6nKJfLWnCEx+NBLpezfGPNVe2inc8OheUuZ2jS6TT8fj+KxSJUVdUKEXFcXq8Xo9EI0WgU6XR64+fb9LW+9NJL+H//7/+hUCjgmWee0ZICp9Mpjo6OMBqNMBgMcHFxgTe84Q2o1+s4OjrCo0ePkEgkbn18Y8jKOrNwh3pHV+yBJVZg1knxs/sqyqoWpdS12+0rKXVmv9Z9OYfic9zn8yEWi6Hdbl+JjN/FPNl1dl1ECfogE7HKWa1WtcJTfA5tGoRhjESfzWaYTqecl6JbsYgi2zAGR4xGI3Q6HdOW1kUUc6lUwmw2gyRJSCaTOwuOuM02V6KMF8u7LCx3uRL1lre8BQ8fPsTp6SnOzs60KHOXywWfz4dGo4HxeIxsNot3vOMdGz/fpkXUP//zP6NeryMajcLn88HtdkNRFEwmE4zHY3g8HvT7fdRqNVQqFRQKBTz77LP40Ic+dOvvlHHTXFmWkUwmbfm7skvbSPHblXULFJFSJwpMEbBgvPjd5TFumz4AQ5KkpyLjxUqLGZvPbmrdlR2riJs3+qAOfSS6GUEYiyLRRTEl9pey+3uMto9FFNmCWE4Xs08AtNUPMy6mjfM9fr8fx8fHtmqhMNrGSpQxkdAOheUuiyhVVfHBD34QP/rRj/DkyRN0u11t3xKxkexP/MRP4PnnnzclznnTIqpYLGI4HGrFntPpRC6X04axB4MB5vM5er0eSqUSXve61+FDH/oQ3ve+9137mNdtmruL+GozbGtFc50WP7sXAJsen8fjudLiJy5+jRvRbsKO6XyLLArAWBQZv815suuO0y4rUXqSJCEYDMLv96PZbKJWq2mR6GaGixhT/DgvRTdhEUU7dVNwhCiiNtmsc9F8TyaT2YskMauLifF4jEKhgG63C+DyIjCXy+38YnlX7XztdhvFYhHPPvssfu3Xfg3/8A//gFarhVarBVVVtSSyj33sY/jlX/5lU55z09cq+vZFfDJwuVfTgwcPtIuMbrcLn8+Hn/u5n8PHPvYxfOADH1j43hdJjKVSaeGmueuyS8T5Nn7fzWjxsxOzijxx8Sv2A9JvRLtpiI+d0/n0bipMFm0+e35+bmqxuSz9z9yO35EOhwPxeByhUEiLRBfa7bZpQR2SJMHtdnNeim7EIop2Rmx4py+e9MER4kNq3XY24zC83YIjbrPp67+OcY8fh8OBTCZji0RCYPsrUYtWXj7xiU/g+eefx4svvoiXXnoJqqri4cOHeN/73odUKmXac29aYPzsz/4s/umf/gmVSuXKz+/o6AgnJydoNBpwOBz4mZ/5GfzVX/3VtV/8h7xp7i4s2+J36CtRevr9gIwb0W5SYNr9HAq3re4smifTF5vRaHQrhaIdV6EWEZHogUAApVIJAFAqldBsNk3d+Nk4LyWKKlFM2f19R9baj6tJOijGncMBXCmehHWLiOl0qn2YApd3+XK53N7dBbaimBDBA8PhEAAQiUSQyWRsNbexrSLKuPIi9sBKJBKQJAlHR0f4yEc+YukxbFpEvec978EXvvAF1Go1XFxcaD9LVVXRbrdRLpdx7949fPzjH19YQK2yaS6t7rYWP7uzokDRb0RbqVQwmUw2miHblyJq2eMU82QiTEFfbIrNZ620L0WUIG6KilUjsfGzmamQt0Wiu91u27//yBosomhrjMERt+35JD78li2iFgVH7PMwvL6YEOdqXbPZDJVKBbVaDYC99/jZRvvXaDRCPp9Hv98HsLuVl01fq8/nwx/8wR/gd37nd3B2doZXX30VTqcTs9kMHo8H9+7dw4c+9KGFxeBgMEA+n9cKaqtWavXv203fx/tItPjp249Ei184HNb+GzuyskAJBAJ4+PDhxjHx+3DRr6rqyudSX2yKebJCoYBAIABZli37rNo06W7bxM/f4/Egk8lYmgppjEQXN4L181J0t/AnTlth3PMJWLz6pLdKETEcDlEoFLSL4m1tDGsl0d4oztm6Pdj6lDXgcp+SVOr/Y+/NoySp6uzxm1vlvm9VmVXd1Zs0mzZIw9Awsgi2As2igA6bisDxMHpmRmYGRcAvg+JBZVxGRxRFHQT0qEgDyqassgiy9um2u4uuPfelct+X3x/9e4/IqMisiMzIzMjqvOd49NjdmS9eRrx4933u516XZF+S3axE9TsDiw0xCON73/te3HnnnbjnnnvwzDPPoFAoQC6XY926dbjgggtwwQUXNPzWqyU0t989VkJB5EdMiR851OhXoPZK6HaVpxXBdDqd0Ov1K373IFSi+L7zuKDX6xvMFLLZLHK5HCwWC+x2u+jruBhOd70E00mQBD+TZ4xpiU7uJzFAfkemqoYp8ZPqu3UI8TEkUUN0Fa2MI1Z6mZASOvn3XKc8tVoNkUgE0WhUEptisUFIFAlIFQKuXh+Px9MXxych6BaJyuVy8Pl8kgpXFqvqtn79enzlK1/BF77wBYTDYSphZb/MxQjNHaIzsCV+9XodhUIBsVhMskG93V5LuQim3++HTqeDy+VqWXUZJBLV7hhlMhmsVislm6lUilZbnE6naGYKzLFK8T7kApcd+8jISINRB7MnUawqHtnDEBnh0BL90MSQRA3RNaxkHMEHhERVKpVlm132htBqtcLtdq+qkrpCoaAVPL6o1+uIx+MIhULUZc3lctFeH6mDjFEsElWtVhEKhRCPxwFIK1xZbOmi0WjkrCiJEZrbCcip7aEo5+MCqcAoFAqEQiEAkKSLX6+rfYRgkqpLLpfD3NwcrFYrbDYb58Z+ECzOxXIQVCqVGB0dpWSzWCwiGAzSSosYZgqDRqJajddgMECv11NXyGw2i2w2C6vVKloVb6V+qaEl+urG6tltDiEZ8DWO4AMuc4lKpYJAIIBkMglgcI0j+EBoVaZQKMDn8yGfzwM4uKkeGxsbKJc1cs1ibOCIbTmxAJealLEX/V/JZBKBQGAYmitBkN9Ao9GgVqtJLqi3H1UerqpLPB6nVReDwbCszw6Q9qZfbGKi1WqxZs0apFIpRKNR5PN5zM/Pw2w2w+FwdFRZHjQStVIwMDN2gF3FI4HQ3eiXGlqiHxoYkqghRINQ4wg+YJpLcLmpkQrLoCz4QsHXoZDd50IslsV6QfQSYsj5BkXK2E3TBfYcSCUHbIjlIM9rpyYLYqOfUjmuqksgEIBWq4XL5aL9roMk5xPzd5TJZDCbzTAYDIjFYkgkEkgmk0in09Tdr505GTRjCb7jJfeTxWJBOBxGoVBAKBSiVTyx3g1cluhMid+gzOsQ/DAkUUOIgnaMI/iAkIhCoYBoNNp3N7Vegw+hSKfT8Pv9tNpis9ngdrsH9uSrk+oMV2Cs1KWMYkvduhWaO4T4YN7jrUwW+iXxkwJBIVWXZDJJqy5Mid8gyPm6OUaFQgGXy0Ut0XO5HMLhMCUHQiW7g1aJEmqEodFoMDExgXQ6jUgkgkKhgIWFha5aopO2hqEl+urDkEQN0RGYxhHMEyGxFgiykIfDYQCDXWFpB+T6uSpRXLLGXva5dAvtVqKkYlsuFEwS1SnYoblSkXOKeY2rEcy1jMtkoV8SPymQKPL9FouFEsxkMkklWcy/I1X0QnJI1n9iplAsFrG4uAij0QiHw8H7vhlkdz6+kMlkMJlMDVW8VCqFdDotarAxkfixWxxKpRI0Gg0UCoWk79shVsaQRA3RFroh3WMjnU7TwFxg8Css7YBcK5NQcOVhuVwuOByOVbEgCyVRUrMtFwox+qKGobnNIWXi1mpsKwX19qJSIBUSRaBQKOB2uynBJBlnwMEDBKlKVXtV3ZHJZDAajdDr9TQvKZ1ON+QlrTSGQa1EtTNeuVxOLdEjkQiy2WxDsDEfi30+YLr4VSoVxONxKJVK2Gw2SqaGGEwMSdQQgiGmcQQX2L0cwMETdY/HI8rnDxLYlSh2tWU15GGxIYRESdG2XCg6JVG9Cs0dontotnb2W+InNRJFQCRZiUQCkUgEAEQzVugGei05lMvltC8qEokgk8k0kINWzqSDRqLaqUSxMTIyAq/XuyzYmI/FvhDI5XIolUrqFKvX61Gr1aj5hNSesyFWxvAtOwRvdJL5xPfzmdbcpOSeTCYP2cWFaSwRDocHttoiBExS0axPSMq25ULRLokapNBcsV0IV4tVOt/56JfET6okCjg4JoPBgEgkQuWiYhgrdAP9chAkawKbHLTKSxokEkUUMYA442UHG+dyOczOztL+OzHIOVMuKZPJllmiD/ulBgtDEjUEL7CNI9rJfGqFfD4Pv9+/zJq7VCohmUyu6E63WkFeDIlEgi6+q73SQEh5MxKVSqXg9/tRqVQASM+2XCjaIRiHamgu6QNMpVKSsQEHDpL6119/HW+88QaKxSKcTidOOeUUjI2N8fr3fNfRfkn8pLqpI8+MUqmEx+NBOBxGPp+nxgoul0sSjpz9JiZsctAqL2mQ3Pm6oYRhWuzHYrGG/jsxLNGZlTOmJTo5nGbmSw0hfQx/pSFaotvSPVJhicViAJYbR5AF51AkUdVqlZpG1Gq1ga62CAVxNSLucgC3bbnX65VsHwRfCCFR1WoVgUCA9gquFjMRPkgmk/D7/XQtIATCbrfDarWuuCZ1iwjMzc3h29/+Ng4cOIB4PI5KpQKdToff/e53OP3003HVVVc1JXrtVOZ6KfGTcj8Z0FgpU6vVGB8fbzBWENt1rV30m0QB3PlbhBw4nU4YjUZqzd3vsfKFGFK+ZlAqlbT/LhKJIJ/PIxQKIZFIdETO2WMm+ynmXouZLzUIv8OhjCGJGoITvTCOYAehcp2mk/9Nqg6HAogsJRAI0AVXpVJh48aNh8yCypb0DZptuRDwIVH1ep0+L4daaC4XeTabzUilUsjlcnRD6HK5ek4mw+Ewbr31VuzatQvZbBYOhwN6vR7pdBq7du1COp1GpVLB5z//+Zaf08593AuJn5TlfMDyXiOmsUIsFqMkgWms0I9rkZINOzN/i1h8B4NBSg4GyZ2vF1UzjUaD8fFxpNNpRKNRSs6Fuh4SkL0Mc37JvoqYT5TLZVSr1YZ8KSncO0Msx5BEDbEM7PIy0F3jCI1GA6/Xy3mywzdsdrWAaNYzmQyAg3NTKBSgVCpX/WaZCXKthUKBZp8Ag2NbLgQrkahyuQy/3490Og3goKTL6/UOlJlIO5JF4kIZCAQa8q6MRiPK5TJ0Oh29P0qlEhYXF3tedXjwwQcxNTWFSqWCI488kt63drsdTqcTU1NTePrpp/GRj3wEGzdu7MoYuinxkzqJatZrxHRdC4fDyOVyiEQiVOLXa7Ldr56oVtBqtZiYmEAqlUI0GkWhUMD8/Dz9c6n+5kz0ivAxLdHZrodCn7NW1TN2vhS7X0qpVA7E73IoYUiihqDohXFELBZDOBzmXVFglrqZ0q7VBrZFtVwux+joKLRaLQ4cOCA4M2nQQe6Hubk5AAdfOB6PZ1XmgzUjGIdyaC6pqpC8KyZ5JpVr8v/rdDq6sSFVh14YCxQKBTz//PMIh8PYvHnzsrVJr9fDbrcjEong6aef5iRRYpGUbkn8BoVENRsf03WNVOtIdpLT6ewZ2ZaqRE4mk8FsNjfkJREkk0lJmXNwoZtyPi5wuR6S58zhcMBgMKw4X3zGTPKlyIE2IVNE4jcIVcJDBUMSNQQA0BIykzyJbRzBtGE2mUwYGxtbsRROTmaIVlhqLyExkMvl4Pf76dyYzWaMjo5CpVJR44BDpRIHHJwPct3AoWGkATSSKLaVvVRCc7sNctASCoV4u1CSjY3JZKJVB6axQLfum3g8jkwmA4VC0bQvz2w2IxAIIBAIdGUMbDST+BkMBjidTsHSI6mTKD4yOeLixyTbzOwkPv10Yo1Tqu8vhUJBybbP5wMA+gw5nU7J9lz2ywSDuB6StaZUKiEQCECn08HpdLZUCQghfmQPxmytYEr8pHo/HUpYnbuSIXij18YR5CVvMpl4fwaTREnBiUsssG26uSyqucJ2VyvY8wEAbrcbTqezj6PqPtj9X+zQ3NVQgeMj5ysUCvD5fNSh02w2Y2xsjDcJIlUHtrFAtyzfVSoVld00s1yvVCo0G4YL3SIpbIlfJpNBNpsVLD2SOokSIpNjkm1msCoxVuhm5paUeqJagbxvyP1aLBZp5a6d/p9uo9/9W+Q5SyaTiEajyOVymJubg8Vigd1u5xwXIVF81zW2xI8cdg8t0aWBIYk6RNFt4wh2IzzQvg3zajSX4GvTzQyeXS3ZOFxgz8fIyAhKpdJA9f20C/KbFgoFhEKhQy40t1arIRqN0gw0Ylct5KCFgMtYgPSSif0MORwOTExM4MCBA0gkErBarQ1/TgixzWbDUUcdJcp3CoEYEr9BIVFCxscl8eukWidknFKvHBBSolKp4PV6sbS0hHg83lC5s1gskrmOXsv5uCCTyWCxWOhzlkwmkUgkqMSPXUVvd8xE4sc++C6VStBoNKv+PSFVDGf9EAQ78wkQt/pESttk86LVauHxeNq2BF1N5hJcTmOt5obIKslvtdq00M1sy8nmRuoWy2IiFAoBeHcDcyhY2edyOfh8PhSLRQCA1WrF6Ohox/c5MRYwmUyUmNZqNSwsLMDlcoliiy+TybB9+3ZMTU1hZmYGcrm8IZrB7/ejXC5jdHQUp556Kudn9IKkdCLxkzqJ6qTCo9frsXbtWpqd1G61Tsg4pUI+moE5TrlcDrvdTit3mUyGkgSn0ymJ9UlK86pQKOB2u2GxWJbllTElkZ0SP6aLH5NMDS3R+4MhiTqEwGUcQR44MY0jSD+DWI3wq4FE1et1xONxhEIhwSYBq5FErWSawKzArWaQjRuB3W6H2+1etS9Csimv1WoIh8OIRqMA0LUMNLVajdHRUczOzgIAdSBrJbcRgg996EPYtWsX6vU6FhYWUK/XMTIygnw+D6PRiM2bN+Of//mf26qqiQ2xJH5SQqcVHrlcTqt1bKMAMYmClDb7rcA1TiIzz2az1AnT7/dDr9fD6XT2tU9TCpUoNrjyyhYXF+mhhVhjlsvlQ0t0CWBIog4RdNs4gm2OwNc4gg9ImXpQSRS710OoScBq6wnjY5qw2klUpVKh2SwETqcTbre7j6PqHpjrTCaTgd/vp+Yh3SaO5LsVCgWMRiMSiQQSiQTS6XRDyGg7UCgUuO6667BhwwY8+eSTNAxYq9XiqKOOwoUXXthSytfrSo8QiR/p0evl+IRCrPFxGQWISRQGpSeqFdnT6/XQ6XS0cpfNZpHNZmG1WmG32/tCEKVIooBGWTGRRJJDC3LPijHmoSV6/zEkUascvTCOWMkcoVMMaiWKfdrebq/HaiEU7N6XVqYJq+Wa2eAKzVWr1SgUCquCIK+EUChEpZtqtRper7frzl/MjbbL5YLZbKYSv2AwSF382u2/UygU+NjHPobzzjsPMzMzyOfzcDqdGBsbE/MyRIVQiZ9UN2JikzxSrWMShVwuB6vVCpvN1hZRYEqSpTqPBCtVzGQyGaxWKyXhqVSKRgt0eiDRjfH2G0xJJDm0IMhkMrws0fmAbYleLBZRrVahVCqh0WgkRzJXE4YkapWiH8YRzcwROsUgGkuk02naEwEANpsNbreb92IWDAbx2muvoVarwWw2w2azDRyJZIKr98Xtdjdthm0nnFXqaBaaG4vFUCgUVtW1skE2O6lUCjKZDE6nEw6Hoy+bH7Va3RAyms/nMTc31/GJulKpxKZNmwT9m37/5q0kfhaLBYC0N/7d2ERzEYV4PN4g8RMyJ904vOwW+FqGK5VKjI6O0rwkciCRSCRE6znkA6lWotgghxZ6vR7BYBAAEAgEoNVqOzrAYYNtiU7aN4b9Ut3DkEStQvTCOMLv9yOTyQB41wygWwvnIFWiKpUKAoEAkskkAOGn7T6fD1/84hfx+9//voE0nnjiibjttttw4okndmXc3QK7Usm392U1VaK4+r9GR0dpPk2nhLFYLKJUKkGv10vuJUmeB3IvEwLTq00WE8z1jxkySprliZNfOxtlx35akgAAIABJREFUMcfWa7SS+PV7bCuhm3JDJlEIh8MoFos0C8jlcvGW+Em9WsKEUMtwrVbbcCBBeg7NZjMcDkdXyQ3p8QYGY26Bd1sTiMseOcARc764LNGH/VLdw5BErSIwjSOYi4tYDww7w4a9GewWBoFE1et1JBIJBINBVKtVKh1yOBy852ZxcRGnnnoqFhcXl/3ZSy+9hB07duCJJ57AscceK/bwuwK2bbnT6YTT6eSd6QIMPoni6v/yeDwNcql2SdTLL7+MnTt34s0330StVoPJZMKZZ56JCy64gGZrpdNpvP322ygWi/B6vXjPe97TkxdovV5HMplEIBBoeG7Hx8f7QqCagThqEYlfuxvldtHvShQTXBI/4N1+WinKTXvRs6XVarFmzZqGLKDZ2VnelctB6YcC2iN8zAOJWCyGRCKBZDKJdDrNafEtFpi//aCQKLIWEsMb9nwRC3kxJX5kXzjsl+oOhiRqFaDb0j1guRxLaBBmJ5C6sQR7o6zX62G32/HnP/8Z+/fvh0qlwgknnIBt27a1/D3+9V//lZNAEWQyGVx55ZV44403JL34NbMtF7J5HnQ5X71eRyQS4dX/JfRa6/U67r77btx77720p4ecPs7MzOCpp57CjTfeiCeeeAJPPPEE4vE4NTvYvHkzrr76apxwwgmiXzMBO+JAr9ejXC6jVCpJ9r7VaDTLNspE4tduL4wQSGleiMQvGo1iaWkJADA7OytJF79eERSuLCC+vUCDkhEFdFY1UygUtOcwEolQkw4i8RO793FQpHxMMMfMNV+RSIT2aIo1X+x+KUKmiMRvkOZPihiSqAFHL4wjgsEgfZmOjIxgbGxMVOOIlSDVShSXUcLY2Bgee+wxfO1rX0M4HG4Ijz388MPx3e9+F0cfffSyz5qbm8Mf/vCHFb9z7969eOaZZ3DaaaeJfj2dYiXbciEY5EoU26mSb2guXxL1zDPP4N5778U777wDp9OJiYkJKBQK+r1vvvkmLrroIqjVaoRCIXrq6Pf74ff7MTU1ha985Ss4/fTTO75W9vjZv//Y2BgsFgsOHDgg6Br7AbJRJhI/Zi+My+XqSi6OVOeDVBeWlpYgk8lofIWQoN5eoNcEhVm5DIfDvMxJBlHO18lYiYSdWHyXSiUsLi7CaDTC4XCIVtEcpHklIHsY5ruAzBfTQp5piS7WfLH7pch/E4nfIM2jlDAkUQMKrswnsY0jmHIcmUwGh8PBW44lJpgkilxnv5HNZuH3+2lljmyUf/Ob3+C6666jDfQjIyPUhOP111/HRRddhJ07d+Kwww5r+LwXX3yR94bq+eeflxyJ4mNbLgSDSKJqtRpCoRBisRgA/qG5QipR9Xodv//97+Hz+eB2u6lsDzhYQVi/fj3eeOMNFItFqFQqbNq0iVYAa7UaIpEIpqen8c1vfhNbt24V7TCEj2xxUMDshQmFQg121y6XqyvXJIU1rRlUKhVcLpfgoN5eoF8W7BqNhtOchCt/bJDkfGL1GHFZfKfTaWQyGdEqmoNeiWJCJpPBYDA0WMgTkxcxq+Fc/VJErqtWq6FSqQbiPpUShiRqAME2jhA786lYLMLv99MQUJ1OB4/H07deBnJtRLbYz0WzUqkgFAo1VOa8Xi/0ej0ymQxuueUWpFIpaDQaaDQa+pvodDqk02mEQiHceuut+OUvf9nwucTFjw+E/N1ug12NUyqVGBsb45StCcGgyfkymQx8Ph/9bYQ4VZK/w+daI5EI9u7di2w2i3Xr1i37c3LSWCqVYDabG55ZuVwOl8uFXC6HUCiExx9/HBdeeCHfS+QEu0+ylWxx0KDVapfZXYsta5Py/c0cm1SDevtJULh6gUj+mMPhoM/AoSLn4wLT4psrzFiv17f92w2aqQSwMvFjhj8TkxdSDXc4HKJZyDP7pZhKJma/1BD8MJypAUK3pXtc8rTR0VHRGh07ATNwth8kik9lbufOnYjH45DL5dBqtQ3/npw0JRIJPP3005ifn8eaNWvonx9xxBG8xyLk73YTXLblo6Ojovw+g1KJYofmajQaeL3eZb8/H/DZUGezWVQqFahUKs7NQz6fb7m5IJK1VCqFt99+uyMSlc/n4fP5BMkWpUwauMC0u45EIi3DaTv9HqmBXeUREtTb6zH2cyPN7G0Jh8PI5/MIhUJU4jdIsjOh7nx80SzMuBMDl26NtZvgWz0jJi8Wi4U6Q3bDQp6LTJF8qaWlJXi9XlG+ZzVD+k/1EA3uKqQCJXb1KZvN4sCBA/RE2WKxYNOmTV133uOLfppLlEolzM3NYXFxEdVqFTqdDhs2bIDb7W54Me7ZswflcrnpC0Eul0OpVKJSqWDv3r0Nf/b+978f73vf+1Yci81mw/nnn9/ZBXWIarUKv9+P6elpFItFjIyMYHJyEl6vV7QXmtRJFCHVU1NTSCQSkMlkcLvd2LBhwzICFY/HG8gGG0KqbjabDSMjIyiXy01z08icNZNayeVyWtVtB0S2eODAARoSvHbtWoyPj6+Y+zWoIBXW8fFxOv8+n68hC261oZlUjmzwpDAX/ZLzcUGtVmN8fJweJBG7bxJ3IXUSxVwTujVWUtEkh4/E6TASiQhej1aTnK8ZiDMkyZgk91QwGBQtN5PsJcnBXLlcxne+8x2cfvrp+P73vy/Kd6xmDCtREgdxVOlW9Yl9ks43x6fX6Ie5hFBLd9KP1moz3OylL5PJcPvtt+Occ85puTjedtttbVU5xEIntuVCIGU5X7PQXGZTeb1ex2OPPYb7778fu3fvRq1Wg16vx5lnnolPfvKTmJycpH9XyLWazWYcf/zxWFxcRDAYhNfrbbiXiNZdJpM1rQykUino9fqGMfAFu/ooNER60NFM1ma329s6cJISCWBjpbFJQeIntfmTyWQwmUxU4re0tEQPT0qlkmR6ernAnMtujpErzJiv0yEThwKJAhplo/F4nM5VJpMR3RKd9Eu99NJLAIBdu3Z1/LmrHUMSJVGQ6lMymUQul4PBYKA9NmIZR7BzjZxOJxwOhyRPzHpNotgOa3ws3Y877jioVCrkcjlotdplvxMhwxqNhrPqdOqpp+J3v/sdrr76aoTD4YY/0+l0+I//+A986lOf6vzi2oAYtuVCIMVKVL1eRzweRygU4gzNZf6922+/Hffffz9tOifVH7/fj6eeegrf+9736D0glDB+4hOfwCuvvIL9+/djZmaGOl5lMhmEw2FYrVYUi0UsLS3BbDY3PM+kudvr9eLss8/mfe1cocmkF/BQA1PWRvo8yGZQqDWxFA8JCPgQlH5L/KQqlZPL5XA6nTCZTPD5fKhUKsjlcpifn4fL5errQVgz9HouiYELkawRp0O+kjWp/vbNwAwHbof4KRQKOJ1OKhtlWqKT/jIxIJPJqDmSw+EQ5TNXM4YkSoIgDX61Wo02FapUKtFyA9jGEXq9Hh6Ph9OeVSogi45YJexmYG8WiZabj4vZWWedhbGxMUxPTyOXy0Gn09ENSK1WQyaTgUajwVlnnQWXy8X5Gdu3b8fU1BQefPBBvPzyy6hWqzjyyCOxZcsWWCwW8S6UJ8S0LRcCqZEoIe5zjzzyCO677z74fD7Y7XaMjY1BLpejVCohGo3inXfewX/8x39g586dDWSb74b6iCOOwA033IBvfOMbCIVC9CBEq9VicnIS73nPe6iV+f79+2GxWKBUKpHJZJDP57F27VpccsklGBsb4/V96XS6QarVTvVRypXFdkHWhmw2S/s8iJWz0+kU1JwtxeqEkCoPV1BvL1z8pFaJYkOtVkOv1yOZTEIul6NYLGJhYQEmkwkOh0NSDfz9MmpgOx0SyZrZbIbD4WhKOAatEsV0Ue5kjkdGRjA+Pt5gIe/z+aDX6+F0OjsOCK9Wq9Q4a0iiVoZ0nuAhOI0jxOwFIhbH0WhUcsYRK6EXlSi2VE2IwxpwcHG74447cOWVVyKRSGBpaQkqlYr+rmq1Ghs2bMBNN93U8nPUajU+/vGP4+Mf/zgA0B6qXveDiW1bLgRS2XQLdR+s1+v41a9+hWg0CofDAbPZTP+MZKwtLCxgcXERjz/+OM4///y2rvUDH/gADj/8cDz66KN49dVXUSwW4XK5sH37dpx44olYXFzErbfeir179yKRSKBcLsNisWDjxo34p3/6J3zyk59c8TvENM1YzdDr9VTWRqycicRvENbWZmiHoPRa4id1EgW8O0aHw4FKpdI1OVan6Gdlh0uylkwmqdOh2WxeNkeDVokSm/QZDIYGC/lsNkst0e12e9vzkkgk6NwOSdTKGJIoCYA0dBLTCGbmEznB67QCk8lk4Pf7USqVAPAPAJUKumkswe5x0Wq18Hg8bW0WP/jBD+Lee+/FLbfcgt27d6NcLtPMjDPPPBNf/epX4fF4BH0mWXSZ90Y30S3bciFgVqL61UfA7v+xWCwYGxtr+RIMBoP4+9//jmKxyPk7k81CKpXCc88910CihMLpdOKKK67AFVdcsezPJicn8ZOf/ARvvvkmJVkejwdnnHFGA7FrBuJEWalUIJPJ4HK54HA4JLHZkyKIlTOR+JHgTCJra7aWSJkEtDu2Xkn86vW6pOePgLnZJ9bnzHuEuPiJpTTpdJz9rOwQyRqZI+LmRyR+zDkatEpUN8ZLnjWTybSsv4xpsy8ERMoHDEkUHwzGDnoVg535BDQaRxDy0C6JYp8mq9VqeDyegetl6EYliqvHRQyp2sknn4wnnngCb775Jvbt2weVSoXjjz8eExMTbX0e07Ci2zlZ7BBhMW3LhYB5zb0mUe2G5gIHiRf5jZqdBKpUKtRqNSqnZUo+xYRMJsMxxxyDY445hve/Yfe+cZlmtDsWoD+VxV5+JzHmIRI/It9aSZq0GtFtiV+vjBA6BduGnfQTMuVYRAZK+hv7ASlVdtRqNbxeL6dUlszRkES9C67+MmKz73Q6BR0Ik1YGAA1h7kNwY0ii+gTSZEj+A7y7eDFfCO2SKNLLEgqFBsI4YiWITaIKhQJ8Ph/y+TwA8aVq7WxgW4HkZHWLREnROIA4zRGC2wuw+3+ESjqJJr1SqaBSqXBWevP5PJX2AdKQLhKjmUAg0NPet16iV9dBMuF0Oh11aCPSJHLKzv7NpTjHYo2tWxI/Kc8dE83ICblHmDJQpsSv1+9pKZEooPE5Ys+RzWYbuLBdMt5uqn9If1k6nUYkEkGhUBDcgxeNRun/HlaiVsaQRPUJzAoUOUnjehm0Q6IKhQL8fj/tZRkE44iVIJaxRK1WQzgcpguFUqmEx+OByWTqeIzdRDeNFnplWy4UvSQXYvX/mEwmnH766fQec7vdDc91uVxGIpHA+Pg4duzYAaD/m0BSISCVMYPBAI/H05Pet9UM4tBmNpsRCoWWhbB2y9lSLIhJUroh8SNrYb+fn5XQipwQGSiRrxGnR3KP9PIQS2okioBrjpiSM6n//gS9qpyxbfYTiQRSqRTS6TQvgj6U8wnDkET1Cexsl2YQQqK4jCOInGJQFppmEKMSxa4yDFLGTTfkjL22LReKXjj0kdDcQCBAK7ad9v986lOfwrPPPouZmRn4fD6YzWYolUrkcjkkEgnY7XacdNJJOPbYYwH0rxJVr9cRi8UQCoV6tl702yikHyBuWszT4fn5eVgsFkkTgW5UesSU+LFlclIFn9+Y7fRIAoz1ej1cLldPJH5Sr+yQOcrlcgiFQvQ97vf74XK5JH/o02v5IfMQh/TgEYLudDqbytMJidJoNAPX9tEPDElUn8D3xcSswLTqDWEThH71snQLnRhLVCoVBAIBmhxP9Nb9buQVAjEJRb9sy4Wi2ySqVCohEAi0DM1tB5s3b8Ydd9yBL33pSwiFQkilUqhWq1Cr1RgfH8eJJ56I22+/nc51P0gUW87KJwetE0jpvuoHyOmwXq+np8Ok6glIk1x2Uy4nhsRvUOR8QsgeCcEm85LNZjE7O9uTAGMpGEvwgU6ng8fjwdzcHICDfaizs7Mdu9J1G/3q4SLSfGJkUiqV4Pf7odPpOMknMyNK6s+WFDAkURJAK3Ikl8uhUCho7xR7k1MulxEMBgeaIPABWRir1SpvowGuvrBBdRljXn8nYEs9e2lbLhTdIhd8Q3M7wbZt2/DQQw/h4YcfxgsvvIB8Pg+Px4MdO3bghBNOaHjR95JEcTkvDoKcdbVAoVDA5XJRiR8J845EIhgdHZWU5LrbJKVTiZ+Uq3hMCJXJNZsXpsSvG9csVTkfF8hY1Wo11Gp1gyud0+mE0WiU3H3RbyMMvV4PnU6HRCKBWCzWQD5tNhsdFyFRQ1MJfhiSqD6B6T62EpRKJarVakOjOruaMMgEgQ/kcjnkcjl1MVxpIWJnHA16nwfT5rwdsKWe/bAtF4puVKLYJNJkMmFsbKwrchmz2YzLLrsMl112Wcu/1ysSlc/n4fP56MZ9tVWrBwlqtRoTExOYmZlBpVJBsVjE3NycpE7Te1XpaVfiNwhyPuKoCggfJ9e8tKogdIpBIlFMQsJ2pSO9rVLrOyQtGf1cb2UyGaxWK4xGIyXmS0tL+Otf/4qZmRlccMEF1Fxq2A/FD0MS1UfwtWZVKpUoFov0IWRLcQadIPCFQqGghhzNFqLV2hfWSSVKKrblQiEmiWqWfcUnM6nb6DaJYpupCLFsFwtScCCUGmQyGb3HDQYDMpkMlpaWqIufwWDo65rVa7mcUInfIMj5mPd7u+Mk88JVQRCTcA8SiWJLD4krXSqVQjQapX2HUooWkJJcUqlUwu12036pH/zgB4hEIrjvvvtgs9kADEkUXwxJVB/Bd1El1adSqYRgMNjgLCf1aoKYUCgUKJfLTYkEmywMWqBwK7RTiZKibbkQiLXxZofmSo1EdpNgsEO27XY73G73QGyUDiXY7XbYbDaEQiEUi0UEAoGuVRyEopfvFqaUjenExiXxGyQS1enzxqwgMENVxSTcUjeWYIJLGkeCzA0GA+LxeEO0AHGl6+e9IoVKFBsajQbj4+M4++yz8fOf/xzlchmhUAhqtRrxeLxvQfeDBOk/LYcAVto8ERLAJFA2mw2bNm0a+AqLEDRzqKtUKvD5fJiZmUGxWMTIyAjWrVuH8fHxVUGgAOFVmVQqhampKUqgnE4nNm7cODAECui8ElWtVhEIBDA9PU3vi8nJSXi9Xkm9yLpBoqrVKnw+H2ZnZ1EqlaBWq7F+/XqMjY0NxCapFVbTesf8zTUaDdasWUNzyXK5HObm5hCNRrvqUMlnbL0GcWIbHx/HyMgIdatjmicNQuVE7DGSUNWJiQmo1WpqmrS4uEgPidqFlColK6HVvCoUCjidTqxduxY6nY6qU+bm5qiMu9eo1WqSlZ/KZDJ87nOfw09+8hNs2LABwMF2iMcffxyXXHIJdu/e3ecRShurY4c5oOAj5yuXy8hkMgAOPogajQYej2fVGUfwAduhj8ue2uFwSCLjSGzwtTiXum25EHRCojoNze0lxCZRzNwvqYRsD+V8rcF0arRYLDAYDLTiEI/HaSWmlxJMKVR6mkn8mDI2KZPqbhE9rVaLNWvWIJlMIhqNIp/PY25uDhaLBXa7vS0iNAiklICPSQMx2SK28aVSCYuLi23Z6XcK5niler9u2bIFN954I6666io63tdffx0f+9jHcOGFF+Lf/u3fYLfb+zxK6WFIoiQKtoMYcPCkcsOGDZJ9CLsNJpEgTbaEYIplTy1VrEQouBznpGhbLgTtbLzFCs3tJcQiGGwr/0Em0Ic6SMWBuPiR9a6XuUFSIFHk+9kSv2g0St8H/R5fK3RzDgnhJhK/ZDKJRCJBJX5CHepWG4kCDs6RwWCATqfD0tIS4vF4W3b6vRpvv7G0tETHes455+Cxxx5DpVLBb37zGzz22GP44he/iAsvvLDPo5QWpP+0rGI0W+Dy+Tymp6cRCARQq9XoBlCpVEr6hdFtkAWISNUymQwUCgU8Hg/WrVu3agkU0NpYolAoYGZmht4vRqMRmzZtgt1uH+j7RUglql6vI5FIYGpqColEAjKZDG63Gxs2bJA0gQIaSVQ7RIp57clkEjKZDKOjo1i/fv2QQEkcK22ytVot1q5dS6vrJDcoFot1XeInFRJFwJb4kbUwl8vRirPU0AtiolAo4Ha7sWbNGmg0GlSrVQSDQSwsLFAnzpXAXHuk8nu3gtB5lcvlsNvtmJychMFgoEHjc3NzyGQyXa+Qk3tV6u0FRP4PAF/4whfw8MMP4wMf+ACAg+qOG2+8kVqgD3EQ0v5FVzmInI8sCNVqFeFwmN6kJMNFqVRienqaNiYeqiALUTabBdD9gFApgctYYhBty4WAL4liVyX1ej08Hs/AkOpOfqtyuQy/308Dg/V6Pbxeb9/NCLoFQhjj8Tj0er1k7MDbBZ/NG9NUIBKJCM5T6nRsUltLiMSPRFiUy2XMzs5S8wAp3Q+9rO4Qh7p0Oo1IJCLIoY45Tqn93lxot7JDiHgul+uJbTzBoFSiSM89cFACr1arcdddd+HZZ5/FD3/4Q9jtdkk42koJq3/3OSBIpVIIBAL0RM1ut8PlckGhUFBnrUOVRLFd5mQyGdasWQOj0djnkfUObEIxqLblQtCOhFHs0NxegWTGCQ2SJjlxUr92MSSLbLJcKpWQyWTgdrtb9ogOQh8W36iLdvKU2oVUSRRwcEwajQa5XA4jIyMolUpU0tZNYikUvQ4ElslkMJlM0Ov1iMViSCQS1KHO4XA0NaIaJGc+oPPxtrKNZwbPioVBIVHkAN9oNDYcQp5yyik45ZRT+jUsSWNIovoImUxGT5LJxoCrh4NUWiqVyiFnOclskifQ6XSHFIEC3l18iRPh0tISgMGzLReCVhtvdlZaN0NzewEmiVoJ7CBpo9EIj8czsNe+ErjIstlsRiaTQblcxuLiIoxGI5xOZ8uq9GpZN1uZLYhJoqVMooB3CYrJZIJarUYkEuk6sRSKfjmyKRQKuFwuSrjz+TzC4TAlmWyJ8yA58wHijLeZbXwqlWqrp6wVBo1EDTOi+GNIovqMSqWCTCbT0ghALpdDLpejVquhWq0eEvI1tkxJq9XCZrPB5/P1xe633yAv4UqlgqWlpVXtREjAVYniCs31eDwwmUz9GqYo4FOpqdfriEajCIfDNEiaXLtUN7qdgk0YTSYTXC4XqtUqLBYLda9Lp9OUSPQ7D0YI2iUqzcwWiMRPDPdWqZMoJkHR6/XUPIBNLPsp8eu3WYNarcb4+DgymQwikQiKxSIWFhZgMpngcDjoXqLf4xSCer0uKikhJi4WiwXhcBiFQoGaE7lcLlH6SgeNRDmdzj6PZHCw+nfjEoZMJoNOp8PY2BiMRmNLPa5KpUKxWESlUlnVJKqVyxyRNa5k873aQAglwaHiusYmUVIPze0EK5GofD4Pn89HG8UHLUhaqJyPNH6HQqEGwmg2m1GpVGikgd1uh8lkQjgcRjabRSQSoURC6oYiYoD0eLBtnPlU5vhC6iSKaQ/PRSz7KfGTAjmRyWQwGo1U4keqLZlMhpJMKYyTL5i/u5j3JukpS6VSiEajDT1ldru9o2dJikG7XCAtE8NKFH8Mxht4FYPYlK60eDHlXKsV+Xwefr+fSrTYMqVDYQ6Y4LK5B4DJyUnJL8ZigLwga7UaAoEAPSUbGRmBx+PpaWZOt9GMZBDzkEgkAuDdTfNqlrOypZorEUY2kSCn7aShXsoQq9qj1+upxE+sypzUe8mazR3zfui3xK/XPVGtIJfL4XQ6qcQvl8shEokgmUzStXQQSFQ3qzoymQxmsxkGgwHxeBxLS0u0p6yTZ2nQKlFSXzelhCGJ6jP4PpDMvqjVhlqthnA4TJ1hmkm0mA51q703rFAowO/3N/S9ZLNZ1Go11Go1yS/GYoC80HO5HHVklHJobifgIlHsypvNZoPb7eb92y8tLeHXv/41HnjgAQQCARgMBpx22mm47LLLcMQRR4h/ER2iXq9Twkikml6vlxdhZObBkNP2ZDKJTCZzyLhJERtnUonptDInVTnf7Ows/vKXv+Ctt95CqVTCYYcdhtNPPx2HHXZYw1ilIPHrV09UK5A+Wmb1kmltLXX0gpAoFIqmhLMduewgkKhcLkf3G0MSxR9DEiURrEQKViuJSqfT8Pv91JWw1UZRJpNBoVCgWq2u2t6wVrblU1NTKJVKh0RPWKVSodWXer0+EKG5nYBJothRB+2Yhxw4cABXXnklZmZmqAGDXC7H7OwsHnjgAfy///f/8IlPfKKjMdfrdbz11lt48sknEYvFoNVqcdJJJ+EDH/hAU2kyX7liu1JNctpOJH75fJ5uENt5biKRCH76058iEAjAYrHgsssuw6ZNmwR/TjN0g6iQSu3U1BTuv/9+vPTSS8hkMrBYLNi+fTs++tGPwuv19mVsnaBer2Pnzp344x//iEAggHA4jGq1ir179+K1117DSSedhCuuuKLhvdBviZ9UZXLsEFqy1qTTaajVasm6fAK9nVMm4SRVzcXFRcFVzUEgUUwiPSRR/LH6dqEDBr663tVGoiqVCgKBAJLJJICDemSPx7PiCc9qJlHZbBY+n4/2frE3kkLCZwcV9XodyWQSgUAA1WoV0WgUc3NzWLduHex2+6onUdlsFtFolB4qtFN5KxQKuOaaa7B3716Uy2WYzWYaTprJZBAMBvGVr3wF69atwwknnNDWeNPpNG655Ra88soriMViKBaLUCqVeOyxx7Bu3Tr813/9FzZu3Ljs+thgV6FVKhW8Xm/HUk3SUJ9OpxEOh1Gr1VAulxGJRHhlS1UqFVx77bV49NFHkc/nUavVIJPJcNddd+HYY4/F//3f/8Fms3U0xm7i1Vdfxc0334xAIIB4PI5yuUzzBnfu3InbbrsNxx9/fMvPkBqJeuaZZ/DQQw9hz549cLlcWLduHer1OgqFAnbv3o1isQij0YiLLrpo2b/tl8RPqiSKgFQvy+UyUqkUAFCDEqfTKUnX114TEjbhjMfjtKpps9lgtVpb/r71en2TGnsMAAAgAElEQVQg3A/ZGVFD8MPq2oWuYqwWEkXybUKhEG0Od7lccDgcvF7WZBFaTeYSJGGe2Jar1Wp4PJ5lL7DVeO1MMHOA5ufn8dOf/hTPP/88KpUKFAoFdDodPvrRj+KGG25Yte5BgUAAAHfUARei0SgeffRR+Hw+aLVabNu2DQsLC5ienkapVGogYHK5HFarFYlEAolEAnfffXdbJKpareKmm27Cs88+i3A4DLvdDqfTiWKxCL/fj1gshuuvvx4//OEP4XK5mn4OW65ot9vhdruXbUjm5+dx4MABKBQKHH300bz7wUhmjkKhgM/nA3BQ4phOp2kVotmac/HFF+P5559HsViETCaj7qiZTAYvvfQStm/fjmeffbYjF7xu9RwFAgHcfPPNmJqaglKpxMTEBNRqNTKZDOLxOKampnD99dfjZz/7GSYnJ1ccnxRIVKVSwZNPPon9+/dj/fr1cDgcSKfTqNVqsNvtsNls2LNnD55//nls3769qVtnryV+UuqJagUyPrPZjFwuJzmreCb6lWlFCKfJZKJVTRJ6TQhnqwwuhUIh6fuAVCOBoTufEAxJVJ9xKPVEsft8DAYDPB6PoJTw1WQuUa/XachypVJZ0bZ8tVai2AYa09PT+MIXvkBPjMlvns1m8fOf/xwvv/wydu7c2XKDPkhIJpNUxsb3UKFWq+F//ud/cN999yGRSKBYLEIul+Puu+9GPp9HKpWCwWDgvI+MRiMCgQCee+45pNNpwSYVL7/8Mv72t78hEolg06ZN9Pk1Go2w2+2YmZnBgQMH8Nvf/hbXXnttw78lp7KhUKhBrjg+Pr6MkOzduxf//d//jRdeeIGSGaPRiO3bt+Paa6+F3W7nNV5y/6hUKsjlckr29Ho9XC7Xsg3iAw88QL9TrVY3VLyr1SoKhQJmZmbw1a9+FbfddpuguWsGMTdXO3fuRCAQgEKhgNfrpZ9tMpmg0+mwsLCAUCiEe+65B9dccw0cDgfnCbmUSNS+ffvg8/moIyMT5L4wmUwIhUJ44403WgaD9lLiJ8WeKC6Qd4pWq4XT6aQhtEIqLr1Cv6s6pKqZy+VoT5nf74dOp4PL5Vq2nxkEKR8wlPO1i/4/EYc4iJxvpVPJQSZRZNN04MAB5HI5KBQKjI+PY+3atYIIFLB6qjHlchnz8/NYWFhApVKBTqfDhg0bOE/iCcj/P+jXzkShUMD09DQCgQBqtRqMRiO+9a1vUQkWOTk2Go0wGAwol8vYu3cvbrjhhn4PvWMw7wECj8cDp9O54sb1W9/6Fu666y688847yGazlAj4fD4Eg0Hk8/mmL22FQgG5XI5qtUrltELw+OOPIxqNwul0Lnt+ZTIZxsbGEIvF8OSTT9J7lVxPsVjE1NRUgwvUxo0blxGot99+G5dffjkeeeQR+Hw+pFIpJBIJTE9P47777sPVV18tuBleLpdjzZo19JAim81idnYWsVisYf296667KHlnS4YVCgVUKhXK5TIeeughQd/PRrcqUc888wySySQnEVcqlXC73chkMnjllVeQTCYxOzuLVCq1bDxSIlGpVAqFQgEGg6HpeAwGAwqFAu97mmyGvV4v/U19Pl9Dj26nkLqcj4A5TrlcDpvNhsnJSRiNRho3MDc3h0wm0+eRSoeUkNBrsp7kcjnMzs4iEok0vKOlMt6VQNZkcsgwBD8MK1EDgkElUVx9Pm63u+1+JvLvBpVItMrBWmmzwnQnHHRwGWh4PB7s2rULe/fuRaVSgclkarhWhUIBvV6PdDqNRx99FIFAAGNjY328ivZQr9eRSCQocZTL5TQHjs9zMT09jV/96lf0+pkExGazYf/+/ajX60in05xywGq1SufcYrEIHn8gEEA+n28q+dBqtZSgpdNpWCwWuiEnxKeVXLFareKLX/wi5ufnIZfL4fV66b1fLpcRDoexZ88efPvb38bXv/51QWOXyWSwWq20CpFOpxGLxajET6fTYXZ2FrVarekBD9lwEykPkY7l83n85S9/wa5du1Aul+H1evHBD35wxXtUbJKSSqVQLpebjl+tVqNaraJSqUCr1SKfzyMYDNJKjFqtBiAtEqXVajEyMkIrtsDy8RGSJbRvUq/XY3JysisSv0EhUVwSOZVKhbGxMepQRyouer2e8wCln2PtF5jrSSwWQzKZpDlcTqcTRqNx4EiU1Wpddf3m3cRwpvqMduR8g2DvXalUaOo30J7DGBcGuRLFzr9h52CthNVSiWoVmvvCCy/QDSDXPS6Xy6FQKFAsFvHyyy/jggsu6PXwOwLpNSCW7UTSGggEUCwWeVUnHnzwQZrtwq7gEHc6IputVqvLXt6EXJ122mltGThoNBooFAqUy+WWJE2hUECtViOdTjdUB4hcsdkm6C9/+QsOHDhAiQjzPlCpVHA6nQgEAvjTn/6Ef//3f19R1sc1p0zXS3ZIbTt4/fXX8Z3vfAd+vx+JRIJWUX/7299ix44d+PSnP73sertVibJarZSUc/VsFYtFqFQq2Gw2ar4RiUSQz+cxNzcHq9XKWyrZK2zevBkulwvvvPMOlaAySVShUEA8Hsfk5CS2bNki+PO7JfEblJ6oVhI5UnEhEr9sNotcLger1QqbzdZzMtNvOR8XSIWXEM5CoUD3P2SNlNJ4uUCMJYZSPmEYkigJgMj5WpEjUmav1WqSdqZju6ut1OcjFINIoprZlgvNrxn0SlS1WkUoFKLVCC5iTYhEq00HeV5IdXMQwK5AKhQKjI6O0vDGZmG7XJienkY+n4fVauX8c6vVimAwiHq9jnA4DIvFQt35SP+URqNBPB7Hz372M5x99tmC+su2bt2KF198EbFYDEajcdlvFY/HYTQacdRRRyEWi9GDFOCgecRK3/W3v/0NuVyuaaO2SqXCyMgIMpkM3nrrLZx++um8x84GV0it2+1GMBhEpVLhXGfL5TLtzTGZTNi3bx++/vWvY+/evfQaFQoFUqkU9uzZg3w+D7lcjk9/+tOcYxBjg10ul/Haa69h165dkMvlUCqVCIVCmJycbPj8er2OaDQKq9WKD37wg9R8Q6/XU9JAzDekVInSaDQ4+eSTEQwGsXfvXqxZs4YetMRiMczPz2NiYgLHHntsR03x7OBmIvFr12Bh0Hqimo2TWXEh7n3xeJxWXFrJLMWGlCs7Go0GExMT9GCiUCg09LtKGaQSNTSVEAZp7sQPIZANFF+b81Kp1PTl3m8w3dWAgydYXq+XykPEwKAZS6xkWy4Eg2wswc4Da2bdvWnTJiiVShQKBWg0mmWfU6/XUalUoNFoRM3r6SbYFUiTyQSPx7MszwbgR6KUSiVkMlnT+0Amk0GtVqNer1P5Y7lcpoca5DNeeeUV7Nu3D7/61a9w3XXX4UMf+hCv6zn11FNx9913IxwOw+fzYXR0FEqlErVaDUtLSwiHw5icnMRxxx2HRCIBmUwGrVaLXC7HaxNKqu2tnhG5XE7vhU7BDKkNh8O46KKLqGV2uVxuGHO1WkW5XIZarca5554LALj//vsxMzMDrVaLiYkJOsdWqxXpdBozMzN4+OGHsWPHjoZTXrEqUQcOHMCPfvQjzM/PIxqNolAooFgsolAoYO/evZiYmIBGo0GxWEQ0GoVMJsP4+DgdP3BwXWWfpBO0kgb2Ejt27EAoFIJSqYTP50M4HAZwUMK6du1abNmyBZdeeqko3yWGxI9pbT3oJIpAqVRidHSU3ifFYhGBQKCpqUI/x9ovMA8m4vE4dd1NJpNQqVT04ExqIIebw0qUMEhvJz5EUzBJlJRATjfD4TDd/Ljd7q4E9g1KJYqvbbkQDMq1M8GVB9bKuvvcc8/FTTfdBL/f39AjRDachUIBCoUCRx11FI455pjeXESbqNfriEQiiEQiDX1fXPbLQkjUMcccg0cffRSpVIpTfkbIyvve9z584hOfwM6dO/HGG28gk8mgXq9jbGwMdrsd1WoVsVgMsVgMt99+O6xWK7Zu3dr0e30+H372s5/h8ccfRzgcRjabRSaTQSgUgl6vpwYp4+PjOP300/He976XHqTE43HqyrkSNmzYALVajVQqxVmtrdVqKBQKsNlsWL9+Pa/P5ANSGb3iiivwyCOP4NVXX0WpVKJBxWRTrFKpsG7dOtx4440IBoN48803kUwmceSRRy5b74xGI+2XeOqpp3DxxRcv+95O1shgMIjvfve7ePvtt1EsFhv6K/fv349arYa5uTkoFAqMjIzAYrFgfHwcX/va1zg3S+QkPZFI0LBrIvETS7pF5pG8K4RI2q+55hps2bIFzz33HHbt2gUAOOyww3DSSSfhpJNOEnUT36nEj1nJk+KmmaAdsqfVarFmzRokk0lEo1FqqkCkoN0kOFKuRDGhUCho9EMul6PvA3L/dBKPIDaIeQgwJFFCMSRREsAg25zncjn4/X56cmk2mzE2Nta1SpnUiYRQ23IhGKRKFJes0+12w263t7zfdTodbrjhBlx//fXIZrMolUq08kKqWCaTCTfffLOkNyb5fB4+n48+FytVIIWQqHPPPRc//vGP6Sk503q4UCggFArB5XLh4osvxpVXXolTTz0VV111Ffbt24eNGzc2VPiMRiOCwSAWFhZw7733NiVRBw4cwLXXXosDBw4gkUg0SEuJFHn9+vUYHx/HGWecgRNPPBGjo6PLDFP4XN+HP/xhfPOb36SyMiZRJDlzWq0WxxxzTEOg70rgc78Qu+ydO3fi6quvxp/+9CcUCgUqwdTpdHj/+9+PX/ziF9DpdNi/fz/y+Tx0Ol3TNc9kMtGQYybEqEQ9+uijmJqagkwmw9FHH03vA5vNBq/Xi7/97W9QqVSYmJiAx+PBaaedhnPOOadlzxM5SSckikhRU6kUXC5X20HIJBi3UCjQd5hcLodarYZWq+W1KZbL5fiHf/gHbN26Ffv27YNMJsPhhx/e1nj4ol2Jn5TkkK3QLtmTyWSwWCxU4sdlqtCNax8UEkVA5tdmsyGdTtP+SyllcBG1AjCU8wnFkERJBKTPo9WiIyUSxe5vIS+adhuz+ULK7nzlchl+vx/pdBrAQULg8Xg4ZWntYFCMJdiyTr1eD4/Hw1vW+elPfxqVSgVf/epXkUqlKAnTaDRwOp349re/jVNPPbWLV9A+arUawuEwbdJVqVTwer0rbjyFkCir1Yovf/nLuOmmmxAMBqmUrFKpoFKpwOFw4KSTTsLll18OAPjzn/+MeDwOi8XCeS+6XC7s2bMHb7/9NhYWFjAxMbHsmr70pS9h7969qFartB+lXq8jm80iGAxCpVLhuOOOw2c+8xkYDAZ4vd6GqoCQzZTBYMC//Mu/4NZbb0UwGEQ2m4VOp0OtVkM2m4VcLofH48HnP/953p8pFCMjI/jFL34Bv9+PH/zgBwgEAjAYDLj44ouxdetWei+PjIxALpe3tMQmlaxmVZJ2N5r5fB6vvvoqwuEwjj76aNTrdToOmUwGs9lMJa+XXnopLrvsMt6fTe5DhUIBj8dDpVut8rVW+rx0Oo1CoYByudxwn5fLZZRKJZhMJt6Hb7VaDUqlsqcbaaESP6nLzgg6dbvjkoJyuT2KAXJgA0ifnBKQ+TUYDLDZbLT/UkoZXMygXamZykgdQxIlAQxaJSqVSsHv99NxNOtv6QakWInisi0fHR0VXc4odWMJIgkgGU9yuRxjY2NtacCvvvpqXHjhhbjzzjvx97//HRaLBdu2bcP5558vGikVG+z+N7vd3jL3iwkhJAoAzjrrLJhMJvzwhz/E7t27USqVIJfL4XA4cO655+Laa6+lmxfSJ8MlIwQObp6YPTNsEvXSSy/Risvk5CS9HkJsHQ4HIpEIXnnlFVx//fUYHR3t+L6/5JJLUKvV8N3vfhfxeJxW9Ox2O7xeL26++Wa8733v6+g7+MDj8VAyH4lEqDzOZrPBZrNh48aNcLlcmJmZQTabXSbxqtVqiMfjWLNmjejjXVpaQjabpZVaprsjqSoYDAYEg0HaP8QXzM8h0i2mO9vs7KygzV8+n0ehUECpVKLEk/T1lctlFAoFyOVymM1mXvdOvwwbhEj8BoVEieV2R6SgqVQK0WiUuj1aLBZqttIpmFWoQSNRJJ+PGNKQ+4dEJTidzqZmOt0Gk0QNK1HCMCRREsCgkCh2pUWr1cLj8QjO5egETEkb2aj3E1ymAWNjY10p0Uu5EtWNebBarbjkkkuQTqexZs2apiSg32BXZdVqNbxeryDNu1ASBQAnn3wyTjrpJOzbtw8+nw8ajQZbtmxZtpHXarW0n5ILxOlQqVRy9nm8+OKLNA+J3IPk39RqNajVaigUCmQyGfh8vpa5SEKu77LLLsOOHTvwyCOPYP/+/bTadcopp3TtICEajeKRRx7Bnj17UK/Xcfjhh+Pcc8+Fw+GAwWCgG2amvO2MM87A4uIiZmZmsHbtWupUVi6XsbCwALVajcnJyWVSyU5P1AkZIQYY5LOYpiP5fB4ymUxwrxB7bM3ytcgctOoPIjI+QqCYm2lSoSMVKr4mFv2uRvCR+A2avbkY71JSATUYDNSZM5FIIJ1Ow+FwwGQydTQfUsqI4oN6vc4pPyT3Ty6Xa8jg6qVBBxNEOQEMe6KEYkiiJISVNhj9IlGdBMSKDZlMBoVCgWq1imq12rfFtFlYbDc3+lKsRHV7HtohF71EOp2Gz+ej/W9Op7NlBlIztHudMpkMmzdvxubNm5v+nW3btuF3v/sdZmdnOXvzUqkUlEol1qxZgw0bNiz796QniLzYiWyQyI+J5TgxfGh1fXxRr9eRy+VQLBZxyimn4JRTToFcLodWq+3amvPrX/8a3/ve97C0tERNMHQ6HX7yk5/gc5/7HC655BK43W6aLUXkbSeffDL27t2Lv/71r1hYWKCGCcViEXa7HRs2bMB1110nep8osYtXq9VYWlpq2PwoFAr6bLpcLhx22GFtfQd7rpnxDGTzt1J/ELlfyNrN9R1KpRKVSoUSrZXQbxJF0EriRyrBUt/wd6NiplAo4HK56H2Sz+cRCoVoxa5dNYEUM6JagTm3XPPLzuBiGnTYbLaeXSc5AASGJEoohiRKAiCnh1IkUfl8Hn6/vyeVFr5gkqh+jIMt27LZbHC73V1f8JhVOCkELrNDc7sxD1I102C7Dmq1Wni93rY3B90ki8cddxw2b96MWCyG6elpeDwe2mO0tLSEYDCItWvX4rzzzuP87Ug/WzabhVarbdjIqFQqWmkgDpSdgphHZLNZTE1N4cknn8Ts7CwUCgWOOOIIfOQjH8G6detEPa19+OGH8Y1vfAN+vx9qtZr2sGWzWSwtLeGOO+6ATqfD+eef3yBvi0ajKJfLuPTSS7Fu3Tq8+OKL9LBJp9Nh27ZtuOiii7BmzRrO6wTaJwL1eh3HH3889u3bR+eHSGeJaqBer8PtdguWEq50H5LNHxd5YMuY+Vwnn/cfE1KSyjWT+JFnSQpjbIVuzqVarW4IdC4UCpifn4fZbIbD4RD8rhg0Uwk+42VWeWOxWINBhxjVOz4gcj6VSiU4v/JQx5BESQBSlPOxG+R7UWnhCyJN6rWsrRu25UJA+ghWCmbuNviE5ooFqZGodl0HV0I3SZRcLsfNN9+M//zP/8S+ffswNzeHarWKWq0Gg8GAdevW4eyzz8ZHP/pRzn//kY98BP/7v/+LcDgMnU4HrVYLlUoFhUJB++C0Wi22bNkiiuV4NptFOp3GnXfeSa3ciSztpZdewm9/+1tcddVV+OQnP9nxdwEHZco/+tGPEAwGYbVaGzYRRqMRqVQKwWAQP/7xj3HWWWfRkFer1QqDwUA3zh/4wAdwyimnoFwuQ6lUwuVywWKxiDJGLlQqFZxwwgmYmpqCXC7H4uIiFhYWoFKpUCwWYbVasXnzZnz84x/vSM5HDCtqtRqtPJK1iIs8EIkfkbMy+5+arVtEVcB3Iy+VShQTXBI/AJx5Y1JCtyVyxO2RSPyWlpaQTCapxI9vHxwgLfLMB0JIn1KpXGbQIUb1jg8Iieq2Pf1qxJBEDRCYJKqbm2h2MGqvKi180WtzCS7b8nZlW51CLpfTDXA/FjumfA042IQqhn17M0hJzsfuCRTqOtgK3b7OiYkJfP/738cDDzyAxx9/HEtLS5DL5XjPe96D8847D2eeeSbnelIoFJDP53HaaafR02SyIarVakgmk9Sx75prruH8bp/Ph927dy+zK+cCcfy755578NBDDyEYDMJgMMBqtaJeryOTyWB+fh533nknXC5XQ2Bsu3jppZewuLgIAJyHREajEclkEj6fDy+88AJOO+00+mdcG2elUknnaKVrBTojAnK5HBdffDE2bNiAF198ka5RGo0GRx55JE499VR4vV7Bn0vGVq/XkUgkqKMekeRpNBrodDpKqphzQCycjUYjnE4nlEolRkZGUC6XUalUlpEJsp6NjIzwfpakSKIIiMTP7/cjm82iUqlgdnaWs0onBfSKmMjlcjidTmqqQPqBCEng01u9GitRbBCDDnb1zmQyweFwdCU+hkmihhCGIYmSCPhUGMhJXa1WQ7VaFf1h4gpGJdIfKaGXJKpUKiEQCHTNtlwoCInqxu/fClzytV6YikihEkXkZcFgsGvui70gi3a7HVdffTWuvPJKJJNJjIyMNN3o12o1RKNRGhR85ZVXQq/X4w9/+AMSiQQlYeTFfvPNN+OEE05o+Iw9e/bgnnvuwRtvvEGt6t1uN8455xxcfvnlnPdOpVJBLBajBMrtdjesP3q9HolEAqFQCD//+c9xzjnndLz5W1xcRLFYbNpvRRzqSP8PF/R6PZW3EdOJTCYj+KRdCEg1UC6X07BZQmJIfk+pVKJBu0JA7sNarUb7w0jgMAl8r1arDVlA7DlIp9NU4qfX61Eul1EsFmnmlkwmQ7VaRaVSgVqthkaj4b3ZlLppg0wmg06nQzabhUqlQrlcFhTU20v0us+IGO9kMhlEIhEUi0UsLCzwIgmDRqLIYaPQ8XJV78iaQiz1xbz3CYkaOvMJx5BESQCkJ4rPQ0GkbJVKRbRNNHuTKJPJ4HK54HA4JPmSIgtSN2WNvbItFwqFQkGlNb0AOYkOBoOiytf4ot8kipgHZLNZAAerEh6PR3RpTi8rbouLi5iengYAbNy4cZmleT6fp8QCeLcSfcstt+CKK67Agw8+iNnZWahUKhx77LHYsWPHMh39a6+9hltuuQXT09NIpVLQaDT0QCIajWLv3r342te+toxI1Wo1PP/880gkElCr1ZwHOCaTCYlEAvPz83jzzTdx7LHHdjQfGo2GHk40A+m/bEVGiH2x0WhEOBymJ+1E3sY+eOn0tyYbdaYhw+joKP1ssj4qlUrBhz7k35I8Jua7plaroVgs0ioU8zdkzkEkEkE2m0UkEkEqlaJrJyFg5O9rNBpotVpBxKJfFudCQNYso9EIrVYrKKi3l+iHRI6EWuv1esTjcd4kYTXL+bhAqndE4pfL5RCJRCgZF+uAm5CooamEcAxJ1ICBSaLEQKFQgN/vp6eNBoMBHo+n5xabQtDtSlQvbcuFopc251yhuewQ1W6jX3K+er2OaDSKcDhM3dZIT2A3yKNY1/n000/j9ttvxzvvvAOZTIYjjjgCX/7yl3H88cdjenoad999N9566y2kUikAB+/tLVu24KqrrsLExMSKQcHEaa4VisUi7rjjDuzduxdarRZHHXUUqtUqXbcWFxfx8ssv47777sNnPvOZhn+rVCoRjUZRKpWaVjmZlaFQKNTJdAEAtm7dCr1ej1gsxnk4ValUkM1m4XA4llXbuEB6BDOZDO1tmJ+fb5qX08n9pNfrUSqVaIWIaXEul8uhVqthNpsFbzqJaQ5xzmOC2JKTyhLX7zQyMtIg8SsWiwgGgzAajTCZTMtIlNADQSnL+QiYG/5WLn79PpjrJzEh2XZE4kdIdzOSMGiVKLHGS9YUMj9EMisGGa9Wq7TPe0iihGNIoiSCXptLsK2pFQoFta6V8osJeHcOxCYSZE4ikQj9HqmYaRD0wuacmAWEQiF6b4yOjoouIeCDflSi2CTaYrFgdHS0J/LJdklUrVbDeeedh6eeeoo28AMHq05/+tOf8OEPfxgjIyPYt28fEokEvacXFhbg9/uxf/9+fPazn6VyDiFBwWw899xzWFxcRL1ex8TEBJVtAQdloOvWrcPMzAyefPJJXH755Q2kXKFQwGg00oorF0iVRaFQ8JKTrjSnExMT+Md//Ec88sgjCAQC1DYcOEgIw+EwzGYztm3bhrVr1/KaA+ZJezQabcjLcTqdMBqNovVEWa1WqFQq5PN5VKtV1Ot1WjUzGAxt9eytZDZApH2VSqVpfyYJ+9XpdIjH4w0Sv05ljlKX8wHLxygkqLcf4+xndYd58MAkCUajEQ6Hg5KEQcuJEpP0MZ8nIpklZFxI8DUbiUSC3gNDOZ9wDEmURMC0OW/1YhCDRGUyGfj9fnraaLVa4Xa7e9pj0wm6UYnql225UHSbVLAJhNlsxtjYWN/ujV6SKDaJJg3zK5khiAFmiG07uPzyy/HnP/952caNhD3+8Y9/hMVigdvtxnvf+94GSew777yDXbt24d5778X1118vOCiYjbfffhuJRKKp5FOv10MulyMSiWBmZmZZhtFpp52Gu+++G/Pz87DZbPTeIz2jpVIJpVIJNptNkJSv1br6pS99CXNzc9i9ezcCgUDDfWexWHD44Yfjy1/+Mu/vIpDL5TQvJxQKoVAoIBgMIpVKiXZfkd40g8FADSCI/Xy7WOk+FEJemNUGPjJHIeOT8ma62Rj5BPX2ElIiJmySkE6nGyR+g5YT1Y3KGZHMmkwmRKNRGnzNJONCnk8i5QOGxhLtYDB2zUNQdEKiKpUKgsEgEokEgO5aU3cTYpKoftuWC0W3pIxSrcL1ikT1IvOqFTqR84XDYTz88MO0n5G9GSKVqUQiga1btzYQqEKhgNHRUezfvx/z8/O0z6YTELe1VnNHwmC5qk1HH300jj/+eE7sXHMAACAASURBVGol73A4oNFoaB4VCZDdvn27aPenw+HAXXfdhbvvvht//OMf6XpgtVrx4Q9/GJ/5zGdgs9na/ny1Wo2JiQmkUinqTEYk1GJJVYl8T6zPApqPjfRHEoMIPmgmc2wnM2jQ5HxckIrETwqVKCaYJIFdsRtUEtWNQ0iVSrUs+Nrv90On08HpdPJeC5gkaliJEo4hiZIIuinn48q26ZdFtxgQw1hCSrblQtANUpHNZuH3+/tGIFqh2z1R1WoV4XCYvkj6fbDQznXecccdlIy0unfr9Trm5+exfv16FItFWnVVqVSw2+3I5/OYmprCxo0b2xv8/4/x8XHo9Xokk0lO4lEul1EoFKjTJRduvfVWRKNR7N69G+FwmI5fpVLB7Xbj+OOPx2c/+9mOxsmG1WrFddddh2uvvRbz8/MAgDVr1ojmQCmTyWA2m2m2FOlLKxaLyGQyK1qi9xLM6l+1Wm1YC0hulFKphFqtFrTZZ8ocmZlBmUyGyhz5fN4gkaiVQob7LfGTKjFhVuyIxI+g1xmR7aIXPVwk+DqRSCAWiyGXy2Fubg5WqxU2m23F72aSqGFPlHAMSZSEQBqCW0EoiSoWiwgEAtQcQKfTwev1inZi2Q90Wo2Rmm25EIhpLNHL0Nx20c1KVCaTgc/nowTE4XDA5XL1hUR3QhZnZmYaPoPrs8nnktNuUrUi2TwkOFeMeT7jjDNw7733IhgMIplMNjj31et1LCwswGazYdu2bU2rO263Gz/96U/xy1/+Eo888ghisRhkMhm8Xi/OPfdcnH/++V17XrVa7TKJoZggPYYqlQqxWAz1eh1+v19Sjm3kGVAoFCiVSjReg5AqQqDa/Q2YmUHhcBj5fJ7eL8yetGYYhJ4oIZLDfkr8pFaJYkOv11OJHzG9WVxcpCRBquMmzwrQfYJKwr+JxC+ZTFLHQyKlbfasDElUZxiSKIlA7EoUl7tYv8wBxEa7xhJStS0XArGMJVKpFPx+f89Cc9tFN0hUtVpFIBCgslaNRgOv19v1zKtW6IREkQpGs3/LvLcJUSKBqYQ8kc3r5OSk8MGz4HK5cNFFFyGXy+HAgQPQaDTQ6/WoVCpIJpPQ6XQ4/PDDcemll7b8HKvVis9//vP47Gc/i3A4DLlcDrfbTS22+416vY5du3bhueeew9LSEvR6PU488URs3bq1qXynXC5TRQA5rCBOd1JybCP3kkqlgkajaQh412g01LSi0/VCrVZjfHycBovm83l6im6325t+/iD0RLVDTkjWFqkq9OKekDqJAt6t4hISRd7lpK9OaB9QL8DM/ezV2BQKBdxuN5X4FQoFhEIhur5zHXoQEiU0ZmCIgxiSKIlATBL1/7H35UGSlVX2JzPfy32v3Kqqq7qb7pKmbRAxYDoEQWgQFEEBCUEEXMYtQEchDIJAHeanzIQIDGqoozNu4wyjzCAiGO3SaIOgICgg0C3dNF1bVuVWuS/v5fr7o+N+vHyV+/qyyBPRAdFdlfn2d893zz1HPt8xbHOAXkNqwlHPGUoOJduWt4NuO1G1QnOnp6cV24XrtZyPZK0k4VRKHlo3xhLvf//78ZOf/IQ5s8n3RfqZ5NpGjnjUGTIYDJibm8POnTu72ItX8e53vxvLy8tQqVSIxWLIZrNQq9WYnJzE5s2bccMNN7TsdLe2toaFhQXWOZNnUg0D8Xgct99+O5555hmsra1BFEXwPI9f/vKXmJubw80334xNmzaxnxcEAQ8++CD279/PAoyp43XRRRfhhBNOqJJzJZNJeL3eoRF7uma0Wi0cDgfy+TzrXvI839N3CQWLkpOhdBXd4/HAbDbXvaaHfd82QqfkRK1WD0ziJ3XyVPKxBF49nhzHwefzrZsD8ng8iopmkXahBn1s9Xo9ZmZm2OIEzR/WCjSWZkQp/RpQIjZGVb3B0MihT9qFkf+cXJ41SHexQYIGmim0sdFLSqmGCZ2i007UsENzO0WvOlHUAaA5FKXKWjshUeeccw5mZmawsLCwblGBVkMBsOLrb3/7G7v+E4kEdDodduzYgb//+7/v+lr4/e9/j69+9av41a9+xWRfr3/963HqqafC6/Vi586dOOmkk2A0GpFMJtcRoueeew4//vGP8Yc//AHJZBKFQoF1P8j+/PTTT8e1114Lr9fb1bZ2imKxiNtuuw1/+MMfEAqF4HK54HK5IIoiFhYWEI1Gceutt+KOO+6A3W5HLpfD7bffjqeeegp+v58pA0RRxCuvvIIjR47gi1/8IsuBoeJwaWmpZtEzCEgLa8py6jdqraKvrq7WLJBHQc7X7TYOQuInJXpKPpZANSmpNQc0Pz/ftIM5SAw704oWJ8xmM5s/rBVoPA7a7Q5jEqUQSLsrjUDa9HK5zIoUYL08a5jzHYMAkahisVj3ZSK3cleSYUKn6IRU5PN5+P1+ZDIZAMMJze0U3ZIoOXkkSZjT6VRU0dBtx+2nP/0pzj77bCSTyXXHSqVSYWJiArfffjseeughLC0tIZVKsRmjmZkZfOADH+i6C/Wd73wHn/nMZ6pWt/P5PJ599lm8+OKLuO2223DKKaeA53nk83mkUqkqrf6///u/4+6770YikUAqlaoaJNfpdLDb7VhcXEQgEMALL7yAr3zlK5ienu5qmzvBH/7wBzz33HOIRCLYsWNH1fNnYmICR44cwaFDh7B3715ceeWVuPfee/Hkk09iZWUF27ZtY52VdDqNI0eO4MCBA/jmN7+JL33pS0zOFY1Gq4qebnOV2sUwuxO0ip5IJBCJRFiB7HQ62QzMKMj5erWN/ZT4KdVUohbkpITmgCwWC+vexmIxlsVWq4M5SFAtNuxjS/OHtDiRzWbxjW98Aw8//DDe/va3swXmMYnqDGMSpSC0qp3lOA75fJ4FHUpNEgwGA6ampoY63zEINDKXqGVb3m32jVLQjqmGkkJzO0U35IKkHmSqYjabMTU1pUjy2O352LlzJ5544glcd911ePzxx5lZhk6nwznnnIMvf/nL0Gg0OPnkk3Ho0CEsLS1BpVJhdnYWr3vd68DzPCKRCLxeb0fb8tRTT+GGG25gXU5a2ZZmO33+85/Hzp07sW3bNgDHuoOiKEKv1+PXv/41/vVf/xWrq6swmUzQarXMKp0GtIvFIubm5rC4uIgDBw7gW9/6Fr70pS91ddw6wcMPP4xQKASv17tuAUej0WBqagpLS0vYt28fLr74Yvz+97/H8vIyduzYUfUM0ul02LJlCw4dOoTDhw/j4MGD2LlzZ19yldrFsCVeKpUKdrudSdqSyWTVDIzSO1FSk5ZeEL1+SfxGYR6KUG9bSd5HJIHMtAwGQ0smJf3CsDtRcpBxVCaTwcGDB5FKpXDvvffCaDTCbDaPM6I6xJhEKQjtzEXl83lEo1GWNq3UFfZ+oRaZGFXb8nbQamdGaaG5nUK6v82CqAlyA5FRII+0Xd103Gw2G+644w5ks1kcOnQIbrcbZ555Jnieh9/vRzabhdFoxCmnnFIVUkv5S2R73knR8Y1vfKOKQMn3CzjmFHrffffhpptuqppprFQq+O53v4u1tTXYbDbo9Xqk02mUy2VGePP5PNLpNERRxObNm/G3v/0NTzzxBFZXVzE5OdnRMesUgUAAuVyubhfMZDJBFEVEo1EcOHAAkUgEer2+5iIOFcdra2t4/vnnq7qB9XKV7HY7JiYmBlKcDft+oXtXWiCvrKywa2zY21cPUhLay23stcRvlEhUM1JiMBgwOzvLOphkUjLI+0UKpZEo4Nj1aDab8aUvfQm33norXnzxRZZXt3//fjz//PM48cQTh7yVowXl3zmvQbSaFh+NRlEul2G1WjE3N6f4+ZZeQu7Ql8/nsbi4iKWlJRSLRRiNRmzfvn3DSRqbGUuUy2UEg0G8/PLLyOVy4DgOs7OzmJmZGTkCBVQXIa10owRBwNGjR7G6ulp1bwzb7awZuu24zc/PY2VlBeVyGW63G5dffjnOO+886HQ6iKLIFhVq3QvSGUMi3e2gUqngF7/4RV2SK5Uq79+/n5En+t6FhQW88MILEEURFosFgiAw8ku/S9sXiURQKBSg1+sRjUbx+OOP9z2IWQ6yhK8VFAxUB9HS3Gat4pbONcdxKJVKNT+PcpW2bNkCu90O4Jipxfz8PFKpVN/y0/r1uZ2CCmRyEKVzXku+qgT0u1NGEj8yA0in05ifn0c0Gm3r3I0iiWq0rdTB3Lp1K5u3pPslmUwO9LpWIokibN26FT/4wQ9www03MNVSIBDA5ZdfjltuuaXK9nyMxlD+nfMaQrNVq3K5jEAgwGZb1Go1ZmdnMTs7O3Iuc91CGri7traGl19+GalUCmq1GlNTU9i6daviTAN6gUbGEplMBi+//DLTODudTszNzY2siQahFYJRqVQQCoVw5MgRZLNZRh5nZ2dHgjx2UmxRjMHhw4eRyWSg0WgwMzOz7nnQaugnfWa7KJVKbDWzGdLpNJtN0+l00Gq1iMfjbLaxnkshkTBRFJk0lebdFhYWmGRzEDj55JNht9vrFhqRSAR2ux1veMMb4PP5YDKZkEql6hb7qVQKJpMJbre77ndqNBp4PB7Mzs5Cr9czm36/3181O1Yul5HJZJBMJpFKpeoSvWYYtpyvFmgGZsuWLWy7EokEFhYW2DtRKRjEzBZ1Mbds2QKz2cyeB/Pz8y0fj1aIiVLQzvwWmZRI75dAIIClpSUIgtDvTQWgbBIFHLuf3vCGN7CFM3qm/t///R/OP/98/Od//mfLeaSvZSj/zhkDwLEX7eHDh1lOAgDY7faRL5A7BT2YotHouq7DRpY0SotdeqmUSiWsrKzg6NGjTI61detWTE1NKfYB3g6aSRhzuRyOHDnCMtEcDsfIkcd2SYwoijh69CgCgQCT8s3NzdU0H+A4jgV51/t8aWeoXdBMQivweDwoFovMqpxWjjmOQ6FQQLlcZmRKur3SAorjOORyOdhsNszOzqJQKGBlZQUrKysdk4Z2cMEFF8Dr9SKTyWBlZYUVS+VyGZFIBOFwGD6fDxdeeCG2bNmCubk5mEwmrKysrDv+qVQKuVwOXq8Xb37zm5t+N5kuUIc9m81iYWEB4XCY2fdHIhFEo1Gsra0hEAggEol0lKkHKItEETiOY88EnueZpG1Q578VDLLDQxK/6enpto/HKHai2nlG0f3i8/mg0WiYJDYYDPYksL4R6POVvIgnXQi66667cNZZZwE49ly67bbb8MEPflAx95RSodyz+xpErReWPNdHr9fDbDYjEokoUsYwCNBqK3Ds+Iy6bXk7oEKXhu7JgVDpobndoB6JKpfLCIVCbGGB53lMT0+z8NlRQqskSh6i3cq1r9VqodPpUCgUarpZ0rWk0+k6HlB/3/vehzvvvJPNZ9YK+FWr1bjoooug0+ngcDjYd23evBm7du1CJBJhjn0cx1VFGJAkzu12Y21tDXq9HscffzzOPfdcNgNBrmUul6tq/q3XEp6pqSlcd911+NrXvobl5WW8+OKL0Gq1yOfzTEZ8xRVXsLmzyy+/HK+88gob5qb7k8jO3NwcLrzwwpajKIh40nuAXMlIyUCyzUqlwo5hsVhsS9qsZBIFvLp9MzMzSCaTAwumbRXDICeduPiNojtfu8dUmkNGrpfkANpP10uld6KAahJ14okn4oILLsD+/fvxz//8z1hYWMBTTz2FfD7/mlM6tYMxiVIQ6AVIK7CxWAyBQICtElMwKDnxvRZbrXLbcp7nsX37dkU/qHoNKiqXl5eZjEnpobndoFYxnMlkqqRMExMT8Hq9I0seWyn4BUHA8vIyk6PY7XZMTk42vfZVKhVsNhvy+TxEUUS5XK6aKSyVStDpdLBYLB3fRx//+Mfxgx/8gC3uSOegaFZqenoal1xyyTqHRJVKhQ996EN4/vnnsbq6ilKpBLPZjEKhgEKhgEqlwuR/oVAIKpUKW7duxYc//GGo1Wo4HA6YzWbmWkZubh6Pp8qltFahJDW3aCcU89xzz4XL5cL999+PZ555hhUac3NzeNe73oUzzzyT/exJJ52ET37yk/jud7+L1dVVxGIxVCoVRgTf8Y534NJLL237mFMH0Gg0MgdO2g/al0qlwlwQU6lUy0HFo0Ki6rnW0fkfliPrsNwD23XxG6VOVLeET6PRwO12M9fLXC6HUCjEjk2vHY1HjUSRxflb3/pWvPnNb8Yvf/nLnoc7b0SMSZQCIYoiAoEAmzOQWzNTAfRaIlHFYhHBYJDZlpNsged5RT+keg1peGo6nR6Z0NxuIO1EyQOlN4p9vZREyQ0a5IHRnYRoG41GOJ1OxGIxlq8GgAWpWiwWZlzQCaanp/HAAw/gsssuYwU9kSm1Wo2tW7fijjvugMPhqGkxf/755+Mzn/kM7r77bpaPRN0rtVoNnufhdDrhcDgwPT2N6667rsphkI4JOdmJooilpSXYbLaa1wY5Emaz2SqiptfrYTKZWpLgnHzyyTj55JNZkKXZbK5rEb97927s2rULjz32GA4dOoRSqQS73Y4dO3Zg27ZtXd271KWjyAsixjzPg+M41tXLZDJVuVyNMAwStbKygr179+Lpp5+GIAjweDzYs2cPzjrrrKr5VukzkLZP7lqXz+exvLwMi8UCt9s9tLDiYZGTVl38RolE9YqU6HQ6bNq0iS240LOi18HWo0SirFZr1T2m1Wpx8cUXD2uzRgpjEqUgqFQqpFIpLC4uAjh2801OTq5rN7+WSBTZltPsAdmWWywWHDlypO+6ZiWBQnPpvBsMBszMzCgy96iXoBd8Op3G4uIic5pzuVwbRrpYr1jNZrPw+/0QRRFA692nWrBYLDAYDMhkMsygged5mM3mnlxDp5xyCv7617/ixz/+Me677z7EYjF4PB5cccUV2LNnT9U8pxyVSgWXX3453G43HnroITz33HMol8ssx8rtdkOv12PHjh04/fTT60qZzWYzjEYjIzYk26HvoP+mUimk02lGPAj5fB6CINQle7UwMTHRUsaK2WzGBRdcgAsuuADAsXnOSCTSNVGRzpKpVCoUCgXm9kfyTam0r5UicdAkav/+/bj77rvZQlmpVILBYMBTTz2FBx98ELfeeis7xo3sw0nSFovFEI1GkUqlmKRtkBEHSiEnzSR+StnOVtDLbSXXS5L4UQZZOp3uybVSLpdH4tgSiRoH7XaOMYlSGGgV1Gw2w+fz1XzhSaU4rWbnjCLy+XxVkLDRaMT09DSb7wBaC50ddchDcwkul2vDEygpQqEQgI0rXZTK38htkIiHSqWCwWBAuVzG2toaI0TtguO4liVdncBiseAjH/kIPvKRj1T9fTwer/s7ZAyRSqWwfft23HLLLZienq55bVcqFWSzWQiCwLpU8iJFrVYz2U4wGGTyR8rBKpVKSKfTyOfz4DiOkY9yuYxisQhRFBGPx0cyX06lUrGgYuqwSd37WsUgSdSBAwdw55134tChQ2xhiOd5pNNp+P1+ZDIZfPGLX8Sdd94JjUbTdBZYrVZjYmKCybYymUxdiWe/oKQw4EYSP7q+R+E670dnp1awNZm0dCMHlW6rEq6BehiTqO4xJlEKgkqlAsdxOO6449iLvRaocKDVDiW3izsBkYZQKMQkPT6fr2o4VmpxvpGJZC6Xw8rKSlVobrlc7mtGjFJQqVSQSCSYrHWjSxeJRGUyGayurrLiV6PRsDm4YrFY1S1pR9KnBEivWbIoJ3fNWve59GczmQxSqRTy+TzrRqbTaRgMBlgslnW/o9PpMDMzg3A4zELJ5+fnGRklqRuBZIP5fB6FQgGCIPRVJtqr+1er1bLrg4phmouibhRwbKYunU63NUg/iPvsvvvug9/vh9VqrQowdjqdsFqteOmll3DgwAH86U9/wkknnVSVZZZKpZhVvhxkNCOXeFqtVrjd7r6+N4ct56uFWhI/gtLfJVKnzn5ckxRs3Ss56ChI+YAxieoFxiRKgWjlxuM4jr3slX6jtgNBEOD3+9mL0mq1YnJycp07DDmA0ezFRjoGQOM5GL/fD2Bjd+Gk3QkCGatsdCwsLAA4do1rNBo220LXe7FYhCAIiMVidQtIpUFe+NCMBhmjmM1mZtFcC6lUColEgskQAbBjQX9qkS+VSgWTyYR4PM5cLenZUqsjIXW/FEVxILN23RaFlENFs1BSd0SO41gBSt3NZDIJr9fbMEdvUEV1MpnE008/jUQigRNOOGHdv3McB7fbjXA4jF//+tc47rjjqjprmUyGuSLW6zCRxJOc2Ui21U9nNiVLuaQSP+p0BwIBFAqFobsa1oPUVKJf26dSqdi1IpWDksSvnWMzJlGvHYxJlMLQ6k1KJGqjzEXJ7apbsW6mbJlSqaT4h1U7kDvPOZ1OeL1eto+NAndHHXJXShr2z2aziixIeoV0Ol11Ps1mM3sRS4mFSqWqmnFJp9NwOp0D395OUalUEI1Gq87v5ORkwxmEQqGARCIBQRCqMqSIVNJ90syiXafTwWazsWytXC6HYrEIvV5f9d39skaXo1efz/M8bDYb4vE4ex6SxTl13AwGA/R6PSKRCARBwMLCAhwOByYmJmreV4OS8yWTSSarrLfaLy1qy+UytFotcrkcm4mieUGNRlN3QaGWbIsIpcfj6bk0WMkkCnhV4kfzZxSdUM/Fb9gYJCmpJQclx0e3293SsRkFEpXNZtmCUqOg7zEaY0yiFIpmEjXpXNSoQ25bLicN9UBylY1wDACwVHVyIKznPNcsfHZUIYoiVlZWWAaYxWLB1NQUIpEIstms4iUnnaBUKmF1dbVqZmjz5s1sBbRet4DjOIiiyOZ9RgXZbJZ1F+n8NssgyWQyKBaLLGhXeh2QBK9YLCKXy8FoNDZ8bppMJlgsFmQyGTY3VCgUoNfrWQHeTfCwHMViES+88ALi8TiMRiNOPPHEdR2TXhAVi8UCtVqNRCLBJM50bEwmE+x2O9RqNcxmM9bW1hCPxxGLxVhuldlsZtvx61//Gj/72c9QLBZx5pln4oorruibux3NADdaDMvlcowI0rwX8OqCAgAmv2zWlSXZFjmzUfiqzWaDy+XqWdGrpJmoRqB7aXJyEpFIpK6L37AxDFJKclCpxK/VYzMKJIocboFxJ6objEmUwlDLcagWNoJDX7FYRCAQYAVku3bV9IDaCCSKHAhbcZ7bSPsNrDfO0Gg0rAtJFtnAxiON8nNOcy1arZZJsOo9C+jvlX5MUqkUHnzwQTz44IOIxWKw2+1461vfiksuuQQej6elZx2ZQdQrWqgzRUYKjT6TOpvkaEeOdYIgoFAoQKfTsdysbgwIKpUK9u7diwcffBDLy8sQRRE8z8Pr9WLPnj1473vf29NFAZIimUwmCIKAYrHI9lVayGk0Gng8Hma6IYoiVldXYTQacfDgQdx0001VC1o/+9nP8M///M+45ZZbcNVVV/VsewkOhwMnnngiFhcXEYlE4PV6q/6dZM1erxe7d+9m3UfaZ+DYu5DOH3U3G0HqzCZ1cSSJX6s28I2gxJkoOahTCYBdO+0E9Q4SwyQl9RwOKXKh1jmm7R20tX47kLqljklU51DuGX6Noh05HzCaJIoMAyhYk2zL23XDkppLjCoKhQJWV1eRTCYBtOY8t5FIhXwGzmazYXJyct3AP7Ax9hc4dr2urq4ikUgAePWcLy0tMWkNx3GMVNV6EdN9o+SX9PPPP4/rr78ei4uLSKfTbF+eeeYZ/OpXv8LXv/51bNq0qaff2QoxIaIhiiKTkYmiiFKphGw2C47joNVqu1qFv+eee3Dvvffi6NGjVd85Pz+P1dVVBAIBvP/97wfQ224FuTg2g16vx+zsLBKJBCKRCB555BHcdNNNTFZK95wgCFhZWcFnP/tZFAoFfOADH+jZthLe9a534dlnn8WhQ4dQLpdZVg8ZrBgMBmzevBmnnXYagPVSQ+nCYzvEVOriSOGrwWCQSfwazYw1g9LlfEB1t4z+tBPUO0gMu7MjdTiMRCJIpVJYW1tjEj+z2Vz188Pe3lZQK2h3jPah3DfwGA1BxZPUYWcUkM/nsbKywgbKpbbl7WKUOzK1nMm8Xi+cTmfToopezKO43wS5cQbHcZienq7pNjeoGZV+o1bmmdRtULqfVHSTcYz0mqB5KJJqDRLk8CYIAiN7JpMJZrO5qmD0+/346Ec/yrLcLBYLC32NRCJ4+umncd111+HHP/5x06Kf4zhm9lCrKCH5HRHPZuB5Hg6HA/F4nHWidDod+/9isYh4PA6tVlslc2sVhw8fxv3334/Dhw9jdna2aiU/nU7j5ZdfxiOPPILt27fXNFMYFFQqFex2O8xmM9773vcinU6jUqnAYDBUERQKJb7tttvwvve9r+dGJn/3d3+HD33oQ/j+97+PQCCAgwcPolwuQ6/Xw+VyYcuWLfj0pz+9bsFA2o2lDmQnpIXCV1OpFMLhMHK5HBYWFmC32zu2uR8lEiW/p1oN6h3Gtg77ePI8z7I7SeK3srICk8kEt9vN7o0xiXrtYEyiFAYqppqtuo/aTFQrtuXtYtSOAUE++2M2mzE1NdVycTLqxhLyANlmM3AboRMl7ziaTCZMTU1VLR7ISRR1BQRBYASBJGgcxzU1Uug14vE4EolE1RyiSqXCX//6V/zlL3+BWq2Gx+PB2Wefje985ztYWlpCpVKBz+eDRqNhJg42mw1+vx8HDx7E3r17cemllzb8XrPZjEwmA0EQqmy8iVQXCgXwPF9V/EtRi3zrdDq43W7kcjl2HZIbYjQaZTI3k8kEj8fTVuG4b98+BINBTExMrDP9IBfC1dVVPProozjhhBOGLpX605/+BL/fj3K5vO4YqlQqZuySSCTwwx/+cF0GWC/wnve8Bzt27MBDDz2Ep59+GsViEU6nE3v27MF5550HtVoNURSh0WjWnU+6H7RabcfHUqVSwWq1MolfPB5HPB5HKpWCx+Npm0yPwkxUM2LSLKh3kPumNFJiNBqrjk0mk0E2m4XD4YDT6VTcuuC5qAAAIABJREFU9tYCkSjqQI7RGcYkakQxSnI+edZRPdvydjFqnahasz+0qtXOC2lUSUW5XEYwGGQPbxrybkYERnV/gfaykKQkiqRGkUgEoigyG2+VSgWdTgedTjfQMNhMJoN4PM4kcDqdDmtra7jrrrvwwgsvMMKn1Wrxta99DdFoFJlMBl6vl814EdRqNex2O5LJJB544IGmJIo6QuVymc3qSOMNeJ6HTqdr245crVbDZDKtu/7MZjOTuWUyGczPz7dVOB46dAjxeBzbtm2r+e9OpxMLCws4evSoIp5djz76KJuhIpJCREVqslEsFvGnP/2pLyQKAHbt2oVdu3ahUqlUyVgrlQqzcCfZJYEIsFar7YnDnnRmLBQKQRAENjPm8XhaXugahZmoVro7jYJ6Bynxo3OupOOpUqlYVh+590WjUbZQBiibRJGxhNPpVLQsXOkYHzmFYSPNRHViW94ORolE5XI5+P1+5qZWa/anVYzSfhPS6TT8fj+Tn7pcLng8npZeiqMq55NLV5t1HOX7yXEcvF4vk1MRCTMajetsufsJkiFSx4fjOKTTafzTP/0TXnjhBSSTSZjNZvA8j2w2i3A4zIb860n19Ho9YrEYQqFQS9tgtVpRqVSQTqdRLBZZca/VaqHT6Zj7XC8glbmFw2GkUilWJHk8nqZkrZkpiHQ7lRgULjVvAMBI1aC2Uz7rR6YZwLF7isK3SU7KcRzMZnNPC0G9Xo+ZmRlGprPZLObn5+F0OuF0Optea0qRnzVCO9s4bIlfPemhEsBxHHw+H5P4EbEHlP2OprpsLOXrDmMSpUDQS6zRi0tKopT4Iu7UtrwdjAKZkBNJaWhupxilzozcwluv12N6erot57NR2l9gfdaVRqOBz+drmIUE1CaLZBTQjVNctygUCsjn86zTBAAPPfQQDh06hGw2i9nZWbbtNpsNpVIJL730EiM90qFr2jfqfMgHsmuBJIxkcS2KIntG0rwU/Yxare5Z4cpxHCYnJ1lXIp/PY3l5GVarFW63u+6zbPPmzbBYLIjH4zXPWzweh8lkgtfrVcQK8BlnnIG7776bnWPp8SNpOZ37448/fii5fGq1GhaLBYVCgYVN8zwPi8UCrVbbF7JCZJq6MNJOA3Vh6t3Po0CiOunuDEviNwryOIPBgNnZWcTjcTbru7y83DCPbZggRcg4I6o7DP8JPkYVpE45jUDFAr3glPJw6da2vB0o3Z2vWWhupxgVYwm5hbfb7Ybb7W77RTtKJEoURfj9frZa3o50VakdN2lnhYrqhx9+GLFYDC6Xq8qEgPJ8TCYTMpkM1tbW1hElcue0WCw4++yzG34vkSM6JiTdk14T1PWSPgtr3WOdFnhUOFLgazKZRDqdZs5u8s/ds2cPHn30URw6dIjN2RBEUcTS0hJmZmbwlre8pavtagWVSgUvvPAC9u/fj2QyCafTiXPPPRdzc3PsZ8444wzMzs7i8OHDEARhHfGj7rHFYsEFF1yA+fn5nlmBtwPqPNK9pNPpeh6SWwu0CCLtNNQyE5BiI8xE1UM9iV87YbTtQolyvlog+3wiUQAQi8XYsbFYLIq5JohETUxMDHlLRhtjEjXC4DgO+XyeBVEOE72yLW8HSu1EtRqa2ymkg/VK7ELKTRS6cWAERoNENcu6agVKJVH5fB4PP/wwVlZW4HA48MY3vpFJ9uicyhd/PB4Pjh49ClEUEY1GWeeVnO+KxSLcbjcuu+yymt8pJUd0jddy36NAWSnZKpVKjEz16tmjVqsxMTEBi8WCUCiEbDaLYDCIRCIBr9dbdW2fdNJJOOussyCKIg4dOsQyiQRBQDwex9TUFE455RScccYZzCxDEARGEnp1P0ciEXzhC1/AM888w0J4eZ7Hj370I5x55pn43Oc+xwjul7/8ZVx77bVIJpPIZDJV9xxJSG+++WbYbLaeWoF3gmHNG0k7DVIzAXlekHSmTMlFf7cSObnEr50w2kFv6yBB9Qg5+dFsXSAQYLNkg75n5KhUKmwmaizn6w5jEqVAtDMXRSRqmDdlL23L24ES3flqdV96TSTJyrdcLtfNERoGyEQhEAgwF7VWbdsbQankgtBK1lUrUNp+VioVfP3rX8fXvvY1RCIRNoek0+mqXNJITie1mCajB5VKhWKxiOXlZfaZNpsNmzdvxu23314zXFXefSIyVO8eon9Tq9VsXops4HtddJEZCtlhC4KAhYWFKsmOSqXC9ddfD6vViocffhjhcBiiKMJoNGLTpk3YvXs3Pvaxj7FuPREXIopkGd/NPZPJZPCZz3wGf/7znxGPx2Gz2WA0GllWVSaTQSaTwd133w2NRoOzzz4b3/ve9/DZz34WKysrrPuk0+ngdDpx880345prrmEmD1Ir8EHLleQ5UYOE1EyA5uUoL4gkftLtU9oClxS9khwOQuI3CnI+gnRbabYumUwiEolU2edPTEwMbX9SqRS7x8dyvu6gjOprjCrQw7dZl2HY5hL9sC1vB9JO1LA7Mp2E5nYDKlyV0p1p10ShHSi1E1WpVFjWFc3ndGOcojQS9fnPfx7f/OY3mRmKWq1GpVJBPp9njm3pdJp124hAAEAikYDL5cI73/lO5PN5PPLIIxAEAXq9HhdddBGuvvpqHH/88VXf12r3qR7UajW0Wi3LeqJFhl4/H6V22ORUFovFquyweZ7Hhz/8YVxyySV44oknEI/HYTabceqpp2JychKZTIYNoBNhrFQqKBQK7E83z9EHHniAuSZu3bqVvStsNhscDgcWFxfxxBNP4NFHH2WSynPPPRd//vOf8Ytf/AIPPvggyuUyzj77bLz3ve9lv99o3yl0tN/PYSVI5WheTpoXRF0YsotWchcK6O3cVj8lfuTC2att7TfkhE+lUsFms8FsNq+zzx+GLBYYZ0T1EmMSNcIYJonql215O5B2ZIY1FyY3EehV96UZlEIsSBYQDAbbMlFoB0rZVynkbosOh4PlIXUKJZGoZ555Bt/61reQy+Wg1+urihfKrioWiwgGg9BqtTAajex5RKvR27Ztwyc/+Uls3rwZkUgEBw8ehNvtxo4dO9hnVSoVltVE149Wq23afWoECiculUrsD32X3DihG2g0Gni9XmY8QbMyUjmT0+nEO97xjqrfI0kjXc8cx4HnebZ9hUIBmUymqxywhx56CLFYDG63e11HVKvVYmJiArFYDD//+c+r5tLUajUuuOACHH/88eA4Dscdd1zDfbfZbAgGg1W5WvXmhHoFJUnlKC8oFotVdWFGAf0gJv2Q+Em3U8mdPQI9b+T3HdnnE/EmWSxJ/AYx30cYk6jeYUyiFAgl25z327a8XUiduQZNoroNze0GSpgHq2WiMDU11XN5oZLIRblcZt0n4FjRMD093ZLLXDMoaT+///3vo1AorOsEUQdKq9Uyw5RwOAyNRgOe5yEIArRaLbZs2YJPfepT2Lx5M4BjnVmHw1F1bwiCgFgsxrJ/aJZMq9XCbrd3VVTQNkejUab9J7lfPeOJTiGflWkmZ8pms1X3rdSYQ2qWk8lkmCSyHZRKJSwvL0MQhLokzGw2IxqNYnFxcd2/tSOX0+v1mJ2drcrVqjUn1EsMU85XCxRWKu3CAMfOQzab7YupUi/QT7OGXkr8RknKBzTfXp1Oh02bNlVJghcXF2Gz2eByuQayn1S/AWM5X7cYkygFo1kxNWgSNQjb8nah0WhQKBQGSiYqlQoikQhCoVBXobndYJjdmV7L2JpBuq/DlG1ms1n4/X4mw+r19a8kEvX000+jWCwy0iOf7+B5nj0HyGiCZjPn5ubwgQ98AOeddx77efm+5XI5RCIRCILAwoVpfoqMISYmJjqeq8zlclhdXWXnym63w2azAUBfjCdoVoaypaRyJq/XW+V4R6RRnsdEILJH0sZ2ry/pbFq936fvb7Tg0Y4hijxXi+aEvF5vz0mEEuR8tUBdmFgsVmVxbbFYanYEh41+mzU0kvi1krcm304ldB5bQSukj2SxJPGLxWJIJBJM4tfvWkLaiRq783UHZd3VYwConolqhEGRqEHalreLQZtL9DI0txsMy+a8HzK2ZpDeD8MgUeVyGcFgkL14yFyg11a+SiBR1Gmm8wusJ1BS9zGO4/Dd736XdWQ9Hg9OOOGEhueoVCohFosxRzqe59n1Q3NBoigikUi0bYlfLpcRiUTYuaLC1mg0so611HhCakrRC9D3pdNphMNh5PN5LC0tNVxllu9ft9e3Wq3GG9/4Rvj9fiQSCTajIwVZzJ9yyinr/q3T6086JxQMBlEoFPpCIpTWiZKDFh44jkOpVEIqlWJdmF7KnLvFoMhJLYnf8vJyyxK/jdaJkkKtVsPtdjOJXzabRSgUYhK/fuUDSp+PtLg0RmcYkygFQilyvnq25Z1k/fQLg5K19SM0txvQfg+qE1Vr/3slY2sFUhI1SMizvlwuFzweT9/CPYHhkShpp+11r3sdDh8+zFz3CHQOyH1y06ZNKBaL2LJlC5xOZ9PCoVKpIJPJsGBX+bwVkSpBEFjIb6vdKHn3yel0wu12s8+vZTxBf9oxsGgFZrMZRqORyQkTiQTLlqKZrXrnWVrcdrpNl156Kf74xz9iaWkJHMexfBpy0EylUtiyZQsuvfTSdb/bLUmRzglFo9GekwglzUTVAm2fTqeDx+NBKBRCJpNhgb39LI7bwaA7PJ1K/EYlI4rQCemjhTlafKE8OavVCpfL1fNFWpI4KzEEeNQwJlEKR6OV936SKLnbmslkwtTU1NDzDeQYBImSyxgnJibg8XiGujI2yE6UnEhMTEzA6/UO9OE7aAORUqmEYDDIXjaD6L4Oi0TV6rR94hOfwG9+8xtks1loNBpwHMcIVLlcZuTmPe95D0RRZNssDd+Vgv69VCohn88za/5a1xDNBpVKpaosqkbbL+0+abVaTE5O1j1XcuMJKSmUS/xisRj27t2Lxx57DJlMhoXVnnPOOTUL4UqlAlEUmX2w2WxmMrdcLodAIFAVFlzr94vFIjiO62geinDGGWfgPe95D+69914Eg0GEw2FotVqIogitVovZ2Vl8/OMfrwrdlW4D0F2nh3K1yHSjlyRC6Z0oKTmhxaZ0Os3MR/pZHHe6nYNCJxK/UcqIAl6txzqR4VKmXDQaZSG96XS6511MelaOTSW6x5hEKRCdyPl6JXOSh4YO2ra8XUgHsXuNfofmdoNBdKKGQSTqYZAzYKlUqiorh7qv/S42hkGi6nXa5ubmcM011+CHP/whcrkc64pQmK1Wq8VJJ52EK6+8khXnuVwOgiBUFchkM07nrVAoIBKJgOO4njxPmnWfaoHIE3W95GSKjCeeffZZ/OM//iNWVlZYQLBWq8Uf//hH/OQnP8G//Mu/YHp6mn2uKIqIxWJslgl4tZB2uVzI5/OIRCJsWwn07KJjSx2zbrq8KpUKN954IzZv3ox7770Xi4uLbPvn5uZw1VVX4fzzz6/5u70kKXIpF5GIbobolT4jU2v7pJ1JaXE8iPmXdrZzUGhH4reR5Xy1oFarmfV5OBxmCxAk8evF+5dI1NhUonuMSZRC0UpQHxU2vVqhV4JtebvoVyeKZIz9DM3tBv0mFalUCn6/nxV4gyIS9TAIglEqlbC6uspm//R6PTZt2jQw69lBkih596kWQb7jjjvgcrnwb//2b0ilUsweXK/X421vexs+//nPs2PDcRyKxSKy2SwjUdLcJ41Gg6mpKYTDYRQKBTaPVKsbRd0unufrOl22230CjnXXU6kUs1MnEmU0GqHX69liVKlUwtLSEj73uc/hpZdegkqlgsvlglarRS6XQygUQjqdxs0334xvf/vbMBgMEEURkUiEyRTpuZRKpfDII4/g4YcfRiAQAM/z2LlzJ8455xyceOKJVfujUqmg1Wqh1WpbkkY2g0qlwuWXX47LLrsMBw4cQDqdhtPpxNzcXMN3S687PSqVipEI6RA9yRtJatgqRqUTJd8+aXEsn3/xer0DtbiWmvQM853WisRP6aRZCnp+AN2TPrnEr91Zskag5+bYVKJ7jEmUQtHOXFQ+n+/K4rvWvMvk5OTQbMvbQa+NJeShuUajEVNTUwN9wbWCfpHHYrGI1dVVJBIJAP0PDW4V/SaNyWQSKysrjDR7PJ660rR+YVAkSt59qkeQNRoNbrnlFnziE5/APffcA7/fD6fTibPOOgtTU1NVP6tWqxk5ou4TdXfos2w2GywWC9bW1hCJRFAul5FKpWAwGBhZojBf6sbUKhRosUfqEtqM4OdyOcRiMSYllAYH5/N5mEwmWK1Wtu33338/lpaWoNFoMDMzw86NTqeD1WrFK6+8gkOHDuF3v/sd3v72tyMej7Pt0Wq1UKlUiMfj+MIXvoADBw4glUqx/Zqfn8fjjz+Od77znbj66quZqyFJeej3ewW1Wo1du3a1/PP9Iik0RE8kguSNtMLeqlRc6SSq2cxWrfmXQVtcK4mYNJP4jVInSkqge3VsaQGCZgylRNNut7f9PWTsA4zlfL3AmEQpHM1kelIS1cm8khLnfdpBr8jEsEJzO0WvSUWlUmFEgqROXq8XExMTitj/fpEoOWk0Go2Ynp4eyuxfv0mUXJ6p1+sxPT3ddD7Fbrfj3e9+N+sy1Zt5ou45dZ9IDiydM6JC2mg0wu/3o1QqMRmgVqtlkQE6nW6dzKmT7hPtdzwehyAI0Gg0bB9o1ZjkdTqdjs0h7d+/H/F4HLOzs1X7R/vgcrkQi8Xwm9/8Bnv27GEdKCkB+spXvoLnnnsO6XSaFchqtRrpdBqrq6u4//774fP5cP7557M5KupGDRP9JimUk5NMJhGJRJDL5bCwsACHw9HSoLtSLc4JrRAU6fyLvDtH3ap+7p+SSBShnsSP3vGjUJPUC9rtFtIZQynRpAWIdpxipUHfYzlf9xiTKIWiFTkf0Lm5hJJty9tBL0jUMENzO0UvjSUKhQJWVlaQSqUAKNNEpNcEo5bzpM/nGypp7ieJSqfT8Pv9bM6LOm2tFFHUFRJFEcVicV13SGrMQPJiAMyQohZMJhO2bNmCUCiEVCrFDBl0Oh1MJhPsdnvV93TSfZL+Lm0fz/NV4ba0fYVCAZlMBgaDgf1/qVSq6sBKiZTBYEAoFMLa2hojjZR1BQCHDx/GX/7yF6RSqaoIAI1GA4fDAY7jEIvF8POf/xxXX301W10m84VBy7ukGESnR6VSwWazwWw2s2IwFoshlUrB4/E0nAdTujtfOwSlVncuGAyyLky/nsFKJFEEucSP3nGZTAYmk0mx5Bno//yWlGiSxM/v98NkMsHj8bQk8ZNmRI07Ud1jTKJGHO2SqFGwLW8H3RhLKCE0t1P0wliiVvdNqSYivexE1SKN09PTQyfN/SBRcnOUVrtPcpjNZgiCwLo2ZAyRzWZx33334f7778fCwgJ4nsdpp52Ga6+9FhdeeGHDz9Rqtdi0aRMEQWAObuT0ZzQawfN8x90nKSjctp6ZhUajQT6fZ2RIp9OxjhI55RHo3OTzeXAcV7fYf/TRR5HJZGA0GqHRaNYpCsxmM6LRKPx+P15++WW86U1vqnJwW1xchN1ux8TExEiswHcKjUYDr9fLSAQtaNUrCqUxB0p7RhE66ZRRdy6VSjEnx4WFhb5dA0omUUC1xG9+fp7VLblcrmfmCv3AoKSHJpOJSfzW1taQyWQwPz8Ph8MBp9PZ8LyOSVRvMSZRCkU/sqJGxba8HXTaiZKHxtrtdvh8PsWlytdDt6RC3n2zWCyYmppSrIlIL0gUZeSsrq4qkjT2mkRJXQa7XSwxGAywWq1IJpMoFArI5XJIJBL49Kc/jYMHD7JZIwDYt28fHnvsMVxxxRW48847mxZqer0es7OzyGQyCAQCyOfzWFxchMlkYuQGaK/7JEWzkGZ5mLNGo8Hpp5+OpaUlrK2tYXJysqp4JwdTu92O008/HVqtFmq1usolNZlMMhdD+XfRf3meR7FYZFJSufkC5TlRZ2ZQ1+gwSIrBYMDs7CzrPlBRKM8Qkt4bSrhna6HTTplKpYLVamUSv3g8zq6BTgw4GmFUspd4nodarWaLIL00V+gHBjm/pVKp4HQ6mcQvlUohGo2yLma9rp2URI3lfN1jNCrG1yDoxd6saGyFRMltyzUaDXw+n6LS0zuFtCPTis270kJzO0Wn5LHWtTAK3bduSRTJHpRMGntFouQug70wByH5lVarRTqdhiAIuPHGG/Hiiy+iUChAr9eD53lUKhUUCgWk02n8z//8D7Zt24brr7++pe8wmUzYunUrM56gc6XRaDA9Pd2W7l8KcgAslUo1ixvpqjz9+2WXXYbf/va3OHLkCACwTB/qmpXLZfh8PrztbW8Dx3HQ6XQoFousc2Wz2aDRaFAoFKpIifQc5/N52Gw2OBwOti1SeVcwGIQgCFhdXYXRaITH4xlIt3RYnR6VSgWHw8EMBlKp1LoMIaVL+YDuuzwajQYej4d15wRBYAYcXq+3J9fAKGUv0baSxE9urqCURTBgOHbsHMexdzjNkq2srNR9ZlDtA4zd+XqBMYkacTQjUfKOi81mw+Tk5Mh0XJpBGsxJq1X1MOomGlJ0QioEQYDf72cW9qN0LXRKMCqVCqLRKILBILOeVipp7AWJklrT99plUKVSQa/Xg+M4PPfcc3jyySeRz+dhNpvZPaRSqViYbDabxX/8x3/gox/9aMuFnyiKzBmTUCqVWLZUJ11zo9GITCYDQRDWWaoT6ZOH277+9a/HjTfeiDvvvBOhUAiHDx8GcOy+czgcmJ6exhe+8AVYLBY8+eST+N3vfodAIACDwYDTTjsNu3fvxv/+7/8iEAjAbDaD5/mq50w6nQbHcZiZmcGOHTvWbbNOp8PMzAwSiQQikQiy2SwWFhbgdDrhcDj6SiJqkaiFhQUWFLxz586+kjkqColEUPfBYrEwwqm0e1eKXknl9Ho9ZmZmkEwmmcSPJFutGHAMYhv7DeniqEajqWmu0Ciod9AYppOg0WismiXLZrM1rxfqRBkMho4XpsZ4Fcqvnl6j6FbOt1E6Lq2gGYlScmhup5CSqGYduHK5jHA4jHA4DODYNTM9PT1S10InpFEURfj9fmSzWQDHcs+mpqYUSxq7IVFyoxiDwYBNmzb1VKorzX3au3cvCoXCOnJAoGMcCATw5JNP4i1veUvTz5bPPvl8PhSLRQSDQWSzWbzyyitwuVxtF5A8z8NgMKBcLlcRKTLEIOMMeUFx0UUXYevWrfjpT3+Kxx9/nFmhn3vuubjsssug1Wpxww034K9//SuzONdoNNi/fz+2bduG17/+9cjlcgiHw3A4HDCZTMzWPZVKweVy4eKLL65bcKlUKtjtdma+kEwmsba2xown+vX8kpKop59+Gt/73vfw7LPPQhRF1iV517vehWuvvbavZIoMBsjaOZVKse6kktFL98BmBhydGi2MComqJTtsJ6h30Bi2Hbu0m0vPjFgshkgkgiNHjmD37t3MnXXQER4bFcqsJsaoQqMiuRaJkjtxjXLHpRXUk7aRbbeSQ3M7hdQJrVHQcjabhd/vZ4YATqcTXq935K4Fuv5bIVG1JItTU1Ow2Wz93syeoF0SJc+46rU1fa3cJwrfbUQANBoNyuUym/mph2bOe2azGaFQCPF4nBWSk5OTLa+iUmGhUqmYUx89Kyjclhzz5Ni1axd27dqFUqkEQRBgMBhQqVSQyWTwD//wD3j66acRjUbhdDphNpshiiICgQAymQx27tyJU089Fc8//zySySSi0ShUKhWbRb3kkkuwZ8+eptvPcRx8Ph+T+BUKBdaZcbvdPV8UoHP82GOP4a677sLq6iqy2SwLJF5dXcXKygoOHDiA22+/va9FK1k7WywWFlALgBHiYefX1UI/JIeNDDjcbnfbZHZUSFQj2aGcZCtB4kd12LDfr/TMIInft771Lezbt4/NHgJjU4leYUyiFIpOLM4LhQKCweDI25a3i1oOfXIHNqWG5nYDIlG1Zj3K5TKCwWDVyn43cyXDBr3smxEMuWRxlAxDWt1HwiAyrqTdJ2nuE5GcejN5lMGk0+nqvqxbdd6TSjADgQBzr7NarfB6vS2dWyJSZrMZuVyOOZPqdDro9fq6z1qyXifylUgkUCqVsG/fPjz77LOIxWLYunUrdDodKpUKk5zNz89jfn4en/rUp3DllVfi/vvvx/z8PHiex5ve9CZcfvnlsNvtjPi2ApLryDszLperp/LUSqWCdDqNr371q1hYWIDJZMK2bdtY945I76OPPop7770XV111VU++txHo+UWr6pVKZWABtUSg6f3CcRwMBkNdAtJPgkJFMMk8M5kMstls2zLPUTGWaNbZqZefNCyJ37A7UXLQ9XLCCSdg3759yOVyeOmll2CxWBR/7kcFyq8sXsNo5aVI2SSVSgUvv/wyKw56OQuhdEg7UaMWmtsNNBoNisXiuu6MvBPpcrng8XhG+qHZTM5XqVSYZLFSqYykZLEdOV8ikagKRu51xpW0+0THnOM4dq+9+93vxp133olUKlWTxJMj4OzsLE499dR1n99J7pPRaKwynkgmk0in0/B4PC2b5PA833LnpFAoYG1tjQXhShdp9u3bh1gsxo651AqdJG/hcBj79u3D9773PVx88cXsd0ulEgqFAvx+f0vbIUWtzkwoFGISv14Q6EqlgkceeQRra2vgOK7K0VGlUsFoNMLn8yESieCBBx7AlVdeOZBni0qlYtb8JOGmgNpeu9cRstks0ul01XOW5v3MZnPNqIB+d3mkMk8y4CCZZ6vBq6PWiWq2nUqR+CmNRAHHrpdrr70W27dvx5e//GW2uPznP/8Zn/70p3HTTTdhcnJy2Js5slD2HfQaRysvBCpCgGM3sMlkwvbt20c296kTUPEiiiKOHj2KlZUVlMtlWCwWbN++vafSJiVBTixKpRL8fj/m5+eZY9q2bdvg8/kU/7JshkYkKpfL4ciRIyzvy+FwYG5ubqQIFNDxlwEYAAAgAElEQVQaiSoWi1hcXMTS0lLV/d5r+R6RBlqIkM8+bdu2DRdddBEMBgPS6TREUWTEK5fLIZfLwWg04vrrr6/6PeqQzs/PI5/PQ6vVYsuWLfB6vS1doyqVCi6XC8cddxybMQoEAlhYWGCS1V6gVCqx7CpBEFhxRFbn4XAYoijCZDKhUqmwfScFgclkgiAITGZJhDQajSIQCCAcDrPPjMfjbMGjVVBnZnJyEhqNBoIgYGFhAeFwuCdZagcOHEAmk6nb4TKZTCgWi1haWuqIDHYK2jetVovNmzdDr9ezmdfl5eWq92G3yOVySKVSEASBSVdJoprL5ZBMJplhE2GQOVZkwLFp0yZotVpGzCnWoBFGxZ2vXVJCEj96HqbTaczPzyMajfYlxFwOJZIowumnn4577rmn6r24d+9evP3tb8e3v/3tnt47ryWMO1EjgFozUfK5D+BYx8Hr9W5IwtAIVHyRiQbNwFit1g19LKQdOPlczCgHKNdCLYJRyzxlenq6bgDqqKDWy14ekt2PDmuz7pMcd911F+LxOB555BGIoghBEFj+kcViwfXXX4+rr76a/by8+zQxMdHxfKJWq2XOZcFgELlcDq+88kpXnylFMplkxJDynGi+Djh2ralUKmZmQ0QKAIum0Gg0TD6cz+cRi8WQz+dRLperzlkmk0GhUGh7xVylUsFisbBsqXg83hPTATLcIAJd77ul5hyDgnTeiBwM5e51TqezaeBoK99D4c88z1dJRjmOQ7FYRD6fRyaTgU6nW/d8alWO3wtIZZ5ra2stzQaNSieqE1IyLImf1ORJqcc1nU6zEYcTTzwRzz//PHK5HO666y7cd999uPXWW/HmN795yFs5WhiTKAWj3oNYbltOD3WDwbBhiuZWkcvlmOseMFozMN2CHtShUIjNAG3E2S9gfSdKbpgxMTHRcjdDqajXiZLP95lMJkxPT/fUGa3e7FOj42kymfDf//3f+NWvfoUf/ehHeOmll8BxHHbv3o0PfvCDOOWUU9hnh8Nh5gql1WoxNTVVUwrVDqTOZWQ8QbImn8/XMZmmArpUKoHneSYTlj6PTzzxRLz88suIxWLw+Xzs94h4xGIx2Gw2nHbaadBoNMy9j0iZSqVi3QLKjIrFYh0tfEhzhYLBYJXpgMfjaVvKVKlU2DMkk8nUPI60LyaTCV6vt63P7wbyLk8t9zpp4Gin14AoimxBqlYBT1LqQqGAfD7PZJTDIicUvEoZW82Iw6iQqG62s57Ez2KxwOVy9VziJyV8Sq3DpEG7n/jEJ2A2m/HFL34Rhw8fxsLCAj72sY/ht7/97TiEtw1s/EpzhCG/EevZllNS9SBXBIcN+bEAjhGITZs2DXGrBgfpCnAul9vQs1/Aqy9RCpKVmhFs2rRpQ5inyElUpVJBPB5HIBBg3Sefz9dT56l2u09ycByHCy+8EBdeeGHNf6dsNqkbHgXR9gpkPGG327G6ugpRFLG0tASr1doRiZA6EUrNM6THfM+ePdi/fz8WFxcRjUbZPpXLZUSjUcRiMRx33HG45JJLoFarUSgUGCkDqokyx3GsGJcW5O1Cr9evMx2Yn59v262sUqngrW99K/bt28eOo5TwkiTTbrfjvPPOG+i9V88+vJF7XSfXALme0syxHFJ3VKm5yrDJSSPiIHVyHPZ2topeyONqWeWn0+meu/gpWcpHkJIot9uNk046Cffffz/uuecefOMb32BRDGO0jjGJGhE0si0n29fXComSHwuLxYJUKrUhyUMtUCI5nXeaK+lnZsuwQedWEATWddsIhhlSSEmUvPtkNpsxNTXV03NcKpWYbKvV7lOroFklqb05FZ3JZJI5ivVSemkwGLB161ZEo1GEw+GOjCfkkEqppf8/OzuLq666Cv/1X/+FcDiMtbU1aLValEolth0f+chHcNJJJyGTybCCvJYDIxXkpVIJoih2ZQ5Ry3SAOhJer7el7l+lUsHmzZvxjne8Az//+c/ZgL7RaESxWEQ8Hmf7eM0113S8rZ2gmX04uZGRHX6nRLLVbZGrRQY1D9UM9TK2JiYmYLfbR86dr9vtHITEb9RIFLmm8jyPa6+9Ftdcc03drM0x6mN8tBQM0txLixG9Xo+pqamqm55W2TY6iaIuhNzCXaVSMZewjYxKpYJoNIpgMMjmKiqVCqxW64YmUKVSiXUcK5UK9Ho9pqenu5aDKQ3SLKzDhw+zwpu6LErpPjVDNputGm6nMFuaoSGyEI1GwfN8Ty3ZVSoVc6+jvCZ6fvp8vpZkrhqNBjzPM3mj1AWTCudKpYK3ve1tmJqawi9+8QscPHgQ5XIZer0eO3fuxBVXXIGzzjoLQHVhLSVLhH4MvJPpAHVm8vk86yq53e6G55q251Of+hTMZjN+9rOfIR6PI5vNQq1WY2pqCtu3b8f/+3//D1NTUz3fdimKxSJyuVzDrqAcUjv7TgtmrVYLjUYDURTrziSXSiWWM0ZQUodHShzIJCUcDiORSPQly6of6LUBRj8lfqNKoggqlWpMoDrA+IgpHMlkEolEoqFtea3A3Y2EZqG5NKi+kUmUKIrw+/2s+2S1WqHT6Zil90ZFKpWC3+9n17ZarcZxxx2n+Jd/J6B9JIcvi8WCqampnmr3+919ks4+EWmQDt7T3xUKBRQKBSSTyb7o78l4IpVKMeOJo0ePtmSlrlKpWHBuoVBgBTXtI/BqF+Lkk0/GG9/4RiSTSWg0GrhcrnV2wTQDVS6X2WfS92i1WlaQ95LIEqgjEY1G2awQWYJLjXekRI/+n+d53HjjjXjf+96HX/7yl1hZWYHBYMDu3buxe/duAGDOdVKi3AuUy2XE43GW6UXHux3nwXoFs9VqhcvlalgwchwHnU6HYrEIURSr9q1cLiOfz7MFALn7JKAsckKGO+l0mh0HgtI7D/0iJv2Q+I0SidroC6+DhHLvnjHYipooipiYmKi7YruRSVSt0Fx5oKjUpW6joVb+EQWPUrG6Efe7WCwiEAiwrqNer2fub0oqUHoBabYZYdOmTT0NUB1E92l1dZUVaHq9HsVise654jiOhdg2coHrBiqVClarFSaTCeFwuKpg8nq9DS3wLRYLBEFgznnSOTWScGk0Gmi1WpZJVu/5TD9DHRXaf5L/UcerXC4zs4JeEVvgWEHvcrmY8UQul0MwGEQikYDNZmOzWJVKhXXg6PgBwOTkJD74wQ+yz6MwXiI4dP40Gg2MRmPHroDSz19bW2MBxzSXRAsAAOp2iGpBXjATkWwWUmyxWFjXUBTFKsLJcRz0ev26a6jezJYSQJLMSCTCnqvz8/M9D2vuJfpJSnst8aNrcxRIVL0A9DHax5hEKRxqtZrNPtUD/dtGIlG1QnPrDdVLVwj7VZANA3IXRrvdznJhAKxbHd8oICtv6jp6vV7Y7Xb87W9/23Bdt3w+D7/fj0wmU/X3vSxqpN0nAKxA70f3ied5mEwmJq+VBtFyHFfVkZJ+Rj/vWY1GA5/PB5vNxownSMLj9Xprdvqo263ValnYaqVSgVarhUqlgk6nY38auaKSBDeZTFZtj1qthiAIrOtIv59KpSCKIqxW67psrm5BJiypVAqhUAiCIEAQhKpjn8/nqzKxau0PzbTl83lGcMgYg0hVN8G32WwWgiCgWCyy4y39/mKxyDpErbqQNgop9ng8NT9Ho9HA4XBUZYXRIo7BYIDRaFx33SpdJqdWq2Gz2RCPx1lnLxQKIZFIwOv1Ks7VdRDdnV5J/EapEzUmUb3DmEQpGLTi2exlJO1Etbo6p2TIpWvNZE20Kkwr7Up9gbWKVvOPGgXQjiKKxSJWVlZYwSntOlJxIp1LGWXI59so22xpaYn9e7f7WKv7xPN8z+4PeffJbrez7Byp7I2MMsrlMiuKpQX6oO5XufEEDdu73e6aizNk0mC1Wlnng7pPrZwbMoAhIxSTycSkjNT5kXa0NBoN8vk8RFFEKpWC1Wpl10avjhF158rlMtbW1qquDdovWoxLpVLrnjnUPaSgZGnBWCqVkM/nq0hmJ8hkMox41zonwLFrO5PJtF30U0gxSdsEQcDi4iLsdjsmJibWFcBqtRoWiwUmk4kVybW2i6BEOZ8c0vPtdDpZcPTi4iJsNhtcLpciiIA0e20Qx7Nbid8okaixhXnvMCZRGwD0kqVOjJJv4kaQS9faCc3lOI4VjL3OfxgkMpkM/H5/VSBpvU7kRpExthIkS4sJ8pX7UQTZL1P3yWq1YmpqChzHYXl5uSfdtkF2n7RaLSYnJ1mYLMmdpIs6NPdDM0bFYpHJvwZZcJLxhNVqRSAQQDqdZtK2ycnJmkU5dR5aBXXRQ6EQe45NTk7CYrGgUqkgGAyyrgZJ/eh61mg0yOVyKBQKKBaLLOiXzl0vjlWlUqly9iS5InWWCLlcDoIgVB0T2rZaXTKNRsPOey6X64hESQl3ree4VFZJssN2USukOB6PI5VKwe121+yitXrsR4FESYt9Iohra2uIxWJIJBJM6jjssHrpsRzUdnQj8RslEjXuRPUOYxKlcLT68KCMEipORg21pGvthOaOOqEolUoIBoOsMCXnwUYP7Y3QiWonSFZKokYRNOsRDAarFglsNhv7mW73cdDdp4mJCbhcLjY3Qt0m2hbqHAKvOpoR0dLpdA3nkvoJnueZ8UQgEIAgCC0bTzRCPp/H6upqlQGM1+utyuchQ49aMkCVSgWe59mzXKfTseNYLpfBcVzX51IeJMtxHPL5fBXpBo49k0KhEHO6I9me9BzLQY52nRKcdtBtYU0hxTabDcFgEIIgIBAIsIK5k8F7Jc9EEeSOd2q1mhmNUHA7LSx4vd6eume2g2GSkk4kftJOpRKRzWar4kHG6A2UebbHYJCuwDd6MFNgI714RwUU3EgrJPWka80wyiQqlUpVWUK73e6WCjlpAO2ooZ2ZN8Iod1vlElWbzYbJycl1L1y5W1o7GGT3SafTYXJyknVoyCBCo9GwfaCuhFTaRz+j1+vhdDqH/qyijkQkEqlysPP5fG0RPApGDoVCbB99Ph+sVmvVz0nlqI2uc7qneZ6vCv/tRVdKvg1y63bpPuXzeZbBR8/kfi5iUHeOwonl94d05qhXigOdToeZmRkkk0mEw2Fks1ksLCzA4XDA6XS2dZyVPhMF1O+W6XQ6NjMXDochCAIWFhbqSh37DSVkWbUj8VN6J6qRvfkYnWNMojYIRtGhr1aAsNfr7eihOYrmGsViEaurqywDzGAwYHp6umWd/6gaS8jNFFq18h7Fzpu8+8RxHJOo1kInJErefaK8j353n6SfX0tmSYUubRdJNc1mMyYmJhQju9VoNPB6vUziJwgCC5j1+XxNt7NQKGB1dbXqeq7XRZeG7dZbGKNzKCVLGo2mysWPiFonBRsRXSJP0q4lLVRItyWbzUKlUsFoNDIZXz1rbDrH3Zxbk8kEURSZvJBIZbFYrDK9oH2QHlPpsWnn+lepVLDZbCxbKplMsqLZ7Xa3vKg3CnK+RtsodbRsVerY7+0cNilpReI36PmtTjAmUf3BmEQpHK0+sEaJRNWyr+42PHWUOlHkcLWyssJmI7xeLyYmJtp6QUlJxSjMCdUyUyC79la2vZsuzTAgCAL8fj+TULQiUW13H4fZfZKCSJuc4BKhI9LA8zwcDodiCJQUBoMBW7ZsQSwWY8XSK6+8Utd4gmb5pNczdbDqXc8ajQY6na5q5kn+meRKJ+3SUd4WzZVJyU+7hEGr1bJZKymBknfHqDNVLpeRSqXAcRzMZjMEQagiOASpBLGbZzk530nno2pJXKn7abfbIYoistls1RyeXq+HyWRad4yLxSIEQagyC9HpdNDr9VVOjsFgkJmDmM1muN3uptet0gtpoLVtJKkjSfxI6phIJODxeAbSQVZaZ6eRxM/hcABAVSdeaZCSqLGxRO8wJlEjgmZF1SiQqFrkoV6AcLsYFRLVSu5Vq6DV6lGQuNUKCyYzhVYxKp2oSqWCSCTCjAUoR6gVeVirJEoJ3ScpzGYzYrEYI3TyLhXd73q9XtEhjyqVCk6nExaLBcFgkIX1JhIJ+Hw+Rg7k3Sez2VxTnlkL1GkRRREAqualCoUCC66tZ7tNDnpELtqV+JGxgtQlkP5eet3xPA+e5xlZicViEAQBBoMBlUoFoiiy76RnEG13N+eY8hE5jkMsFqtaIKDnO5mTUPAtHQe6F4iAiaIIu93Onq+CICCZTDICSfNpgiAgl8vBbrdDo9HAYDAwGdfa2hrS6TQymUxTp7ZRmIlqRyan1+urpI65XI5JHScmJvpKFpUg56uFehI/QHnbKsW4E9UfjEmUwiGdiWoEpZOoXpKHWqD9VyqJ6mQGqBUonUTVIhSN5GyNMAokSt59cjgc8Pl8LZ+bVkiUUrpPUmg0GthsNsRiMUYE5DN71IVSWoFZKBSQTCZZGC7P87BYLMwKmyR+8/PzcDgc0Ol0bPaJ7uN2nMz0ej2sViuSySQKhQK7VshUQqvVNp0N1Gq1jHR1YjxBlt3xeJyREPl9pdVqWQeMsplyuRxyuRxMJhP0ej0jImTTTjbp8XicmWfwPN/2OVepVDCZTMhms8ypT61WI5PJsO/TaDTIZrMoFApVx05OohKJBFwuF0qlEpLJJCN/9LPS45hIJNixlxJquYzL6/XWvCdGeSaqHqRSx0gkgkQigVgsViV17Mc9rRQ5Xy3UkvgBx+qvbDbbdlDvIEAkSq1Ww+l0DnlrNg7GJErhGHU5n1zC1SvyIIeSO1FyS+tWZ4BagUajYauqSpNI1ZKzScOC24WS5Xxye36SfrTrPtdoHwfRfZIanDTrPslht9uZxI22U+oA53K5upJ59QO0wk4kBDh2DtLpNIxGI3w+H7Zt28aIZSwWY79rNBo7vo/NZjN4nkc2m62SlZGUrZV7RDpzJjWeoGPe6LxRYazT6ZhsjWavqPsotabX6XTwer1IJpNIJpPIZDLgOK6qy5PL5ZDNZtm20HHU6XRwOBxt3/f5fJ4RQ+ps1TJZKpVKzCpeun88zzPXQUEQUCgUqjp9BDpWNIclD/GtJeNaWlqC1WqFy+Wq+t5RkvO1ez6ks4OhUAiiKGJ1dRVGo7FjN8NGUJqcrxbo2giHw4jFYqhUKh0F9Q4CRKI6uRfHqI8xiRohNJp7USKJajc0txso0ViilqV1OzNArUCJ3ZlyuYxIJNI1oZBDifsKrLfnb7f7JEU9EqXE7lOtbaeV+3Q6zYJX9Xo9zGaz4grLbDaLcDjMOhmUR0OzPVQ0k4xPPvPV7T1MgbRSOV27kBpPSCVqFHXR7BrU6/Ww2+1IpVKMYBDo84h0EMGjIjqfzyMSicBsNjNrc/peOlaiKLJtalf+Veu4yKWHUst4OYhMEokisqnVapHP56vkYnSsisXiOhJFIBkXkelkMskyleiZPkokqtNtNBgMmJ2dRSKRQCQS6crNsJ/bOUhQ/aXT6ZijZTtBvYPAOCOqPxiTqBHAqMn55EU0x3GYnJzsa3if0jpR8i5MPUvrbqE0m/NcLofl5WU27+F0OuH1enuy8qU0EkXkIxwOA+jcnl8KOYlSevepFki2p3TQDBcV0QQiAcViEel0GgsLC2whyGg0MueyTCaDI0eOwO12VwVDt4tePBOJ6HRiPGGxWFhHTPr+EASBfa504cdoNK6bF6JtkGZfSTOoaObIZDK1vE9yF8Faxh709/X2T3o/0b1EeWby32/l+aJWq1kQbSgUQjabRSgUYk5t3RDiQaEX5ESlUsFutzOJn9TN0OVy9ST/bRQ6UQTaVpPJxDpT7QT1DgJEosamEr3FmEQpHKTNbvZQlpKoYTq1ZbNZ+P1+VkS3G5rbKZRCoqiwjkQiXc8AtQKl2JyXy2WEQiFEIhEAx+YppqamuiIUcihJzifvPvWKLEr3sd/dp1AoxORpnXafRhWFQgGCILAOsRz0zCUJGDlo2u12JoUj44lQKIREIqGI40fEo51sKXpWE5mgZ6j03VPLdZG6jsvLy2ymKJfLQa/Xs+8h0l8qlZDNZtsiUSTRk2ZGSUlKK896kpCTTJFkm1LiLLVGp25kK9tGM3PkXre4uMh+V8ndk152eDiOg8/ng8lkYl3d1dVVrK2twel0wmQydfxMVKqxRC1ICV8nQb2DwLgT1R+MSdQGAb08pYGWg0SvQnM7hdRYYlgkUk4ge9mFqQcldKIymQz8fn+Vk1uneV+NoIROlLz7RMVUO8VhI9B1WygUqq6bfnef3G63olfPew3pYlOt/ZaSV47jsHnz5qqZD57nsWnTJmY8IYoiM55wu91DXT1vJ1uqUqkglUohn89Dp9NBo9EgmUwCeNV8olAoIJ1OMyMGKXieh16vZ10eypXieZ7NSqnVambp3g5UqmMBv9LuEYGIlVarZQsOcgIkt1zPZrPsnEuPAf1Ora5ks+2jsGbKVCKSl8lk+qq86Aa9JifkNGk0GpHL5ZjrYyAQ6GpeSsnGEnLU6pq1E9Tbb9BoATAmUb3GmESNAKSr041uPFq1I136oJBKpaqKMpfLBY/HM9AVJKmL4aBJlJxA9rqwboRhdqJKpRJCoRDbb51Oh+np6b7JFoZNouQkuV9kEXhVltuOdXUzvNa7T1JIA1qlzwsqvAnUdapXBJrNZhx33HGIRCJYW1tjszLN8qIGATKeoH2qJfETBIGZUUg7PfT7KpUKoiiyjlyt40DXJ+Vf0R8iMPSM6uRYEEEjW3f5vhkMBuRyOVbIcxxXNddGJI/2RWqWIb0Gupl1o0wli8WCpaUlAGBdyn4YLnSDXofCkuSVHA/NZjMqlQoymQwKhQKy2SwWFxfh8/naXlAdRTmfXHFTy8VvGBI/svUHxnK+XmNMokYArcj5gGoSNYgwvH6E5nYK6RAxhVUOAul0Gn6/f2gEcljEQr7fbrcbbre7r/stLXQHiVpSxV6TZFrJp338/+y9eYwkZ30+/vR9Vt93z+zMXgayijic2AlHwFyGSMFxOMwhJFBAcv6BQMBEHAnf2EqkRLlIUKIkBFAUCAFjIDHaADHCa8kYAiSEOBHeY3Z2ps/p6aP6vur3x/w+775d03dXdVfP1iNZCbuz01XVVW+9n8/zfJ6HLOEXmbXhIWefQqGQIvls6wqy4+aZa559Am5K0SZt/oxGIwsmzWQyTOrpcrkQi8VWuonmi/Bh2VJUgFAxycvw6P+SxG8U2221Wplxg81mg8ViQbPZRL/fZ3NVvMPeLCCrc7vdjlqtxhxOPR4PHA4HTCYT7HY7SqUSW/vpvClA1+v1svPlM7noZ/mZKHkhOQvk4ciLGC70+33UajXY7XZF5V+8HFKJtZpcD+WOhx6PB+12G9VqFf1+H6lUCoIgIBwOTyXtp0IXWD853zCsWuLHZ0QFg0FVP+tWg15EnSAsy1yCbIzT6bTiobmLgHeoUhu9Xg+ZTIZ19VdVQC57FmyV5813jpeFer2Ovb09JlVUo0jmZ58CgQDbQOVyOYiiiHg8PndTRGefhoMYpna7zQpLAl9IkBRsGtjtdmxtbaFUKiGXy6FWq+Hq1ausE03zV8SWLBO88QQVG6VSCfV6nb0viPEBBp+xScw+5Tm1Wi3WzHI6nWi322wOiazG51UJ0O+k/58vbK1WK0KhEGOj6DpTAcLPdhkMBnYd+DWTiqdpG5bDwMvPtra2jhkuRCKRiY2XXC6HL37xi/jGN76BSqUCk8mE22+/Hb/2a7+GO++8c67jGnaMSq1f9L0OW5+sVis8Hg/L8hJFkQUW02zhKChd7KmNaVmzVUn8yHkV0OV8SkMvotYAWsqKarfbSKfTqoXmLoJlFRSVSgWpVIrJYIiFWUUBuUwmShRF7O/vr+y8l3mucommGlJF6obz96vdbsfm5iZEUUQ2m0Wj0WAb8Vld83T2aTzIZY8Hyb0oPDYWi810vQwGA/x+PwRBQCaTgSiKTOpHRgk2m40xKcsGrZGlUomxR3z2El88keSN5H+jmCTaLFN4MLE+FJJLLCsVbfPKmMY535Ez4Khrajab2VyhJEnHzkWSJLRaLWY7Pw/4AoUMF3g7+P39fbjdboTD4aHMw09/+lN86EMfwrVr11AsFhlLur+/jyeffBLvfOc78c53vnOuYxt2jIuC2MtxhTEZLQiCgFarhVqthnw+j3K5PDKwGFgvKR/Pmk2b77ZsiR+pKABdzqc09CJqDTDKIUkONYuoZYXmLgLeXEINdLtdpFIpNnjtcDiQTCaHZoosC8swluh2u0in0yiXywBWd97LkvPJjTLUZp+A47NPHo8HLpcLuVwOpVIJhUIBoigyJ6xxGMY+JRKJld6nWkOtVmONEAADeU0mkwmCIMDr9c7tKmoymeByuVh4K23SiZ1ptVqs2FILoza3vV6P5STRHNMwQx4K4KXCb9wGURAEmEwmVKtVZrFuMBwF3tKcDC9jGhZUOwl8GPKsMBgMcDgcLAeKnFPp95KRizy0dxYMO75hdvC1Wg2hUGiAjWk2m/jIRz6Cp59+Gv1+HxsbG3A4HOj1eigWi9jZ2cHf//3f49y5c3jJS14y1/Hxx6jEWkYsEV+ED/s8ksWGQiFUq1Xk8/mxgcVKH6fa4I91lntzmRI/vlmkM1HKQi+iThDUKqKWGZq7CNRioiRJQqlUQiaTYd3ZaDSq2LzKIlDbWKJcLiOVSrGOdTQaRTAYXCnrppacr9/vI5PJDITO0mZGyc/gQ1HH5T7x4czpdBrtdhu7u7vwer0jXR9rtRrS6bTOPo0AMYz8HGcikYDNZhsIbl30ejWbTYiiiF6vB4fDwRgaSZKYY16xWFRs5oXmnNrtNhqNxoB9u9vtHrCaJgkfsTNkHkEOfoRutwu73Q673T5Vsed0OlmhQo02XrrIy5goqDYcDk/tYkfP/bwba7vdzt4NZHFPv4/cBBdx1Bt1fLwdPDEPcjbm29/+NnZ3d9Fut3H69Gn2O0wmE3t+c7kcvvSlLy1URCk9Z0TBxWQ6wl87ujBJIPUAACAASURBVNd5p0a32w2n0zk2sJg/znVgohY91mVI/HjXZK/Xu/Dv03ETehG1BliVnG9UaK5WH0JaxJQsItvtNlKpFAuUdLlcSCaTmnFcUkviRnkfxLppQbapppxvGUYZfBAqMH3uk9PpxOnTp1EoFFAoFFAul1GtVhGNRtmmT2efJkNeYIbD4YGGgJLfNTEyZrOZ2YqT0QLJ2xqNBsrl8kKd4X6/j0qlAlEUmTwPuKleMBqNaLVarGCxWCysgKf1kuaH5PNhRqMRdrsdfr9/JtvvUUUhyZgEQWBBtdlslhUTk9aWRYNsyTKdjDCIaabzt9vtC21YJ7EnxDxQthTPxly6dAmlUmmkAYXf70c+n8ePf/xjFAqFuc0BlGZ47HY7Wq0WYzepIUTsHjWJ5KYbwwKL6T7gi911YKJov7FIwcdL/GieUkmJHxVRq2qAnmToRdSaYZz+WMkiSm7n7Pf7EYvFNN0ZUpKJGiZfjMfjEwdilw2l2Tcts25qFFG9Xg/ZbJaxT2oYZczCPo2C0WhkXftMJsPmncrlMrxeLwu6BHT2SY5lF5iSJLFiiS8ojEYjnE4nOp0OY34ob2yezQ0xp5TNIzeDoMwjKtgODg7YjBcV3jyTzf8OKoacTqfi9xG5W4qiiHw+j2azyVzsgsHgyOdi0SKK/3w1mmDTFig8G0OsXCaTGWkhT7+TDy1etIhS6j1O0lcAzNqel3KazWZ4PJ6h14QPLM7n82i1Woxpp+ug5f0GQUnWjPI1lZb46RlR6kEvotYAfL7FOChRRMmzf6xWKxKJxNJCcxeBUgWFXL7o8XgQj8c1J18ElC0s5Kyb2+1GIpHQDOs2zDlsEfDsk1pGGfOyT6Ngs9lw6tSpAQc4sn2mTYnOPt3EKs01Rt2nFouFZTRJksSkXfF4fKaO8+HhIer1+jFzCPpskvjZbDY2j9VoNOBwOBhTZjKZ2JwWMJgtZbFYmOMpue4pxQwYDAY293dwcIByuYxischc7Ia9bxaZiVoGZinyeDYmm83C6/XCZDJBFMWhhSvJQa1WK/x+/9zHqMaskcVigc/nY+we3Ydk0jHuswyGo8BiMnkpFosol8uqsMNqQQ3podISP2oS6qYSykMvotYE01iv8kXUPFayWgjNXQSLGktIkoSDgwOW0aN1+SKgTOEoSRKKxSIymYymWTelCsZhNu0bGxuKFh9KsE+jQDbNJJvh/3yZ9u9aBrE8/Hzbsqzd+YKDZ3t4SJLEgmBp83n9+nV4vV5EIpGJ5ga9Xg/VahW9Xo+xTfznE9NEc15msxndbpexGFarFZ1OB7Vajd0zxBxQ4eXxeBj7QQUZSROnQbfbZe8Sfv6Kh8lkQjQahdfrRTabRavVQiqVgsvlQiQSGWhcLToTpTbmKVCsVis2NjbwK7/yK7h06RLS6TTcbjfL2wJuvpe8Xi9e/OIXL9TQVMuwgVjWeWVnPNOey+XQaDQAHDW6vF6vJtx/R0Gt+S0lJX46E6Ue9CJqTTBtd4s2UqNe3sOgpdDcRbBIQUEBmTRs7PP5EI/HNS8n4AuLeQpnst4lNkOrpiGAMkWU3KZdjXwzpdknHsOkaT6fD4VCAa1WCzs7OwgEAqoHH2sZjUYDqVSKsSvz2MMvCrfbzTJ05EYVVGATq2C324/Nu0UikYFBezl4e3IKwwUG3xP0LiBZLr0XCHR9gEGDGpvNBrfbDbfbDYPBMHA/93o99m4ZdT273S6q1SrLiAJu5lS5XK6ha4vdbmcMa6FQQK1Ww87OzkDnXSk5n1qYt0AxGAx42ctehjvuuAOPP/449vb24Pf72fu3XC6j0+ng7NmzuO+++1ZyjMsCmfns7e2h0Wig2+3i+vXr8Pl8CAaDmnwfq22CsajEjxweAb2IUgN6EbVmGLdRpm43Uf+THmqthubOi3mKKNqUUo4CDf+qaT2sJHip5yyFsyRJKBQKyGazbMg8kUgs5E6lNhaR8/V6PaTTadYoUMOmXU32CRjvvOfz+RjzQvKPWCy2FjJcpUBGOHIp8iqaQW63G7VaDf1+H61Wa4CZ6vV6sFgsLNeIpKT8vBtFCsRisaFd+FGNhGHvBz7LR5Ik7OzssGaR0+lkQbPA0TVzu93suICjdZWKKZ6VktvyA2AhvmShTusRvZO63S68Xu/QjR9lbLnd7mP5OdFoVPNyvkWOz2g04qGHHsKHP/xh/Od//icODg5QKpVgMpng8XiwtbWFj33sY3jOc56z0DGug2EDMbnA0f1Zr9dRKpUgiiLC4TAEQdDUPUDXdF5r/Gkxr8SvWCyye1OX8ykPvYhaE0wj5wMwUESNo8Dlobkul4tZ/a4rpnHn44uMTqeDSqUCi8XC5hFcLpemFuhpkEgk0O/3p96w93o9iKIIg8GAWCwGu90Ot9utqRfrsEJ4XiZKHo6shk37stknuTECGYB4PB6k02m0Wi3m/BWNRlV/wa8ajUaDnTeAlbNxJE8qFAqMNSJGyGazweFwHLsHad6tXC4z17Jr164hGAwiEAgwswjeOpxnZ4YVT/TndO+TvNFsNiORSMDlcrEGDLFao86HjCrIop3+4yV+xEBJkjTgdkd21+QUOE4qPCw/58aNG+x+19I6xWNRuWEgEMBf/MVf4IknnsDFixext7cHAHjuc5+Ll770pTh37hyTW88LpY0l1AIdp9/vRygUQi6XQ7PZRCaTQblcRiQS0cxeZZl27PNI/PiMqHkNSXSMxsl+s96CmGQusQ6hufOCZ6ImMXYktXE6nUxqovUXyyhQmOU0m/ZOp4N2uw273Q6Hw7FQuKRaGFUkzSpdlIcEq2HTvkr2aRgcDgdOnz6Nw8ND5PN5VCoV1Gq1ifKwdQXNixCTbLVaZzZoUAtmsxmRSAStVguNRoMVHE6nc6RZC7GKbreb2T4Tu0ZrFDU9aH6Jfi8v1+PZWvoZ+jN5zhjf+Z8EMp7gWSmS+EmSxBgouV04ubU1m03W5JskQ6LOO7GrxJ7x5gVaghJSOYvFgrvuugt33XUXgKPvLp/PQxRFFAoFtlmeFLit5jEuA3xhYrfbsbm5iUqlgoODAzQajancHFdxrMvCOIlfOBweeKdT4wTQ5XxqQFu7Jx0joURWVLPZRCqVWgvXuXlARQTfIZWDdPqUf+RyudgLX63AWrVBFsdms3nkd9ntdlGpVNh9QQGaWjvvSU5O1H2ftIkimepJZp9GwWAwsDyeTCbDCjFygNOK2+KioPWMj2HQmhGOwWBgGUSzwGw2IxgMMkc9SZLQarVYA6jRaMBut7OChFgkejZ48BIuJaTKPCvFF2iUF0TyPzmoWCM79WneO+RiJwgCm2Ws1+vY29tDNBrV1L2sRoHCmxvRZnl/f3/oZnlVx6gG5IWJwWCA1+uF2+0+5uYYDofZ/J4WjnWZmEbixzNRupxPeehF1JqAd1wah2FF1LqF5i4CeknzenzgpnmGw+GAIAgsv0JrLMw8GDcrJEkS6vU6M46gXA8tbT5mAV9EDUO320UqlVI1JFhr7NMoWK1W1sHNZrOo1+u4evUqQqHQWocuytknkuPO253XIshdsNvtHnNdpcIFOGqEkUsfSfLkJhbAkWFMLBZTdL0jBr/X67FjIzZsXJNjnplGMrsolUowGAxoNBrMRGVUQO2yoebMltPpZJvlQqEAURRRq9UQDAZnclFdlyJq1HGSmyPJ2VqtFtLpNJxOJyKRyNLfa8TE0rGtAsMkfleuXMHnP/95nDlzZuCa6EyU8lj/HaSOAciLqHUMzV0EpNmnhU2SJDYT0+v1mJRm3ZwHx2FUEdXpdCCKIrsXHA7HSjt2SmAU0yj/ntUKCabheLXYp2w2y8wvlAiFpQ6uy+Vi8jCS+S3L8ltJkAU2Sbu0yD4pgVqtxlhOq9XK5HAkl+v3+2g2m7BYLNjc3IQoimi1WqyIEUWRGcbEYjF4PJ6Rn9XtdlkHm5pPLpdr6iYTMU82mw31ep2tv+RKyK9PvV4PNpttoWIuEAig2+2iXC6z7vsiEjeloLYFu8FgQCAQgCAIbLNMz3IkEpnqWV4HYwleljrqOB0OB5sfPDg4QL1eZxK/ZRbVvCpi1deUl/g9/vjj7D+PxwOn0wlJklb+jJxE6EXUmmBWOV+n00E6nV7L0NxFwJtLdDodpFIpZp7hdDoRCARYmORJgbyIkiQJtVqNyTbJ4ekkyDbpXPnvT84+uVwuJJNJRbuS68I+jQIZCXi9XmbAsLOzA7/fj3A4vNKmCmUe1Wo1tokni226vuQmeXBwwNh0MkY4iWg0GsekcUajEXa7Hd1ulxVMlUoFZrMZ4XAY/X4fmUyGrXdutxvxeHxswULD+mQWQfd2s9lk7oDTbM6NRiMcDgezpSYGjYoog8GATqfDZqrmWYt4UwTqvGezWSZxc7vdU2VsqYVlsTy0Wa5Wq4yNuXHjBrxeL0Kh0NhneR2MJfhCb9z6x88PkrkCFdUk8VMb1KDU0vV0uVx405vehMcffxxPP/00ey/a7XZcuXIFZ8+eXfERniyYPv7xj8/7b+f+hzrmA3Xgxzn19Xo9lEoldDodtokOhULY3NzUjJuNmqCOrNFoZPMSFB5LG4qTFkpKJhm0MSGLYeCocPR6vZpa5MdhklyvWCyi1+shEAjAZDKhXC7j+vXraDabx75nJUDSUNpkAmBuZEpslnq9HrLZLDN6oSFqtUwgrFYrfD4fgKONOm2WrVbrStYHmmuqVqvMdIDWrlqtBqfTiW63ixs3brDNgM/nw8bGxolez6rVKlqt1tCAWipEaAPXaDRQKpVweHjI1rtYLIZIJDL2uafmAxk10H1NsvFer4dGowG32z3V+kFsGTUbiLGl5wc4YldJTj0rRFFEu92GIAgsjNbr9cJoNKLRaKDdbqNcLrM5tGUz7rQ2+f3+pRRyVqsVXq8XkiSh2Wyi1WqhUqnAZDLBZrMNdWukpqqW5bxkk282m+H3+yf+vNFohNvthtPpZGuIKIpoNptwOByqvvva7TZz+KV1VQuw2Wy45557EI1G8YMf/IDNTn7hC19ArVbDc5/73LWV9KuI/zfPP9Iup6tjAHxHbxS63S6bEyCL2bNnzyIWi62cal4W6PpQNoIgCDh//rzisi4tgb7bVqvFXuT0Alp3+Z4cdK7tdhu7u7vY29tDr9eD2+3GuXPnFP2eaQCeL6DMZrNiDFStVsO1a9eYfC8cDmN7e1vR7KphMBqNiEQiOH36NGM29vb2sLe3xza7y0Cn00EmkxkwJKCiodfrodlsYnd3F1evXkWz2YTZbMbm5uZahGAvCj5XahTILp2uF0lcT506NdWcjCiKTDJI9zTJkuh7IEOaaUGbSbfbDbvdzn6nxWJhc03zFhjDwnZJ4ra9vQ2Xy8VmyXZ3d5nkc1lYRY4VWelvbW3B4XCwpsze3h6T8MuPbxLDs2rMO2PkcDiwtbWFcDgMg8HAApsPDw9Va5queh5qHIxGI371V391wNa82+3iU5/6FF772tfi61//+olqJq8KupzvBEAemks4e/asphdLJUFdNrKzNhgMSCaTJ9LWWQ76zmkD7HK54HQ6T+R50znt7e0xi/54PD7TcPUkEOOr1uxTr9dDLpdjxZPdbkc8Hle9eJLDbrdje3sbxWKR2SiTHbqS13MUKpUKu2d5xoXYEH62UW7LfdLhcrlY5pLc7Y7MJega8RuhXq+H3d3dqb7DarXKCi/5z1ExRVLLWfJlrFYr/H7/QAOCfh8VZvM0IsYVKcMkbru7u/D5fAgGg0u5b9SeiRoHm82GjY0NiKKIfD4/1AZ8XUwlFpnbMhiOApsFQWBrGrn5RaNRxaMPtFxEEeg985rXvAbZbBY/+tGPkMvl8L73vQ8PP/ww/uqv/kpnpRaAtp8mHQMY9vJot9u4fv0668jzMwInae5nHJrNJq5evYpMJsP+zOfzLWUjyOOTn/wkLly4gO9973uqf9arXvUqvOpVr0KlUmEdV6PRiEAgMFNg8Ic//GFcuHAB+/v7ah6uIqCwTuDo3na73Th//ryiGWcnlX0aBerknzlzBm63m83VXL9+/VgnW0lIkgRRFIdaYvPyL+Cow5xIJDS9UVEalONmNpvRarWYI1+v10O73WbynH6/D4fDgbNnz+Ls2bOMjaHvcBwbM02eHsnxZu1YGwwGWK1WuFwuCIIAp9MJi8XCPovmpmZ5R01TpLjdbmxvbzMZWKlUws7ODjPaUBOrLlIMBgM8Hg+2t7eZ826xWGTnvw6mEoAyc1vkQLyxsQGLxYJOp4O9vT0We6EU6Jpq1eWX5IYAcNttt+Fzn/scfv/3f589H0888QS+//3vr/IQ1x7afpp0DAXNjBQKBVy+fBnVapVlgGxvb7PZGCUXCy2CsnSuXLmCRqMBs9mMQCDA/m5ePPDAA7hw4QI+//nPT/zZd7/73bhw4QK+9a1vzf1584AcjPhNksVi0exivggoIPqZZ55hL61AIICtrS3FzDKIeaIcMQBMXqYU+5ROp7G7u4tOpwO73Y7Tp08rah6xCCwWCzY2NpBMJmEymdBoNHD16lXk83nVmjHyTSeFtdK6NY2E+aTCYDAgFArB5XLBarVCkiRW3PMMaSgUgt/vR6FQQCaTgcFggCAIbE7o2rVryOVyQ79DKl5HFRdUYI3KfZoFNMfFh5rzZi3TYJicb9RnkcTNbrezZ29/f5/NiioNfo5z1fcr2YCfOnUKNpuNhY7ncjkA2i+ilGR3nE4ntre32ToriiJ2dnZQLBYVKaq1zkTxQbvhcBhGoxGvf/3r8W//9m+4//778fa3vx3Pf/7zV3iE64+Tt+M6waANRbPZRDqdRqPRAHA8NNdsNrOX7Ukdvh5l3V6r1XB4eDj1i3kY3vCGN+DRRx/Fww8/jLe85S0jf25/fx9PPvkkwuEwXvayl+H222/Ha1/7WsTj8bk/exL6/T6q1Sr+6I/+CMDR5tdut8/daX3f+96Hd73rXYhEIkofqiIg5y3KuTKbzeh2u3A4HIqzT7w7mdLOe6lUihUH4XBYk4Pd1MkmO/RSqcRcr2KxmOJOeLyrJG2oCfxslNY3fWrBZDIhEomg2WwyC3Ja76xWK2KxGMrlMqrV6jHpKa37jUYDhUKBfYe8Y5nL5UKz2USv1ztWrNJ3YjQaFf3e+WwpkiLy81zjvutZixSbzYbNzc1jNtiBQAB+v1/R+4qXGmrlubbb7Th16hRKpRIKhQJruNH11upzpTSjN8oaniR+i8Q8aL2Iohl5YDAjyuv14n3ve98qDunEQS+i1giUPp3NZgHctC2WZ4AMC9w9KaAsnVHW7XTuixRRd9xxB7a3t/G///u/ePrpp/EzP/MzQ3/u4YcfhiRJuPfee5mRwzRuQvOi1WpBFEX0+31sbGyw2SeSPs1TRIXDYU2mmBP7RK51JpMJ8Xgc1WoVpVJJkS7irTL7NCvoWpMdOpl4KDmXZDAY2L3LswNkagAcrV9Kb+LXDbQhJ2c6ACwsOZfLodFooN/vDxT9xKharVZEo1GUSiVmg+3xeBCJRGCxWODxeFCpVJixB80s8YG59HNKg9gtkvTRnBc9e8Oev3mMG4bZYFNRqeSMzCrnocaBnxGifLVOp4Pr16+rMiOkBNQqTPi5uXw+j3a7zZ6JUCg0l4pD60UU7ZMAPWhXLWjridcxEbRI+/1+nD9/fugL7qQWUdVqFc888wxbGEKhEM6dOzfQXaXFbJEiCjhiowDgS1/60tC/7/V6+MpXvgKDwYDXv/71AEbPRF24cAHveMc7kM/n8Tu/8zu466678LM/+7N45JFH2M/s7Ozgve99L37xF38RP/dzP4e3ve1t+M53voNHHnkEFy5cwOc+9zmUy2X0+31YrVa89a1vxT333APg5qbi0UcfxYULF/DII4/gqaeewjve8Q78/M//PO644w78xm/8Bq5cuXLsPMbNRP34xz/Gb/3Wb+Guu+7C8573PLz0pS/Fu9/9bly8eHHg5x555BG8973vxd13340XvOAFuOOOO/C2t70N//Iv/zLt5T52bXd2dpBOp9Hv9+HxeHD+/Hn4fL4BKdAiUHv2qVqt4urVq5qZfZoHTqdzQHJYLpdx5coVVCqVhYtYSZKYcQHBZDIxNp2fNVBjE78OkCQJ+XweOzs7rCja3t5GOBxGu91mLBJJ5IgFIVkvzRBub28jEonAYDCgUqng6tWrKBaLTPZFYb7EDhEzZbVaEYlEVBs6J1bKarWyZ26cxG+RQsVsNiMWi6k2I7PqeahJ4J8jo9Go2oyQElC7MHG73dja2mJOrpVKBTs7O3M157ReRPFyPr2IUgc6E7VGoK4SWcWOwkkronq9HjKZDIrFIoCbTkTDaHiliqh77rkHf/7nf46vf/3r+OAHP3jssy5duoRsNosXvvCF2NjYmPj7yuUy3vrWt8LpdOKVr3wljEYjW9SuXr2Kt73tbahUKnjpS1+K2267DXt7e3jPe96DF73oRQDA3LjcbvexY5F3Zr/zne/g29/+Nl784hfjvvvuw5UrV/D444/jJz/5Cb72ta9NxZZ98YtfxIMPPgij0Yi77roLW1tbODw8xE9+8hP80z/9E17zmtewn33wwQdx7tw53H777QiHwyiVSrh06RJ++7d/G9euXcN73vOeiZ9H6HQ6ODw8RK1Wg8lkYgGxBH6zNQ909mk20HyJx+NhEuL9/X24XK4BCfEsoCBwkmgSA9Lv9xnbQjM00Wj0RM75TQLlZ5F8LxAIsJkG4EjOPMyUg2AymVgob7fbRTAYhCAIyGazqFaryGQyLEx3Y2MDlUoF1WqV/U6XywWv17uUgG76rnu9HjOxGCbxm1bOR0HrVFDyG1yn04mtrS0Ui0UWzFqr1RAKhRZyctV6EQXcPEaPxwOTyTRw/sFgcOlGTKOwjGtJ71+Px4NcLod6vY5cLsckftOu11ovonQmSn3cem+nNYfBYJio4VVC0qYVVCoVNk9iMBgQDocRCoVGLrB8ETXOeWoSAoEAXvGKV+DixYu4ePEi7r333oG/J4aKGKtJ+OlPf4rXve51ePDBB49tCh966CFUKhV87GMfw5vf/GZ2/K94xSvwgQ98gJ0XBczKwc+WAMBjjz2Gv/mbv8Ev/MIvsJ/50z/9U/zd3/0dvvzlL+PXf/3Xxx7r5cuX8dBDD8HlcuEf/uEfcO7cuYG/510QAeArX/kKTp06NfBn7XYb999/Pz71qU/hvvvuQzQaHfuZvV4PlUoFdrsdkiTB6/UODc2Vn+ssUHv2qVqtss4uGQNocfZpHthsNmxtbaFUKrG5gitXriAcDk+dzUVRDCTRpFBYq9WKcrmMer3OGCqXywWfz3fLWe+SYdDBwQGT08Xj8WOSRlrfRm3e+Nkc2pRarVZmg53NZpnxBBVos9iYKw1qYlDxx0v8KAR4kpyv0+kwt1J+I+50OlnhQH9GRSW/gSaJ3zxzxKvIiJoV/IY/GAyyAoJmhOj8V93wWWZhYrVaByR+ZI3v9XoRCoUmHgM1qrVeRHm93ltuLV0WtNs20XEM0y7QtPFcZnCm0uh2u7hx4wZ2d3eZkcDZs2cRiUTGbnopjwRYvIh84xvfCOBo9olHPp/HpUuXEAwG8fKXv3yq32WxWPCBD3zgWFGQTqfx1FNP4dSpU3jTm94E4GgY/PDwELfffjtuv/12AEcd1HEbJuBmYfHa1752oIDiz+W///u/Jx7rF77wBXS7Xdx///3HCigAiMViA/9bXkABRy+nt7zlLeh2u/jud7879vPq9ToODw9Z99jr9WJzc3MoAzEPE7Us570bN26g2+2y/CWtOO8pBWLCz5w5A0EQIEkScrkcdnZ2JgabUqAvSTTdbjfOnDkDr9cLh8OBWCyG7e1t9p+aMjKtguIq8vk8JEmCz+fD6dOnh86EEQM16jkgtzhy1yOQeciZM2cYI314eIirV69CFEV1TmwGkMSPt0MnW/dxcr52u42DgwNmvtHr9RgTV6lUkM/nj70PaANN4c3NZpNd/1mZbq3ORPGQMzwWiwWJRAKJRIJZ6e/u7iKbza60AbtsK3ZytOSt8cvlMnZ2dlAul0c27KjQX+axzgoyltBZKPWgM1FrBhr8HceyrLOcT5IklEolZDIZps2PxWJTd7sBsK5lr9dbSAp05513YnNzEz/60Y9w5coVnD17FsDRDFC328U999wztdwlmUwO7fT+3//9HwDgec97HiRJQrFYZMWvzWbDnXfeiR/84Adjf7fcEerChQvHfoYKH8qMGIf/+q//AgC85CUvmfizAJBKpfCpT30KTz31FNLp9LENNVnrykHsE50vZeOQnGcYZi2idPZJeZAduiiKyGQyaDabA4wGf20lSUKlUmEbM6PRiGg0OlQ6pdWNiNqg5z6Xy0GSJJZxM06y7XQ6UalU0G63B4KKCXStrVbr0DXQZDIhFosx85BWq4W9vT0IgoBoNLoUGd84UJFIEj9+PSCZH4GuH0kfbTbbAAtHs2HlcplFYBBoA+10OlEoFFAqlVAsFiGKIiKRyNSZe6uS81EsQKvVYuubzWZjc27DjlFeVLvdbnb+xWKROT6Gw2EIgrD0tUyJnKh5wEuXybQlm82iXC4jEokcY+h4xkyr6z0xUatkmU869CJqjTBtbsq6FlHtdhupVArVahXAkQVvMpmcuSNNQ9WLdtPINOLP/uzP8PDDD+OBBx6AJEn48pe/DIPBMLWUDxjdCaJz9Xg8ODw8ZHImQRBgs9mm7iDx94QgCMf+nu6JaYoP6khPkuABwI0bN/DmN78ZlUoFt99+O174whfC7XbDZDJhf38fX/3qV4dms9TrddRqtWPnOy63hj/PSeexitmnRCJxYiMFhkEQBLhcLuTzeRweHrIZC7LS7na7yGQy7H5aZI7qpKLT6SCVSqFerwM4WgdisdjEDaTNZoPD4WBzZNQYoAYEGU5MmvNxOBw4ffo0Dg8Pkc/n2YxMOBxWNMR6HtCz2u12mSOtZWGkOAAAIABJREFUyWQ6JvdqtVqsUcIXUPQ7rFYrWq0WGo0Gm/mSg+zkPR4PstksWq0WUqkUXC4XczMch1XI+agJxWerAUdKBqvVOiBh5I9x2Po3rICgublIJLK0dY1voK1KIkcz16IoIp/Po9lsYnd3Fz6fD8Fg8NjctValfMBNYwktOvCeFOhF1AnEus1Eye2sjUYj4vH43IOuSplLAMC9996Lv/zLv8TXvvY1/OZv/iZ++MMf4saNG7jzzjuxtbU19e8ZdR4035bNZiFJEux2O9xuN3vR8YOh8/z+eUBFWDabxZkzZ8b+7Gc/+1mUSiU89NBDx+bGHn30UXz1q18d+LNutwtRFAfYp1m6nfIh82HQ2aflgZglMp4gK22Hw4FWq8We50gkopnBdS1APh9GzNC0ToR030mSxIoDeqYoJ8rv909lYW0wGNiMTCaTQbVaZR34VZqiDGPoaDPIG0+02+2xJhsk8aaCc9xMMWUrUbZUrVbDzs4OgsHg2KJy2bKufr+PSqXCvnta3+gcaWbO5/MdY+/HHSMVEJVKBQcHB2g0Grh+/Tr8fj+CwaDq58ebh6xyreAz84ihLJVKEEWRMXTrUETxTsY61IFeRK0ZpllYeLerUZ03raDVamF/f3+gE7tot5rOVwkmLhQK4a677sI3v/lNPPbYY/jWt74F4OaM0SKo1WpMZvf0009DEIRjL/gf/vCHU/0uJV84z33uc/E///M/uHTp0sQiand3FwDw6le/+tjf/cd//MfA/67VagPmAR6PZ2aWcZycj+532kAAOvu0LBCjkc/nUSgUWBA4mRno1+gmut0u0uk0Y6HdbvdQE5VJIIvyWq3GAncNBgNrxMx6zS0WCzY3N6eSaaoNOUNHGWXDsqVoMztpDSQZ/CTw2VLEzlHG1Khw1mXL+VqtFmtS2O12du4069lqtZgNPhXS0x4jzaVStla5XB6QOI6TmS4KrRUmxFB6vV5ks1k0m03G0NGsolbdQ+v1OluH9SJKPdyaIvQ1BnVoJkmetC7powyUy5cvo16vw2w2Y3NzE6dOnVpY7qMkEwXcdOD7zGc+g3//93+H3+/HK17xirl/X7fbZTbekUgEL3jBC5j0jcelS5fw5JNPTvU7lXx533fffTCbzfjrv/5rXL58+djf8+58yWQSAI5lYz3xxBPMkKPf77PzlSQJDocDwWBwLuOAUXI+Yp9og0XPgBq5T+QSub29rRcHHCgImUe73UYmkxkq6bwVQTlN1WoVRqMRiUQCGxsbc2/EaKaFrMpp9nKR+1IQBJw5c4bNDx0eHuLKlStLMZ6gmdirV6+iXq/DZDJhY2MDiUSCNUTIeIKe60lGQhQezAc5TwOaTUsmk7BYLCycleZ1eSy7iKKMsGHzcGTtTsYahFkNG6hI39zchM1mQ7fbRSqVwv7+vmqmVVorogg2mw2bm5ssbLzRaDDTBq3OcvIqFl3Opx60WULrWBhKzQWpAcqaIQMCn8+HWCymWEdH6SLqRS96EZLJJHO2e+tb3zpXASBJEpsFouMUBAG/+7u/i7e//e148MEH8fjjj+NZz3oWbty4gW9+85t4+ctfjscee2yq7qFSOHfuHD760Y/i937v9/CGN7wBL3/5y5m99U9+8hO4XC585jOfAQC8+c1vxiOPPIL3v//9ePWrX41wOIzLly/jiSeewN13342LFy+i0Wgwq2JBEBZyXZPL+UaxT0rdS71ej0mbAJ19Ggb5NXI4HIjH42g2m8hms6jX67h69eotLXukuR4ydlnFfBiZENRqNdZcs1gscLlcx55J2kCTxK/ZbGJvb48VbGoct3yGbhxDxxtP2O12xr50Op2BwoLYKqPRCJvNNtdxu1wulpNXLBZZphbNEPEuicu6t2nmc9RaStI+uSEHMHuB4nA4cOrUKZRKJRQKhakljvNAy3lbwxg64GiG2Ol0wu12a2pt0zOilgO9iFozzGpzriUmqt/vI5fLsQ4OWawOM0JYBErPhJHBxCc+8QkA02dD8ej3+ygWi+z7cDgcbNE9d+4c/vEf/xF//ud/jqeeegrf+973cNttt+ETn/gErl69iscee2yihELpxfuNb3wjzp8/j09/+tP4/ve/zxi42267Da9//evZzz3rWc/Cpz/9aXziE5/A448/jm63i2c961n4kz/5E5hMJly8ePHY+S4CXs4nn32inBl99ml5kF+jSCTCNlY2mw0ul4uFWFIWTTwen5h1d5IgiiLS6TRzG41Go0ufDyOGhwoofkNdr9fhdrtZQcDD4XBge3sbxWIR+XyeMbJKG0/w12icgyMPPluKZlRI3scXWQCY0cK8x8uHs1LGFhXFkUhk6TNRfJE47Jzoz/mfW6RAoXgDQRCOSRwjkchUs3fTQKtMFA9qMHS7XdRqNfT7faTTaTidTk1FM9A+C9CLKDVhmCe08v/H3P9Qx/zgMzPGLTT7+/soFotIJBLHbF1XgVqthv39fSbrCQaDiEQiqiyWpVIJe3t7LG+IB22yZ80BmReSJLFZIPp8j8czdUf0gQcewKOPPop//dd/xenTp0f+nCiKaDQaQ+eqlolRbNu0L5ZhHVQe7XYbP/3pT2GxWLC1tTWwedHZp+VhFPs06hrVajWk02kmA/L7/QiHw5reLC2KYdcokUisZJNVLpcHLNH5udFerwebzcbmgEah0+kgm80ypshmsy1cEMuvkdPpRCKRmIsxqlQqKJVKxxw5LRYLfD6fYgYZkiQx5za+SGu32zOZgywCURTZ9zDsfqL3rCAIEAQB/X4fly9fhsFgwPnz5xf+/Fqthlwux55nQRAQDocXXoMpzJtcKrUMchL2eDyoVqtMRu73+xEIBFbOpv3zP/8z/vAP/xDAkbxel/RNxFwdFp2JWjNMMxMFaCdwl16SZLVps9mQTCYV61wNg5LGEoug0+mgUqmwF63T6RyaO9Lv91EoFI4tct/97ndx8eJFnD17dmwBBUxv/a0mut0uKpUKu+6jzlcJUDPBarWqyj6Fw+GZMspuBcxzjVwuF86cOYODgwOWR0N26Eoz0VoAXzSu+j7q9Xqo1Wpot9uw2WwDz4rVamXPUrVaHfu8yvPBWq0WdnZ25i6I6/U6UqmUYtfI4/HA6XSiWq2yIsJiscDhcCharPPObSTros9b1uyfw+FAs9lEs9kckDDyZhs2m40VjkrL5EjiWCwWWbRBrVZDKBSayCCOw6oyouYBvdc9Hg9CoRBj5uh6hMNhVU04JoHkfEajURON9JMKvYhaM8wq51vlTJQoiuwlCRwNNy7D5UnpmahZIUkSqtUqc8Yxm80QBGFkd7XT6eCVr3wl7rjjDpw+fRomkwmXL1/Gk08+CYvFgo9+9KMTP3Ma62+1sCjbNg342Sdiq/b29pjsZ1Ho7NNkLOpOSFbnZIdOczZaCXhVAiRZLhaLALRxHzWbTTYXNCojCAALpZ3E2MjzwaggjkajU8UV9Pt99m8BZa+R2WyGz+c7JvXtdruKxhwAg3Nje3t7LKqj3W4jHA6rej+bzWa43W5IkoROp4NGo8HWRbK45985aswaGY1GBINBCIKAXC6Her3OpLvRaHQu5m8d5HwEahbSDC6FV2ezWZZ5OW3OmBqgIioQCKzF9VxX6EXUGmOUHhpY7UwUDQjzm61kMrk0mdkqi6h2uw1RFNlnu1wuOJ3OsRsLs9mMN73pTXjqqafw4x//GM1mEz6fD3fffTfe9a534TnPec7Ez+W178tEp9OBKIqqsk/yDdHm5ibLcEmn0yzPZl6ZlM4+TYZcjhcOh+eeD7Pb7WzOJpfLsS72umdJNRoNpFIpxkaEQiGEQqGVnw+ZrozaQFOOEh90Ogn83BIVxPv7+xONJ5rNJlKpFHONU+saGY1GWCyWAdMZer6VZK6BI1aIAn0NBgOq1SpjZdS8n4lhazQaTOJPznxOp3NgPZzVmW8WWK1WJJNJVKtV5PN5tFot7O7uwuv1IhQKzbSBV/M4lcawgs/hcDATJt6EIxAIwO/3L/W89Iyo5UAvotYMs8r5lllESZKESqWCVCrFhqgjkcjSNxKrYOFIJ0+Og2azGR6PZyqNuMlkwkc+8pGFPn/ZRZScfZrEts2DYc57ZrOZ2c1WKpUB97dZix+dfZoMObNis9mQSCQWni8xGAwIBAIQBIEFvFL+yrjZKi2i3+8zmSKgzKyQkuBzA0eBGIxZN3lUENMsS7VaxZUrV449i5IkoVAoIJ/PAzjaeCcSCVWvEW88QRI3KqZMJpOi3XlanxKJBMrlMisoyHhBrfO0Wq2wWq3MaIeKRDnUlskZDAbGUJJcl64DhdNOsy6vi5yPN+qQH+swE45CocDuBcqXUht6EbUc6EXUGmKaNO9lF1EUjkjDrk6nE8lkciWbId7BbRxbpxRarRZEUWQvMWKflollFlHTznotgknOe2Q363K5mEtWLpdj7m+TNvk6+zQZ/MwKoA5rIJ+zaTQaA3boWu9Iy5mVYDCIUCikqeMmC/BGo8HyknjQM0YNillBm0a3282MJ0jWFY/HYTKZkEqlmLzZ7/cjEoks7RpRtlSv10On02GM27yF4zDQhpqKw2q1ilwuh1arhRs3bszFysyCSYHiy7IONxqNzPpdHk4bjUYnqgXWRc7HM2bj1EDxeBxerxe5XA7tdhv7+/sQBAGhUEh1iZ9eRC0HehG1hphmE7OsIkqSJBSLRWQyGfaCjsViiudHzALqxhGLoVaieL/fR7VaZeyTxWKBx+NZyQtgGcYSy2CfqMNH8hT6nFHX1Gw2I5lMDsiKrl27NnIzO6ur3K0I+cyK2swKP6hPM1c0pB2Px5fekJgGcmaF4hq0eKxmsxl2u52Fr1qt1oGA2k6nA6vVCqfTudAmmwpiYhXJeII/jng8vrJhe972nGelqABZ5NzlOVFutxtOp3MhVmbcZzWbTbRaLfbOJROJUeew7PwlXi2Qz+fRaDSYrG2cc52Wc6J4UBE1zd7C6XQyE45CoQBRFFGtVlWVe9L6BOhFlNrQi6g1xjiWhZdwDOs+KgHqrJCdtSAIC82mKAkqomigWGk0m80BW1O3271S+Y7axhLzzHrNCj5Al+7ZaTvFbrcbZ86cQT6fH3hZ8ZtwnX2aDPlczzKZFZPJxDq36XQa7XYb169fh8/nUy0OYR60Wi2kUinWPFk2szIPfD4fk1iTtA04uuZWqxUul0sxa263243NzU3cuHGDsZj0vC1LyjQKvMSPmG76bxGJ37CcKJ6VyeVyaDQayGQyTNY1z3uy0+mgXC6j0+kwK3eDwYBms4l6vQ6v1zu0qbWK4oQPpyVp4+HhITv/YcX0ujFR0x4nL1+mvLV8Po9yuaxozhaBd8nVrc3VhV5ErSGmkfMZDAaYzWa22CpZ2FCXI5vNsrwq2vxoZUOqlrlEv9+HKIpMvmO1WiEIwsoXfbXkfMOcBqed9ZrlM4iBot87jn0aBZPJxHJa+E241+tl83qAzj4Ng3yuZxkzK6PgdDpx+vRpFAoFHBwcoFQqDdihr2qNIdY9l8sx+VsikVh5YTANKCyWmGTaYFksFrhcLjgcDsWua7lcHlAmmM1mtNttpNNpVCoVxGKxlTfaiL3pdrusGJlX4kfrFzBcJWKz2bCxscFYmXq9juvXr8+cJ9Tv91EqlRgDZbFY2Hx0p9Nh7NowGewqDRtoXZ7Gue6kFlEEYqypiGq329jb22M26Uq9V2kdB3QmSm3oRdQJhhpFFLkw0aba6/UiHo+rJpmbF2qYSzSbTYiiyLp/q2afeKhRRC2LfaKZDL6bu8hLVL4JJ+keAEQiEZ19kmGV7NMo8F38dDqNRqMxlfubWqAigKSsXq8X0WhU85s9HrRmkTU2/ZlS6PV6jGkBjtYLejeQ8UStVhuYeVv1c0i2591ud8AOnaR/0zwDPMsz6nxGsTKiKE5tNtBoNNhMl81mG/gso9GIdrvN7M7lv08LMjneuY7cVXd2dhAMBuH3+wFAlftSDSxa7JHckyICKpUKk/gp0YzWi6jlQVs7Xx1TYdasKCXmoqhTnc/nB7qwy0hnnwdKMlG9Xg+iKLJNJmVwaEm+o2QRtWz2iS+epmFZp/39w4Kmm82mqnNy6wRJkpDP5wfYJ63NINlsNrbx4t3fIpHIUuYuJUlin00sRTweX/uAYKWvm1wqG41GB+Y9yK2MTGCokIjFYiu/33jjCSqm+JmXSeu8fB5qHHi2XG42EA6Hx65LlPdFDBQPXnnSbDZHFlGrLvp5ExKStdH8YzAYZMd40oso4CY7TPcCn7O1qKOjXkQtD/pOYg1BG81JJgJKFVH1eh37+/tMwub3+xGLxVa+II/DpCJq2gKo0+mg3W6zwV2r1arZDTht7BYpRHq9Hlqt1oBphNLSG77byP+nFMjpjTZ05ChHGzfKJNKS/HTZkLvKBQKBpQRhz4Nh7m9kDjKNE+O86HQ6SKfTAzOfsVhMs8//KtDv95HNZlkmoMPhQCKRGLpm8CYwZDyhpZm3eY0nhs1DTcIwswHKlhq1LvFOpcNAWV/D9gVaYKJ4kKytVquxYjKdTgPQPgsFDAbtLophOVuLOjrqRdTyoL8NTjAWLaLoBSmfk1iVu9IsoIVn2LlP42DX6/VQqVQYm8GzT2o64C2CWq2Gfr8Pm80288tSnnNlsVggCALMZrNi5zuKfaKX/6KY5LxHmUQUHKuV+YxlQpIkHBwc4ODgAIC2XeXkkNuhT3JinBc0Pyd3HPV4PGuxwVsW5Bb40wYwkwkMzeDRzFs0Gl35NZ7HeGLeAoU3GyCpI8U0RCKRY80BPiNy2DWiPx9VgM1zjGrD5XINFJPA0Tu7VCppusmlNLM3KmdLFEUma57lWtC1tFgs8Hq9ihyjjuHQi6g1xDLkfNVqFfv7+wMZMVp3oOIxiomaJO+Tm2bwtrw0fKxV5HI5dDoduN3umUwTKCCZmJtIJMIG+JU6X14qw+c+KXVN5ezTMOc9q9WKzc1NlMtlZLNZNp+xLGnYqtFsNpkNPLAernLDIAgCnE7ngBMjFcSLNni63S4ymQzLu6O5nmXPYGkZchnoPAHMRqORscE085ZKpVAulzXR2CDjiWmypRYtUORmA81mE7u7u/D5fAgGg+xdZrPZ0Gq1Rs440yzXsLV/lcYSk2A0Gtl55nI5ABhbTGoBSjJRPIY5OlJjcJZrwdubn/T32qqhF1FrCn4GZlzYGzBbEUXDwcViEcDRwp1MJteiU81jHmMJuWmGz+dbK/kO3z2dBrRh5KU4GxsbirrW0XwBbUCA+Zz3RmHW3CeDwQCfz8ekYZVKhf37RCJxIh37qDFwcHAASZJgsVgQj8fXwlVuFHjHr3Q6PSCBiUQicz2zoiginU6j1+uxTb5aOS7rCqXDhWnmrVwua9J4giR+cuMJXuKnhBnCMCaCGDqyA3c4HGg0Gmg2m2i322xeq9/vs+Oz2+1DZ2m0ykTxoGOz2+1stmtYMakFqO0iSI6OoiiOLaxHQc+IWh7WY3eoYwDTzpDMWkTJGYlwOLxyl655MYuxBHVWedOMZDK5dsPjfIDmJMi/62g0qvimZRT7pNT9NA37NAr0HXs8HiYN4zdv63jPD4M800gr8ydKweFwHHNirFarM828yQtxp9Opmbw7rUCSJBweHrI1UkkZKN/YoMF6ytDRgtEJGU/0+/1jEj+53LndbjNb93k/iwJ5c7kcY4+dTicikQgzcup0OqyQBW4GKo8yAFqHIoreW3a7Hclkkkk9qZhUIqhYKSzDip0PIZ/1WuhF1PKgF1EnGNMWUd1uF+l0eqCbn0wmNUmjT4tpiyiyTuYlTlo3zRgFOuZxTJT8u3Y6nUgmkyeafRoHkoblcjlmvVupVDSxeVsE8k0vL0s9aSDzELJDr9fr7B6fVAzVarVjUtZbQdo5C+T27moV4uT4SsYTfM7bvOyikjAajbBYLAPGE51OhxmPdDodFItF9nNOp3PuQtxutzPp8cHBAcuWIjtwYqRIiUIM1CjZqVbc+caBlxyaTCZWNFIxuWhQsVLgJejLuJ50LShni64FSfyGvff0Imp50IuoNYUSTJQkSSiXy0zCohYjsQqMM5YAjl4quVxuYMA+mUyu9SZzEhNVLpeRSqXYdx2LxRTPTNIy+zQKfFg0H9K7rjNDFGbJZ7mtW6bRPLBarTh16hSThtXr9ZHSMHr+SbZst9tPrJxzXtD7IZvNLtXe3eVyMXaxUCjMxS6qBbnxRKVSYQ04XlZHmU0ej2fue4pn6PL5PERRZE2eSCSCcDg81kyCMCkMWCsYVuhRMbloULEaxznOsVEN2Gw2di0ODg7QaDTYteDVE71ej0n09SJKfehF1Jpj3EwU5e6Q9IB/4GmjVa1WARy9uJLJ5ImRsIxjouSW7cFgENFodO02y3KMYqK63S5SqdRACKbS3/Uw9slisSh2TeVBnuOslOcFhfSSa1ixWIQoimvD4EiShGKxiFwux9inWCy2drLURTBKGkbsosPhmNtV7lYCMdb0fli2vTs/YJ/JZAbYxVgstvJilwJ6+fxAs9nMmCCKihBFceF1kFhkYiLa7Tb29vbg8XgQCoUmfifThAFrAaPYHQoqdrlcrIicNah4Gce5DPChzSRfpvdUKBSCIAgoFovsOw+Hw0s/xlsNehG1pphmLooP4CNHH5L5UHfRaDQiHo+fuAFqemGQpTYN4Mot2zc2NtZatsWDXtS0gMqZRqPRiGg0qir7BIAxT0qyTzxbqqbkigwFSBrWbDZx48YNeDweRKPRlUuKRkEuufJ4PGsrS1UCfBg4ZRLt7OwwhzNgPle5WwFk705rxirt3W022zF2kWztVzm72Gq1kE6nByIw7HY7Y3xMJhNbF5vNpiLvGD5b6vDwEJVKBdVqdaIFtpad+XhMOk5qCvHF5P7+PtxuN8Lh8NIcNFdZRBFMJhOi0Si7Fo1GA/fffz8KhQJe97rXsZ/TmSj1oc0dgY6JmMXmnIooSZKwv78/sNE6qfa9BoMBJpMJ3W4XvV6PsU/ratk+DXg6v9PpIJVKDVg16+zT9LDb7dje3mZzRRTSq4UsGx6SJKFUKjFL/mVJrtYFlElEuWBUQAmCgGQyqZnvUQuQzxpqxd59GLtIjEQsFlsqE0HPWyaTYX8mCAJsNhublSH5HLFVi4bd8yA7cDKeqNfr7DuLRqNDGbp1MJUApp/bcjgcA9lS1WoVtVqNzYup/UxroYgi2O12nDp1Cul0Gjs7O2i32/j0pz8Nv9+PSqWiF1FLgOnjH//4vP927n+oY3Hww43jFg2SG0iSxDpn5Ex20uckisUiKyiIebPb7dja2jqRw+PNZhPVahUGg4EN4xLTGI/HFWVRqMvKv1DIclcJiKKI3d1dNJtNNqu3bLt5g8EAp9MJj8eDVquFdrvNAomdTufKn51Op4O9vT021+PxeLC5uTnU4vhWBdm70zWiZ77dbqPVasHhcKz8e9QCarUadnd30Wg02POmtfeD0WhkNuCNRgPtdhvlchmdTgcOh0P1IoFk0YeHhwCOGka8pTipQ+ge4x38bDabou8bk8kEQRBgtVrRaDTQ6XRQLpfR7/fhcDgGPqvdbqNSqcBqtWo6ePXw8BD9fh+BQGDifWcwGOBwOODxeNDtdtFut1Gv11GtVmGz2VQt/BuNBmq1GhwOhyZk3mSP/+xnPxs//OEPUavVmOlIs9nEnXfeqbPt0+H/zfOPDEQ/z4G5/6GOxUHDqzTwOwrXr19nbASwftlHi+CZZ55hned1t2yfBgcHBwMdUrfbrThzc5LZp3GgDnQul2Py0HA4vJJiXH4slJlE9sc6jiC3d/f7/QiHw0waRmzBrZwHta4GG/1+H4eHhyz7jHcwU+N7lGeIRaNRdDod1Ot12O32Y+ufJElotVqQJAkul4s1XdQoSnu9HpuPAY7UJ5QtBQDVahWpVIqpEbSKy5cvo9/v48yZMzPvTyiomJQmHo8H4XBYletN9x2tJ1pCs9nEAw88gCeffJJJS/1+Pz74wQ/i3nvvPbF7H4Uw18KhF1FriklFVL/fZ9lHwFEXb3Nz85aQ+chDZMm166R2Y2hTnUql2MKZTCYV3xjKZ5/4wEklsMzZp3lBrCY1JhaxV5/389PpNLNVXvbA/zpgWKaRPFy40+kgk8kw44Rlf49aQKPRQCqVYsYIoVAIoVBIU8/bJLTbbWQyGfY8OJ1ORY0nhmWIJRIJWCwWiKKISqWCfr9/jGkiYwmbzQZBEAbWSCUZex7NZhPZbJY1Dl0uFyKRCBqNBjKZDARBQDweV/xzlYAkSXjmmWcAAOfPn5/rHqTCulgssgZJKBRSvLCmOIxQKIRAIKDY71UKf/zHf4zPf/7zbA6c8PznPx8f//jH8exnP3uFR6dp6EXUrQTqdA0romq1Gvb399nLETjqRmi5C6UUyEiB16HH43EEg8EVHpV6kLssAkcbwrNnzyr2GXL2iQxLlNoIdLtdZLNZzbFP48AP31NOkZoOb3K76VUP/GsVcnv3cZlGkiQxy3z6HldtWLAMSJKEg4MDFu9gtVqRSCTWVgYqSRIqlQqy2SyTFweDwYVVB7yL47CmTq/XQ7FYRLPZRK/XY2sirZVWqxUulwtut3sgWwpQvgFFoIZaoVBga7XL5UK1WmVRB1pEr9fDlStXYDQace7cuYV+V7vdZvNiwBG7OmpebB6k02mIosiMHbSGD3/4w/jGN76Bzc1NvP/978cf/MEfIJfLATi67/72b/8WL37xi1d8lJrEXC9SvX25xiD3ObI5p64ZabZtNhu8Xi9yudzE0Nl1h9zG2+l0wmazDdh9niSQnXUmk2GFdDAYZDIlpXCSnPeUBCXJU5dabqOtJOSsidvtRiwWW/nAv5YgN9iYJlzYYDCw7/GkhS2PglziGAgEEA6H17po5G2f6XssFArse5zVeEKSJOTzeebiOsrF0WQywefzoVKpoN1us0LJaDTCarWymRmDwTCTzRlhAAAgAElEQVSQLUWzUrRuKyk5MxgM8Pv9LFuqWq2ydUPL70ElzS+sViuSySREUUQ+n0ez2cT169fh8/kUkfNryVhiGOi+DYfD+OVf/mX80i/9Ej75yU/is5/9LHq9Hp5++mm9iFIQehG1ppAPsYqieCz7JBwOs46skg5BWsI4G2/qtJ60ApKsXUnCQi6LNN+gxMtSZ58mw2QyIZFIsJBestFWamNKHXYqlOneXnXgqNYglzjOau8+Kmx5HIu1bhiWIZZIJJaesaMm+O+RbO13d3dniieQF5mTGC2z2Qy/349Op8NmoEwmE+x2+7H7xmg0wmazMcc+MoeiYkrJQtZisSCRSKBWqyGdTqPf70MURSZx09o9rXRhwjdICoUCSqUSSqUSy5ai4lYLx6o0+CIKOGq6fehDH8Ib3vAGPP3007j77rtXeXgnDnoRtebodrvM9hU4oq6TySTrhtOL4yQWUZNsvMcF7q4j5BlftIknSRd9x4uer84+zQaXy4UzZ84gn8/j8PCQBUHO0wUn0Fwff29rwW5aS5BLHBc12KCw5UKhgIODA7bposDidb0/aZ0keRPJurS6CVwU8u+R8pTGGYjIi0wqQqZhIw0GA6xW69QNIGpG0RorSRK63a4qEj+SE1Kzqlwus2wpLd3TamVZkeGIx+NhjrXpdBpOpxORSGSuph0dq1bnUKmIktubnz17VlGZv44jaPMu0DEVJEnCtWvX0O122WZUPhh8EosouZRtVGAwbRJOwrm3Wq1jGV+JRGJgIZeH7c6KZbNPTqcT8Xh8rdinUSCWiEJ6qQs+z4ZVHnZ6K7vHjUK320U6nR6QOCph40+ui/Q9NhoNFui5bhJKOZN5K7k40pwihS3XajVkMhmUy2XEYrEBaZ6cyVxGkUmSP75hxVuiK1lMkLw7FAqhVqsxownKltLC+jttRtS8sNvt2NzcZBlj9Xod169fh9/vRyAQmOl6a5mJohgOACd2Dlxr0IuoNYbJZILf70etVkMymRw6OGk0GmEwGNgCvc7ad+C4lE0QBOaWJMdJYKIo54YPUyUJmRy0yZYkaebvWm32SW7EsO7s0yg4HI6BLjh1fqdhM4YVmaPu7VsZ8iJTDYmjzWbD1tYWs5KvVqu4evXqymztZ4WcyVSqyFw3WK1WbG5uMgORRqOBa9euMZletVplcrdVFJkmk4nNM5PxBDVFlZL40ZputVrh9/vZrFCj0WDy41kLCaWxjMKED20mhpJUA5FIZCrVADGH/CiFlkDz8AA0Z79+UnFrragnEGRLO2rxITah0+mg2+1qous0D4ZJ2Uj/Pmoxow3DuhZRcvbJ6/WO3QjRi5eYpGleisQ+8Wydzj4tBuqCC4IwNZshlzhGo1GdfZJBniGmdpHJD+mTrT0ZicTjcc1GJgzLNLqV5+iGGYgUCgUcHh4ylmaVcllqVplMJhZgTsWUEsYTvGkDfy2oyTNrIaEGlDSWmASz2cyK5Vwuxxqzbrcb4XB47D3AF3tafJ5oDhw4LufToQ70ImqNMW03ZN2LqGmkbMOwrkyUnH2iIfBpOqRksTuNpE/t3KdbhX0ahVFsBi/PG1YYnPQicx4QY8BLl5d1L1ksFmxsbDA2o9lsDrAZWmH3e70eKxIA/V6SgxpvNpuNra3AkdRLC/OGvMSv0+koZjwxbN7IZDIx+XE2mx0oJCKRyNIZy1VI5JxOJ7a2tlAsFlEoFFCtVlGr1RAKhUY2sLQs5QNuzkMBehG1LOhF1AnAJEvrdZ2LojwTuaPUtHILvogiCl7raDab2N/fH8i5mSVMlWx0xxWOOvu0PPBsBtmUU9EkCAIKhcJKCoN1gbwwWKWLoyAIcDqdyOfzbOM1r4220pBnGoXDYQQCAf1e4kAB9CR5MpvN6PV6aDabx5obq8Qoid+8Ta5x80YOh+NYIVGv1xEMBpd6LVZVnBgMBgQCAQiCgFwuh1qthnw+z+bF5HEV9M5chyJKl/MtB3oRtcYgJmpSEbWOBgvDiol4PD7T4kXyBZoR0urCBwwvGJPJJARBmOn3TDKX0Nmn1UDOZtTr9YEwyEQioVgY5EkB2TNrqTCguRne1p4MRFbRwZcXBjabbeR87K2MZrOJVCqFVqsF4KYMnmbHqLkxzHhiFeAlfotmS02Syo0qJCqVCqLR6FKuhVrufNPCYrEgmUyiWq0yid+NGzfg8XgQDofZ9VbbAGNR8DNRurHEcqAXUWuMaTcT68RE9ft9HBwcIJ/PD1jNzlpMEHiduVYXPnnB6Pf7Z8q54TFKwqizT6sHhW7KQYHZOo4wrDAYFna6SowyECGJ1DIKPXlhEAwGEQ6Hb/mGBQ+apaX3idVqRSKRYAwD39zIZrPMeEIrIcRGoxEWi2WAlZpF4kcNRPpd4yAvJKhB4PP5EAwGVX1/aqU4cbvdcDqdLKqC7PHJsXNdmCiv16u/e5cEvYg6IRgnVyOtt9aLqEajgb29PbYpCAQCC1vNms1mVkRpDZIkIZ/PK1YwAsOZKJ19Wj3ksjS73Q6fz4dCoYBWq6XJGZtVoNFoIJVKod1uA7jJGGjxXuJttNPpNJPUEZuh1iaGZibz+TwAHCsMdBxBno/l8/kQjUaPPV+82QJJNclsIRqNLrQeKwG58QSfLTWJlaLmzCxuclRIFAoFFItFxUJqx0FLs0YUSEzzYo1GgxnKyDMotQYyltDnoZYHvYhaY0wr59M6E9Xv95HL5dgCQJsCt9u98O/WqrkEObY1m00AyhSMwM0iirqVvNuTnvu0GshlaaFQCMFgEAaDAV6vl7EuWpqxWTaooUCd1HUqDKxWK06dOoVyuczkUGSHrrT8sN1uI5VKDbDWkUjkli685RiWjxWPxycWQ8Okmnt7exAEAdFoVLPGE71eb+S6Pq9Mjs9Ly2azioTUjsMy3fmmhdVqZSxlPp9Hs9lk72stNnWA0UG7OtSDXkStOabpMGl5JqpWq2F/f591noPB4NBu4bzQWhFFUiXqIpOEQomCERj8rtvtNiuw9dyn5YOaA8ViEcBNFzBeljYqpNfn8yESiWi246kk5LI0rUipZgGfQUONhVwux+zQFy0GJUlCqVQacOyMx+OKrRsnBUrkY5FUk2SAoiiiVqtpJiNsFuOJRYsTm82Gzc1NVCoV5PN5FlIbCATg9/sVe0a1xETxGGYJDxzNHlksFtWYuXlBRZRuKrE86EXUmmNai3NAW0UUyZvooaeBaKfTqejnaKmAVEOuKAfdDzSMrAb7xG9SdPZpOHi3NGCyLI02bgcHBzg4OECpVBoI6T2JkMvSSM6q9BqwTJAhjNfrRSaTQavVws7ODvx+/8CA+izodDpIp9MsYNzj8cw9M3mSwdvgL5qPZTAYEAwG4fF4mPGEljLCpjWeUGLWiBhzKiQqlQpjzaPR6MLPK8kT6bO0CLKEbzQaaLfb6Pf7qjJz80JnopYPvYg6IRg3E6W1IqparWJ/f59tMMPhsGqdZy0wUcPkislkUnHJFrFCAJh+2+fzqcY+6YGwx7GIKQI50BErRUW3IAgz2dyvA1qtFjtHYPS8yrrC7XbjzJkz7F4oFosQRXHmorhSqSCdTrPNMYWE6rgJOeOrpA2+xWLB5ubmsYwwrbClRqMRNpsN3W4X3W73mPGEkjK5YSG1tD6Fw+G51ye+0NP6u4SKvUAggFKppBozNw/q9TqTG+rOfMvDyXkr36KYVs5nMBhYp2pVDzoFi/LypmQyqercAy3sqyqi6vU69vf3Bxy0lN4s8rNPTqcTTqcT9XqdBbwuyhTp7NN0qNfrSKfTA9LUedzSKKS3WCwil8sxOdEinXWtQJIkdl4nXZbGsyHpdBrNZnPqolgewuxyuTQRCKs1yI1IwuEwmzdUEpQRdnBwMODcphWmmNQGcuMJalQq+b6hkFq6DrQ+hUKhudYnrUr5hoGO1e/3w+fzMbknMXORSGRl86x6RtRqoBdRtwAMBgNz9ul2uyvZ/FYqFaRSKRYsSuyT2hvCVTFRy2SfaMgYuGnZW6vVWB4RBUnOo+fX2afJIFt+JU0R+OwWknKl02m2cVvHAlbulub1ehWXs2oRdrsd29vbx4riUeGuvCxNf+aGY5hDYTKZVFVmR5IukvhRUex2uxGLxVZe4PLGE1RM0XtP6XuH5I6ULUVNO5L4zZJTpkVTiWGQ28UbDAbE43F4vV7GzO3v7y/MzM0LvojS5XzLg15ErTlmyYpaRRHV7XaRTqfZQKbD4VD9ZcdjFUVUvV7H3t7egE2z0g5ak5z3PB4PnE4nG3Kn/xuPx6d6wens03SQd8KVtiknORF9h2o6v6kFSZJQLpeRzWZvWVnasKKYmKZYLAabzaaqLO0kYdUOhQ6HgxXF+Xwe1WoVV65c0Yy5DilPKpUKu5eIpVLSXAi4WbxStlSz2cT169fh9/sRDAan+qx1YaL44+S/Y2LmisUiCoUCa5IEg8GlNj+oYQvoTNQyoRdRaw6S8/G5QMOwirmocrmMVCo1wGKoIbUYh2UaS/T7fWSzWdXNMuTs0yjnPRpyp84pBUnyFtvDwLNPRqNxZMf8VoYa7NMo8IPdvPMbFcWrHnIfh06nwwbzAZzI+a5ZIJ+xqdfruHbtGrxeL2q12sCc6LLXSq1jmENhIpFYiXyKL4qz2SwL6y2VSoq4MS4Ceg/xmXQej2eo8YQSMBgMTO5YKBRQKpXYDGA4HJ7oYLeORZQc/P1AMQf5fJ5J/JZxP+hM1Gpwa77JbkEss4giNyk+PyiZTM5E8SuFZTFRcqt2Ncwy5s19ohccuUuRjlu+AdfZp+mwKktueVFMQ+5aDemVF+PEPt3qhQFvm0zPJG14SY6r5cJ4FSBFAxXjWnEopO+LimIl3BgXgZwZj0QiCAQC6Pf7A9lSVEwpuWaYTCZEIhGWLUXmMS6XC5FIZKTccV3kfLR3GvedUmQJMXOtVgs3btyA1+tFKBRS9X6gIspoNMLv96v2OToGoRdRa45Z5HyAukUUdQr5jVM0Gl2p7EjtIqrX6yGbzQ64sW1sbCjeeZqWfRoFk8mERCLBnN9oA07W27QJ0Nmn0ZAkiVmQA6uz5KaiOJ/PD0hI4vG4JuzB5cW4boowHN1ul7lpETqdDorF4i2TETYNRFFEOp0eKMa9Xu+qD2sAgiDA5XIdc2OMRqMQBEH1dXTSjBhJ0OTGE8OypRaF3W5n4dMHBweo1WrY2dlBMBgcKnc8CUyUHG63mzFzxWIR5XIZ1WoVoVBItUYS7UECgYDmr+VJgl5EnSCs0uacdOrUKXS73ZrQ8/NF1LjrMw+WYdU+L/s0Crz1crFYhMViQaVSgSRJrFsoCIK+CMvQ7XZRrVZhsViYXMflcq2syCRZWCwWgyiK6PV6aLfbMJlMcDqdc90fdB8vAn7Dq5siDIckSSzIVZIkdk/V63WWEcbbod+q104uS3M6nUgkEpotxoe5Me7v78PtdiMajar2LpQbtoyaESPjCWKlRmVLKQE+fJqUD5QxFY1GB5qMVJxonYmatdgzGo0ssiKXy6HRaDA5diQSUVyZo2dErQZ6EbXmoJkoYihGQa0iiiyLM5kMs0+Px+Oa2ThRl41/WSyKZVm1L8o+jQK5TNG1IHtpk8kEq9Wqie9NS+h0Ouh2u3A4HDAYDLBarZopMm02G2w2GzqdDiuCOp3OzMc4aaZyEoiR5Q1ktNBE0Rra7TbS6TTb8Pp8PsY6uVyugYww2oBrwflt2eDDqsnNdV2MVMiNsVQqsZiJWq2myjmUy2X27iW1waS4AKPRCIvFgl6vx5pzakn8KMKAz5a6ceMGPB4PkzsqEQi8DMzLmJE6RRRF5PN5NBqNmc03poFeRK0GehF1AjBNVpQaRRRZetZqNQBHkgYtdgppoe71egsv1KIoHnu5K23VrjT7JAcvt4rH48zJCTi6VoIgaO47XAW63S5EUWTPjN1uZ+zTokWH0jCZTJAkaeB4bTYb3G73xPtm0fuqVqsdiy9Ylw3vsjDMoTAejx/LGKKMMH4DTm6MWnB+UxuSJCGfzw+Y80wbVq0lGAwG+P1+uN1uZgKTy+VQLpcVMZ6Q54i53W7E4/GpDVuoGUfRJ7zET2lWCjiS9FK2VLFYRKVSQbVaRTgcnmrWSAugImoeUxx+DvLg4ADlcnkm841pQM+M7sy3XOhF1AnANA+fkkUU6a/JJYmft9HiS95kMjE2Yd7O+DD2SekBcGLLKHmejl0pzTptsvnZJ7fbDYfDAaPRyGRhpVJp5XK1VUKSJDQaDdTrdUiSBKPRCEEQNM+qmM1m+Hw+duytVgudTgculws2m03x71JuyW2325FIJFZiIKNlyE0RJjkU8htw3vmNNuDrVlBMi1arhVQqxebEtGqYMgvIaMDr9Q4YT/AM5KyQNy0Wkczy2VK88USv11O0cUefRTNBlC2VzWbZcWv9e1ZidovPGiPjiWnMNyaB9mSAzkQtG3oRdYKwjJmoVquFvb09ltHh9Xpn6oCtAouaS4iiiP39ffbSikQiCIVCirNPpFNXm30Cbg77U0fUYrHA7/ejXq+jXq+j0Wig3W7D7XZrvnhQEjT7RNI4Yp+0/oInGAwGOJ1O2Gw2VKtVtNttiKKIVqsFt9utWLe3Xq8jnU4PuFHqltzHsYhDodz5TetujPOCJOG5XI7NiK3CsEVN0CwqxSLMM/cmZ+lIRq7E+kzGE7zETy3jCTK9IHkbvZcrlcr/x96ZR0lWlvf/e2vfl+6urffuGYbFkZ8M4MQBgywioEfAkR/MCTDKCcoiYgQiSFgEMRoVNBJZDQeSnzNwAAUEgoKggIqAQyQwDMz03rV3175X3fv7o/O+c+t2VXdV162qW9X3k8NJ0t3Tdfsu732f5/s83wdarVay97WYBhh6vR7Dw8OIRqNYWFig5hs9PT2w2+11n4N4PE73dnIQ1Vqku/OVqZlayvnIIknUjnofUuJMRl50ZEZHJwzMJAFevUFUqVSCz+ejjc3NGBTcCvWJDGpdzXmPYRiqWpCysFgs1nGBxFrgOA7ZbBapVKqj1KdqKJVKWCwW5HI5GkxFIhEYjUbodLo1BzvC+VidWm7VbIQ9Yo2YIlRyYyQzwtoxI0lMyDgMUhJutVrL+jW7CbL28uf2zc/Pw2g0wu12r7jWCFU64qoqZtKCX+LXCuMJUt524MABAEv9XalUCk6nc9W+rnYgtosgUZzNZjM13yDPtsvlqiuJIM+Iah9yENUF1LKQMgxD65/rLWsjiz1ZwG02GzweT8e86NaiRMXj8WUlE2Jn2tulPq22kROWhWWzWapKdWOpVqlUQiKR6Fj1qRoMw0Cn00Gj0SCZTNKAiqhS9arHwvlY3aaIiIWw3MrpdDbcz6RUKqm1t8/nQy6Xw8zMDKxWK5xOp6QrAarBV+nI39cJSblG0el0ZX1vqVQKExMTFYegt0OlUygU0Gq1dK/QTOMJ/u/SaDTU5ddkMsHhcEiqN7dZVuzEfMNqtSIQCKBQKGBubg5msxkOh6OmZ1sOotpH5628MmtGpVLVFUSxLItQKERnT5AFXNgMLXXqCaJI/wI/gyz2oGApqU/VIGVhGo2GqlKk3KIWs4JOoJL61I2BokKhKFOlyCwig8FQ02ZMKvOxpA5ZL8m8lmb0iOn1eoyNjWFhYYE2qCeTSdpn0QnllEJThPU4R4yvQhDb61AohHg8DrfbDYPBgGKxCK/X2zaVjiT0mjlbij9olwSW4XCYOhr29fVJwumXBJJA8wwwDAYDRkZGEIlEsLi4iEQigVQqhd7e3lXPAT+Iko0lWoscRHUBpJxvNcewevqi0uk05ufnada5p6enY8ssyDGv9nfHYjF4vd6yGTfrRX2qRjWzgk4PNoTqUzcFh9XQarVQq9VIpVLIZrNIp9PI5/MrTrcXlhFVm0Gz3slkMvB6vbRHrBnlVgSGYWiDPrFL93q9iMViq5aFtRsxTRG6AZVKtcx4Ynp6GkajEZlMpmxsSDtUOr7xBAmmyH9ivLf4gQnfUCUUCiGZTNLA0ul0ij5CpB74wV4z71WFQoHe3l5a4pdKpeg5cLlcVcumSYILkJWoViMHUeuIWoIo4rhFHkrSBNrJtferKVEk40cyo+tVfaoG36yABB6dqkqtF/WpGqTXixhPFItFZLNZFItFqNVqei2FA2FJD2QnrwPNQKjSaTQa9Pf3t2TDp9FoMDw8TK3TSVmYFC3mW6HSdTJC4wmiPmk0GgwNDbU9MG6W8QQ/OCEQpTuZTFIHu9nZWVitVvT19bUlkdtqG3ayjpBAkl++W+kcECVKrVavi5JYKdE5ux+ZqtT6slwtiEqlUti/fz/dEPT19WHjxo0dv3GqZixBZrd88MEHiMfjNOM3NjYmegBFhqGSl4ZKpRJNgSoWi5ibm6MqmtFoxPj4uOhzZZRKJaxWK51pkcvlsLi4iGw2u+qw51bz6KOPYvPmzXjqqafo10qlEp1PwnEctFot7Ha7aNf6G9/4BjZv3gy/3y/K72smGo0GdrudluRlMhlMTExQE4qZmRnah2G1WjE+Pt7x64DYELtqsl729PRgbGyspRlzhmFgs9mwYcMGWCwWcByHYDCIyclJ6qDabrLZLKampmgA1dfXh9HRUTmAEpDL5WgFASGfz8Pv91OFs52QIb0ajYa+t/gzDdfCSiVyJpMJo6OjVCmPxWKYmppCPB5v+fum2aV8lWAYBmazuaZzwH+2pJQ8WQ/IQVSXwDAMOI5bcXGpFkSVSiV4vV5MTk4in89Dq9VifHwcbre7o1SGalRSogqFAmZnZzE7O0sDj40bN4pavkdeMPl8vmwqu1jBEwkCyeaXWCgPDQ2J2l9AgoPdu3eDYRjo9XrY7XZoNBo6eyoej6NUKuHiiy/G5s2b8fzzz4v2+Y1C1KdIJIJ8Pk/VGLPZ3BX391ohbow6nQ4qlYo+EwcOHEA6nYZSqcTg4CD6+/s7soy3WRCVbnJyEtlsFiqVCsPDw3C5XG27n0hZGHn2SYBHhvu2AzK7ZmpqCrlcDmq1GqOjo6IPJ+90iJo5NTVF37+jo6PUvIkojOFwWBJDvonxhEajodeRzJmq9/jIO7nac6NQKOBwODAyMgK9Xk/76ebn51saWLYjiCJUOwezs7OYm5sDy7LyjKg2IpfzdQGkJ2q1F1OlICqZTGJ+fp72hjgcDjgcjq7aXPKDKBJ4+Hy+stktYqs2reh94g/wbGZz9vbt2/H000/jsccew3nnnQegsoX2u+++iz/96U9wOBz4xCc+Ifpx1MOnPvUpbNmyBb29vYjH4/SFq9Fo1n3wJIQYT6jVaroOMAwDh8MhSavhdiJ1S25SFkZK5xYXF6kdeiuvZaFQgNfrRTqdBrDk6NrOIFOqEDc6ohryew71ej1MJhOCwSBisRhCoRAduCwFUxdS4teI8QQ/ubgSWq0Wg4OD1IAjnU5jenoadrsdPT09Tb+v2hlEEfjnIBwOY/fu3XjkkUewYcMGenxyENV65CBqHcEPooQzkMjgvnY2bzYLsvAVCgXMzMzQkgmTyYT+/n5R681b1fvk9/tp07HL5YLVam1advejH/0oRkdHsXfvXrz77rs44ogjABy00Far1Ugmk3jmmWfAcRxOO+20tmeaTSYTPS4SxJLep3Yfm5QgG59IJIJCoQCFQgGVSkXLiJLJJNxu97pyTquE8LmTsiU3WRPIPKJsNovZ2VlYLBa4XK6m2qFXOk8ej6fjHF2bjfA8EZtrYaBLehGJ8UQ+n8f09LRkrO2J8QQ/aViP8UQ9wQnDMLScnJgtEBc7p9PZ1HJjcpztPt/8c0CO6cCBA2AYBj09PZJcj7odOS3UJdSyMSQLQD6fxwcffIBoNEodkjZs2NCVARRwsFSAlJ4pFAoMDAxgZGRE9ACqmb1PZH6E1+sFy7K096kV7lbbt28HsNRrJESpVMJoNOK5554DwzA4/fTTEYlEkMlkUCgU8POf/xw7duzARz/6URxzzDE455xzsHv37mWlpzMzM9i8eTNuvPFGTE5O4utf/zo+/vGP48Mf/jD+8pe/0J+58cYbcdppp+Hoo4/Gcccdh7PPPhu33HILtaVnWRb/+Z//iWOOOQa//vWvaf8PGTLr8/nwne98B2eccQa2bNmC4447Dueddx7uueeeZX/b22+/ja9+9av4+Mc/jqOOOgqnnnoqbrvttjI3pFp45plncOGFF2Lr1q04+uijcfbZZ+NnP/sZVX4IxWIRmzdvxt///d9X/D2V+q5qOW+VYFkWiUQC+XweHMdRFYNfyptMJjExMYFIJCK5vrdWUSwWMT8/T587cp6kvmHR6/UYHR2F0+kEwzCIx+M4cOAAotFoU65lqVSqeJ7kAKocUj5PzpPZbMbY2NiKSqHRaMTY2BjteSFl3M26lvVC+qVUKhV9FxWLxVVL/CoZS6wGSWAMDg5Co9GgUChgfn4ePp+vJufhtSAFJYqPUqnEVVddhS984QtQqVS0xPjXv/41fvGLX0jinlgvyEFUF0H6olaDzIrS6/XYsGFDV9eokyZ5gtFoxCGHHCJq+V47ep88Ho/ovU8rceaZZ0KtVuPZZ5+t2LD+yiuvIBgM4m/+5m8wOjpKh0RefPHF+M53voNkMonPfOYz+PznP49isYhvf/vbuOGGGyp+1vT0NHbs2IFAIED/jcFgQCAQwHnnnYcnn3wSmzZtwt/93d/h05/+NPr7+/HUU09hYWEBuVwOkUiEvvR0Oh0sFgt9+f31r3/F9u3b8fOf/xwulwvnn38+zjjjDBgMBtx9991lx/HCCy/gggsuwMsvv4xt27bhwgsvxPDwMHbt2oVzzz0XPp+vpnP3wx/+EP/4j/+IqakpfOYzn8GOHTtQKpVwxx134JJLLlkWSK2VauetEuQ8kREGZrMZg4ODUKvV1Gp4fHwcJpMJLMvC7/djenqa/vx6IZFIYGJigiZfPB4PBgcH256RrhWGYdDb20uNQViWhc/nw8zMjKjXkgTbnb2NM00AACAASURBVHqeWgXpb4rH42AYBh6PBwMDAzWdJ9IbMzY2BoPBQKtJxL6Wa4VvPEHWW6J0VzOeaCQ4IXOVSGCZSCQwNTXVlMBSakEUsFSa/pWvfAV33303rFYrgCWDoGuvvRbnn38+PvjggzYf4fpAXuG6hJUCAlI64PV66ddcLldXO7mQTTwplyCI3TfU7N6nQqFAy6qA9g2m7OnpwUknnYTnnnsOzz33HM4666yy7z/22GMAgHPOOYf2Sv3oRz/CG2+8ge3bt+Pqq6+mrn6lUgk33ngjfvnLX+KTn/wkTjjhhLLf9eabb+KSSy7BV77ylbKvP/TQQ4jH47j++uuxY8eOsu8lk0lkMhlqU09ediQoAJYC6q9//euIx+P4wQ9+gNNOO63sd/DVnWQyiRtuuAEcx+GBBx7AUUcdRb93zz334Cc/+QluueUW3HXXXSuetzfffBMPPPAA+vv7sWvXLvT29gIAvva1r+GKK67Ayy+/jP/4j//ARRddtOLvqYVq540Py7J0ThSwdH70ej04jlsWzKnVagwODiKRSMDv9yOTyWBychJ9fX2iz0+TGqVSCcFgkJY7GwwGeDyetltNrxVilU3GIKTTaUxOTqK3t7eh9wAZiRGJRAAsqV9il0h3A0KL90bOk1arpdb2wWCw7Fr29va2ve+MP1uqUCjQQbWkvJN/fI0GJ6SMzWw2IxgMIpVK0R6yleYq1YsUgyiCXq+nVRgWiwXxeBxvvPEGzjrrLOzcuROXX3657KzaRGQlqkuo9hIkfUDEhY78XDN7aNpNPp/H1NRUWbkEWUzFcjciwVM3q09CzjnnHAAHAyZCKBTCyy+/jJ6eHpx44okAlsoYf/nLX8LhcODSSy9FNptFNBpFsViEUqnE1VdfDQB4+umnl32O0+nEl7/85arHIbRGzuVyNBNLep8qlaa+8MIL8Pv9OOWUU5YFUADgdrvLfjYej+OMM84oC6AA4KKLLoLb7cbLL7+MQCBQ9TgB4Be/+AUA4JJLLqEBFLB0fq6++mowDLPsfK6V1c5bPp9HJBJBNpul52m1dYBhGFgsFmzYsAFWqxUcxyEUCknKQltsyKaUlDs7nU4MDw93fGBA+in41zIcDmNiYoIaQNQDCapJAEUcxDr9PIkNcUrk21A3ep6Itf34+HjZtZycnKSmJ+1GqVRCo9HQEj+iShEjCmBt5XyVILOlPB4PVCoVnasUDAbXbL/OR8pBFHHmA4Dbb78dO3fuhEKhQLFYxM9+9jNcc801bTy67kdWoroMImNzHIdoNAqfz0cNCNxuNy15KhaLXfeyI3XBxNaXNDVbrVZMT08DqD5wtx7Wi/okZOvWrRgaGsKePXtw4MABbNiwAcBSoFAsFnHWWWfRYySlPX19fXjkkUdo3w2w9MJTq9XQarWYmJhY9jmHHnpoxb/1xBNPpArQyy+/jI997GM49NBD4fF4wDAM1Go1zGZz1RfdX//6VwDA8ccfv+rf+u6779K/WYharcbRRx+Np59+Gu+99x5cLteafg8ppZ2enkY6nW7YcavaeeM4Dslkskx9MplMdZVaKZVK2uDu8/noxrCnp6dr3DxZlqXDToGlYL2/v1+0bLZU4F9LvlmBzWaD0+lcdaNIrMtDoRCA1g4Y7iRINQSZt0Y2+mI66xHjCZvNBp/PR8vXpWQ8oVAooFQqlxlPKJVKUYMTMlfJaDRiYWEBkUgE0WiUGk+QSoi10Ophu/XAD6JGRkbw8Y9/HGeffTZuvvlmvPXWW5Io9exm5CCqSyAW5xzHUdtUsgnnu9DF43EaRHUT+Xwe8/PzNAtnsVjQ399PXyJk8Wvk72ZZtmxiO/m9Yr2o2uG8Vw8Mw2D79u340Y9+hMcffxzXXHMNOI7D448/DoZh8PnPf57+LCmDmpycxL333lv1d1bKgFezaR0aGsKuXbtw11134ZVXXsFvfvMbAEulqRdeeCEuvPDCFc8TcWVcKeghkGfH4XBU/D45RuFwzLX8nmAwiEQi0fDmqtJ5KxQKSCQSdLNiNBqh1+vXfD8RMxO+hXYikYDH4+nokpFsNguv10s3HL29vV3dKwocNCsggWM0GkUymYTL5YLZbK74t69kyS1zkFZb4RsMBoyPj2NhYQHhcBixWAzJZBJOp1MS7w/SL8V3riXvUvJ9MT/L4XDQEr9sNgufzweDwQCn01l38pgcKyD9IIpUOxx++OHYtWsX3n//fYyMjLTr0NYFchDVJZAAimS++Da8fPe2agN3OxWiPvn9fnAcV5Zl5VNp4G49CNUnkl3rdvVJyFlnnYU777wTTz75JL72ta/hzTffxNzcHLZu3Yrh4WH6c8SR61Of+hR++MMf0q/n83kkk0l6HUg/Dv8lv9ILf3x8HDfffDNSqRT279+PPXv24PHHH8f3v/992Gw2nHnmmVX/LTmm1UrwAFCnrGoufOTrq83e4f+e/v7+qr+HHBu5n6o9nysFbfzzxnEcUqkU3eyqVCqYzWZRAn6+hTZRpUj2W0ozk2pBqKo0Qy2QMgqFAk6nk9qhZzIZzM/Pw2QylVnbk8qGQCAAjuOqWnLLLD2jZA5hK63wGYZBX18fvZapVAo+nw+xWAxut3tZGXSr4c+PKhaLSKfTZe9jkjgUC51Oh6GhIcRiMYTDYTpbqqenBz09PTUHlqTkkGEYSSYLSBBlMBjKElkKhQKHHXZYuw5r3SC9O0JmzZAGcJZlYbFYsHHjxmUudN0UROVyOUxOTsLn84HjOFitVhxyyCHLAijg4N9dbxBVrfdJrVZ3be/TSvT19eHEE09EJBLBCy+8QPt5+CoUsFSqZjQa8dZbb5Xda8RunJT+ZDIZOqNoNfg9PSqVClu2bMFXvvIVfO973wOw1Me0EkceeSSAJSfB1Tj88MMBAK+//vqy7xUKBezZs6fs59byeyYnJxEKhTAyMkI37QqFAiaTqczkglAsFrFv375Vj71QKFCLeWDp5Wqz2UQv7dHr9RgbG6OKTSwWw4EDB6i5h9QhZWwkgCI9JuslgOKj0+kwMjJCB+ISt73FxUU6WoEkqiwWC3VulDkIcT6cm5tDqVSiSl+rrfCJiUh/fz+USiXS6TQmJiZocrXdkLWCuJvq9XqqUIl9fKR3bHR0FGazmSZNSAl1LUhZhQIOBlHVqh1kmoscRHURFosFFosFg4ODVTfh3RBEkSba/fv3I51OQ6VSYXh4GENDQ1U3imtRokgARRZ30vsk1ma0nXOfGoEETA8++CBeeOEF2O12nHLKKWU/o1arqd329773vbK6bGJqkM/nqeFJNBqt2hBN5hn9+c9/RiKRgEqlgs1mg8FgAMMwVM1ZrSfj5JNPhtvtxvPPP4/nnntu2ff5gcspp5wCi8WCX/3qV3j77bfLfu7BBx+E1+vF8ccfv2pp4Nlnnw0AuPvuu2mJI7D0/H3/+98Hx3H43Oc+V/ZvNm/ejLm5Obz22mtlX7/rrrtWVdFSqRSi0SjNgttsNhiNxqbdTyT7PTY2Br1eT2cFzc3NiWbdLjZEsZ+YmEAmk4FKpcLQ0BA8Ho8kM82tgjidkdlOLMsiEAhg//79NMHT399PN+cyByEmG/zZi+1MhPFNRGw2G4ClzTZJ1rULkrgga3ZPTw/6+/vLFPhmBFNEOSVjHPL5PE0MrLYX6pQgim9cJNM65HK+LoFIzQMDA1AoFFU3TZ0eROVyOczNzdEsu9VqpY48K1FPENWK3qdYLEYNMKTW+7Qa27Ztw8DAAA0uduzYUXGzcPnll+P999/Hrl278Nvf/hZbt26Fw+HAwsICZmZm8NZbb+Ef/uEfcOihhyKdTtNAi/8CzefzSCQSYFkW//Vf/4Vnn30WW7ZswdDQEMxmM2ZnZ/HSSy9Bq9Xi/PPPX/G4NRoNbr/9dlxyySW46qqr8PDDD+PDH/4wstksJiYm8Oabb9LhtCaTCd/61rdwzTXXYOfOnTj11FPhdrvxzjvv4I9//COcTmfVOVd8jjnmGOzcuRMPPvggzjzzTJx66qnQ6XT4/e9/jwMHDuCYY47BhRdeWPZvvvjFL+K1117DZZddhtNPPx0WiwV79uyBz+fDMcccgzfeeKPiZ+VyOZpd1ev1TQ2ehGi1WoyMjCASiSAUCiGRSCCVSsHpdEoqKSDsVbFYLHC73ZLdILUDUtI4Oztblq23Wq1Ve6XWK8JyUKmZkfDNlfx+P3K5HGZnZ2GxWOByuVpmPCF85xFDDFJ+xi+X5xtPiP1cktlSkUgEi4uLiMfjSCaTcDgcsFgsFe/tTgmiZCWqPchB1DqjU4Mooj4RpyOyCNdaKlGrsYTc+7Q6DMPgc5/7HH7yk58AWF7KR1Cr1bjzzjvx1FNP4YknnsBLL72EdDqNnp4eDA4O4oorrsDpp58Oo9EIrVZLlSASOAGgjnIqlQpnn3021Go13nrrLbzzzjvI5XJwOp0444wz8MUvfpG6Ba7EkUceiUcffRT33XcfXn31VezZswdGoxHDw8O47LLLyn72k5/8JB566CHcf//9eOWVV+jL9txzz8Ull1xS80vrmmuuweGHH47du3fjiSeeQLFYxPDwMK688krs3Llz2bU/7rjjcMcdd+Dee+/F008/DYPBgOOOOw633347fvSjH5X9LMdxNKFAegJNJlNbnDf5M1vIPe73+xGPxyUxY4mYtpRKJepWWqn0d72TTqfh9XqpkqjX62nZbTKZ7HgTEbEQmmxI2anSYDBgbGyMGk+Q4KEVSY5SqUTXAWCp/9Pj8ZQFJQqFAlqttqbZUo2iUCjQ29tLjSfS6TQCgQCdLSXsHSNBVLudDqtBgqhqhkwyzYVpYLKzuCOhZRqmUCigUCis2ACZzWaxf/9+aDQabNq0qcVHuDay2Szm5+fpy8pmsy1bhFeD1IXrdDps3Lhx2ferqU9iZZ+kqj6R3i4p1MqTgEBY1teoo1y3UiqVkEgk6GZXp9PBaDTWveEg11/M8jviNBkIBOh8OofDUVdDt1gIN3GdmLhoBWQGWCWL93Q6TZUMAJKx0G4Hq6kqUiefz1PjCWApSHa73U1Rz9LpNObn51EsFsEwDE1crLQG8PujyLuYb0ohJmT8A3+elN1uLxtavLi4iHA4DLvdLjm1J5fL4bjjjgMAXHnllcsSgTJ1saYX0/pbAbsYYnO+Ep2kRJGXeigUourTwMAAdTKrh5WMJVqtPgmdr2QOUimYI5a4chC1BMdxyGazSKVS9H41m81tV3r4kJ4Mo9GIYDCIWCyGYDBIValWlTslk0n4fD66iXO5XJIqL5QKuVwOXq+XKr+9vb3o6+uja6BQySAW2sSlcb2cz1KpBJ/PR5Vys9kMt9vdUcEkMZ4gRlSkn0t4zRtBGJDrdDoMDAzUtEYpFApoNBqUSiUaTJH/xJzHCBycLWUwGKjNfyQSKZstJeVyPjLAGZDL+dpF5zz5MqJAFgKyKEmx9ABYUp/m5uboS91utzfUu1CpJ6qS+qRSqbpefZIiwnlGxDSC9EoVCgWYTKa22/S2m1KphGQyiXw+D2BJLTCZTJJ9jvlltz6fD9lsVvQNWyVYlkUwGEQkEgGwlG0ns/JkDlLPQFhiIkLKNUnZH7HQ7vZzm0ql4PV6USwWO34tZxgGFosFRqMRoVAIkUgECwsLiMfjcLvdDTkvkpmN/IB8LTPXlEolGIYpe0eTZIjYJX5KpZJa/QcCAZpU4PeVSjGIqjQjSqa1yEFUF1HLIkUc5ogLjtRefCzL0t4nYKncbGBgoGE7XbLgkuARQNPVJ34Du6w+VabSPCOTyUTPk1arpSVr8Xhc8kFDs+A4DrlcDslkkt6vnRRUmkwmbNiwgQY2CwsLdEiv2JbimUwGXq+XBpoOhwO9vb0dudltJmsdCKvVajE8PEwTRKlUChMTE20r12w2LMvS4dJAdwXkZI6V1WqlM9+I8YTT6azrfdWMMkdSwqdUKlEsFsuCqWYYT+h0OgwPDyMajWJhYaGstFyK7xx+ECX3RLUHOYjqIkg532r9LVINosigR5LB6unpEW14J8lelUol5HI5OpwYkNWndlFJfSIKFEGpVMJqtdLytVwuh3w+TwOI9XBOicU7CQo0Gg3MZrMkX+orQcwciCpF7I5tNhucTmfDz+BKPT0y5fBNNtYyEJbM3zGZTAgEAojH47Rs0+PxrDpuoFPIZrPwer20F6yvrw99fX1dt+6QmW+Li4sIhUJ1G0/UYh7RCPwSv2YbTzAMA7vdTo0nSBl+OByGSqWS1L3ND6Lkcr72IAdR6xCp9UWRTB+xiRVLfRJCgqh8Pk8NFWT1qfVwHId0Ok3tk5VKJcxmc9XzxDAM9Ho9NBoNLWVLJBLI5XIwmUySLLMQC6I+kTll3RA8CvtrotEokskk3G73mvodgeU9PVJ2SmsnpVKJOpEBjZtskD5VvoX21NRUx5//SmWOAwMDktpAiw3DMOjt7YXFYilz14xGoyv2Ma7FPGKtVCvxa4bxBFHS9u/fT/umZ2dnYbVa0dfXJ4n3jlzO137kIKqLqHXRklIQRRZgkunr7e2Fy+USdTEkvU9k0QsGg/B4PKKVQlVSn0hmt5M3u82gUCggmUzSe6+eeUZKpRIWi4UGFvl8HpFIBEajETqdrqvONcuySCaT9LnQaDRdFTAqFAo6m4UEP3Nzc3U36nMcR7Pnq/X0rHf4PT0Mw8DpdMJut4vy3JhMJoyPj9Oyt8XFRSQSiYb7a9qBMBlms9lEfydJGbVajcHBQSQSCQQCAdrHKAyMGzGPaAR+iV8rZkuRihWbzYZoNEpNVRwOR9vnppFzb7PZJFVVtJ6Qg6guZSU3MykEUaTxm0wu12g0GBgYEN0mlu+8Z7fbkc/nkcvlMD09LUoNv6w+1Ua96lM1GIaBTqeDWq2mgRQJNsxmc1cEGfwBwwzDdGWQSNBqtRgdHaVZfzKkt5YS2Hw+D5/PR+8pscoCuw1hT49Op0N/f7/o/XSkdJmUa7ZrsGsjCMscPR7PmtXRTqaS8QQZTut2u6HVakUxj2gEhUIBtVpdpkqJXeLHt1l3OBywWq0IBoPIZDLw+/2IxWJwOp1t602VZ0S1H+mvajI1Q3qiVpv91e4gqpXqE1lUgaUAh9Tw8y2X17KhkNWn2ikWi0gkEmtSn6pBVCkSRBUKhY5XpViWRSqVohsTtVrdNYHhSpAhvSaTic6v8fl8dMMmzLAKn731vNldjXb09FTrr5FybyjLsnRTDMizxAh84wm/308VYwIp52yX8is0niBBj1jGE3x7c4ZhoNVqqUoXCoWQyWQwPT2Nnp4e9PT0tFytJEGUXMrXPuQgqsuQ8qwolmURCATKGr+bsQDz50tUct6rZLlcjyolq0+1IRyeq1QqYTKZRCs7IC81okqRMj/SK9UJmW8CCQbJYFqDwbDuBgyT+TVkSG8l17disQifz0ebvTtxTk8rqFTm2MqeHtJfQ+zQSWBM7NCl5CpJDI3IoHoxyxy7Bb1ej6GhIczMzNCAHFgaPSKFPrFKs6VIErWR2VIkiOKvL3yVjsxMI+WrTqezpUOXyV5KNpVoH/Kbp8uoZeFvRxCVSqUwPz9PHcb6+vrgdDqbrj5Vc94jNfz1qFLdrD6JnUEjZZRkEKxKpWpazbZCoYDNZqOmIfx5Ip0Q2ObzebAsC4PBQDcD66X/Qgh/SC/f9S0ej8NqtSIcDqNUKnXVsyc2hUIBXq+3rMyxXT09wsA4nU5jcnISfX19bbed5zgO4XCYlpSTpJ6UAjypIDSP0Gg0yOVyCIVCtPdNCsGU2MYTKw3aVSqVtHw1EAjQ+VgmkwlOp7PpiR2O4+RyPgkgB1FdSi09UfzBs81CKupTJZRKZc2qVDerT6tZ4tcDUZ/S6TS9DiSIEvNzKkGCJn5JnEqlop8vNSpZvJMNXLPPldQhZULEKSybzdJrqtfrMTAw0BXPnphwHEd7eqRU5sgPjIkNOinzc7vdbSkFy+fz8Hq9dD5dp7sJNouVzCMSiQR9NokjoxRc68Q0nlgpiCLo9XqMjIzQ+XfJZBLpdBq9vb012cOvlXQ6TddEOYhqH9LbWcg0hJTK+ZLJJC2TAJYkZ7FfVPWoT9VYSZXSaDRdqz4BoNdGDHK5HHw+H92YkEZ/hmFE/ZzV0Ol0tOyL3ONSmu8i3JhoNBp6r0nBMVNKKBSKZT2eZFaMHEQdpFQqwefzIZFIAFha0zwej6SSB8Qymj/YdXp6Gna7HQ6HoyWb72YMhO1WiLJSzTzCbDbDYDAgHA5TR0YSGLc7cAeW1g6tVktnYq7FeKKWIAo42NdJZkulUimaKHC5XE2ZVSfPiJIG0llhZVoGWRDIgiJ29o3MIuG7QTWjHn8t6lM1qqlSKpWKBgDdpD6JibD/QqVSwePxtNXamG+5HIlEEA6HkUgk2j4IVNjoL2fAK1PJUc5ut2NhYYEO6W3l5lvKJJNJmjBo9pweMTAajRgfH0c4HMbCwgIikQgSiQQtjWoWxWIRfr+fBppiD4TtFioFmtWqR/glbXzjCSm9K0lPlNB4opYSv1qDKALpPUwmkwgGg8jlcpiZmYHNZkNvb6+o9xpZGwFZiWonchDVZdTy4mQYBiqVimZoxOxVEapPTqcTfX19TVefyPDcRjGZTBgbG8Pc3BwymUyZitbuGn4pIiyLsVqtcLlcktiYEGcps9lMM99TU1Po7e0V/Z5cDVK/zh8oLc8zqkwmk4HX6y3rnyQqosViWbb5bnfA3i7ImIhIJAJgqayIKJpSR6FQwOl0liWt5ufnqfGE2JtvfqBJrNilHGi2C6GiabFY4Ha7V13P9Xo9HVUQCoWQTCZx4MABUcaIiEEl4wny30rGE6QyoN73mclkgsFgoOtUNBpFIpEQdbYU6eUD5CCqnchBVJdByvlW66kgQZRYfVGlUgl+v5++0HU6HQYHB0WXsfmLIACqPIm1ISa9TyQoIJbx4XCYSvbtfiFIAY7j6GwfjuMk039RCZL5JsrGwsIC3Xy3IojJ5XJ0oCyw5GgltqlKNyBs9CdljnzlsNLmu9NmEYmBMNDs1CSPTqdbtvkmjoxiOOQJFc1OCjRbDX8Y81oCTX5JWyAQQCKRoD1w7a4AINRrPFGvEsWHP1CcDC32+/2Ix+NwOp0N34P8cj45iGof6+ONI7MMMfuiEolEmXMP6X0S84XeTPUJWNrARaNRBINBWjPtcrnKmqEbmSvVTQiHnHbCBpY/CJRsPklJWLMCGmGgKYUyR6lSb6BJNt/8WURkSG+39CtWQqhoVgo0Ow3+5tvv9yOZTFJnRrfbveZEnLB0tlMDzWazknnEWlCr1XSWkt/vpxUAUim/Fc6WInuKSsYTjQRRBK1WSx0qQ6EQ0uk0nS1lt9vX/O4h10uhUMBut6/5+GQaQ7q7Hpk1UesLQowgikj/0WgUwEHXrE5Vn/jOe/ym7EbnSnULlQJNYrLRKZBBoPySsGQyCY/HI2pzuTDQlFKZo5SoFGjW2ujPn0VEzrXX66WZbyn0Y4iJsHS22xRNtVpdZoeeyWQwOTlZd/mtsEezGwLNZiE0jxDTgMdsNsNoNFIlkN/7JlZJWyPwS/wKhUJF4wkxgiig3KEyHA4jHo9jYWGBGk+spSKCP2hXfq+0DzmI6kJICVotNudrdU2Lx+NU+icDCsV2P2uX+lQpk72WuVLdhDDQ7OQhp/ySMJKpJs2/TqezoReSsClbymWO7UZ4T6010NRoNBgeHqbnnT+ktxuGplZq9O9mRZMMMg0Gg4hGo7T81u12rxpcC++pds7IkjLknvL7/TR50YzRI/yyQH7vm8lkgsvlkkRZJSnxq2Q8IVYQRVCpVDTxGAwGkc/nMTc3B7PZDIfDUdf7VJ4RJQ06bwcksyKkJ6pWm/N6e6KIdXQsFgOwlNkfHBwUPZBot/pUiXrmSnULlYKCTlOfqqHT6TA2NoaFhQWEw2FEo1Ekk8k1W/R2U6DZTCrNM2r0nmIYBjabjQ7pTSQStCTM4/F0bKKDrLfJZBLA+nGUI8kHsvnO5/OYmZlZMdAm91SpVJKTFyuwVvOIRiDltyRpmUwmkUqlJPPuJKoUGRJPErj8/YeYGAwGjIyMUGv4RCKBVCqFvr6+mvvQSJ9fb2+vqMcmUx/y232dspZyPqH65HK5RK8xF6pPxEmwHepTNdaLKrWWQLPTYBgGfX19MJvNtCdnbm6urj4vYVDQbbPExERoMy32PUX6MaqVhHXS9UgkEvD5fCiVSuv2njIYDBgfH6eJjlgshmQyWbZmk5EaJLFnNBrR39/fVeuUWAjNI1p5TzEMA7vdDpPJRN+ZUjOeUCgUUKvVKBQKtO+Q9E6tdXxKNfjlyMFgEOl0mp4Xl8u16l6CKFHyjKj2wggHGdbBmv+hTHMpFAooFApQKBRVF8dkMompqSkYjUaMjY2t+PuE6pPBYMDAwEDT1ada5jjUQzOUAuGMFqlk1hphvQYFwl6KWhQSYVBgNBq7sh9HDIRBQbNtpkulEi0JA5YavKWyWVsJlmURCATocRsMBvT396/7eyqXy8Hv99M+Q6PRCJvNhmAwiEKhQMvKu6GEU2yE5hFScClMJpPw+/20pUCMcmoxyOVymJ+fp4YkfX19tHRWaDwhFhzH0dlSpDrIbrejt7e34v6HZVls27YNxWIRF198Ma6++mrRj2kdsqZFQw6iuhASRDEMUzUAyWaz2L9/P7RaLQ455JCqvysWi8Hr9aJUKtFBjmIHCZ2gPq2EMBOq0+k6VpUSlg+tx6BAaApRLdgWBgVOpxM2m03ewAkQBgWt3sClUin4fD66WZPygONMJkPn7HVLUkZMhOXFBI1G05Sy8m6gmeYRjcKyLDX5Acpn+7X6+Mg+IRAIlBmSaDQaajxBEHN/wqdUKmFhYYGulSqVCg6HAyaTqex8RKNRnHLKKQCA6667Dl/4whdEP5Z1yJpuOFnv7kLq6YmqVs5XLBbh9XoRj8cBLG2mG7E9rUare5+a0afSDb1SZxMCmQAAIABJREFURH0KBAItUwqkCjEqIIE3qVcnqhQJCvjKrMfjkUSTtNQgjnntDArInDCyWSM9CG63WzLmDMIZWVqtFv39/aI7nXY6DMPAYDBArVZTpYB8fbXZiOsNoXmEFAd8801+/H4/TSIYjUa43e6WranCigKr1Qq32033IfXMlmoEpVJJz0cgEEAul4PP54PRaITT6aTJTP6MKLmcr73ISlQXUiqV6BDGag84x3F45513AABHHHEE/Tmy8Apr8cUukWiH+tSKDFcnqlJySVp1hEG4TqdDoVCgyqxcPlQZYYZZKkFBJpOBz+ejG3ApWM8LZ2RJWSlrJ0KlQKVSwW63IxKJ0GSgfO6WaId5BJ8cm0OOzUGj0ECnqO2ZF76zSb9qs2d7VeoTs1qtFX+WbzxBaGaJXywWQzgcpudDrVajp6cH+/btw6WXXgoAeOihh7B161bRP38dIpfzySxRSxAFAO+99x6KxSIOPfRQ2kzp9XrLNtOtUJ86ofepXjqlV4rvaCWXpFVGuHkDlpTcoaGhtgcFUkQ45LS3t1f04duNQAbWhsPhst63VpcQNTIja70hLDPmBwUsy9JZRMCSuYiUVMZW007ziFAhhInsBAL5AApcASpGBafaiTHdGNwad02/o1gsUmdNYCkB43a7RVfQGukTKxaLKBaL9H3AMIzoxhP8zwqFQvD5fPj617+OTCaDE044Ab/97W8BAM888ww2bNgg+ueuQ+QgSmYJlmWRz+epAlON/fv3I5vNYnx8nMrGfCOBZqlP/BLCblCfqiFlVUr4opJL0qrDL0njI5+zckhwQlytpFg+xEdoVGAymeB2u1uiwIo1I2s9kEwmaV/uSkqBUGWsx2GzG2i3ecRsbhZvJt/EbG4W8VIcGkaDPJeHWWnGoGYQRxqPxEb9xpp/XzONJ4SDq9fSJ8aybNlsKUD8hDCfubk57Nixgx6zyWRCNpvFH/7wh6rKmUxdyEGUzBK1BlFTU1NIJpPQ6/VlD2YzFt5WqE9er3dVM4B2IDVVim+IIJekVadSSZrH40GhUKDqnXz+lhBuSjplyKkw8dIKNVY4z6hb5q6JDcuyCAaDiEQiAJaCgoGBgRWDXKHD5nrp7RSWhLbaPCJRSuDF6IvYm9mLHmUPXBoXVIwKJa6EUCGEQCGAw/SH4QTrCehR99T8eysZTzRqCkX6xMjg6kaHDAv3NkDzjCf27t2LW2+9Fe+//z792qmnnorrr78ebndtSp9MVeQgSmYJjuOQy+VWDKI4jsPExATd9CgUCng8HtE3D+1Sn6S2KZGCKiU8BinY3EoVYVabzBki961QydPr9R091HWtVOpT8Xg8HVdKRQJjUi5mMBjgdrtFvZ6V5hnJvYeVEZaEOhyOunpj8vk8/H4/Vfq6VTWWinnE/6T+B39M/BEFtoBR3eiy78/l5lBCCVvNW7HFtKXu31/J3r5e4wnh8yfm4Gp+j3ezValSqYRLL70Ue/bsoZ9lMBhw5ZVX4vzzz5dE4rhDkYMomSU4jkM+n6cD4oQvHpI1JhsGjUaDsbEx0V/mzVafarWilhLtUqWEnyurJ5URuqQRm9tqs4WEql4rGqGlgrAkrdXN62LDcRwSiUSZyijW9RS6FMrPX2WEStJqz99qv4vvONptz2e7zSP4vBh7EX9O/Bnj2nEYlct7+vJsHu+k38HR5qNxmv20NX0GCRjJLCVyPXt6elbdUwhHB7hcrqaoza0ynrj88svx2muvwWazUTt0ADj88MNxyy234MgjjxT189YJchAlcxASRPEH7pJGZiJlMwwDjuPQ09OD/v5+0T5bVp9WppWqVCX1aT0qJrUgLImx2+1wOp2r3reVrqfH4+lq0wmhIclKjladhvB6NjKkV2h6IKW+SKkhLMkWqyS0WCwiGAyKcj2lQj2Ocq3gN9Hf4PXE6zhCfwQ0iuXqEMdx+EvqLzjadDROt5/eUPAivJ4ajQYej6ei+kaC8mAwCGDp2g8MDDT9+SuVSmWzpcQ2ntixYwc++OADnHTSSbjgggvwrW99C1NTUwCWzsevfvUrjIyMiPJZ6wh5TpRMdcjAPX7W2Gg00iy6WMjq0+q0aq4U/0UrhV4sqSLMftfrklbtekppqKVYlEol+P3+svlx3VaSxr+efr8fuVwOU1NTddtnC0vSuvF+EIt4PE6NjZRKJTweD8xmsyi/mzzPVquVluhOTU3BbrfD4XB0lHLabvOIahgVRhgUBiRKCfQqepd9P16KQ6fQQa/UN3z/86+n3+9HPp/H9PQ0rFYrnE4n3QsIlfJak2JiQCqA+MYTYs6WIte/r68P27Ztw5NPPol7770X99xzj7y+tBhZiepSCoUCla4jkQid8M7fIKRSKUxNTcFoNGJsbKyhzyNONaQmuFlzn0jvRaepT9VohipFhsESmV/OfldHaIjQqEtaqVRCMBik574bst4EYUlos0pipEQl+2yPx7NigC0MyqXuUthOhOufyWSCx+NpWlJMaFSgUqmog6vUabd5xErM5GbwcuxlzOfncZj+MKiYg9evxJXwfuZ99Kn7cJzluLoc+laDZVksLCxgYWGB7gtIoMQ3bxEzKF/LMQpL/BrZGxWLRXzsYx8Dx3G47LLLcOWVV9LvRaNR5HI5uFyuho97HSIrUTIHYRhmWXmExWJBf38/fUGR/80vu1sLQumayNay+rQ6YqtSqVQKPp+P2sLW25C9XqgUlIvxoiW/h1xPkvUWGlN0EpVc0qSQ/W4FxN2Nfz1nZmaqBtvNKknrRoR9Yq0Iyon7In+9nZubg9lshsvlkqSiKlyrpBiUD2gGMKgdRKqUwrvpd+FQO2BQGJBlswgWgjCpTBjSDmFYOyzq5yoUCjgcDqoap9Np+Hw++n0pKOUKhQJqtbrMeIIkotZS4heNRuleq6+vr+x7NptNtOOWqY3O34XKVGV+fh6ZTIZu1IU1040GUa1Qn/jDKLtFfaqEyWTC+Pg4zcoGg0HE4/GaFSThRler1aK/v7+r+3LWSiVDBLHnyRiNRoyPj1MVY2FhAYlEomrtvlTJZDLwer10ePd6Dcr1ej3GxsbokN5YLIZkMknXI2JiQPpN2539ljLCkrR2KOU6nQ6jo6OIRCIIhUJIJBJIpVKSGzYuJfOIlVAySmw1b4UCCvgKPoQKIcQLcagVaozoRuDWuHGs6diK/VJioNVq4XK5MDs7W7af0el0kjhXJKmsVCrL9kzE/KueYySmR8DSeizTXuQgqkthGAYejwcLCwtVyyPIg8sPgmpFVp/EZ62qlHAYrJTKPKREpY1uM4NyvopBApHp6emW1uavFaFLoRyUg7qBmc1m+Hw+6vhFStGI22mzS9I6GWFJWm9vLxwOR1vWKoZh0NPTA7PZTO3t/X4/YrGYJMx3pGYesRo6hQ7HW45HsBCEN+9FnstDzajh0XjgUrugYJqz3lUas6DT6ZBMJmnyyu1219zj2kwUCgU0Gk3Z/qlUKtH3US3vBFJaDCxXomRaj9wT1aWUSiXk83mq4FTjvffeQ7FYxKGHHlqT5C2rT62hll4pYb9GN/XfiE2xWITf76cZ3VZvdIW9GLX01rQL4Ua3XkOF9YBwnSK4XC7ZurwClTa6jQ45FRNibx8IBKiS0a4SXKmaR0gRoVLHL7MlpX1ERRcaT7Sbtc6Weuqpp/Ctb30LAPD8889jaGioJce7DpB7omQOwjAMtTBfCZVKhWKxiGKxuGoQ1Wr1qRllVp3CaqoUcf4iL4hO7rlpNkI7bpfLBavV2tKNLr8Xgzi2zczMwGazwel0SqLkpJIhglQDvXZDZvEJ19dEIgGTySRveHkUi0X4fD6q1EmxJI1hGOpYS4xh2qFiSNk8QmqsptQZDAaMj48vK8F1Op0tX/8rwS/xI8YT5L+VSvxIcA0svfdl2sv6252uE2pdIGrpi5LVp/ZRqVdqcXGRXq9GhlF2O0I7boPBgP7+/rY2Get0urLemmg0Sntr2tk/IzREaNSlsJsR9on19fVBrVYjGAwinU5jYmJCHifwvySTSXi93o6ZJ0Z62Ygdej6fX9FIRCw6wTxCKtSj1JESXGI8QYyXYrEY3G5320s2gaVgSqvV0mT2aiV+5O82GAzy/SEB5CBqHbBSv9NqQZSsPrUfokpptVoEg0F6rfR6PYaGhuSNbgWEdtxOp1MyZVb83hqSdZ6bm2vLvc9xHGKxWNkIBNkQoTIcx2FhYQGhUAjA8gSGyWRCIBBAPB6nxjDdPnS5GkKjGykkMOrBYDDQZMfCwkKZkYjZbBZ1HRGWGktRqZMKwpEUtfbUaTQaDA0NIR6PIxAI0GSHlCo4SGJaOFuKzJwix0j6VGVTCWkg71S7lHrK+YDlQZSsPkkHYZO/QqEAy7LIZDKYmZmRZ0DxEM7IknI/gVarxejoKC2hi8fjSKVSLXsOhGVW68G8Za0IN2+VzEFInw9RMUgJrpQ2aq0gm81ifn6eKnVOp7MjVTm+fTbfSERM2+xOM49oJ7FYjJoC1TsQHVjaE1mtVphMprKSzXg8DrfbDZPJ1MSjrw2+8QQJpkqlEoCDQRZ/0K5M+5Hfll0MCaRWolIQJatP0oH0PuVyOQAHm/xJ02yjc6W6CeHcmb6+PsnbcTMMg97eXur4lk6nMT8/T1/szXomEokEfD5fW/vEOgGhUqdSqeDxeFbccJES3FAohEgk0rH29vVCeuqCwSCA7ik11mq1GBkZQTQaRTAYRCqVwoEDBxpaczmOo6XZgLSTPe2GZVnqmggsJXs8Hs+alTp+yabf70cul8Ps7Kyk9iFEfRLOllIoFPSekYMoadD+u0WmadSyuPODKFl9kg5C9UlYI9/oXKluopJLYafZcWs0GgwPDy+bW0OeD7GCG6HrY6eVWbWSRpQ6/tpGemump6clZSQiJsKeuk6w8a8HhmFgt9upHXoikVhzyaZsHlE7/P5DsQcy80s2w+Ew4vE4NZ6QwqywasYTJEkhm0pIA9nivIspFAo0K1/tZZZMJjE1NUU3U/VYbdaDrD7VTjabpSoTsPqGRNj/s55UqUpN/p2+IREOAxarfIg0VZM1QUp9YlJDqNQ1EsyyLEs3asBS4qrdRiJiwi+zIv2btZRGFbki9mf2I1QIgWEYuNVujOnGoGSkH2AmEgn4/X5awVHLGADZPKJ2hKqmVqvFwMBA0xKE+XyeGk8AS8qg2+2WVCIum83ixz/+MXbv3g0AOOOMM3DHHXe0+ai6ijW9COUgqospFot0AGu1xZ00WKpUKgwNDUGhUMjqU5sgjevhcJjOUqm17ruWuVLdhFCp65bSIYKwjIxYpK8lQypU6rr93mgEYU+dmEqdMDnS6YkkoftlPbPX9mX24fex38Of9yNaioIBgx5VDzwaD06ynoQR3UizD79hhM+VWq2u2lsjNI+Q3S+rUywW4fV6aUDTKlWTzAoj4zAA6YwOmZ2dxXXXXYd33nkHwJIy+u///u/Ytm1bW4+ry5CDKJlyVgqiiDScz+cxNTUFlmWh0WhErduX1afaEZZ4rLXsZz2oUtX6xNr9omsGhUIBfr+flpQZDAZ4PJ6aeye6UalrFsQ4gCh1zXh2KiWVpDK3ph5I7x5ZZ+ops/og8wGeWnwK76TfgQIK9Kp7wYFDqBCCmlHjQ4YP4XO9n8OgdrAFf0njZDIZ+Hw+uh4J33OyeUTt8C3x2+UUWiqVaD8jsHJw3AqeffZZ3HbbbTSoPOKII/DDH/4Q4+PjbTmeLkYOomTKKZVKdPPE32CyLEtrbDmOQy6XQzAYpAFXoxutShsF2Ta5MsIBp7U0rq9Gt6pSQqVuvQyD5TiOWvOWSqWaSvFWs+OWOYhQ1WxFT52wfMhoNMLtdkveWEA4o6fetaXElfBQ8CG8Gn8Vfeo+DGmHyn73RG4CWTaLj1s+jh2OHU35G5qBcB1XKpVwOBzI5XJ0My6bR1RHaIkvpgPiWhEGx2azGS6Xq2XHlE6n8S//8i944okn6Nd27tyJq6++Wr6HmoMcRMmUQ5QmUqtO1Ccy0A1AWd8TfxFb68ZbaAUsq0/VEZ4rsUs8ukmVEp6rbm3QX4lisUjnEAFLmzKPx7PsGRWqmt3W5C8mwnPVSlVTWLIp9T414bmqdUYPnwOZA3g4/DAOZA9gi2nLsu+zHIvXk6/jw4YP43zn+fBoPKIdfysQBscEWQGuTi6Xw/z8PA1WpGSJLwyOie19s5/Rffv24dprr8XU1BSApTX8n//5n3HiiSc27TNl5CBKRgA/iGIYpkx9qua8xy89qGfjLatPtdPKc9XpqpTwXImh1HU6fNMDvpU7gGXnqt5ZKusFYZN/O8+VMDjW6XSSGtIrpiHC64nX8Wj4UbBgMaobrfgz72feh0Vpwbl952KzcXODR99ayHoVCATo1zpl3EKrqXRfDQwMSFItF5ZVN+sZ5TgOu3fvxh133EErg7Zu3Yrvf//7cLlcon6WzDLkIEqmHNIoSf7vWp33hBvv1coQZPWpdir1ibViOn0nqlJC2+RWnatOQPiMarVaKBSKsmdQPleVEbofSqXJX+j4Rjbe7VQQhTbvjZ6rNxJv4LGFx5Djctig21DxZ/am96JH1YPzHOfhCMMRaz72VlPJEp9hGBoca7VaeDweSQYJraZUKsHn83WU0QbZTwUCgbpcGWslGo3i5ptvxu9+9zsAS2MSrrjiCnzpS1+S9HnpIuQgSqacbDaLz372s4hEIrj88stx5plnQq1W1/zA81/qlUpNZPWpdoRZt3a4FHaKKiUsc5IdHavDV6WApaw3GSQps5x4PE7dt6R4X5VKJQSDQeoOKLbZTz0Ibd49Hk/D58qb8+L/hf4f3k6/jWNNx0LBlL+LCmwBbyTfwNGmo/EF1xdgV9kb+rxWsZJ5BH+0ALBUmuVwONbtxphvStKJRhtC4wkxRha88cYbuP7666mle39/P37wgx/g6KOPFuWYZWpCDqJkyllYWMBJJ51Ea9iPO+443HjjjXA6nTX/DqGNLX+elFB9kjPflRFmvusZ2tkMpKxKCbO59dgmrzeEtskEOeO9HGECQczG9Vgxhr+k/oK96b1Is2kYFAYcpj8MW0xbYFPZ1vQ70+k0HdILtHbj3UybdwDYHdqNV+OvggOHQ/SHQMUsPdt5No99mX0wKo04wXICPtv7WVE+r5kIbc71ej0GBgaWnSuWZREOh6khR7fNCqsFoYGLTqfDwMBAx5okZDIZ+P1+ur9ai/FEsVjEfffdh/vvvx8sywIAPvWpT+HWW2/tqMCyS5CDKJnl/P73vy/LcFgsFlx77bU47bTT6to08zO4DMPQ0kBZfaqOlBUVKapS/Hus0QGn3Q7fCpjMkNJoNGUZb6nMOGk36XQaXq+3KUOGp7JTeHThUUxnp+Ev+JFls9ApdHCr3RjRjWB773aM6cbW9Lsrbbyb3Q8otMRvRpN/sBDEL8K/wN7MXoQLYVhVVrAci0QpAbfGjSP0R2B733ZYVdLeRAoNEWoxjxDOCmu141u7EJb8r8WURIqQapxQKETn+dVqPOH3+/HNb34Te/bsAbCU/Lruuutw3nnndfx56VDkIEqmMrFYDN/+9rfx5JNP0q+dfPLJ+OY3v4menp6af086ncbs7CzNmKhUKgwPD0uuHEwKCNUnqSoqUlClhI31UrC3lSpClUDYryjMjLezHKzdCIMQsRMFsWIM9wfux5vJN6Fm1BjSDMGkNCFZSmI2P4sCV8AW0xZc7Lq4oYCgFUN6K1niDwwMNM3cIlwI4w/xP+BA9gDipTgYMLCpbNio24jjLcfDomp/oqkajRoiVNp4r3WQdicQj8fh8/nAsmzXmt1UMp5wu91V74kXX3wRN998M33nbdy4EXfccQc2bdrUsmOWWYYcRMmszHPPPYebbrqJ1vL29PTgn/7pn1a1zRT2PvF7omTFoByh+tQJ56edqpTQaa6eoZ3rDaGislLAK1QU1pvNuXAgczMy3y/FXsLj4ccRK8XwIcOHln3/nfQ7sCqtOLvvbJxobcyauNIcIpfLJcq6IjRwaeW9Ei1GES6EwYCBU+OEWSntigYxjTaEG+9qIws6FZZl4ff76XtFqolEMeH3kXMch3379mHTpk340IeW1odcLofbb78djzzyCP035557Lq677jq5/Lr9yEGUzOqEw2HcdNNNeP755+nXPv3pT+Mb3/hGxZK8Ss57brcbLMtKqs9HClTq53G73R2jqLRSlarXAXI9I1RUah0GK/x362E4sTDYaMSOezXu8t2FF2MvYpNuU0XlJF6M4/3s+zjReiIu9VwqymcK3T0bVW1jsRj8fj8tN+7v71/X4wNWgr8+imWIQBzfSBkzIA1XxkbhJ3HWW3KMVAM8++yz+PGPfwxgaY91zjnn4LbbbsMHH3wAYGnPdOutt+L0009v5+HKHEQOomRqg+M4PPnkk7j11ltpU7rL5cKNN96Ibdu2AQDm5uawa9cubNiwAYcffnjF3idS1hAMBulLeD32R3Ech3g8jkAgQHtUXC4XrFZrx700WqFK8d2qpGZsITUqKSr19jkJf0e3DioWKio2mw0ul6tpm9Hb52/H72K/w1bzVmqOwKfElfDHxB9xgvUEXDVwlWifW0ntrncAqNAwSGoqAcuxmMxO4r3Me4iX4lAxKgxrh3G4/vCWl/rVah7RCFJyZWwEksQgPdi1Jny6kXfffRcXXXQRXXf1ej1NRh911FH4wQ9+gMHBwXYeokw5chAlUx9+vx/XX389XnnlFfq17du3Y3h4GHfddRey2SycTiceeOCBFUsWhNnRTpj5IBZCh7Ru6edphirFsiyCwSAtJ5WCmYVUEVtRIT0v4XCYDpftJncwoaLSimTO3b678WLsRWzUbazY89QMJYpPoVBAIBCga0+t5WDCgepSUwmybBbPRp7FB5kP4Mv7kCwloWJU6FP3oV/Tj5NtJ2OTvjW9I0LzCIfD0dShuUJXxk5KeBSLRXi9Xlqdst5KiCuxd+9eXHvttZiZmaFfGxgYwD333INDDjmkjUcmUwE5iJKpH47j8PDDD+N73/se0uk0dDodbWAGgC996Uu49NLVNwDCvqlWOEm1G6GbXLc1B4upSgl7dGpxslqvCEtoxVRUcrkcvF5vU00KWkk7FZXfxX6Hx8OPI1KK4DDdYYiVYihyRWgUGlgUFuzN7oVNacPn+j6HT1g/0bTjEDqn9vb2Im6Kw1/wAwAGNAMY0Y4AAEKhUJnRhhQtpp9ceBKvJ1+HL+/DgHYANqUNeS6PQD6AZCmJI41H4uzeszGgHWjaMTRqHtEILMtiYWEBCwsLZTMFyfBeKcJ3C12vFSlC3n77bVx33XWYn5+HwWBANpulplwajQZf/vKX8aUvfUlyz986Rg6iZNYGy7L46U9/in/7t3+jD7nJZMK2bdtwyy231LVpzuVy8Pl8ZRvATsmk1YrQTU7sOSpSoxFVqlI/jzzDqDLCjVuzEhGVTAqkYr1fD+1WVOLFOO7134vfRH+DeCkODaOBklGCA4c8l4dVacXJtpNxsevippegkYTHu4vv4vfF3yOEEAq6AlQqFewqO/qV/diS3YKe4pIbq1STGN6cF7vDu/E/6f/BR4wfgVZR/u6Zyk4hy2Zxsu1kfKbnM005BjHNIxpB+C6VYo+tsNSx29+FtcCyLB588EH89Kc/RbFYBACccMIJuP766/HAAw9g9+7ddETM2NgYvvvd7+IjH/lIOw9ZZgk5iJKpn9nZWVx//fV47bXX6NdUKhV9+MfGxnDrrbdSd5laEJYOdVNDu9BNTsyZM1JmLaqUGP086wXhxq0VRi3CMtxOMYcRloW2y5SE4zg8EHwAj4QewWx+Fnl2SWXlwEGn0GFEO4Kdrp34v33/tyXHM5Wdws/mf4Y90T1Il9KwK+xQa9SIsBGoi2psVGzEduN2HD10dNt6bd5Pv48XYi9gsbgIg8KA4y3H41jzsfT7L8VewrOLz0LJKDGiG1n274tcEa8nXscxpmPwRdcXYVSK+04Rzl/zeDxtTS4I+46l9M5pdaljJxAOh3HDDTfgT3/6E4AlM5+rr74aF154IX3vvfXWW7jxxhuxb98+AEsuya+++qr8Xmw/chAlUx8PP/wwvvvd79JNVH9/P2677Ta4XC584xvfwNtvvw1gaaDuRRddhIsvvriuDJNwE93JNdLCIMJgMMDj8aw7Kb4WVUo4c6aZDmndQDuHDAtn1ohpnd0Mstks5ufnaVloOzdue9N78Wj4Ufx36r9hVVqR4lIosAWoGBV0jA4pNoVjTMdgp2snPBpPU4+F4zjc5b8Lv4v9bmnYb9GNXHZp3WU5FjPsDBg1g085P4Uve77c8vOVLCbx/fnv483kmwgUAsizedrntEm/CdcOXosB7QCeWXwGTy4+CZvShh51D8xKMxRM+ftiT3IPDtEfghMsJ+D15OsIFoJQMSp8SP8hnGI7BSZV/cptK8wjGkHY/6bT6eDxeNpi2NDOUkcp84c//AE33HADvYdGRkZw++23Y/Pmzct+tlAo4KGHHsJ9992Hj3zkI7j77rtbfbgyy5GDKJnaee+993DmmWfS//+8887DNddcQ0uHisUi7rvvPtx5551UlTr00ENxyy231DUQjuM4hMNhhMNhAJ3pOiQMHKSSCWwXK6lSwp6bTg6cm42wn6edpiTC4dBSM0ipNAy2v7+/rRu33aHd+G30t+hR98CtcS/7/mR2EhzH4YyeM3Ca/bSmHstkdhJ3++/G3vReHGs6Fvl8fmnD/b9vaZZjsVexF8fYjsHl/ZdjUNs6VzCWZXHt9LV4Nf4qfAUfLEoLDAoD8mwei6VF2JV2HGU6Cv848I/4z9B/4qXYSwAAi9ICs8qMQc0gxnRjUDJKsByLP8X/hBSbQo7NYbG4iBSbggIK9Kh7MKAewJfdX8Y267aaj6+TFBX+HCKg9ep+qVSCz+ejwdx6MpGqRqFQwJ133omHHnqIfu2zn/2zmvA+AAAgAElEQVQsbrrppppKsfmzN2XaypougvLmm29e6weu+R/KSINf//rXcDgcuOOOO3DBBReUqSoKhQLHHnssTjrpJPzlL3+hja5PPPEEVCoVjjzyyJoWboZhYDQaYTKZkMlkkM/nEYvFwHEc9Hq9pBcPssklpRR6vR5DQ0OSbvBtBQqFAmazGXq9Hul0Gvl8HtFoFJlMBoFAAMViESqVCoODg7J1eRVSqRRmZmaQyWRoP087NyNKpRIWiwVqtbrsmiqVSuh0urZew3w+j7m5ORq02+12DA4OtlUF5jgOL0RfwL7MPhxqOHSZWgIAGkaD2dwsHGoHjjId1dTj2Zveiz8n/gw1o4Ymq0E6tVRdoFKroNFqUCqVkC6mkclmMKYew4hxpGXX9KXYS3hk4RHM5eewSb8JTrUTJqUJVpUVPaoe+PI+REoR7EntwUJxAbO5WcRLceS5PCLFCOKlONJsGm6NG6FCCG+l3oK/4Iev4IOaUaNH1QM1o0awEISv4MNEbgKb9JsqBrZ8iKIyNzeHYrEItVqN4eFhSY+m0Gq1sNlsYFkW2WwWmUwG8XgcGo2m6c9DOp3GzMwMstksLXV0OBzrOkE2OzuLK664gs7dNBgM+Pa3v42vfvWrNV8Pqd5r65BvreUfSbv4XaZp9PX14fnnn1/1AT788MPx2GOP4Sc/+Qnuv/9+FAoF/Ou//iteeukl3HLLLRgZWV63Xgm9Xo/R0VFqMrCwsIBkMilZk4FWDp7tVEwmE8bHx2lmkj9kuL+/f11nJ6shZZt3hmFgs9lgNBrh9/uRTCapUtaO0lXhPCQpOX5y/yvxcODAVElgKqAA97//0wqKxSKS6SRssAHM0oaOJKp0Oh2UUSW4EodQKIS5wlzLTAqejz6PYD6IPlUfDIryCgSNQoN+TT/ez7yPeDGObZZtONxwOIL5IIooQsfoEC6EwYJFgSsgU8ogWowizsZxmO4wWNUHreU9Wg/2Z/Zjf3Y/Hg09iv9j/D9Vj0kq5hFrgRjBWK1W+Hw+5HI5zM7ONs1pU1hNIlVXx1bz7LPP4rbbbqPq/RFHHIHbb78dY2NjbT4ymVayflMIMjUHBBqNBldddRV27dqF0dFRAMBf//pXnHvuudi1axd19FsNYgM+MjICtVqNXC6Hqakp6hImBUi5wuzsLIrFInQ6HcbGxiRb3tFOyJBh8hIhpFIpRKNRyVxTqZDJZDA5OUkDKIfDgdHRUUkEUHzUajUGBwdpIJxOpzExMYHFxcWWXdNisYj5+Xn4fD6wLAuz2YyxsTFJBFAAoGCWysesKisWi4sVfyZcDMOqtKJP1dfUY+E4DvqUHsqUEovFRTDKpWDYYDDQNYtRMshqsvCYPXCr3Egmk5iYmEAkEmn6NQ0UAsiwGdiV9orfVyvUyHE55NgcxrRjOEx/GNwaN/QKPZJsEiWuhMnsJOZyc0uKHwPYVfayAIowrB1GvBjHu+l34cv7Kn4e+duTySQUCgUGBgY6Mumj1+sxNjYGh8MBhmEQj8cxMTEh6tpbKBQwPT1NA6je3l6Mjo6u6wAqnU7jpptuwje/+U367tu5cycefvhhOYBah8jlfDI143a78fnPfx7pdBr//d//jVKphFdffRV79uzBli1banYxUqvVZSUJ6XQayWQSBoOhrc5gqVQKs7Oz1GjD4XCgv79f8m5l7aBQKGB+fp5uwsxmMwYHB+k1TaVSkrimUoBkconrl0ajwdDQkKTLhoh6YbVaUSwWkcvlkEqlkEqloNfrm3pNE4kEZmdnl5UNSW2Ty3IsvHkvDmQPoFfdCxVz8JwkS0kcyBzARv1GnGI7BXZV5QCiUUipI5fgMMPNIKqKoqAroE9z0L68xJXwfuZ96BV6fNT2UXx64NPI5/PI5XJIJpNIp9MwGAxNO79PR57GVHYKJpUJOsVyI4SFwgIWiguwKC04ynQUtEotelW90Cl0UDNqWJQWFLgChrRD6FX1Yj4/D6vSWtFAQsksBZI6pQ4fNX0U/dp++j2iAhNDBIPBgOHh4Y7qzxXCMAwMBgMsFovo1zQej2N2dhaFQoGWZ6/nXmAA2LdvHy677DLqZmy32/HjH/8YF1xwgeTWJ5m6WVM5nxxEydSFWq3G3/7t3+LYY4/F66+/jkQiAa/XiyeeeAJ2ux2HHXZYTYsswzAwmUwwGAxlPRgMw7S8V4plWQQCAVo2pNVqMTw8LFmHsnZC1KfZ2Vnk8/myTa5KparYK9WOayoVSKkNMY/o6enpqFIYhUIBi8UCnU6HVCqFbC6Ldxffhb/gB6fhYFGK94ywLEt7EIWbXCneOw61A8FCEKlSCvsy+5Bm08iwGczn5zGTm8Em/SZsMW3BVvNW0T+bPIdzc3MoFApQq9XY7NmMqCqKYCGIiewE0mwaC8UF7M/sh16hx4cMH8I5fefAqrHCYrH8f/bOPEyuusz3n7PVXt3VS3V3dfWWnSyEJAQTYMDIY4AZL26gjIzLozPjXFGu4704gsoVUGdc7sg4z3N1dBzU8V6c4ToIagRRUBAYyL7QkKXT6a2quqvX2k+d9f5R1iHd6SSdpLvTSerDHzzp6jp1uuqcX/3e9/2+3xe32z3pPgXm5D7tKnRxRD1CxsxQq9Se8PiANkDRLtLkamK1vzRKQxIkquQqIq4IEVcEzdZY5FmET/JxVD2KKIhUyydWogDiWpx6uZ6bam6i0dUIvHEfluV74XCYSCRy0Wx8yz2NLpfrnD9Ty7JIJBKOQiQQCNDa2npenAAXCrZt8+Mf/5jPfOYzjvvepk2bePjhh6d136twQVIJoirMHy0tLdx6662Mj4/z2muvoes6zz33HJ2dnWzcuHHGM6FcLhfV1dWYpulUMHK53JxmRo+n3CxbLsvX19cvKGvbhUS5j2B0dBTbtvH7/dNucl0uF6FQCMMwLtmqVNk6PBaLOU3rF3Im1+VycVA+yBPZJ3i+8DwvZ1/mpbGXOKQdwi25ibgi5/R3FQoF+vv7yeVyjgNmU1PTgt7kioLIcu9yTExcggvd1jFsg6AU5DLvZWwKbmJraOu0phPnQllyXJ7DFwwGaW1tpcHfwBLPEgzbwCN6sLFxi246PB1sCGzgPfXvcazWBUHA7XZPWnvz+TyZTAaPxzOr619YCfNi+kViegzTNgmIAQRBwLZsRo1RhvQhPKKHFnfJhe+Ev9c26Sn2sMiziPX+9byWf41BbZCwHD7hvR3Tx0iZKVb6VvKhhg8hIp5wHy5084iz5fjq8dl+pqqq0tfXRz6fXxCGNwuB8fFx7rnnHqd1QZIkPvnJT/LFL36RYDB4vk+vwuxxVkFUxeK8wjnz3HPP8bnPfc6xH66qquLee+/l5pvPzNZ3Pq3Ep84FcbvdNDc3X9LZtlNx/JDhcm9bKBQ67WdzKRp06LpOPB53ZKEXUtP6dNi2zc/Hfs6zqWc5UjiCZmqImkjeyqMICit8K3h387vZWrP1rI59fNP6fNyHlm3RpXbRme8kqScBaFAaWONbw1LP0rO6NieMCboKXai2il/0s8y7jIA0+/1buVyOeDzu3E9lg4Gpc9riWtzpCYq6o6edU1U2EdF1HZh96+xHko/wo+Ef0VPsoWgV8QgeNFtDRCTiiuARPbhFN6t9qydVmGzb5rB6GBGRm2tu5h217+ATRz/B9ux2BAQ63B14pNK1MqqP0lvspdXdyh3hO/hg3QcvWPOI2WDqZ1pTU3NSWaxt24yNjTnVp8r3YYmdO3fyuc99jmSytE40Nzfz93//92zYsOE8n1mFOaAyJ6rC+WNiYoIvfvGL/OIXv3B+tnXrVu655x5qa0+UcJyMqbNzfD4fzc3Ns5oZLRQKxONxZ2BnXV2d05xbYTKzMWT4VHOlLiamuslJkkQkErngs5UH8wf57uB3OZA/wBLPEscoIZvNElfjxKwYa1xruHvR3SyrXjbj406dKVZbWzvnlsmmbfLk+JO8mn+VgeIAE0ZJ8lQj1xB1R1nrW8tNNTchCQtroz3dMNjm5uZZlYVOfQ1FUYhEIjNWFZyOp8ef5qejP+VY8RhFs4giKjS7mnlr6K3US/X8NvNbXs+/Tp1SR41cg2EZDOqDSILEOt86Ptj4QSKuCAdyB/jawNc4rB5mTB9DERUs20JEJOqOsjmwmU/VfoqRwREn6ROJRGbcs3sxYVmW44gLIMsyTU1Nk9YkwzCIx+OOGqMy2++NOZnf+973HOOsm266iS9+8YtUV08vI61wwVMJoiqcf5566inuv/9+x4GstraW++67jy1btpzRcdLpNIODg86XYGNj4zlLMKZ+oSyEgZ0LmalZ73OtDE6tStXX1180roeGYTA4OOgMoQwEAkQikYtCvvjD5A/ZNrYNn+ij1d066TFd13k99TqWbfFW5a28L/K+01YwyvN5yg3+sizT3Nw8a5v1U/Fc6jl+l/od3Wo37e526pX6UjXMGKGv2MdSz1K2VG/huurr5vxcZsrUYbD19fXU19fP2X1TKBQc62yAUChEQ0PDrFVwXsu/xpg+RkAKsMa3BlmUsWyLX0/8mu2Z7QzpQ2TMDCIiYVeYqCvKO2vfSbvnjXEaRwtHeXTkUfbm9pIzc4iCSJPSxLXBa7nBvoHsRKn6NBdJuAsRVVVJJBJOwiIYDNLY2OgkMkzTvGiSPufK4OAgn/3sZ9mzZw9Qqo5/9rOf5fbbb78ovqsqnJRKEFVhYTAyMsL//J//k2eeecb52S233MKnP/3pM1qgp25M/X4/kUjkrL4Qp6s+zeek9wuJuZxldDFWpaZKHWcj4F8o2LbNg/0P8nzqea4KXIUinnjv5Ywce9N7uZIr+YjnI7hcLiKRyLSuZ1Oz3lVVVfPW+1QwC/wg+QP+M/OfrPGtOUFulzEzdOY7uTp4NR9u/PC0TnJzhWVbDOlDaJZGUApSq9Q6fXVlow1FUWhubp4XN7mpMsvpKhhzwZg+xv78fsaNcWRBpt3dzirfqknOh8czYUwwUBzAI3qIEGEoMeQEf+Fw+KJJ0swGU68nQRAcK/RKsFnit7/9Lffff7+jhFm6dCkPPfQQy5cvP89nVmEeqARRFRYOtm3z+OOP8+Uvf9kJghobG7n//vvZvHnzGR2nXJWyLAtRFGlqapqxc55tl4ZLHl99OtkGr0LJaCMejzs6+rnaiFwMVanppI4X20bEtm2+0PcFfp/+PdcEr5nWJKFoFdmT28P1/uu5w7rDSVRMlQVNrS7Pt8TqtfxrPDryKEk9yRrf9I5aB3IHaHI1cXv97Vzmu2zOz8m2bXZmd7I7t5tBbRDDNvCKXlrkFpYUllBbLEmhz1c/T7FYJJFIUCgUgFIFo6mpaUFVWKcLNqPR6IJUGNi2jW7rKIJy3ta6XC7HwMCAI1OTZfmSd99TVZWHHnqIRx991PnZ7bffzr333rsgr6MKc8JZ3ZAVd74Kc4IgCKxcuZJbbrmFw4cPO85b27ZtY2xsjI0bN85os3m841CxWETTNDKZDMViEZ/Pd8pKkqqq9Pf3O0HchWYvPZ+Uq0/lYHWubd6nOvgtlFlhM+X4mWJlF6uF7iZ3NgiCwKv5V4lpMSRBwi+dKLkb1oexsbmy6kreEn0LUKr8qqpKOp1GURRGR0edpvXjXR3nk/5iPwdyB5AE6aRzm3JWyR1wuXf5ac0YzhXbttk2vo1nU8+yJ7eHIX2InJXjaO4oRyeOMlAcoEauYXHzYno9vTybepaXMy/zeqEkn6yX62e1dyuhJTiUP0RCT+AW3PikkkNq1pslZseI5WNoRY1iqogsy7jd7vOe9ChXNstV8+rqalpbWxfcGp/QEvwu9Tu2jW/jxfSL7MrtImWkqJFr8Enzcx+Uezbj8Ti2bSNJEoIgYJrmnFrcL3S6u7v5+Mc/zu9//3uglCj42te+xkc/+tGLKiFW4bRU3PkqLExs2+bf/u3f+OpXv+pkNFtaWnjwwQdZv379GR1nauN+uSo19feOl6LMpwzmQuR8G21cSFWpqc33F4Mc8XS8nHmZHw79kGPFY1zhuwKX+MYGtWAV2J/bz2Xey/iLpr9grX8tUEpgxONxR1pVprGx8bzZvL+ef51HRx5lSBtijf/klaiIK8Lt4dtZ4V0xp+dzIHeAn4z8hM58J8u8y6iVa8lmsuQKOYbsIdJimlVVq/Arfob0IYb0IXRLL0nXXBGWeJfwp/V/Sp1Sh2ZpHCocImfl8Ik+lnuXTytH1C2dV/OvciB/gAljAkmQCIpBjqnHGNAGSJtpBARCcog2dxt1Sh0ZM0PKSGFZFnJeps6oY6O8kWggesYmM1NJGSleybzC/vx+cmbOmWe1KbiJeqX+lM/NZrNOP8/xlU3btlEtFUmQJl2r54v9uf1sG9tGb7GXpJ7EwkJGpsnVxCL3Im6tv5UOT8ecnkPZFr+cUCzLaAGSyaQTRF1KSg3btvnpT3/K17/+dadXbP369fyv//W/aGlpOc9nV+E8UJHzVVjY9PX1cc8997Br1y6glOX+wAc+wJ133nlGm1BN00gkEo6F9PF9FVMbaCtOQydnarB5Po02LoReqamBwVw3+C8UNEvjn4f+mR2ZHQwUB2hwNeATfWTNLMPGMIvci9gc3MyHGz/sVEZs2yaZTDrBJnDeG9dVS+X7Q9/n5czLrPSupEqenHxJGSkOFg5ydfBqPtL4kTnfgP9r8l95ZuIZGpQG6oQ6UukUlmmBAAF/gMPmYfq1fhRRKbkHuqJ4RS9ZM0u/1k+1VM3GwEaWepeyM7OTQX0Q1VJxi24alUbeFHwTW0Nbnc8ka2b5ychPOFQ4REyLkTWzFK0icS1O3sojIRFxRbCxGdVHnTlTy7zLiLqijgGHz/IRLUa5Qb6BGqnmrMcW9Kq9/Gj4RxxTj5HQEhStkmNfo9JIu7ud94Xfx0rfyhOeNzWRUZbR6qLOjuwO9uT2MGFMICDQ4m7hysCVXOG74rzcpwktwQ+GfsDe3F7HAdIjesibeXqLvWiWxobABj7a9NE5scSHkkS7PCerLIef6jCXz+dJJBJOIm22zUQWGplMhi996Us8/fTTQGkv8ld/9Vd84hOfqFSfLl0qcr4KC5vq6mre9a53EQgE2LFjB6Zpsm/fPp599lnWrFlDQ0PDjI4jSRLV1dVIkkQ+n6dYLJJKpVBVlaGhoUnDTS/2mURnS7FYpL+/32mgrampoaWl5bzJYERRJBgM4vV6yefzaJq2YCQmtm0zOjpKLBbDNE1cLhetra0XjXnE6ZAEidW+1eSsHLIgU7AKFKwCHtHDcu9yNldt5k/Df+oEHcVikb6+vknzeQRBQNd10uk0mqadVoo7F8iCTNEukjEyHFIPAeASXRi2waA2yLHisdLfE9x8TpUBy7Z4Nf8qT40/xa8mfsVL6Zc4ph7DJbiok0sV1oJZ4Dep39Bd6KbFbiGbyZYkVrJEqDqE2+Mmrsc5qh5FERWuDV6LX/LjEl0EpACNSiM9ag9dahevFV7jkHqIvJlHQGDMGKNb7WbEGCFjZljjW4MgCDw68ijbs9uJaTGi7ihL3Es4qh4lpsVQLZWwK0ybu40WVwsFq0BCT2BgEFEirA+sp1apJeKKMGFNUHAVEEWRFqvFGabt9XpnLMXNmlm+O/RddmV3oVoqi92LWeJZQkgOMWaM0a/1M6KPsNq/epKEtLxula+tcDhMJBIha2f54fAPeT71PPtz++kt9tKv9RMrxugv9pMxM1zmvWze79fnU8+zI7sDt+hmqXepY5ChiAphJcyIPoJhG9QqtbS522b1tctJskQigWVZeDwe2trapnXBVBTFmfuXz+dRVZWJiQkURcHlcl1U69z+/fv52Mc+xt69e4HSNfS///f/5j3vec9FGzRWmBFnJeerBFEV5hVBEFi/fj033ngj+/btY3h4mPHxcZ544glM02TdunUzWsgEQcDr9VJVVUU+n0fXdadCUF1dTUtLy4KqYiwUykMVy5nJhRZsLrReKU3TGBgYcCpk5zvYPF+4RBfrA+tZ7VtNk6uJy3yXcWXwSt5Z+06urroaWZCdBv/jr63W1lZqa2sJhUKIojgp6aEoyrzfoy2uFgp2oVRtMUrDWYf0IWRBZqlnKRsCG7i++vqzvhd0S+ffR/+dp8afYnd2NwcLB+kp9hDTYnQXu0mbaS7zXoZma7w48SI9mR7qjDoAvL7SeiZJErZt81rhNRJagg5PBy3uyfIiURDJmlk6C52M6qNcGbiSDk8HtUotja5GqqQqDhUOods6UXeUol3kmdQzHC0eZZ1/HVVyFePmOIfVw+SsHHVy3SQJ3Ig+gk1JFieKIh3uDtyiG1EQqZFr6C52UxeoY23NWmzVdpIetm3PKOnxUuYlfp/6PTkzxxrfGjySB0EQcImlQDNtpslaWarlalZ4V0x7bbW1tTkVlUdGHuGVzCsM68Ms8S5hmWeZ854dKRyhYBfwi/5JNunzwbaxbbxWeI0VvhXTOgwqokJMi1EtV7M+MHNp++nQdX1Skqyuro5oNHrKNVQQBPx+P1VVVaiq6vQfq6qKz+e74AMMy7L4wQ9+wH333ees529+85v5l3/5l4r7XgU4yyBq4XdwV7goWbp0KY8++ijf+c53+Na3voVhGHz3u9/l+eef54tf/CJLly497TFs23ZMJo6nnEmbj7kzFxKaphGPx52+tIUq2ZAkiebmZqqqqhxp5rFjx+a1V2qq45csy0QiEQKBuZHcXChE3VGi7ugJP9d1nXg87khsp15bgiBQV1dHMBh0pLixWIx0Oj2vbm+iIHJT6CZWeFfQme9kWB8GoEFpYLVv9Tn3pjw18RQvp1/mmHqMNncbyz3LsbFJ6klezb2KZmmEpBDrWY8+oYMJBaVApDqC4npDRmRhkTbSTtAyHWkzTc7M0exqRhREutVuDNtAERSnqjRQHGBndifNrmaGtCEiSsSpGI4ZY+TNPH7Rj1/0kzSSZM0sKSOFZmt4RS82Nnkzz4g+QlAqyTBlQSYkhZgwJih4CixdvNRJho2OjpLJZE7bV/Nq/lUG9UE63B0n3M+CINDiain1buUO8MdVf0wikZhU2WxqanIqmQPaAF2FLoa0ITYGN6IIpfdRQqLJ1YRLdNFV6GJHdgfXVF0zb4OUDdtAt3VMzJPa5XtFL5qtUbSK0z5+NqTTaaf6dDYz2NxuN+3t7UxMTJBMJslms3R3dxMOh89bP+O5MjIywuc//3leeeUVoFR5u/vuu/ngBz9YkfpXOCcqQVSF84Ysy3z84x9ny5YtfOYzn+HIkSMcPHiQO+64gzvvvJMPfOADJ93gTw0IqqurCYVCDA0NoaoqfX19lX6oPzDdcNMLISAIBAIsXrzY6ZUaHh4mk8nMea+UruskEonzMsvoQiSVSjmujqfre3K5XLS1tTkBaiaTIZ/P09jYOGdOkFMRBIFFnkUs8iya1eNmzAx7sns4qh5lrW/tJBlai7uFgBTgYOEgzxSeoV6oZ4m4hIQ7wZh7jKgyOTBVTZWcmcMtuKmRpg+iJswJdFsnb+XZnd1NzsxhYiILMn3FPmrkGlJmiv5iPzVyDaqt0iC/IZm2bRsbGwEBQRCQkTExsbCc3xEprZ32KVqgjzf4KffV9Pb2UlNT6pea7r4pmAU0S8MnTh9o+UQfmq2RVtN0He3CtuyT2uIfLBwkqSdpdDU6AdTx1Eiljf+gNshAcWDeqlGyIOMVvbgEF1kzO23PU8bM4BW807penimWZTE0NOTIoM9l4LcgCNTU1BAIBBgaGiKTyTjrcCQSuaDs0F988UXuu+8+x8Gxvb2db3zjG6xZM725TIUKZ8KlvbussCBYvXo1jz32GH/5l3+JKIrous43v/lNPvKRj9Db2zvpdwuFAt3d3XR3d1MoFJBlmZaWFsd9r6Ojg/r6kqvT+Pg4x44dc7LjlyJlWcfg4CC2bVNVVcXixYsXfABVplyVam1tRZZlpyo1MjLCOZjinJRUKkV3dze5XA5JkohGo0Sj0UoANQ2maRKLxYjH41iW5QS9pzOOEASB2tpaFi9ejN/vxzRN4vE4/f39znyyC5GD+YMM6UNUS9XTbop9tg+rYBFTYwzYA7y15a2sr1+PT/KxK7uLvmIfSS1JV6GL/fn9LPEuIeqKkrEyJxzLtm0mjAkM26BgFkjqSQA8gscZ3DtQHGBYH3YqIW7BTc7MOceokqpKJgdWHtu2MTGRkPCJPlyCC9UqBXIe0UO1/IYRgWEbTJgThOQQYTn8xt/n87Fo0SLq6kryxPHxcbq7ux1HuEnvheTDLbrJWbkTHgPImTkEQ0DLatiWjc/nY/HixdPOFdMsDd3W8YrTG+IIgoBH9KDbOqqtTvs7c8Uq3yoirgi9xd4T1ivTNukv9tPkamK1b/U5vU55XZyYmHBGLrS0tJxzhbcs9y4fq/w6yWTSmTO1UNF1nYceeohPfOITTgD1jne8g8cee6wSQFWYNSo9URUWBJIkcc0113DNNdewc+dOx8r88ccfJxAIsHLlSh577DE+9rGP8ZOf/ISrrrqKaDR6wpDAsq47EAhQKBTQNI1UKjVjrf7FQtkOfmBgAE3TnGAkHA5fkJW5ue6VKlsAl4Mzv99Pa2vrJWH1ezbkcjn6+vooFAoIgkBTU9MZS0MlSaKqqgpFUSaZiUiShMfjmdG9qlkaST3pbPanGwY8X3SpXezO7kYRFUJyyPm5jU0+lyeXzZG1srhkF9e1Xsfq2tUs9iwmZ+VQRIWMkSFv5fFKXpZ5l3Fl4EoCUoBj6jGKdhGf6EMWZPJmnm61m7gWR7VUR/7mk3y4RBde0YtP9DGkDyEKImv8a9ga2kqX2kV3sZtGpdGZ+RXTYqSMFAWrgCzI1Cv1tLhayFgZhvQhABZ7FrPGvwYBAdM2OVI4QkAOsM6/jnWBdZPeg/L6GwwGnb6a6cxEVEulu1j6GxqVxkmftW7ovDbxGn7LzyZlE1c1XUUkEjnptZXQEhwsHCz1dil1Jzxu2RbHisdocanlRwUAACAASURBVLVwffX1jixxPqiVa+lVe4lrcaf3ThAExo1xjhSOEJSCrPav5sbQjdP2TJ2O43vFTNPE7XbT2tpKMBic1e86t9tNKBTCsixUVaVQKJBOp3G5XAuyP7S/v5+77rqL3/zmN0ApwP/Sl77EXXfdtSDPt8KCoGIsUeHCJxKJcNttt5HNZtm/fz+GYfDiiy/y5JNP8otf/ALDMLAsi/e9730sX778pAGBoihO03GhUKBQKJDNZvF4PBe9hWl5AOXY2Bi2bRMIBGhra7vgJ6/PlYNfNpulv79/UkDQ2NhYqT5Nw9ShzF6vl7a2NgKBwFm9/8cP09Y0jWKxSDabJZ/Pn7KZPWNm+PXEr/mPkf/gt6nf8lLmJfZk95CzcrS4W85qQ3quDOvDvJp/lZSRokEpyeYM03CCCIAxZYxoVZRrQtfQoDTgk3ys86+j3dNOo9LIMu8y1vnXcXPNzVzhv4KcmWPUGCVjZDhWPEZ/sZ8hfYiQHMKwDbJWFkEQCIiBSb0+hm2QNtMogsJa/1puqb2Ffq2fCWOCnmIPLsGFW3SjoJDQEoyb4yCUqlMFu0BSK80z8kt+wkoYy7YYNUbpUrvwST5W+VZxY82NJx0UK8vytGYi5SG9YSXM4cJhBvVBBvVBXKILWZAZzY9yMHMQzdZY5lrGhxd/mKaaplNeW0EpSGe+ky61i1q59gRr+pgWQ7d11vvXs6V6y7wm0jyih6g7SspMYdgGCS3BoDZI0SoSdUdZ7VvNbXW3EZTPPLAzDINYLOZUWUKhEC0tLXP2/SaKIoFAYFKCMp1Oo+s6Xq93wSTnnnzyST75yU8Si8UAWLVqFQ8//DBXX331eT6zCgucyrDdChcXL730Ep/61KecjTKUep8eeOAB3vzmN8/4OFNnYFzM833S6TSDg4POAMrGxsaL0op7NuZKTe0h8Hq9NDc3VzKVJ0FVVWKx2JzdR7Ztk06nGRoawjRNBEGgoaHhhGb2CWOCh4cepjPfSUyLIQmS09/T5m7jcv/lfLjhw7PSZ3ImZMwMD8Ue4uXMy6zxrUHW5VJfnQ2iJGL5LI7qR7mu6jo+1fypk86hShtpto1vY29uL+PGOFkzS97MIwsyi9yLqHfVs8q7ihdSL/BU6im8gpdxcxy34EYRFHRbp2gXqZKqMGyDO8J38OmWT5MxM/y/kf/HocIh4sU4GTODJEgICAzrw3hET6lSgkCNXEONUkO7ux0bm5SRQkCgVq6l1d3Km6vffNphuGU0TWNwcNDpMfT7/UQiEYasIX6U/BHdajcJLUFKTSGZEvViPR3eDv6i4y+4zH/ZjF7j8dHH+W3qt3QVuoi6o9TKtZi2yZA+xLgxzlrfWu5ouOOcZXNnS97McyB/gEOFQxStYikQ9a5itW/1Wc0jO37Q8PmYv1Ye+1Cu3EuSNK99jdORz+f56le/ys9+9jPnZx/60Ie4++67K2t6hZlwVhduxViiwoJkeHiYH/3oR5MCqLq6OkZHR3nsscdYtWoV4XD4FEd4g7JWP5lMMj4+zsjICNlsdsENcz0XTNNkcHDQsbQtD6C8WKtu5+rgl8/nicfjTg9OOByeN+e/C43yhml4uORkN1dDmQVBoLq6Gr/fz9DQkBNQpdNpIpGIc68+Pvo4e3J7SBtp1vjWOMFSxsxwuHAYwzZoUBp4b/17Z/X8TkdQCrIhsIGklmTX2C4a7AZqhBrcHjc5V44BbYDLfJdxVeCqk26cJ4wJvp34Nvvz+4lpMfyiHxGRjJkhrISRRZk/C/8ZUVeU/fn9NCqN1Ml1+HU/OTOHgYFX8FIv1VMj11CwCiii4pzf+8Pv50D+AAdyBxgzxlAEhXZ3O5f7LmfEGKFf60egZLyx1rcWURCJFWOMGCMICDS5mpwq20wpz1UrS7RzuRxHjx6loaGBT0Q+we9Hfs9LQy+RFbJ4XV7eFH4TWxq3nNSVcDreVvM2ilYRr+gloSU4VDiEiEidUseV/iv549o/npMASrd0Ro3SYOI6ue6kn6tP8rEpuIlNwU3n9HonGzQ83+u8IAjU19c76295PU2lUjQ1Nc170HLo0CHuueceenp6gNIoiq985Sts2bJlXs+jwqVHpRJVYUFh2za//OUvefDBB50Aqr6+nttuu43/+I//cDZy1dXV3Hvvvdx0001ndPxcLkcikUDXdQRBIBwOL5gZSWdLNpslkUhgGMZJs/cXM2dSlbJtm+HhYUZHR4GS1r+5ufmCcpuaT6a6YM6n42UmkyGRSDhVqfr6eoygwT8k/oHd2d1sDGx0AoQyqqWyO7ubq4NXc3f0bqrkE40I5pKx1Bg/7Pshr2qvkrSTFF1FZEmmVq6lxd3CpuAm/jj0xzw+9jhPTzxNXIsjINDh7uDttW9nUBvkqYmnSJkpVnhXONbYuqVzRD2ChMSbq9/MXzf/NY+MPMIvx36JT/TRqDQybAyjWzqKoFCv1DOgDSAi8s66d/KOunec9JxzZo6XMy+zK7uLcWMcj+hhpW8lfxT8I5rdzbP6/hiGweDgoGM2YYs2R/WjxK04sktmacNS1letP6vPzbZteoo97MruYkQfQRIk2t3tbAhsmHHVbKYUzAIvZl7k1dyrjBlj2NiE5BBrfGu4turaaZ34zpVisUg8HkdVS+YYCyXxU+6/TSaTzr06X9+rtm3z4x//mH/4h39wEmKbNm3i61//Oo2NjXP62hUuOs7qYq0EURUWFN/4xjf4zne+4/z7bW97G/fddx81NTVMTEzwwAMP8Mtf/tJ5/MYbb+See+6hpmbmWUvTNEkmkxe8jOti+Ttmi6nB5NSqlKqqxONxZ65YXV0d9fX1C0bLv5Aob4yGhoaceTPnwxZ/aoD8qvgqv7R/iSqoLPdOPyCzM99JWA7z0aaPzuoA01NhWRaDg4OkUiks2yLhTtDv62fEHAEBoq4oGwMbaZQb+XTPp9mX28ewPkzBKvXh+UU/NXINsiAjCRIbAxtPqGpYtsWO7A4u913Op6KfwrRN/mXoX9if289iz2LCchhBELBsi0F9kL5iH+v96/l45OMnDYYGtUG+N/g9jqhHSGiJksmFoNCgNNDiauG94feyObh51t+v0dFR9iT28Dv9dwxZQ2SkTGnYsFxFk9LE5qrNbKkq9S/ZdmkwsmZpBKTAvAfGU8mZOR4ZfoTOfCf9xX6nF82wDVpcLaz0reTPwn82a+dZvhfLDquKohCNRhdcj6thGE7lGEoJqkgkMmfnOT4+zv3338/zzz8PlNQJd911Fx/96Ecr/awVzoaKnK/Chc/vfvc7oJTxvv/++7n55pudx0KhEA899BA33ngj999/PxMTEzz99NPs2rWL++67b8Z9UsdryBOJhGObfiFVcC7Gitq5crK5UpFIhFwux/DwsLMJKVviVziRqdWCYDA4rwNxj2eqbDNfyJPSUrjcLvAw7deeS3ChWzqd+U62Z7eT0BIICLS529gU3MRK78pZvU8KhQKxWMy5FyONEVbVrJr2Nf7m2N+wPbudEX2EsBKmXSr1HI3qo/QUe5AFmbASnlYWJgoiYSXMqDHKUfUob61+K1uqt2DaJkfVoxxTj+ETfeSsHD7Jx1r/Wm6uufmkAZRu6Xx/6PvszO6kYBdo97RTJVVRtIrEtTh7c3uxsQnLYZZ4l8zKe1V2k+sc6uQp7SkOWyX5ZT31iHmRcfc4fcW+khW7DSE5xMuZlxkoDqDZGh7Rw1LvUq6vun7W53zNlKcnnnaC4NW+1U7VKW/mOaweZl9uHyE5xPvC7zvn1yq7hpbvxYU8s06WZaLRKNXV1QwODlIsFunp6TnlvLCzZefOnXzuc58jmSxZ+zc3N/P3f//3bNiwYdZeo0KFmVBx56uwoHjTm97EokWLuP/++1m7du20v7Ns2TLe+c53cuzYMXp6eigUCjz11FMMDg6ycePGGVdiyrbZuq5TLBbJ5XKndQU730x1Rytb2p7Pht6FxMkc/MpN7aFQiNbW1ku2Wnc6stksfX19qKqKKIo0NTXN+gbobCjfq6PFUToLnST1JFV6FbIsI0pvVBJt26ar0EXaTJPQEnQWOjlYOEhvsZf+Yj9daumxVb7pg5wzwbZtRkZGnDlZbrebtra2k9pLH1OP8e3Bb9NX7GOxZzFVchWiICIJEgE54ARTlm2x0rtyWrv2tJnGtm2u8F/BMu8ylniWUCvXIgkSXqk0tLXF3cJ6/3reVvs23hR800nPf29uL7+e+DVJI8la/1p8kg9REFFEhVqlFs3WGDPGcIvuWanoHe8m97z+PAelg4T8IS73X47bdOO23PgNP37RT5feRZfaxeuF19mX30dfsY+0kaZX6yWmxegt9lKn1NHkajrn8zoTUkaKbePbeD3/Ouv86ya5EyqiQp1cx2H1MB7RwyrfqnMyN8nn845r6PH34kKvnJfvVdu2KRQKqKpKKpXC5XKdcw+yYRj80z/9Ew888ICzpt90001897vfZdGi8xNUV7hoOCt3vkolqsKCYsmSJSxZcvqsZzgc5tvf/jY//elP+fKXv0w2m+WJJ57glVde4Qtf+AKbN89MglIeqBoMBhkcHCSfz3Ps2DEaGhoIhUILKjApFArE4/FLwmXwXPH7/dTW1jqZSih9udfW1i74Tcj5YKpToc/nIxKJLKhgU5Ikrm+7nhfMFxgY/8Mg2ZSJ1+vF6ytZ3Me1OGPGGLIoY2gG7Z52VnhXYNkWw/owB/IH0G2dWqWWraGtZ30uU3vFamtrT7vB/fX4rxnVR/GLfqfX6Xhq5BriWpyCVaC/2M8i7+RNoW3bjOljdLg7aFRK/R6CILAxuJErA1eS0BIUrAI+yUeTcmpbcCgFUYP6IM2u5kn26GWirig7szt5Pf86BbOAVzp7WdbxbnI5coz7xynoBS73Xo4syLhcLnL5UhLLrblRTZX9xf0oksI6/zoavA2IgohhG/QX+9mX24ckSLS5287IgOJc6Sn2MKaPUSPX4BZPDAjKgdSIPkKP2kNYmZn50fGUg/ORkRGg1OMZjUYX1L14Oo53hi0b/wwMDJxTVXtwcJDPfvaz7NmzByjJBT/72c9y++23V74DK5w3KkFUhQsWQRB497vfzebNm7n33nt5+eWXGRwc5GMf+xi33347n/zkJ2esx66qqsLn8zkypvL/I5HIeXe4m2qGMFfuaBcLhmGQSCTIZrNAqVdM13U0TTsjB79LhanBeUNDw6xJQ4tWkX25fYwZY7gEF6t8q86peuASXdwYvpGMkGFPag8TxgQ1uRoEVSCn5MiSRRZkTNvk8sDlb1QKBIi6o7gEFzsyO8iYGRQUNgU3nVFwMF2vWHNzM37/6SsOKTOFbuu4hemz8W7BjVtwo9s6A9oAHZ6OSZ9BQk9gYdHsbuZy/+WTnisIwhmbQOTNfMluW5xe1qqICrIgo9maMwT4TClXzsuzjHw+H3KdjD6iEzADzjwvQRAI+AO43W4ymQwFvcCoMcoi1yIa5AanKicLMos8i1DzKvFinF3ZXbw19NYzPq+zxbRNTEwU4eTfCS7BhWmb6LZ+xsfXdZ1YLOYE53V1dYTD4Qt2rfJ4PHR0dDA+Pk4ymSSTyZDL5c44Sfnss8/ywAMPOP1WS5cu5aGHHmL58un7IitUmC8qQVSFC57m5mZ+8IMf8Mgjj/C1r30NVVX593//d1566SUefPBB1q1bN6PjlDXd5VlLuVyO7u5umpqazptcbqoZwkwy3pcyU+dklT+7cqXl+F6pi8ni/myYmvGeTadC27Z5JvUMT44/WTIsMHPIgky9Us9a/1reH34/1XL1WR376uDVaLaGV/ISK8QYzA1iGzbVZjX1rnq8shdFVCZJrUzb5PX86/QV+0jqSYaNYfqL/Sz1LOWmmpt4d927p5XPHc90vWKRSGTGUseQHMIlukr9PtNhl/qevIIXwzbYk9tDg9KAgOAYK6zxr+FtNW+blWHCfqlUEctbeao40QRBszQM28AluM5KljZ17SoH5wPaADIyhm2c8BxFVqgOVZPX8uimjt/0Mz4xTsAfwOV2IfyhCS7iinCseKzUG8b8BVEhOURADBDTYti2Pe13woQxQbunnZAcOqNjp9NpEokElmU5ComZBOcLHUEQqK2tdXqQc7mcY8Jy/OiC6VBVlYceeohHH33U+dntt9/OvffeW0kiVlgQVHqiKlwUCILA2rVr+ZM/+RM6OztJJBKk02l+/vOfUygU2LBhw4w2O4Ig4PF4qK6uplgsomkamUyGYrGIz+ebt+ClPJsnFothmiaKotDa2nrBGF/MN+U5WWXzCL/fT1tbGz6fD0EQTtorBaVK1aX2nhaLRfr7+53Mbm1tLdFodNaqrtvGt/Fvw//G3txecmYOl+CiYBU4ph5jSB+ir9h3ynlJp0IQBDo8HWwIbCDsCtPh72CxtJg11hoa7Ua6tW48socaV0nmZds2O7I7OKYeY9Qo9RwJgoBhG8S0GAPaAFkzywb/hpNeB9lslv7+fqdXLBKJnHEyo0Fu4FcTv2JQH8Qv+k+wZ58wJshbeZZ5lnFD6AYUUSFrZtFtnbASZpVvFbfW33rKPqczwcZ2+sUalcYTgsjeYi9e0ct1VdexMbhx5sf9g3lELBbDMAxnTlQ5EeUTfXTmOzlWPEa1XH2CLM60TfYV9oEIlymX4bJcaJqGaZjIiowoiFi2RVJPssizaNbej5lQLVVzWD1Mn9aHbdsnOPANaUOMm+Os8a3h5pqbTxuYwxvOjuW1KxAI0NbWdtGNXZAkiaqqKtxu96Q12Lbtadfg7u5uPv7xj/P73/8eKCUtvv71r/OXf/mX510dUuGi5Kx6oipBVIWLilAoxLve9S78fj87duzAMAz27dvHs88+y+WXXz7jAb3lBV9RFPL5PMVikVQqhaIoc169KBaLDAwMOLbOFTOEU5PL5ejr66NQKNlFNzY20tjYOG3QXG56NgwDVVXJ5/Nks9mSzOg8uM/NN7ZtMzExwcDAAIZhIMsyLS0tsxqcJ/Uk3xn8Dvvz+1nkXsQizyJCcog6pY4GpYE+rY+8mccn+VjpW3nWr+MRPSz2LOaKwBVcVXsVK6pXEMvGeF17nQltgpAdQpEV+vV+DhcOkzJT1Mv1WFgEpSDLPMuolWvpUrvImBnW+Nec0MNSlqOV5Xter5e2tjb8fv8Zv1/VcjWHC4dJaAmnkqEICoZtkNSSjBgjtLhbuKPhDv579L/T5m6jzd3GGv8atoS28N7699Lh6Tjr92sqYSXMocIhRvQR+ov9uAQXiqigWiq9xV5SRorLvJfx3vB7Z9x3dLx5BJTWrpaWlklrlyRI5KwcST3JUfUoQSnoBFKapdFV6GLcGkcSJFZVr8In+9B1HdM0KapFBFFgzB7DFkoGG2v90xsQzQWCIOCTfAzrw3QVuxg3Sn9n3srTW+wlqSdZ7VvNjTU3EnVHT3s8VVXp6+sjl8uddu26GBAEAbfbTSgUwjRNVFVl9+7dPPDAA8RiMdatW4ckSfz0pz/lf/yP/+H0tK5fv56HH3644r5XYS6pBFEVKkCpqXXDhg1s3bqVvXv3Mjw8zPj4OE888UTJ2eqKK864KqWqqlOV0jQNv98/61Wp4zO4uq478sJK/870lCV65Q2ux+M5pTtamUu1KjV1g1tVVUVra+usJwV+PfFrXki/gCzItLpbJz0mCRJ+yU9PsQcRkRtCN8woWz8TZFkmWh1ld3Y3R4tH8Vt+LM3ikH6IYWMYv+RHQECzNaqlaqLuKF7Ji2VbpM00Psk3qaqhqir9/f1Ob104HCYSiZxTsH114GoOqgfJWTlSZoqknmTcGEcSJVrcLdxUcxN3Nd3Fvvw+duZ2lowMjDEM28An+qiXZ89IRhRElnuXM6QNods6cT1On9rHmDFGtVTNCt8K3hd+H6v9q2d0vEwmQ39/P8Vi0bGmP5nxTYurhRFjBNVSOaoeJaEnSOpJeoo9VMlVRF1RauQaRowRIt4IHo8Hy7RKxhTFHEeKR1jiWcJ/qfsvZ2XecC40KA1US9UUrSKmbTJmjJG38oTkEMu9y7mx5kauCl51ymMcv9abponL5ZrR2nWxUF6DfT4fjz76KC+//DL79u1j27ZtvPDCCzzyyCPOvL//+l//K1/5ylfOaBZkhQpnQSWIqlDheOrq6rj11lsRRZHdu3djmiY7d+7khRdeYN26ddTW1s7oOJIkUV1djSRJk6pSbrd71qpDuq4zMDDgbOarq6tpbW296CQds0WhUKC/v9+xuQ2HwzQ3N5/RBvdSqkodv8EVRZHm5uY56617euJp9ub20uBqwCue2LfgFt3EtThBKcjVwaudOTuzgUfykBNypKwUR4pHsE2bXr2XtJXGLbrJ23mqpCqaXE0E5SAAiqAQ02PUSDXcVHNTyQVvbIx4PD5JjlZdXX3OG1xFVLgxdCNNrianIhZ1R9kU3MSdkTu5re42/u/I/+XZ1LPsze3lcKEkHYtrcbqL3eSsHMu9y2dto+2X/GwMbKTB1YBH9NDkamK5dzlvCb2F2+tvZ7nv9I375WRGMpnEtm18Pp8jpT0ZkiCx0rsSj+jBI3rwST5CUogOTwebg5u5te5WJowJho1heoo9AAgugXHG6da6aaCBJdYS/sjzR45kdz6JuCKs868j7AoTdUdZ4VvBm4Jv4pa6W1jsWXzK556sWncpStRcLhcrV65kx44djI6Oks1micViQOn7+1vf+hbvec97LtrKXIUFRcXivEKFqSiKwl133cVb3vIWPvOZz9DV1cXrr7/OHXfcwZ133sn73//+GVelamtrCQQCjrVxf38/oVCIhoaGs17kp7p9SZLkmCFUOJGpZgjn6lQ4dZirqqoL3sGvaBU5XDhM1sziET0s8y47aSBimqZjqAEl6/d5c5y0T/Jj28bGRvjDf7PN22vfTsbM4JN9dGe7SefT6OhohkZQDtKgNNCgNLzxhONOQdd14vE4+XweKG1wGxsbZzXYlEWZt9e9nbfXvf2Ex348/GN2ZncS02Isci9ijXcNJiZJLcmruVcxbIM6uY7rqq+btfPxSl6ur76e66uvP+Pnnsw8Yib3jUt0cUPoBq6ruo6knsTEpEauISiVgtsPNH4AZVihV+1lSB8iZabwKB421W2i2WjmeuN6RkdGyWayRCKReTca8Et+NgdnNkqjzPFW7+Xeukt9rW9sbGTr1q0MDw+TzWbR9ZKroWmaTmC+ENfhChUABNs+yTfd6TnrJ1aocD7QNI1vfvObPPzww1iWBcC6det44IEHaGtrm/FxypnqciOwoihEIpEzdlLSdZ3BwUFHLnQuMzQuBYrFIvF4HFVVgdl3KpwacHg8ngXl4GfbNs+nn2d7ZjtD+hAFq4AiKDQoDaz1r+XG0I2TjBry+TzxeBxd1xEEgYaGhnkxJvn52M/5/tD3yZpZlntPrGSkjTRdahfXV1/Pl9q/NCtOc1OxbItX86+yI7uD/zP0f+jKd1FFFc1SM9VyNV6f15ERDhQH0G2dWwK38Hb97U4yo7GpkbgcJ6bFEBBod7ezxLNkzt6/pJ7kH+P/yO7sbtb7158wS2pMH+No8Shvrnozn4x+ck7et5lSlqOVN7lzNXbBtE0OFQ5xsHAQ1VIJSkGu8F9Bq6uVfD5PIpFwNt0LzbnUsA2OFI4wYUwgIuLP+JHSpWSbz+ejubn5kqw+Hc/IyAif//zneeWVV4CSJLe9vZ2jR486v3PttdfywAMP0NraerLDVKgwG5zVwl6R81W4ZJAkiWuvvZarr76aHTt2kEqlGBwc5PHHH6eqqopVq1bNaIMkCAI+n49gMEihUEDTNFKpFKZpzlhakk6nGRgYcORVZbevimzhRKa6fc2FGQIs/F6ppyae4jcTv2FPdg8Fu4AsyKTNUkAyYUwwZoyxxrcGAYHh4WHHLtntds9rv0W9XM/2zHZ6ij3IyPjFN0wYVEvlUOEQLe4W3lbztnMyljgVgiDQ6GpkQ2AD7Z52erVeRs1RaqlFtMVSYCkKZO0sfVofzTRzs3kzNUINfr+fQrjAj1I/4lcTv+Kl9Evszu1mT3YPrxVeI+qKnrF9tW3bDGgDvJB+gX25ffQV+/CLfkdSCLAzu5OXMy/jElw0uhpPOIZH9DCoD+ISXSVTDGVmcuTZZibmEbOFKIiElTArfSu53H85K7wrqJZLssqyHNe2bQqFAoVCgXQ6Pasy67NlX24fj408xn9m/pMd6R1sT26nM9fJkDXE6vBqOpo7Lvm1/sUXX+TOO++kq6sLgPb2dr73ve/x13/91yxZsoRdu3aRz+fp7+/n0UcfZfHixSxduvQ8n3WFi5hKT1SFCjOhubmZ2267jUwmw4EDBzAMgxdeeIG9e/dy5ZVXEgwGT38QSlmzUKi0mcrn86iqSiaTwePxnDTDaBgG8XickZGRaa24K0zmZL1ic1kdWoi9Uv3Ffp4YfYID+QNc5ruMDk8HNXINDUoD9XI9h9XDGBhU29Xog7ozy6iuro5oNDqv5+2TfLhFN0P6ED3FHga1QfJWniGtZG3e7G7mysCV/Fn4z06w+Z4LWt2t9Gv9pMwUvWYvOTtH3soT02Ik9SQttHC1eDV/pPwRjY2NjFeN88/Jf2ZndieD2iBu0Y1hG/QUe0hoCXqLvazwrZjxnKusmeVfk//Kz8Z+xn9m/pN9uX28ln+N/bn9xLU4K7wrUESFg4WD7Mvtwyt6T7DOhlJgmDJSuCU3V/ivoMHVMM2rzS1nYh4xHwiCQCAQIBAITEpo6bo+ryMpjmd3djfbxraxO7ebkcIIRt5AtVRixNB8GnlXnhXeFSdYu18q6LrOP/7jP/J3f/d3jqrgHe94B9/61rdoaWlBEASWLVvGbbfdRiqVorOz05nRdtttt53ns69wEVMJoipUmCmKorBlyxauvPJKtm/f7jS0Pv7449TX17N8+cyatwVBwO/3n/AlPt3si/IGRFVVBEGgqanporazPRds2yadTtPf3xaRvQAAIABJREFU34+mac7wyfr6+nnZGC20qtRzqefYmd1JtVxNxBWZ9JgiKrgEFz3ZHgqpAstZ7swVC4VC52WDu8SzhHqlnqJVRBZLAZxf8pdMC6rfwp83/vmkYbhziSiIXBW4CgMDwzYQJbF039p+OsQOblBu4F2+d9HR0YE/4Oefh0oBlF/ys9q3mlqlllq5lmZXMxPmBEkjSdEuzqgfRrM0vjv0XV5Mv8gR9Qg+0UdACqDaJVe6cWOcEWOE9YH1DGlDvF54nayVpV6pP+FYtm3To/XQpDRxTdU1M7Ydnw3OxjxiPlEUxbnWC4UCqqoyMTExLyMpjqdgFvjJ6E/Yld1FjVFDo95IUAjS5GtiaWgpQ+YQGTNTqiZ6l83beS0U+vr6uOuuu/jNb34DlGSNX/rSl7jrrrtOqB56PB5uuOEGNm8u3Wcf+tCHKpK+CnNJxViiQoUz5ZprruHnP/85f/u3f8tjjz1GLpfjC1/4As8++yyf//znqa8/cTMzHV6vl46ODkZGRhgdHXWchiKRCC6Xa1Kvjdfrpbm5+bxLThYq5axjuZoSCATO2Vr6bAkEAixevNj5/IaHh8lkMvPeK5XQE0wYE1zmu+yEx0zTRM7JjKgjjEgj+Kv8RJui5z04v7bqWjYHN9OZ72TMGMMluFjpWzmvm/8ybtHNnzf+ObfW3cpL4y/RM9xDQAxwuXw5HqFknz00NMREaIK+Yh9Fu8ga95pJAagoiCzxLGFHdgdHCkfoL/afYOE+lV3ZXXTmO0nqSTb4N0zqWWtxtbAvtw+f6GN/bj+rfatpUBroLfY6c7SOZ9gYRhREmt3NtLvbZ/cNOgWqqhKLxdA0DTgz84j5RBAE6uvrCQaDJBIJCoUCnf2ddLm6GHANUBSK+EQfl/svZ1Ng05zIITvznfTn+7ELNlVCabhwMBAsuawKsNy7nD25PRwsHOQt5lvwSvNrhnE+efLJJ/nyl7/sOKquXr2ab3zjG3R0dJzyeVdddRVXXXVqy/gKFc4XlSCqwiVPMBjk7/7u79i6dSv33XcfIyMjPPfcc+zdu5fPfe5zbN26dUbHEUWRhoYGgsGg41jV09ODIAiOw1A4HF6QG5CFQiaTIZFIOO5VjY2Ns2ItfS4sZAc/VVXJZrOYlomAQFVVFc3NzUjCwqhuSoI0r8NQT8XB/EF+kfgFuyd2O3OXtBqN67zXIYwJ5PN5dqV3kSRJrTz9PSoJErVyLSkjxUBx4LRB1PbsduLFOB3ujkkBFJQCuzZ3GzEtxvbMdjYENrAusI4xY4z9+f20uduolWuxbIuknmRQH2SNfw3XBK+Ztdlap2K+zCNmG7fbTXt7OzuHdvJI4hFihRij9ii2bON3+Xk9/zqvZF7h/eH3s9Q7ez02tm1zZPQIsVSMKqE0qL2qumpSMsMjevAKXlJGilFjlBapZdZef6GSz+f56le/ys9+9jPnZx/60Ie4++67K4nEChc8lSCqQoU/cMMNN7Bu3ToefPBBnnzySVKpFH/zN3/DTTfdxD333OP0P50Or9dLe3s7fX19FItFJ4CKRqMz7re61JjqjLcQ3avOZ1UqokQIySFG9BECUgDLsshms461dE7O0eRtoi3Ydl5d2xYqvxr9Fd8f+D4DxQHG7XFkUUYWZIbTw+zT9vFXkb+iJl2DPWqX7lnRxlTMaat59h+MaWcSPA/rw6TNNKvkVdM+XivX0lPsYVgfxrANlrqX0ql0krfyTBilqpggCNTJdVwRuIItVVu4KjD3Wfly72a5anAqq3fVUtme2c7O7E4mjAncoptVvlVcE7xmWoOM+WDcGGebto0eVw+2ZrPIWoTX8qIbOmPCGHuyexAQ+G/N/21WKqNla/x0Oo1AaUh7TXXNtH5fzvUzB/b+C41Dhw7xmc98ht7eXgBqamr4yle+wpYtW87viVWoMEtUeqIqVDgOr9fLzTffzJIlS3jllVdQVZWjR4+ybds2Ojo6aG8/vYwmn88zMDDgyF9EUcS2bUeethCc3hYSZQemfD7vWHE3NTWddznadJyvXim/5OeIeoSuQhcu04WW0TAMo3ROPpF+oZ8lviVsDW09oWfqUmfv6F6+2fNNDmmHCIgBVgZWsiS4hDqljjFjjJgWI6EnuDl6My7Zxe70bmJGjCq9CgEBWZGdDa9pm3SpXSz2LOaW2lucmUYn43ep39Gn9dGoNE4b3BatIkk9iSRI7M3t5ZmJZ0jqSfJmHgODZlczGwMb2Vy1mbfXvp0NgQ1zvnZMNY+IRqMnrbgO68N8K/EtfjPxG/bm9nJEPUJvsZdj6jEO5A5Qr9TT7Gqe0/OdjufSz/Fi+sX/z96dx0dVn4sf/8yamUkyk4Qks7EEFKSiKIsKLsVqW7pgtbctVu/PQnvr5XqvaC1UCJshUGXXV+11u1p8uVyq1rpWWy22rpWKsqiAyppkluzLZPY55/z+mJtjGAJkss4k3/c/fXVMTk6Gmcn3+T7P93mQkDg3/1zMejOJRAKdrCMvkUer0kpcE6dQX9jrbFTns5tRbZQmcxOtutYuA8iQFMIb93KW5Sy+avvqkN3wUBSFbdu2sWTJEpqamgC46KKL+N3vfsc555wzyHcnCF0SjSUEoa+MHz+eq6++msOHD3Ps2DFCoRB//vOf8fv9TJ8+vcsyBFmW1dbSkiSpraVLSkqQJEnt9BYMBjGbzcN+HpQsy9TV1eH3+welFXdvDHQHP6veSjgRpiHYwL7APpqkJqLaKEFTEJ/sY6xpLFPzpnJlwZUDUurVXYqicDR6lC/CX1Abr02WM2kHphysoxnCE94n2JvYi1Vv5UzrmcQ0MYJSEK1Gi0PvoEFqIKEkKDWWclnRZXye+JyaaA1tUhvmhFltq69oFD4Pf06uLpcL8y/kyoIrT3sPVbEqaqI1RJRIl938qqPJroFBOciRyBGaE83IyLTJbYTkEIX6QibnTuaHI36Ydlv1dJ2secTJyvficpz7/Pexo30HDYkGRhlHMTZnLAX6ApoTzRyLHqMuXsdE88R+v/dUzzU9xyehTxhrGotJa0Kv15OTk4OiKEiShE7WURWtIk+fx6UFl/boZ8iyjN/vV+cF5uXlMWn0JA4nDlMVrSKhJLDqrOpnWVSOciB8AJfRxcz8mZxlOasvf+WM0dzczNKlS9m2bZs6c+3WW29lzZo1ohJDyGQiiBKEvpSbm8ucOXNwuVzs2LGDWCzGZ599xp///GfGjx+P2+0GkqUvr776KgcOHFC7VY0YMUItRztZ9kKr1WIymTI+YOgPkUiE6upqddBwcXHxgLfi7q2BzEpFIhGM9UaUmIIOHbnmXCwWC1aDlYnmiVxqu5SrCq9SO+FlggOhAzxe/3+zlgLvsbN9Jx+1f4Q/7mdszth+bfHc8fpqCbTwYvRFajQ1FJoLORw9THWsGn/cT22slmapmTxdHi2JFqx6K5fZLsOd46YmUUOD3EBVvIqQFKI2XMvh6GHyDHmcYzmHn9p/etosFIBFa+Gz8GccjBzEqDVi0VrUM5K18VoORQ8RkSPEiTPBNIFxpnEUG4pxGByYdWY+D39OWA4z1jyWUkP/tTSPRCJUVVWp5XvdyQbvCe7htebX8MV8nJd7Hrm6XHQaHUatkRGGEUTkCM1SM2atmfPzzu+3e+/K9pbtHIocYpxpnLqp0DFbSm/Qo8QVaqQabFEbU3VT036/dn6+NBoNdrsdu92OUW/EqrPSlGiiJlZDVbSKoBxMtvuPHKXUUMq5eefy3cLvDkh7/4G2c+dObrrpJvbv3w8kx4k8+OCDfO9738uYIciCcBIiiBKEvqbRaDj77LOZM2cOn332GTU1NbS3t/Pyyy/T2tpKcXExv/jFL3j66af5+9//zpw5czjjjDO6bC2dmr0IBoOEQiEsFktGlq71B0VRaGxsxOPxIEkSRqORUaNGDXrziN7oz6xU6vNVZipj9pjZnFV4FmdbzmZa/jS+U/gdzracnTHNJAA+Dn7Mo3WP8mH7h3ijXjRoaJfaORw9TG28Fk/Mw+TcyX0eSCmKQlNTE16vl0QigWJU+ED/AZ/HPicoB2lKNBFVokhIBKUgQTk5MyoqRxlvHs/XbF+jUF/IePN4okQxG8xIsoRe0ePSuJikncTPHD9jdO7obt1Pkb6IBAkCUoBj0WNUR6tplpo5Gj1KVIli1BpRUBidMxqn0am+BzQajZqxa0w0kqvNZWre1D59rlKfr4734+jRo7Farad9P77S/Ao723dSYijpcq5VrjZXDR4HunTtg/YPqInWkK/LP+E1ptPpiOljtCZaGa0ZzYT4BAKBQLeG9HYe/N35+eqcPS8xlOAwOtBoNOrPztPlcab5TC7Mv5Criq4iV5fbP7/4IEkkEjzwwAOsXr1aDcRnz57NQw89xNixYwf57gShW0SLc0HoL263m61bt/Lkk0+yadMmIpEIzz77LM8++yzxeByACRMm8JWvfOWUTQY6Or3l5eXh9/sJhUIcOXKE0tLSQZvpM1BisRher5dwOAwkDxmXlpYOiR3K/ujgd6rny0b3Br0Ohqgc5Y+Nf+ST0CcU6YuYZJ50XEnT/vB+Pg59zF+a/8IPi/tueGbH4f5QKAQkmyGUlJYQORghLIeJSBEKDAXkaJLvT0WnEJbDNMWbkiVfnf4cjjWNZZFrEceix/DEPEQiEUzNJorkIqLeKHXRum7NLNNoNMwpnEOJoYS3W9+mOlZNTI6Ro81hVM4oDoYPsi+076RZplJDKR8GP+RI9EgfPUtfisfj+Hy+bjWP6Eq71E5EjuA2urv870atER06YkqMkBTCpDX12b2fzmTLZPaH9lMVq2KSbtJx7z9FUaiOVzMmbwyXWS/D2G4kFotRVVVFQUEBpaWlXW5qJRIJfD6fmj0/1fM13jyeM01nUh2rpinRhB49o3JGdXtAczbx+XwsX76cXbt2AcnuiMuWLePaa68d0n/PBAFEECUI3abVarnhhhsYP348//Vf/6X+MdVoNEyZMoW77767213arFYrFotFnYfU8b9OpzOjOtL1BUVRaGlpoba2FkVR0Ov1OJ1O8vLyBvvW+lxfdPBTFIXW1lZqa2vVMwUdgXc22BvcizfmRYuW0cbRxy2kcrQ5nGU+iz3BPewO7uY7hd/pk6G7bW1t+Hw+9flyOp3q+Qstncq5+DLToEGDSWOimWY0Gs0JmRKNRkOZqYwyUxlYQS5OnnlsamqisbFRfb+ebuCsRqNhRv4MLsy7EE/MQ1AKkqfLw210U1Fdwb7QPvUeU2k1yaY0iqL04tk5UedRAqnPV3flanPJ0eYQkkJdljbG5TgSEkaNccAGK3e4KP8idgR20JRo4tPwp4w0jiRXm0tQDlIdqwagzFTGZSMuI68kj8bGRhoaGmhpaaG9vR2Hw3Hc89He3q5m67RaLU6nE6v1xOxbZxqNhtE5oxmd072sZTZ64403WL16NW1tbUDyLPGWLVuYMGHCIN+ZIAwMEUQJQjcpisKzzz7LnXfeqe7earVa9Ho9H330Ef/2b/9GZWUlX/nKV7p1Pb1ej9vtpq2tDb/fTzAY5PDhwzgcjm6V02SD1N1uq9WasZ33+kpvslKpg4bz8/NxOBxZdVasKlpFU6KJEkNJl7+rWWvGrDXTnGjGG/P2qjtaamv81MHMUTmqZkR0Gh3NUjMWrQWdRkdciSeH2motaNGqradPpmNuWccw11gsxrFjx7qdUdVqtCfMlXIanFj1VhoTjV12VWyMN2LT23AYHek8LSfV0Tyi4+xebm5ujzduzss9j38E/sHRyFGKDcUnlJN6Yh5G6EfwFctXBjQLBWDT27ih9Aaog2PRYxyOHiYqR8nR5uAwOCgzlXFDyQ1qGWJJSYn6fg2Hw9TU1JCfn4/dbqepqUntMJeJoxcGQyQS4e677+bpp59WH7v22mspLy/P+DligtCXsucvsyAMorq6OlatWsXf/vY39bG5c+fygx/8gNWrV7Nv3z4OHjzIDTfcwL//+7/zs5/9rFsLX41Gg81mU7NSHTuegUAg6xbPqVKzAx3B4XCRblYqdbc7EwYN94RCMnNyujk4iqKcNnA5lVAohNfrJR6Pq4f7U0tiJUXCpDFRpC/CqDXSLrUTlsNISOg1evL1+eRqc9Fr9N2+F4vFwtixY2loaKCxsZHm5mba29txOp3k5qZ31uWi/IvYFdzF/vB+bDrbcRmbsBzmWOwYE0wTmJk/M63rdiUSieDxeNTRC6Wlpb0a/H1e7nmMM42jId7AJ6FPGJMzBqvOSlSJ4o15aU40c17uecyyzur1vfdEmamMW1238kH7B3wc+piQFCJXl8u5lnOZnjf9hHNcHUN6OwYMBwIBdTMDkoHWYA/XzgSHDh2ivLycL774Akhu9Kxdu5Zvfetbg3xngjDwsneFJggDJB6P85Of/IQjR5LnEkpKSvj1r3/NrFnJxcHTTz/N/fffz4MPPkgikeD+++/nzTffpLKykjPOOKNbP8NgMDBy5Ei1jCsQCBAKhbIy8JAkCb/fr5Z49Ga3O9t1JyuVmh0wm824XK7THnLPVE5jcjBwXbyuy+xKVI4SkkPY9DbshvSHsSqKQn19PY2NjQCYTKaTBqZmrZkiQxFWvZUxOWMIy2EaE40klARGjRG7wU5YDhNX4mlle7RaLaWlpWpWKhqNnvZMTVfOyz2PaXnTiMgR9oT2UKgvJE+bR1AO0pxopsxUxpS8Kb1qKtHRPKKjFbfRaMTtdmMy9S47ZNQa+Zn9Z0iKxBeRLzgSPUJICmHQGCg1lnJ+7vnMLZ7b6zlMvWHVW7my4MputaOH5KZWYWGhOq6ig8lkGjLVAT2lKArPPfccGzduJBKJADBlyhQ2b96sdqoVhOFG04ta674t0haEDCXLMl/96lepr6/nqquuYsWKFRQUnDj35OOPP2bJkiUcOnQISHZtu/nmm7n++uvTKl9LPSCfTSVw7e3t+Hw+EonESbMDw1Vq6ZnJZKKoqIiGhoY+yw5kgrAU5s6aO3k/8D4jjSOPC6QkRWJ/eD+5ulzmFM3hX0v+Na1rR6NRvF6vuogbMWIEJSVdlw12eKXpFR6texR/zM85ueccd/YpKAX5JPQJ51jO4VfuXzHRMjHN3/bLDoodi269Xn/CmZpTickxnm18lg8CH1AXr0t27fu/AG9K3hTmFs/tcRfD3jaP6I52qZ1/BP7Bh+0f0pxoJkeTwyTLJC6xXsLInJF99nMGQuoGkMlkIhaLIcsyGo2GkpKSrH9/9kQgEGDt2rW89tprQDLYXLBgAQsXLszqaglB6KRHb2oRRAlCNzQ0NNDa2nrazFI0GuWee+5h69at6mHwKVOmsHr1akaNGnXK7+2so5Vux+DLTG/GMNSyKf2pc6DZoa+yA5ni/cD7PFX/VLJpgkabbPWtJKiP11OkL+K83PO4yXkTxYbibl2vq+Ykbrf7tE0dIBkobfJsYmf7Turj9ZQYSjBpTbRL7TQmGjnTdCaX2y7nRvuNvVocpwZ4NpuN0tLSbi8ym+JN7AntISSFMGvNTM6d3O3npyt90TxiOAmHw3g8HrU81OFwYLPZ1M2PzoGV0+kcMu/V09m7dy/l5eV4vV4gWYmxceNGZs7sfYmpIGQQEUQJQqb48MMPWbJkCdXVyU5QZrOZ2267jR/+8IdpLdRS21zbbDbsdntGZaU6n00BcXbgdGKxGDU1NUSjUfWxU5WkZasPAh/w5+Y/44l5CEgBdBodI/QjOMN8Bj8a8SPsxu6V8qW2lu5JZrY50cwjtY9wIHSA+ng9cSWOWWum1FDKTOtMriu+rk+Gn6aWzg3GWcC+bB4xHKRmEk/2Xuzootqx+TFixIhutbnPVrIs8+ijj3LfffchSRIAs2bNYt26dRQVFQ3y3QlCnxNBlCBkklAoxMaNG/nf//1f9bEZM2Zwxx134HB0//xF6sJMr9fjcrnSPsTe11LPpuTk5OByuYbNDm26usqmFBYW0tzcrJY/9mauVCaKy3E+CX1CQ6IBHTrOMJ3B6JzR3f79UpttdGQHekJRFI5Ej7A3uJewHKZAX8D0vOmUGEp6dL1TSd38GKgui52bRwzn8rPuSi2dLioqorS09KTPlyRJ1NXVqQGq0WjsVpv7bNPQ0MCKFSvYsWMHkDyzu3jxYubNmydeS8JQJYIoQchE7777LsuWLcPv9wPJrm233347c+bMSesPUmq50GAOq41EIni9XjWbMtR3ZXvrVNmUrs5KDbWsVLpkWaauro7m5mYgO1tLp5bk6nQ67HZ7vzQo6K/mEUNZarljOrPYQqGQ2uYekp/FJSUlGVUh0FPvvvsuK1euVN97Y8aMYcuWLZxzzjmDfGeC0K9EECUImSoQCPDrX/+a5557Tn3s8ssvZ8WKFYwYMaLb11EUhYaGBhoaGoDkDqHL5RqwndDUxdpA//xs1HmxdqpBnalNOYZaVqq7+roV92CLxWLqHDhIbqI4HI4+CwgHonnEUNJVuaPL5Uo7SyjLstrmHtJvKJJp4vE49957L48//rj62NVXX82qVasy9iyuIPQhEUQJQqbbvn07K1euVP/wFhQUsHz5cr7+9a+ndZ3UTFBRURElJSX9unCKxWL4fD619CXdds7DTWqGqTvZlOGcleoI0Ovq6oBkqZTL5RoSwzsVRVHHF8iyrLZI723nStE8Ij2p5Y6lpaUUFhb26t8gEomo4wsgmWW22+1Z1bWuqqqK8vJy9u3bByQ/q+644w6uueaaQb4zQRgwIogShGzQ1NRERUUFf/nLX9THvvWtb1FeXp7WAfTUndD+WnSmLgDFYu30UgfBprtYG25ZqdSzKYNZqtqf4vG4OlQbkotVp9OZdhdL0TwiPamllX1d7thVQ5H+Kt3sa6+88gp33nmnmsmcNGkSW7ZsoaysbHBvTBAGlgiiBCGbvPzyy1RWVqpZh5KSElatWsWll16a1nXC4TBer1ctfyouLqa4uLhP/ninnuUZqAPy2aqrZhtut7tHmaSuslIOp4PPpM94r+096uP1GDQGvmL5CpdZL+tVO+zB1Nrait/vVwP0dM6mZCNFUWhra6O2thZJktIOskXziPSkfob1Z7ljarY+k4PbUCjEunXreOmll9TH5s+fz6JFi8RoCmE4EkGUIGSb2tpaVq5cyZtvvqk+9v3vf59Fixal1X1PlmXq6+tpamoC+qZTXltbG36//7jOaNmwszpYotEoHo/nuGYbpxsE2x0dWan2eDtPxZ7isO4wTZom2qV2tW240+hkXuk8LrZe3Be/yoBIHWyal5eH0+kcNgF6IpE4bv6Q2WzG6XSeNODuqtxRNI84tWAwiNfrJZFInPI8Yl9Kzdz3VdlgX/rss89YsmQJx44dA5KZ3/Xr1zNr1qxBvjNBGDQiiBKEbKQoCn/4wx+466671JIKl8tFRUUFF1xwQVrXCgaD+Hw+tYysJ2VgPTnLM5SEpBDvtL3Dp6FPSZDAYXAwyzaL0Tmju/z61FKh/mi2kUgkWHdwHW8G3sQv+3HoHdgtdmSNTG28lna5nXMt5/JL9y8523J2n/3c/hIKhfB4PGq5ot1u7/X5oGzVebPiZO/Z1OYRQ7Xcsa+kZoTNZjNut3tAP8MSiQR+v59AIKDew6mC5IGgKArbtm3jnnvuUef6XXTRRWzcuBG7vXtz2wRhiBJBlCBks5qaGpYtW6bO5gC47rrruOWWW9LabU6dZZLOH+/OO7eZuIPa33a17+IB/wN4Yh6a4k3IyOTp8ig1lHKF7Qrm2+ej13yZKUld3PbXMOQjkSNUVleyO7CbM5QzMGBAg4YcUw6mHBNV0SrCcpjvFn2XRe5Fffqz+1Lq4nY4Nc44la5KN51OJyaTSTSPSFMsFsPj8aiNHvqyvLknOko3Oz5TO8ZBDPT9NDc3U1FRwVtvvQWATqfjlltu4cYbbxTNgQRBBFGCkP1kWebJJ59k06ZN6iJgzJgxVFZWMnny5LSuldqc4FQBUepcnuG4uP0s/Bl3Vt/Jp6FP0aKl1FCKXqOnJdFCY6KRM8xn8L2i7/Ez+8+A5OLI5/OpZ3k6yh37w7b6bTxe9zgKCmOMYwiHw8TiyTNwOp2OHHMOu8K7mJo7lXVl6wbsfFRdvI43Wt5gZ/tOdYDtpdZL+ar1q+Tqji9HTZ1z1lfljkNJ5/csJN+HHc9XJp+vyRSdz9fp9XrcbndGjF9I3djKycnB6XQOWOfJnTt3smzZMurr64FkpcPmzZuZOnXqgPx8QcgCIogShKHiyJEjLFmyhD179gCg1WqZP38+CxYsSOvQb1eleandwPqzMUU2WV+znpeaXkKPnjE5Y477/duldg6ED3B+7vlsGL0BpUkZ0LM8D/ge4KmGpyjRlzDCkJwrFk/ECYfCyIqMBg2HNIcYnzue1aNXc4b5jH67lw4ftX/Evb578UQ91MfriStxTFoTdoOdM81ncrv7dtw5bhRFoaWlhdraWjFbrBskScLn86llYJAcYVBaWjrs3pPdlXq+Lj8/H6fTmXEZls7l1tD/oykSiQQPPfQQDz/8MB1rvdmzZ7NmzRpsNlu//ExByFI9+nDVVVRU9PQH9vgbBUE4tcLCQv7lX/6FnJwcdu7ciSRJ7Nq1izfffJPJkydTXNy9TINWqyU/Px+TyUQwGCQWi9Ha2prMXuTk0NDQgNfrRZIkjEYjo0aNwmazDbvFWl28jsfqHuNY9BgTzBPQaY5ffBm1RsJymIgUQWlRcMfdaDQaHA7HgMzK+jT0KZ+GPgUNWHXJbJdOq8NoNKLICgkpgTfhpVAu5JsF36Qwp7Bf76c6Ws26mnXsDe1FUiRG5YzCbXSTo83BF/dRH6/naPQol+ReQp2vTm14YrPZGDly5LDKcKaj43xdR0a4QzgcRlEUzGbzsHtvnk44HKaqqopwOIxGo8HpdPb7zLyeMhqNFBQUAMn7DofDtLW1YTQa+7wjns/n47bbbuNPf/oTkMx+rVq1isWLF4tmJIIurUUqAAAgAElEQVRwotU9+SYRRAlChtJqtUyfPp0rrriCPXv20NDQQFNTE88//zwajYbzzjuv2wuFnJwcbDYb8XicaDRKe3s7zc3N6lmeoqIi3G73sG1tezR6lNdaXiMsh7Ebuz5gHY6GaYm14Na4mZ43ndGjR5OXlzcwi1oN7Anu4Vj0GHaDHa0m+e+u0WgwGAy00EI4EeYs7VlMDU9Fo9H064L76YaneaftHXQaHWeaziRHm4NOo8OsNVOsL8Yb8xJNRNE36SlJJBe0LpeL4uLijFzcZoJ4PI7H41FLvgoLCxk1ahTw5YI7EAhgMplESR/JgLOxsRGv14ssy+Tk5Azse7KHNBoNubm55OXlJctyYzHa2tqIx+OYzeY+eX+88cYbLFy4UO2+N378eB555BFmzZqV0c+NIAyiHgVR4q+ZIGS4iRMn8vTTT3PTTTeh0+lIJBLcd999zJ8/n8OHD3f7Onq9HpfLpZZxyLIMJM+mDPdOXyaNCZ1GR1yJk1riLMsy7cF2wvEwWrQU5RVRVlY2oNmUyZbJnGE+A5vexr7wPgJSAEVRkBQJb8xLdaKas2xncWXBlQDU19dz9OhRtd16X5IUiR2BHdTH63Eb3ScsyrQaLSMYgTfs5aPYR1gsFsaNG9fvraWzWSAQ4MiRIwSDQXQ6HSNHjlTnsdntdsaMGYPRaCQWi3Hs2DH13M9wFY/HqaqqUs/4FBUN/Huyt8xmM2PHjlXPBba2tnL48GHa2tpO+Azqrkgkwp133smiRYvU0sZrr72WZ555hgkTJvTl7QuCgMhECUJW0Ol0zJgxg8suu4wPP/yQ5uZm6uvreeGFFzCZTJxzzjmn3WHs2OnuGDqp1+uRZZlwOEwkEiE3N3fYBlL5unzeC7xHVbQKs9aMSZssd4nFYoRCISRZwoOHstwy/p/7/+HOcQ/o/Wk0GiaaJ1IVrSIkhTgWO0ZVtApPzINWo+VM85l8u+jb/Mj9I8xmM6FQiFgsdlyHxr7agQ7JIZ5vfB5f3HdC23dJkpLPlyTRpDQxNm8sPz7jxxl3NiVTyLKM3+9X2+Pn5uYyevToExoOGAyG48rAIpEIbW1t5OTkDLvscSAQoLq6mlgspgac2TpsWKPRYLFYsFqtRKNRYrEYgUCASCSCxWJJ631z6NAhbr75Zt5++20ArFYrGzZs4MYbbxSZS0E4PVHOJwhDnd1u50c/+hGRSIQ9e/aQSCT4xz/+wc6dO5k2bVqXu/2KkmyC0Hnh4XK51E5foVCIaDRKa2srBoMhq3Zz+4pOoyMqRzkSOcKh6CFyyEGJKMTjcWJKDK/Gi8Vo4fy887mh9Aa1nG4g5evyuSDvAmx6Gxo0jNCPYFTOKGZYZ3BdyXV8o+AbaDQa9dxFIpEgEokQCoVob2/HYrH0SfMLnUbHK82v4Il5GKEfobZ8j0Vj6tmdkCaEbJCZapvKrAIxwLMr4XCY6upqgsGgOivrVO3xuyoDa21tJZFIYLFYhvwGyMkCzqFwvken02Gz2dDr9erncUtLCzqdDpPJdMoAUVEUnnvuORYvXqwOYp4yZQpbt24V3fcEoft6FESJ7nyCkKU++OADli5dSk1NDZDsvHfbbbfxgx/8QP2j+9FHH7F//37OP/98tFptl53k4vE4Xq+XUCgEJHcwHQ7HsMseJJQE93ju4W9Nf6MqXEWcODp0oAeH0cEE8wSWjFxCmalssG8VRVEIy2H0Gj1G7ckzEalt7nsyfLkr9/nu46mGp0CBMTlj1OwTJLMmh5XD2A12bnHdwuzC2b36WUONoig0NTWpC16j0Yjb7U4rGOg4D9RRzqbX63E4HEN2flQkEsHr9RKNRof8/Lp4PI7f71crBiwWCw6Ho8vNrUAgwNq1a3nttdeAZKC9YMECFi5c2K/dQgVhCBItzgVhuAkGg2zYsIHf//736mMXX3wxv/rVr/jd737HSy+9BMBtt93G9773vZN23uvoCtaxyzvUF2VdSSQS1Phq+EvzX9gR30GTrglDjgGr3sqM/BlcVXQVDqNjsG8zbV0Ncu3tDLDDkcPcUXUHewJ7yJFyKNYUY9KYkHIk/JIfrUbLtLxpbCjbcMK8qOEsdcOisLCwV+cRI5EIPp9PnSVls9koLS0dMgvo1M+lngSc2UhRFAKBAH6/H0mS8Hq97N27l6uvvppx48YBsHfvXsrLy/F6vQCUlJSwceNGZs6cOZi3LgjZSgRRgjBcvf322yxfvpza2logOSelY86MxWLhiSeeYOzYsae9TiwWw+v1Eg6HgeSi7FQlRkNFe3u72updq9VSUlpC1BIlQYIR+hFYdNk/06gvs1KSJPHS0ZfY2ryVOrmOFlpQdIo6J+oM8xksdi9mnGlcP/wm2amtrU1dFOt0OpxOZ59sUnRkturr61EU5aSDn30xHx8HPyYiR8jT5XFe7nnqzLFMlEgk8Pl8akamoKAAu90+5MsWO+vYALn99tv59NNP0ev1/PSnP8VgMPDggw+q2d9Zs2axbt06ioqKBvmOBSFriSBKEIazhoYG5s2bx8GDB9XH3G4369evZ9KkSd2+TuqirKOrX27u0MsoyLJMXV2dOpfHbDbjcrmG7GH9vshKBYNBvF4viUQCv+znE8sn7JP2EZbDFOoLucR6CVfYrqDIIBZ0kHyN1dbWqk0+cnNzcblcfZ4tSt0Ayc/Px+FwENFEeLrhaT4JfkJdvI6YEsOkNeEwOJiWN41/GfEvGLSZ1Xig82tMq9XidDqHdXfHbdu2sXHjRrVrn8ViIRQKYTAYWLx4MfPmzRuSpY2CMIBEECUIw9WBAwe4/fbb+eyzz9TH8vLyaG9vp7CwkOXLl3PllVemdc1oNIrX61VLhXpbepRpwuEwXq+XWCwGJMth+uK8UDboSVZKURTq6+tpbGwEkgFY59liiqIMi+cuHZ1fYwNxlie1/E3SSvzJ8Cc+jn9MTayGEn0JFp2FgBSgMdHImaYzudR6KfNK5w1Ks5Su7r/za8xsNuN2u0V3OeDJJ5/k4YcfVoNxgKuuuorKykosluzPlAvCIBNBlCAMN5Ik8cgjj/Cb3/yGeDwOwKRJk1i2bBmPPvoor7/+uvq13/nOd1iyZElaO7qpB9gNBgMulyur/2grikJDQwMNDQ1AchCxy+Ua8ucsUkmSRF1dnbooO1VWKhqN4vF41LlTxcXFFBcXi6DpJFKbRwz0aywWi+H3+3m79W1eiL6AX+Nnmm0aJv2XPz8khfg49DGTLJO4yXkTZ1vOHpB7O5lYLIbH41E3bcRrLCkej3Pvvffy+OOPA8kmIpIkqVmpkSNHsmbNGi6++OLBvE1ByHY9+qARLc4FIYvdddddPPDAA8iyjE6n46abbmLDhg2MGjWKb3/725SVlfH+++8TjUb54osveOWVVxg3bhyjR48+/cX5co5Jfn7+cW2VZVnGYrFk3QInFotRXV2tDqIsKioatjvdWq2W/Pz8U86V6shseDweEokEBoOBUaNGUVBQkHX/9gMlHo9TU1OjPpeFhYUD/hrT6XRYrVZeCrzEx+GPceBAH9ej1WrVMsKOEr7GRCN5ujzOzzt/wO4vVWtrKzU1NcTjcfR6vXiN/Z+qqioWLlzI9u3bgWQZX2VlJb/4xS/Yv38/fr+ftrY2XnjhBbxeLzNmzBiypciC0M/EnChBGG6efvppDh8+TFlZGQ8++CBXX3212gRCo9Fw1lln8b3vfY9Dhw5RVVVFMBjklVdeoaGhgWnTpnX7D65er8dmswHJEqVwOEwgEMBsNmdFAKIoCi0tLdTU1JBIJNDr9YwcOXLItklOR8dcKUmSjpsrZTQa8fv96nkxm83GyJEjh+Ucse5qa2ujpqYmIwbBysi8GniVo4mjjNOPQ5Zl4vE48Xgcg8GARqPBoDVQFavCYXRwqfXSAb9HSZLw+Xw0NDSgKAr5+fmMHj1avMaAV155hVtvvVXtvjdp0iS2bt3KjBkzKCoq4vvf/z6FhYXs3LmTeDzO/v37icfjXHrpwP87CsIQIOZECcJwEwwG2bVrF9OmTcNsNp/06xRF4ZlnnuGuu+5S2yu73W4qKiqYPn16Wj8z9SxRppfdpHb5Gq5zsLqj81mpDhqNBpfLNawP9p/OQDWPSOueFJkVx1bwdtvbzMifgRSTCIVCxzUnSBgSHAgf4JuF3+RX7l8N6P2Fw2E8Hg/xeByNRoPD4TjpCIbhJBQKsW7dOnU8BcD8+fNZtGhRl5teHo+HyspK3n33XVavXs0PfvCDgbxdQRgqxJkoQRBOrbq6mvLycj744AMguUC+/vrrufnmm9M6ryHLMvX19TQ1NQGZe64oEAjg8/nU1uUdCzWha7Is4/f71e59kPy3dbvdIjtwEgPdPCIdD/of5NWmV7HpbbiMLmRZVks3Abx4MZvMzC2dyw+Lfzgg95R6zlK8vr504MABli5dyrFjx4BkKej69euZNWvWab+3I8MuCEKPiCBKEITTk2WZxx9/nM2bN6uNAsaMGcOaNWs499xz07pWKBTC6/WqTS0ypcNdaitvi8WCy+XKitLDwZIaDFitVoLBYJ/MlRqKBrt5RHfsCe7hYf/DfBL6hAnmCRTqksFdNBqlqr0Kj+Rhom4iv3T/knPs5/T7v23qsOGioiJKSkqGTMfPnlIUhW3btnHPPfeon6UzZsxgw4YN2O32Qb47QRgWRBAlCEL3HTp0iPLycvbs2QMkD6PPnz+fBQsWpBVspJYy9WT2UF/qHNhlWmYgE3WVGegIBtLp4DecpAYDmdr+X1EUnmp4ijdb3+Rg5CBatJi1ZtrldnToGKWMYqYyk4sNF2MymXA6nf0WBHbOCut0OlwuF3l5ef3ys7JJc3MzFRUVvPXWW0Dyc/iWW27hxhtvFCXHgjBwRBAlCEJ6EomE2iK94xzMhAkTqKys5KyzzkrrWqmzhwY6eEmdMSPKhE4vdUDryYKBnsyVGqra2trw+XxqR8xMDwZkRebvrX/nvbb38MV9ROUoFq2FkTkjudx2OV/hK/j9fvX939dnHFMHWmfCebFMsXPnTpYtW6ZuYLhcLjZv3szUqVMH+c4EYdgRQZQgCD2TOqxXr9fzH//xH8ybNy+txU5XZXROp7Pf2+6mDgYeMWIEJSUlw3KR3x2KotDW1obf70eWZfR6PU6n85TBwHDPSqWeF8u2YCChJDgSOUJYDpOvy2dMzhh1wG7qv21OTg5Op/OUzWq6IxKJ4PV61bLh0tLSQetWmEkSiQQPPfQQDz/8sNroY/bs2axZs0ac2RSEwSGCKEEQei4Wi/Hf//3f/M///A+SJAFwzjnnUFlZydixY9O6VufSHY1Gg91u75e5Lx1zjOrq6lAUZUgMA+5vHW2lA4EAAPn5+Tgcjm4HA8MxK5XJzSP6UjAYxOfzqedyRowYQXFxcdpliqnvS6PRiMvl6nVQNhT4fD6WL1/Orl27gGTAunz5cubOnTvkXk+CkEVEECUIQu/t2bOHJUuWcOTIESD5R37hwoVcd911aS2mEokEfr9fXazn5ubidDr7rLlDPB7H5/MRDAaB5Bwju90uzhGcQjAYxOv1qgFQT9tKD5es1KnOiw1VqZ03jUYjTqez2xsTqSMFbDYbDocj486LDYY33niD1atXq8O+x48fz5YtW5gwYcIg35kgDHsiiBIEoW9EIhG2bNnCY489ppabTJs2jdWrV+N2u9O6VkfZWOc241artVe7rqnnUjquKXQtdWFsNptxuVy9LrMcylmpbGke0V9CoRA+n09th96d379zkK7VanE6neJ9yZefp88884z62LXXXkt5ebnIzglCZhBBlCAIfeuf//wnS5cuxePxAMkzTosWLeL73/9+Wgvl1N3pvLw8nE5n2udJJEnC7/erO7nZdi5lMESjUTwej3oupa8bBwzFrFS2NY/oL7Is09DQoDZrMRgMOJ1OcnNzj/u61KYuZrMZt9stRgrwZRfUL774AkgO+167di2zZ88e5DsTBKETEUQJgtD3gsEg69ev56mnnlIfu+SSS1i1ahWlpaXdvo6iKLS2tlJbW9ujDFJqKVp/nbMaKgb6vNhQyEple/OI/hIOh/H5fGogXlBQQGlpKTqdjlgshsfjUZu69HWQnq0UReG5555j48aN6nMzZcoUNm/enHY2XxCEfieCKEEQ+s9bb73F8uXL1eGiVquVJUuW8O1vfzutBVPqWSar1YrD4TjpWabUUrShkOnob4N1Xiybs1LDpXlETymKQkNDAw0NDUCyg6fVaqWlpUXt8Oh2u0VTF5KNddasWcPrr78OgEajYcGCBSxcuHDYB+SCkKFEECUIQv9qbW1l7dq1vPjii+pjV155JcuWLaOoqKjb11EUhZaWFmpra1EUBZ1Oh9PpJD8//7ivS22RLHa5Ty91qOlgnBfLpqzUcGwe0Rup70lIlue6XC7R1AXYu3cv5eXleL1eAEpKSti4cSMzZ84c5DsTBOEURBAlCMLAeO2111i1apU6QLOoqIjly5dzxRVXpHWd1GGvHRkTrVZLU1MT9fX1okVyN8myTG1trZoF6utuiOnKhqzUcG8e0RPhcJiamhp1OC8gmruQfP89+uij3HfffeqIiFmzZrFu3bq0NpgEQRgUIogSBGHgNDY2smrVKv7617+qj333u99lyZIlJ2SUTkVRlOMCJr1ej06nO+78RUdgJXQtHA7j8XiIx+MZV4qWqVkp0TwiPV1l7EpKSmhsbFQ3QdKdOTZU1NfXs2LFCv75z38CyQYcixcvZt68eYP+OhcEoVtEECUIwsBSFIUXX3yRNWvWqPOgSktLueOOO7j44ovTulYkEqGmpkYd9KnRaHC5XMN6d/t0Us+pZGopWiZlpVKbR/S0U+RwkpqxKyoqoqSkBK1We0IDE51Oh91u7/UYg2zx7rvvsnLlSjUrX1ZWxpYtW5g0adIg35kgCGkQQZQgCIPD7/ezfPly3nnnHfWxH/zgB/zyl7/s1kHz1MG8Hfq7o1w2Sy2F7LywzVSDnZXK5Ixdpko9Y3eyjF0sFsPv96vNTPLy8nA4HEO2zXk8Hufee+/l8ccfVx+7+uqrWbVqlchoCkL2EUGUIAiDR1EUnnrqKdavX6/uWLvdblavXs20adNO+n2dF9ZarRa73U5OTs5xLZWzIUAYKKmt4vV6PS6X64TZPZlqMLJSXZWiud3ujDqflWlkWaaurk7NsHSn3Xvqa1Or1VJaWjrkRhFUVVVRXl7Ovn37gOT8vDvuuINrrrlmkO9MEIQeEkGUIAiDr7q6mqVLl7Jz504gWZb3r//6r9x8883HLVrb29tpaGhQMylmsxmXy4XRaAROHOApmkskAxCfz6dm7PLz83E6nVnZFW2gslKnKkUTupY6oLm0tJSioqJu/9vE43H8fr86XNtiseB0OtX3djZ75ZVX+PWvf62+niZNmsSWLVsoKysb3BsTBKE3RBAlCEJmkGWZxx57jC1btqgLsbFjx1JZWcnEiRN56KGH+N3vfkdRURF33303drv9pAvozvN7YPi2Oe88bLgjY2ez2bL6eejvrJRoHpGe1NEDvdm4UBSFtrY2amtrkSQp68snQ6EQ69at46WXXlIfmz9/PosWLRoSwaEgDHMiiBIEIbMcOnSIJUuW8PHHHwPJAZ1Op5Pq6mogOWj3+eefp7Cw8JTXSR24m6kNFPpD6u+emrEbCvo6KyWaR6QvkUjg8/nU7JHNZsPhcPQ6Y5d63tFsNuN0OrOqlPLAgQMsXbqUY8eOAclW+OvXr2fWrFmDfGeCIPQREUQJgpB5EokEDz30EPfeey+yLKuPu91uNmzYwNlnn93ta4VCIbxer9rBr6SkJCPaZfeX4TRsuK+yUqJ5RPpSs5xOp7PPu2K2tbXh9/vVrFRJSUlaJYKDQVEUtm3bxj333KN+5syYMYMNGzZgt9sH+e4EQehDPfogEttygiD0q5aWFvbu3XtcADVixAhqa2vZsWMHZ511VrfP9FgsFsaNG6cOla2vrycQCGTcENfeSm0bbTAYcLvdQ/o8mE6nw+l0kp+fj8/nIxKJcOTIkW5npUTziPSlnjvszyyn1WolNzeX2tpaWltbqauro62tDafTmZEZ5ebmZioqKnjrrbeA5Ovzlltu4cYbb8zKM4iCIPQ9kYkSBKHfbN++nRUrVqilaKWlpVxwwQW8+uqralB17rnnUllZmfbB7NQSsKGScYjH4/h8PrVV9HAcNpxuVko0j0hfaov8gcxydn7vDvTP7o6dO3eybNkyNSB3u91s2rSJqVOnDvKdCYLQT0Q5nyAImWP9+vX87ne/U///d77zHe644w4KCgrYvXs3S5Ys4ejRo0Aya3Drrbdy7bXXprXwlSRJ3dmG7O8C1rnkqXNmZrjqzlkp0Twifa2trfj9frVFvtvtHvBZbKmBck5ODk6nc1CzrR2lxw8//DAda6PZs2ezdu1aMfRbEIY2EUQJgpAZgsGgumubn59PRUUFc+bMOe5rIpEImzdv5rHHHlMfmz59OhUVFbjd7rR+XueBoBqNBrvdnlWzaVKDwdzcXJxO55AdVJqOk2Wl9Hr9cc+ZaB5xeqmvs0xokR8MBvH5fOqZoxEjRlBcXDzgWUSfz8eyZcvYvXs3kAzqli9fzty5c7Pmc0QQhB4TQZQgCJnjoYcewuv1smDBApxO50m/7v3332fZsmV4PB4gGUAsWrSIa665Jq3FSyKRoLa2lra2NvU62RCIiEYI3ZNaAqbVapFlOSuD5sGQ+jrLpOcstQOl0WjE6XQOWHZs+/btrF69Wu0gOH78eO6++27Gjx8/ID9fEIRBJ4IoQRCyU3t7O+vWreOZZ55RH7v00ktZuXIlpaWlaV2rc0lcJs9TUhSFhoYGGhoaANEIoTsSiQTV1dVEIhEgOcjZ7XYP65LH01EUhaamJurq6oDMfp2FQiF8Pp86E66wsJDS0tJ+y0pFIhG2bNly3OfOj3/8Y8rLyzOy2YUgCP1GBFGCIGS3t956i+XLl6sLPqvVSnl5ObNnz047K9V55k2mlXrFYjE8Ho8aDIhGCKeX2jyicyaqt3OlhqrUJiX9HZT0BVmWaWhoUDsGGgwGnE4nubm5ffpzDh06RHl5OV988QWQ/KxZu3Yts2fP7tOfIwhCVhBBlCAI2a+1tZXKykpefvll9bGvf/3rlJeXU1RU1O3rKIpCa2srtbW1atMBh8MxqAfEO+7J7/ejKAp6vR6Xy9XnC8ShpqvmEWazuU/mSg1Vnc8JZmOTknA4jM/nU2ekFRQUUFpa2uvzW4qi8Nxzz7Fx40Z1E2PKlCls3rw57bOYgiAMGSKIEgRh6Pjzn/9MRUUFzc3NQDJbs3LlSi6//PK0rpO6G2+1WrHb7QOelUokEvj9fvXcRSYc6s90qY0QusoodqeD33AiyzJ1dXXq+yZbzgZ2JbXkVa/X43Q6e9x9MRAIsGbNGl5//XUgWQ66YMECFi5cmDFZakEQBoUIogRBGFoaGhpYtWoV27dvVx+76qqr+NWvfpXWrrqiKLS0tFBbW4uiKAO+M995oa/VatWM2HBd6HdHOo0Q0p0rNVRFo1E8Ho+avSktLaWoqCjrX2eRSEQdwAxgs9mw2+1pbUDs2bOHZcuW4fV6ASgpKWHjxo3MnDmzX+5ZEISsIoIoQRCGHkVReOGFF1i7dq2axbHb7VRUVDBjxoy0rpU6YLQni7F0pHYdM5vNuFyurJ1jNRAURaGxsVEddJpOI4ThmpVK3SQwGo1qyeNQ0dEgo76+Xt0I6U55rizLbN26lfvvvx9JkgC4/PLLueuuu9IqDxYEYUgTQZQgCEOXz+dj+fLlvPvuu+pjP/rRj7jtttvSWiymLsb661xSJBLB6/WqWYGSkpJhsaDvjXg8jsfjUYPcnjTcGG5ZKUmS8Pl86gaDzWbD4XBkdPOI3ohGo/h8PvU1kp+fj8Ph6LIcr76+nhUrVvDPf/4TSDapWLx4MfPmzRPvQ0EQOhNBlCAIQ5uiKDz11FOsW7dOXUSNHDmSyspKpkyZkta1otEoXq9XLRHqq85lqUHaUMwK9Ieumkf09OwLDI+sVDAYxOv1HlcmarPZBvu2+p2iKDQ3N1NXV4eiKHz++ef4fD7mzp2rZpfeeecdVq1apZ4NKysrY8uWLUyaNGkwb10QhMwkgihBEIaHqqoqli5dyocffggkD4jfcMMN/Od//mdaGYfU0jGDwYDL5erxkM/UNtwFBQXY7fYhmxXoC91pHtGbaw/FrJSiKNTX16ttwIdrmWgsFsPv9/OTn/yE1tZWrFYrixYt4vPPP+fJJ59Uv+6aa65h5cqVvQrKBUEY0kQQJQjC8CHLMo8++ih33323Opxz3LhxVFZWpr3bnFp615MystRMSra1lB4M6TSP6I2hlJVKPdc3YsQISkpKsvJ36QuKovDrX/+aZ599Vn3MYrEQCoWwWCxUVFRw9dVXD+IdCoKQBUQQJQjC8HPw4EGWLFnCJ598AoBOp+Pf/u3f+PnPf55WW+fU3f3uluGlZlJyc3NxuVyiZfIp9KZ5RE8NhaxUx4wxWZbFjLFOFEVh06ZNPP/888cNY/7FL37Bv//7vw/bAFMQhG4TQZQgCMNTIpHgwQcf5L777iORSAAwceJEKisrGT9+fFrXCofDeL1eNbt1qp3+UCiE1+tVMymlpaUUFhaKRdsp9EXziN7IxqxUaqAuZox9KRQKsW7dOl566SUgOUuq4zMA4NJLL6WyslIM0hUE4VREECUIwvC2b98+br/9dr744gsgecbppptu4ic/+UlaC87U1uQ5OTm4XC5MJhNw4hDQgcikDAWpmZTeDE7tjWzKSg1UyWM2OnDgAEuXLuXYsWNAsjnM+vXrSSQS3HHHHWqm02KxsHjxYq6//nrxvAmC0BURRAmCIMRiMX7zm9/wyCOPIMsyAJMnT6ayspIxY8akda3OmSZItinPz88/rqvfcD+T0h392TyiNzI5K9XR5bGurka2qawAACAASURBVA4QgXpniqKwbds27rnnHvW9OWPGDDZs2IDdbgeSZxTXrVt33FmpzZs3M2fOnEG5Z0EQMpoIogRBEDrs2rWLpUuXcvToUSCZbbj11luZO3duWqVjsixTV1entkruIM6kdE+mZ1IyMSsVj8fx+XwEg0Gg79rvDwXNzc1UVFTw1ltvAckzkLfccgs33nhjl9nmjlbnPp+P++67j6997WsDfcuCIGQ+EUQJgiB0Fg6H2bRpE0888YT62IUXXsgdd9yBy+Xq9nUSiQTV1dVq9gnE8NzTybaSx0zJSgUCAXw+H5IkiS6PKXbu3MmyZcvUMj23282mTZuYOnXqKb8vkUjQ0tJCcXHxQNymIAjZRwRRgiAIXfnHP/7BsmXL8Hq9QLKD3uLFi7n66qtPu0hub2/H6/UiSRIajQaTyaQ2RbBYLDidzmE3n+d0UttwD3TziJ4azKxUasYzNzcXp9OZVofJoaqjccwjjzxCx5pl9uzZrF27FqvVOsh3JwjCECCCKEEQhJNpb2/nrrvu4g9/+IP62Fe/+lVWrFhBSUnJCV+fuqg1m8243W4MBsNx2YJMLFEbTEOhDfdAZ6Wi0Sgej0edU1ZaWkpRUZF4PQE+n49ly5axe/duIJnRXL58OXPnzhXPjyAIfUUEUYIgCKfz97//nRUrVqglQTabjfLycmbPng0ksxEvv/wydXV1XHzxxWi12i5L9xKJBLW1tbS1tQEic5CpzSN6aiCyUoqi0NLSQm1tLYqiYDAYcLvdp51NNlxs376d1atXEwgEABg/fjx333132mMLBEEQTkMEUYIgCN3R0tJCZWUlf/rTn9THvvnNbzJ//nzWrVvH3r17AdiwYQOXXnrpKRe1bW1t+P1+JElCq9Vit9ux2WzDapc8dV7WUMrM9VdWSpIkfD6fGiDYbDbsdruY/QREIhG2bNnCM888oz724x//mPLycnXMgCAIQh8SQZQgCEI6Xn31VSoqKmhpaUGj0WA0GtWSqtGjR/O///u/3SpFSyQS+Hw+2tvbgezPwnRXtjWP6Km+zkqFQiE8Hg+JRAKtVovD4cBms/XlLWetQ4cOsXTpUg4ePAiA1Wpl7dq1aqZYEAShH4ggShAEIV3Nzc08//zzagAEyc573/rWt9I6y6MoCtFolPb2dhRFQavVkpeX12cBRcc8nEyRrc0jeqO3WanUoNNkMuF2u0VjEpLPzXPPPcfGjRvVLphTpkxh8+bNuN3uQb47QRCGOBFECYIgpKOtrY3Dhw+r2SeNRoNWq0WSJIxGI2VlZWl3/1IUhVgshiRJQHKOjdFo7FX5lyzLGRVEDYXmET3V06xUatAphjR/KRAIsGbNGl5//XUg+T5csGABCxcuHPLZXEEQMoIIogRBELpDkiR27NjBRx99pLZMHjNmDDNmzOC9996jurpa/dpzzjmHiy66KK2GEYqiEIlECAaDvc5KabXajAmiJEnC7/erzTSGS9liV9LJSrW1teHz+YZl0Hk6e/bsoby8HJ/PBySzwBs3bmTmzJmDfGeCIAwjIogSBEHojldffVU9c6HX67nkkks499xz0Wg0KIrCxx9/zLvvvksikQCSh/6/9rWv4XA40vo5kiQRCATUAMhkMpGbm5tWyVumBFFDuXlET50uKyXLMn6/f8h0LOxLsiyzdetW7r//fjVre/nll3PXXXdRVFQ0yHcnCMIwI4IoQRCE7njiiSdobm6mpKSEb37zm10u2lpaWvjrX/+q7pBrNBrOO+88pk+fntYiuKusVH5+frfPwQx2ENXVOZ6BGkCbLbrKSlksFnw+H7FYTASdKerr61mxYgX//Oc/ATAYDCxevJh58+aJ50cQhMEggihBEITuCAQC1NfXM2bMmFO2lJZlmd27d/P++++ru+WFhYVcccUVXQ7oPZVEIkEgEFCzWyaTiby8vNMuGgcziBLneLovNSvVYah2LOypd955h1WrVqlDrMvKytiyZQuTJk0a5DsTBGEYE0GUIAhCf2hsbOT1119XB/RqtVqmTp3KlClT0prroygK4XCYYDAIJJtO5Ofnn/K81WAFUcO5eURPJRIJqqqq1EYlAMXFxRQXFw/7wDMej/Ob3/yGJ554Qn3smmuuYeXKleTl5Q3inQmCIIggShAEod9IksSHH37IBx98gCzLQPIQ/Ne+9rW0z3CkZqXMZjO5ubldLrQHOohKbR6Rn5+Pw+EQ53hOo729Ha/Xqw5dNpvNarA83Esgq6qqWLp0Kfv37wfAYrFQUVHB1VdfPch3JgiCAIggShAEof/V1dXx+uuv09TUBCSzSRdccAGTJ09Oq2GEoiiEQiFCoZB6na6yUgMZRInmEemTZZm6ujq1PM1iseByuTAYDL2eKzUU/OlPf+LOO+9UX+eTJk1iy5YtlJWVDe6NCYIgfEkEUYIgCANBkiTef/99du3apbZIdzgcXH755RQUFKR1rXg8TiAQUM9cWSwWLBaLutAeiCBKNI/omWg0isfjUcv3SkpKTgiSejpXKtuFQiHWrVvHSy+9pD7205/+lF/+8pdiuLAgCJlGBFGCIAgDyev18te//lVtYa3X65k5cyZnn312WtkGRVEIBoNqAwe9Xk9+fj56vb7fgyjRPCJ9iqLQ0tJCbW0tiqJgMBhwu92YzeaTfs9wykodOHCApUuXcuzYMSDZjGX9+vXMmjVrkO9MEAShSyKIEgQh+0iSxLPPPsuLL77I559/TjAYxGq1UlxczOTJk7niiiu48sore3z9s846iwsvvJDHH3+8D+/6S/F4nPfee4+9e/eqj40cOZJZs2aRn5+f9rU6Z6Vyc3PJy8vrtyBKNI9InyRJ+Hw+AoEAkJwhZrfbu9VgZKhnpRRFYdu2bdxzzz3q63XGjBls2LABu90+yHcnCIJwUiKIEgQhu0iSxIIFC3j77bexWq3MmjULh8NBPB7n4MGD7Ny5k7PPPptt27b1+Gf0dxDVobq6mu3bt6uLa6PRyCWXXMKECRN6lZWy2WxotVp68Vl9AtE8omdCoRAej4dEIoFWq8XhcGCz2dK+zlDMSjU3N1NRUcFbb70FJM/43Xrrrfz85z9Pq4OlIAjCIOjRh6/4iykIwqB5+eWXefvtt5k4cSJPPPHECZmbcDjMnj17Bunu0jNq1Ciuv/563n77bfbt20csFuNvf/sbR44c4bLLLut2hkej0ZCXl4fRaCQQCCDLMm1tbSQSCYqKinq90BbNI9LX1Zkxt9vd47M9eXl5jBs3Ts1K1dfXEwgEsjYr9cEHH7B8+XJ1BIDb7WbTpk1MnTp1kO9MEASh/4hMlCAIg6aiooJt27ZRXl7O/Pnzu/19L7/8Mk899RT79+8nGo0ycuRIrrrqKn7+85+rC9s//vGPlJeXd/n9N998MwsXLlT//yuvvMKTTz7JgQMHiMfjjBkzhjlz5vDTn/70hIXygQMHeOihh9i9ezd1dXXk5eXhdDqZPn06t99+OwaDgSNHjvDCCy/w4YcfUlVVRVtbG7FYjMLCQi644AIWLFjAGWeccdrfU5ZlZFmmubkZn8+H2WzG5XL1aPEumkf0TDwex+Px9NuZsWzOSiUSCR588EEeeeQRNVM6e/Zs1q5di9VqHeS7EwRB6DZRzicIQna55557uP/++7nuuuuoqKjo1veUl5fzxz/+EYfDwSWXXILVamX37t3s2rWLCy+8kK1bt6LX69m/fz9//etf+e1vf4vb7eb73/++eo0LL7yQiy66CIAtW7bw4IMPUlhYyOzZs7FYLLz99tt88cUXXHjhhTzyyCNq0HLgwAHmzp2LRqPhiiuuYOTIkbS3t1NVVcWOHTvYsWOHmnF67rnnWLlyJS6XC6vVisFgIJFIsG/fPgwGA48//jgTJ0487e+r1WoJh8McPHgQSZJ6lD0SzSN6pq2tDZ/P1+9nxrLxrJTP52PZsmXs3r0bgJycHJYvX66+PwRBELKICKIEQcgu+/btY+7cuSQSCa666iq+8Y1vMGnSJNxud5df35Fd+sY3vsGmTZswmUzqf7v33nv57W9/y7Jly5g3b576+KnORO3atYsf//jHOJ1OnnnmGUpKSoDkDvvNN9/M3/72N2677Tb+4z/+A4B169axdetW/vu//5uvf/3rx12rtbWV/Px8dVZUY2MjOTk5+Hw+/v73vxOJRIBk5uH3v/8906dP54EHHjjtc9TRnS8cDlNbW6ueY8rNzcXpdJ4wVyqVaB6RPlmW8fv9atfFjmxjf58Zy5as1Pbt21m9erV6/m/8+PHcfffdjB8/fpDvTBAEoUd69CHb/cmQgiAIfezss89mw4YNFBcX8+KLL7Jw4UKuuOIKLrroIv7rv/6LN95447ivf+yxx9Dr9dx5553HBVAA//mf/0lBQcFxc2lO59lnnwXgpptuUgMoSLYYX7JkCVqtlmeeeeaE70v92fBlA4gOI0aMIC8vj/Hjx3P99derw0Xz8vJwOBy8//77BIPBbt+rXq/H7XbjdrvR6XQEg0EOHz5MS0tLl00nJEnC4/Hg9XqRZZn8/HzGjRsnAqjTCIfDHDlyhNbWVjXrN3LkyAFputFxVqqgoABFUaivr+fo0aPqHKrBFvn/7d17cNTV3cfxd3Y3kAsJkBvJLvcRHIXaBlC5tA+idaBqC2JR1IpSrUJBRYokJARygRAFgqUIFcVBSrEVxLEFx3JpleiUcFFg0CCCIJDdJIQk5LpkL7/njzz8HlHULAK5fV5/OWd3z55NMiYfvud8j9vN/PnzmTFjhhmgxo8fz4YNGxSgRKTNUSVKRJqcx+MhPz+fvXv3UlBQwN69e82Ky5gxY8jJycHtdpOYmEjnzp154IEHLjrPG2+8QXV1NR9//LE59l2VqLFjx/LJJ5+wZcsWevTo8Y3HR4wYgdPpZM+ePURERHDgwAHGjx9PcHAwI0eOZOjQoQwYMIDu3btfdD3vvfcef/vb3zh48CDl5eV4vd4LHp86dSp33XUXXbt2/davzcXuifJ6vbhcLqqrq4FvVkq+3jzifBe55lbRaE4Mw6CsrIySkhKgYXua3W6/aGC+GppbVero0aMkJydz5MgRACIjI5k3bx4jR45skvWIiFxG2s4nIq2Dz+fjX//6F6mpqdTW1vLiiy/yox/9iP/5n/9p1Os/++wz87+/K0TdfvvtnDhxgo8//piwsLBvPH7vvfeyf/9+/v3vf5tbDD/++GP+/Oc/s3PnTnOLXq9evcxAdN5rr71GdnY2HTt2ZOjQoSQkJBAaGkp9fT2bNm3C5XIxYcIEIiMj6d+/PzfffPNFt+Z922W7hmFQWVlpbtWzWq106dKF+vp6NY8IkNfrxel0mpXBzp07ExcXd0FlsSk0h7NShmGwceNGFi1aZP68JyYmsnjx4m/ddisi0sKoxbmItA5Wq5U77riDw4cPs2LFCnbu3MmQIUOAhi2Ab7311mV5n/Mt1UtLSy9aTTrfsvmrrdcTExN56aWXqK+v5+DBg+Tl5bF27Vr+8Ic/EBUVxdChQ/F6vSxbtozY2Fg2btxIXFzcBfN++umnuFwu8/6cgwcPcvLkSUaMGEF8fHyj1h4UFETHjh0JCwvD5XJRU1OD0+k0H1fziMaprq7G6XTi8/mwWq0kJCQEfEnylfLV9bhcLtxuN8eOHbtqVamqqiqysrLYunUr0PAzN2nSJKZOnao7xUSkzdOZKBFpts6f3zEMg/DwcPr06cPnn39u/st8Y1gsFnw+30Ufu+666wDIz8//xmNffvklRUVFdO3a9aLtmtu1a8eAAQN4+umnSU1NBRoO3EPDxaOVlZUkJiZ+I0DV1NTw6aefAvCrX/2KhIQEoKEBxNtvv83OnTu/db0XY7PZiIyMvOAPaovFQmhoqALUd/D7/RQXF3Py5El8Ph9hYWH06tWr2QSor2qKs1L79+/nvvvuMwNUXFwcq1evZtq0aQpQIiIoRIlIE9q0aRMffvghfr//G4+dPn3abOowaNAgAB555BE8Hg8pKSnmmamvOnv2LJ988skFY506daKoqOii73/PPfcAsGLFCsrKysxxn8/Hc889h9/v59e//rU5/tFHH5lbmr7qzJkzwP83nIiOjiY0NJRPPvnkguYRHo+H+fPnU15eDjScKxk7dizDhg3DarViGAb79u3jzTffNKtg38Xn8+F0OnG5XGbQDA0Nxe/3c+rUKbPCIhc6d+4cx48fN7/nsbGxdO/e/Xs7HTal81Wpbt26YbPZzKpUaWnpRRuLXCq/38+qVat49NFHcblcANxyyy28/fbbDB48+LK9j4hIS6czUSLSZObPn8+aNWuIjY1lwIABZoOFU6dO8f777+N2u7ntttt48cUXzapKRkYG69ato1OnTvz0pz8lISGBs2fPcurUKXbv3s3YsWPJzMw032P69Ols3ryZESNGcP3112Oz2bjxxhu58cYbAVi4cCGvvPIK0dHRjBw5ktDQUPLy8jh8+DADBw5k9erV5j1Rv//979m5cyeDBg2ia9euhIWFceTIEXbs2EGHDh3YsGGDuS1w8eLFrFy5EofDwW233WY2zzh79izXXHMN+fn5bN++3fzMZ86cYevWrWZ4slgsDBw4kEGDBmEYxjfORH1b8whoqISVlJRgGAY2m42EhAQ6dOhwpb6NLYZhGFRUVFBcXIxhGAQHB+NwOAgNDW3qpQXkSp2VOn36NLNnz2bXrl0ABAcH8+yzzzJhwgRVNUWkNbuk/8FZG3vB5UVc8gtFRKDhfpnu3bvj8Xj4/PPP2bVrFx999BHl5eX85Cc/YcqUKTzzzDMXHPC/5ZZb6N+/P6WlpezZs4ddu3bhcrlo164do0eP5r777iMqKsp8/qBBgyguLmbv3r3k5eWxc+dO7Ha7ednusGHD6N27NydOnOCDDz5g3759REZGMmHCBDIyMi74w7Rjx474/X4+//xz9u7dy/79+/F4PNx1113k5OTQrVs387k33XQTkZGRHD58mP/+97+cOnWKgQMHkpubS0FBAYcOHeLhhx82twqGhYVx3XXXERQUZF7w6nQ6zbunzq/j+PHjLF++nLNnzxIVFUVISAg9evQgPDycoKAggoKCCA0NJSIigrq6Ourr66msrMTr9ZrPaYvOV+3OV58iIyPp1q2bGZBbEovFQkREBKGhodTW1lJfX28GqkvdxvnBBx8wZcoUjh49CkDPnj155ZVXuP3229vsz4yItBkZl/IiVaJERJqZ4uJitm3bRllZGTfddBN79+41g9SSJUs4d+4c3bt35+WXX/7O5hGGYXDmzBmzuhUcHIzdbr9oJ8LWrLa2lsLCQrxeLxaL5YKqXUv3Q6tSHo+HpUuXsnbtWnNszJgxpKWlqXopIm2FWpyLiLQWXq+X/Px8goODyc/PZ/Xq1ea9UADPPPMMEyZMaNRcbrcbp9NpNiKIiooiNja2yVt4X2mGYVBaWnpBy3eHw9Eiq0/f51LulTpx4gTJyckUFBQADdXQ9PR0Ro8efbWWLSLSHFxSiGrdv0FFRFoom83GsGHD6N69OxaLxQxQ4eHhPPjggzz00EONniskJIRevXoRExMDQFlZGceOHaOuru6KrL058Hg8fPnll2aAio6OpmfPnq0yQEHgHfw2b97M/fffbwaofv368dZbbylAiYg0kipRIiLNkM/nIz8/H5vNRn5+PsuWLcNms+H1egEYPHgwc+fObfS9UufV1dXhdDqpr68HGsJFTExMq6pKVVZWmufKbDYbdrvdbJffFpyvStXX1/Paa6/h8/l46qmn6NGjB7W1tSxYsIBNmzaZz584cSLTp09vtQFTROR7aDufiEhrUF5ezpYtWygpKeGmm27io48+IioqCofDQWpqqtmyvUOHDsycOZO77roroMP/fr+f06dPm00W2rdvj91uN1u0t1R+v5+ioiLOnj0LNHx9EhIS2uS9Rj6fj08//dTc8tmuXTvuu+8+3nvvPU6ePAk0bOvMyclh+PDhTblUEZGmphAlItLSVVVVsW7dOrNSNGLECOx2u9lxsKqqivnz5/PWW2+Zr7nllltITU01t+s11lfbpEPDfUnfd46muXK73RQWFlJfX09QUBBxcXF07ty5RX6Wy2nx4sUXNI2wWq34fD6GDBnC888//43LoEVE2iCdiRIRaemqq6vNAJWYmMj1119/Qcv2iIgIcnJyWL58OdHR0QC89957jBs3jm3btgX0XmFhYfTu3ZvOnTsDfO85mubofAfC48ePU19fT/v27enZsydRUVFtPkBBw1a9xMREIiIigIYKlc1mY/jw4QGHbhER+X+qRImINDOFhYW0b9/+e//ILSsrIyMjg3fffdccGzVqFMnJyQG38K6pqcHpdJrd3WJjY5t9EPF6vTidTmpqagDo1KkTXbp0aVXnu36I3bt3k5KSYjbXCA8PN79WAAMHDiQ7O5uePXs20QpFRJoFbecTEWmLNm3aRGZmpnkWKCYmhjlz5vCzn/0soHl8Ph/FxcXmPKGhodjt9mbZcKC6uhqn04nP58NqtZKQkGBWW9o6r9fLSy+9xKpVqzj/O37UqFFkZWVx6NAhUlJSzHNRISEhzJgxI6BujyIirYxClIhIW1VcXExaWhrvv/++OTZmzBhmzJgRcGe6qqoqioqKzKpUczpf9PWmGGFhYdjtdoKDg5t4Zc2D0+kkNTWVffv2AQ1NQ1JTU7n33nvN719tbS25ubn85S9/MV/3xhtv8OMf/7hJ1iwi0sQUokRE2jLDMHjzzTfJzs42t23Z7XbS09O58cYbA5rL5/NRVFREZWUl0LAVLCEhoUnDyrlz5ygsLDTPbLXkRhhXwvbt28nIyKCqqgqAvn37kpubS58+fS76/F27dpGVlUV1dTWvv/56wO3yRURaCYUoERGBU6dOkZKSQn5+vjl2//338+STTxIaGhrQXJWVlRQVFeHz+bBYLHTp0oWOHTte1eBiGAZnz56lqKgIwzAIDg7G4XAE/FlaK7fbzeLFi9mwYYM5Nn78eGbNmtWotvWGYSiIikhbphAlIiIN/H4/f/3rX1m0aBFutxuA7t27k5mZGfC2La/Xi8vlorq6Gmi4fyk+Pv6qVKV8Ph8ul8usrkRGRhIfH4/Var3i790SHD16lOTkZI4cOQI0fH3mzZvHyJEjm3hlIiIthkKUiIhc6NixYyQlJbF//34ALBYLjzzyCE888URADSMMwzCrUn6/H6vVSnx8PJGRkVdq6RfcY2WxWIiPjw+462BrZRgGGzduvCAkDxgwgEWLFuFwOJp4dSIiLYpClIiIfJPP52PVqlUsXbrUvFi3T58+ZGVlce211wY0l8fjweVymWeuIiIiiI+Px2azXbb1GoZBaWmp2Zo7JCQEh8PRLLsENoWqqiqysrLYunUrAEFBQUyaNImpU6de1u+DiEgboRAlIiLf7rPPPiMpKYmCggIAbDYbjz/+OBMnTgzoj2/DMKioqKCkpMSsSl2uFuMej4fCwkLq6uoAiI6OJjY2Vmd2/s/+/fuZNWsWLpcLgLi4OBYuXMjgwYObeGUiIi2WQpSIiHy3+vp6li9fzsqVK/H5fABcf/31ZGVl0bt374Dncrlc1NbWAtCxY0e6dOlyyeeVKisrcblc+P1+bDYbdrs94PbsrZXP52P16tWsWLHC/L6NGDGC7OxsoqKimnh1IiItmkKUiIg0zoEDB0hKSuKLL74AGu4TmjJlCg8++CAWi6XR8xiGQXl5OSUlJRiGgc1mIyEhgQ4dOjR6Dr/fT3FxMRUVFUBD44qEhARtTfs/p0+fZvbs2ezatQuA4OBgnn32WSZMmKAKnYjID6cQJSIijXfu3DmWLFnC6tWrOf+7YMCAAWRkZNC1a9eA53K5XOY2vE6dOhEXF/e9VSm3201hYSH19fXN7mLf5uCDDz5gzpw5lJeXA9CzZ09yc3Pp169fE69MRKTVUIgSEZHA7dmzh6SkJE6dOgVAaGgo06dP55577gkozBiGwZkzZygtLTXvc0pISLjolryvV7DatWuHw+Fo1L1GbYHH42Hp0qWsXbvWHLv77ruZPXt2QFU+ERH5XgpRIiJyaWpqali4cCGvv/66OTZkyBDmzp1Lly5dAprL7XbjdDo5d+4cAFFRUcTGxprbBL1eL06n0+zw16lTJ7p06RLQNsLW7MSJEyQnJ5sNQMLCwkhPT2f06NFNvDIRkVZJIUpERH6YvLw8UlNTKS4uBhpamM+cOZM777wz4KrUV9uUt2vXDrvdjs/nw+l04vP5rspdUy3N5s2byc7ONpt19OvXj9zcXHr27Nm0CxMRab0UokRE5IerrKxk3rx5vP322+bYrbfeSkpKCtHR0QHNVVdXh9PppL6+/oLxsLAw7HY7wcHBl2XNLV1tbS0LFixg06ZN5tjEiROZPn267scSEbmyFKJEROTy2bZtG2lpaZSVlQHQuXNnUlJS+PnPfx7QPHV1dZw8edJszW21WunWrRuhoaGXfc0tUUFBAcnJyZw4cQJo2P6Yk5PD8OHDm3hlIiJtgkKUiIhcXmVlZcydO5ctW7aYY3fccQdJSUnfuw3PMAzOnj1LUVERhmFgtVoJCgrC6/UCEBMTQ0xMTJvtxGcYBuvWreOPf/wjHo8HaDiH9vzzzxMXF9fEqxMRaTMUokRE5PIzDINNmzaRmZlJZWUlALGxscyZM4ef/vSnF32Nz+ejqKjIfH5kZCTx8fEEBQVRUlJituwOCQnBbrfTvn37q/NhmomysjLS09PJy8sDGqpzTz/9NI899tglX1YsIiKXRCFKRESunKKiItLS0tixY4c5NnbsWKZPn262Mfd4POTl5REeHk5kZCRBQUHEx8fTsWPHCypONTU1OJ1OvF4vQUFBxMbGEhUV1SaqUrt37yYlJcVsuuFwOFi8eDGJiYlNvDIRkTZJIUpERK4swzBYv349CxYsMDvI2e12MjIyiI+PZ+bMmRQUFJCQkMCLL76Iw+H41sYI09zlHAAACgBJREFUPp+PkpISKioqgIb7qex2e6ttpOD1ennppZdYtWqVebnxqFGjyMrKUodCEZGmoxAlIiJXx8mTJ0lJSWHXrl0AhIeH4/P5cLvdANx8882sWLGiUZWl6upqXC6XWZWKi4ujc+fOraoq5XQ6SUlJYf/+/UDDNsbU1FTGjRvXqj6niEgLpBAlIiJXj9/vZ9WqVeTm5uL3+83x22+/naysrIDOOX39DFVraoG+fft2MjIyqKqqAqBv374sWbKEa665polXJiIiXGKI0vXwIiJySQ4ePMj69evNAGWxWAgNDWX79u28/PLLZse5xrBarTgcDhwOB1arldraWr744gsqKir4Af/Y16Tcbjfz589nxowZZoAaP34869evV4ASEWnhVIkSEZGAnK9AvfDCC2a78sGDB3PDDTfw6quvmmN9+/YlMzOTa6+9NqD5vV4vRUVFZvDo0KED8fHxLaoqdfToUZKTkzly5AjQ0J1w3rx5jBw5solXJiIiX6PtfCIicmX5fD4mT57M+++/D4DNZmPatGk8+uijWCwWDh06RFJSEocOHTIfnzRpEg8//DA2m63R72MYBpWVlRQVFeH3+7FYLMTHx5sd/5orwzDYuHEjixYtMs+HDRgwgEWLFuFwOJp4dSIichEKUSIicmUVFBQwZswYALp3787ixYu54YYbLnhOfX09y5cvZ+XKlfh8PgD69+9PZmYmvXr1Cuj9PB4PLpeLmpoaACIiIoiPjw8okF0tVVVVZGVlsXXrVgCCgoKYNGkSU6dObZbrFRERQCFKRESuNI/Hw7JlywgKCuKxxx6jQ4cO3/rcAwcOMHPmTI4dOwZA+/btefLJJ7n//vuxWBp/JNcwDCoqKigpKcHv92O1WklISCAiIuIHf57LZf/+/cyaNQuXywVAXFwcCxcuZPDgwU28MhER+R4KUSIi0ry43W5yc3NZs2aN2SBi4MCBpKen07Vr14Dmqq+vx+VymfdTdezYkS5dumC1Wi/7uhvL5/OxevVqVqxYYVbdRowYQXZ2NlFRUU22LhERaTSFKBERaZ527dpFcnIyhYWFQEML8+nTpzN27NiAzjgZhkF5eTklJSUYhoHNZiMhIeE7K2JXSklJCWlpaeZdWcHBwTz77LNMmDChWZ/bEhGRCyhEiYhI81VTU8Nzzz3H3//+d3Ns2LBhzJkzh7i4uIDmOnfuHC6Xi7q6OgA6depEXFzcVatK5eXlMWfOHCoqKgDo2bMnubm59OvX76q8v4iIXDYKUSIi0vzt2LGD1NRUSkpKgIb230lJSfziF78IuCpVVlbG6dOnMQyD4OBgEhISCA8Pv1JLx+PxsHTpUtauXWuO3X333aSlpV3R9xURkStGIUpERFqGyspKsrKy+Mc//mGO3XbbbaSkpAR8lsjtduNyucyW4p07dyYuLi6g5hWN8eWXXzJr1iwKCgqAhi2J6enpjB49+rK+j4iIXFUKUSIi0rJs2bKFOXPmUF5eDjQEoNmzZ3PrrbcGNI9hGJSWllJaWgpAu3btsNvthIaGXpZ1bt68mezsbLOpRb9+/ViyZAk9evS4LPOLiEiTUYgSEZGW58yZM8yZM4dt27aZY3feeSdJSUkBtzGvq6vD5XJx7tw5AKKjo4mJibnkqlRtbS0LFixg06ZN5thvf/tbnnnmGdq1a3dJc4qISLOiECUiIi2TYRj885//JDMzk6qqKqDhrqW5c+cydOjQgOby+/2UlpZy5swZoOF+KrvdTkhISEDzFBQUkJyczIkTJwCIiooiJyeH4cOHBzSPiIg0awpRIiLSshUVFTF79mzy8vLMsXvuuYfp06cTFhYW0Fy1tbU4nU48Hg8AMTExxMTEfG/zCsMwWLduHS+88AJerxeAIUOG8PzzzwfcRVBERJo9hSgREWn5DMPgjTfeICcnxzyD5HA4SE9PZ9CgQQHN5ff7KSkpMc9chYSEYLfbad++/UWfX1ZWRnp6uhnirFYrTz/9NL/73e8ue6MKERFpFhSiRESk9Th58iTJycns2bMHgKCgIB544AGmTp0a8Na8mpoaXC4XHo+HoKAgamtr6d27N506dTKfs3v3blJSUszmFA6Hg8WLF5OYmHj5PpSIiDQ3ClEiItK6+P1+1qxZQ25urtksolevXmRmZtK/f/+A5vL5fJSUlPDhhx8yf/58QkJCmDZtGmPHjmXlypWsWrWK878TR40aRVZWFpGRkZf9M4mISLOiECUiIq3T0aNHSU5O5sCBA0DDNruJEyfy+OOPExwcHNBc+fn5TJ482QxMDoeDwsJCoGG7X2pqKuPGjQvo4l8REWmxFKJERKT18nq9vPzyyyxbtsxs+NC3b1+ysrLo27dvQHN9+OGHpKWlmWelAOLj43nllVfo06fPZV23iIg0a5cUonRKVkREWgSbzcbkyZPZsGED1157LQCHDx/mwQcf5NVXX8Xn8zVqHrfbzX/+8x/Ky8uJjo42x4uKiliyZIl5JkpEROTbKESJiEiLct1117FhwwaeeOIJLBYLXq+XP/3pT0ycOJHjx49/52uPHj3KQw89xJtvvgmAx+Ph8ccfJyYmBoDt27dz55138u67717pjyEiIi2YtvOJiEiLtW/fPpKSkszw1L59e5566inGjx9/QUtywzDYuHEjixYtwu12AzBgwAAWLVqEw+GgrKyMrKws3nnnHfM1v/nNb0hLS7uqn0dERK46nYkSEZG2x+12k5uby5o1a8xmEYMGDSI9PR2Hw0FVVRWZmZls27YNaGiVPnnyZKZMmYLNZrtgrnfeeYeMjAwqKiqwWCzs27fvW++UEhGRVkEhSkRE2q78/HxmzZpldtoLDw/n3nvv5d1338XlcgEQFxfHwoULGTx48LfOc/r0aV577TV69OjBuHHjrsraRUSkyShEiYhI21ZdXU1OTg7r16//xmMjRowgOzubqKioJliZiIg0UwpRIiIiADt27GDatGnU1NQQFBTErFmzmDBhgu5+EhGRr1OIEhEROe/06dO88MIL/PKXv/zO7XsiItKmKUSJiIiIiIgEQJftioiIiIiIXGkKUSIiIiIiIgFQiBIREREREQmAQpSIiIiIiEgAFKJEREREREQCoBAlIiIiIiISAIUoERERERGRAChEiYiIiIiIBEAhSkREREREJAAKUSIiIiIiIgFQiBIREREREQmAQpSIiIiIiEgAFKJEREREREQCoBAlIiIiIiISAIUoERERERGRANh+wGuDLtsqREREREREWghVokRERERERAKgECUiIiIiIhIAhSgREREREZEAKESJiIiIiIgEQCFKREREREQkAApRIiIiIiIiAVCIEhERERERCYBClIiIiIiISAAUokRERERERAKgECUiIiIiIhIAhSgREREREZEAKESJiIiIiIgEQCFKREREREQkAP8Lq3QaXl0vy6EAAAAASUVORK5CYII=\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 374, + "width": 424 + } + }, + "output_type": "display_data" + } + ], "source": [ "fig = plt.figure(1, figsize=(6, 5))\n", "plt.clf()\n", @@ -294,10 +314,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 4, + "metadata": {}, "outputs": [], "source": [ "from sklearn.tree import DecisionTreeClassifier # 导入决策树模型、\n", @@ -314,11 +332,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy: 0.88889\n" + ] + } + ], "source": [ "# 划分训练集和测试集\n", "X_train, X_test, y_train, y_test = train_test_split(X, y, test_size=.3,\n", @@ -349,11 +373,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 254, + "width": 388 + } + }, + "output_type": "display_data" + } + ], "source": [ "# 使用从 sklearn 提供的 PCA 接口,降数据降到 2 维\n", "pca = decomposition.PCA(n_components=2)\n", @@ -377,11 +425,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy: 0.91111\n" + ] + } + ], "source": [ "# 训练集合测试集同时使用 PCA 进行降维\n", "X_train, X_test, y_train, y_test = train_test_split(X_pca, y, test_size=.3,\n", @@ -411,11 +465,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "1 component: 92.46% of initial variance\n", + "0.361 x sepal length (cm) + -0.085 x sepal width (cm) + 0.857 x petal length (cm) + 0.358 x petal width (cm)\n", + "2 component: 5.31% of initial variance\n", + "0.657 x sepal length (cm) + 0.730 x sepal width (cm) + -0.173 x petal length (cm) + -0.075 x petal width (cm)\n" + ] + } + ], "source": [ "for i, component in enumerate(pca.components_):\n", " print(\"{} component: {}% of initial variance\".format(i + 1,\n", @@ -448,10 +511,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 9, + "metadata": {}, "outputs": [], "source": [ "digits = datasets.load_digits()\n", @@ -468,11 +529,25 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 308, + "width": 804 + } + }, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(14, 5))\n", "for i in range(10):\n", @@ -489,11 +564,42 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Projecting 64-dimensional data to 2D\n" + ] + }, + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'MNIST. PCA projection')" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 321, + "width": 361 + } + }, + "output_type": "display_data" + } + ], "source": [ "pca = decomposition.PCA(n_components=2)\n", "X_reduced = pca.fit_transform(X)\n", @@ -524,11 +630,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'MNIST. t-SNE projection')" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 321, + "width": 361 + } + }, + "output_type": "display_data" + } + ], "source": [ "from sklearn.manifold import TSNE # 导入 t-SNE\n", "\n", @@ -559,11 +689,25 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 270, + "width": 395 + } + }, + "output_type": "display_data" + } + ], "source": [ "pca = decomposition.PCA().fit(X)\n", "\n", @@ -647,11 +791,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 308, + "width": 322 + } + }, + "output_type": "display_data" + } + ], "source": [ "# 构造可分为三个簇的数据\n", "X = np.zeros((150, 2))\n", @@ -679,9 +847,8 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 15, "metadata": { - "collapsed": true, "scrolled": true }, "outputs": [], @@ -721,11 +888,25 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 484, + "width": 489 + } + }, + "output_type": "display_data" + } + ], "source": [ "# 可视化 K 均值聚类步骤\n", "plt.figure(figsize=(8, 8))\n", @@ -807,10 +988,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 17, + "metadata": {}, "outputs": [], "source": [ "from sklearn.cluster import KMeans # 导入 K-均值聚类模型" @@ -825,11 +1004,35 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0, 0.5, '$J(C_k)$')" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 270, + "width": 404 + } + }, + "output_type": "display_data" + } + ], "source": [ "inertia = []\n", "for k in range(1, 8):\n", @@ -1029,11 +1232,25 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 19, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "image/png": { + "height": 308, + "width": 602 + } + }, + "output_type": "display_data" + } + ], "source": [ "from scipy.cluster import hierarchy\n", "from scipy.spatial.distance import pdist\n", @@ -1250,10 +1467,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 20, + "metadata": {}, "outputs": [], "source": [ "from sklearn import metrics\n", @@ -1271,10 +1486,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 21, + "metadata": {}, "outputs": [], "source": [ "data = datasets.load_digits()\n", @@ -1297,12 +1510,96 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 22, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
ARIAMIHomogenityCompletenessV-measureSilhouette
K-means0.6622950.7365670.7354480.7429720.7391910.182097
Affinity0.1751740.6124600.9589070.4869010.6458570.115197
Spectral0.7564610.8520400.8316910.8766140.8535620.182729
Agglomerative0.7940030.8668320.8575130.8790960.8681700.178497
\n", + "
" + ], + "text/plain": [ + " ARI AMI ... V-measure Silhouette\n", + "K-means 0.662295 0.736567 ... 0.739191 0.182097\n", + "Affinity 0.175174 0.612460 ... 0.645857 0.115197\n", + "Spectral 0.756461 0.852040 ... 0.853562 0.182729\n", + "Agglomerative 0.794003 0.866832 ... 0.868170 0.178497\n", + "\n", + "[4 rows x 6 columns]" + ] + }, + "execution_count": 22, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data = []\n", "for algo in algorithms:\n", @@ -1364,7 +1661,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic08-\351\232\217\346\234\272\346\242\257\345\272\246\344\270\213\351\231\215\345\222\214\347\213\254\347\203\255\347\274\226\347\240\201.ipynb" "b/jupyter_chinese/topic08-\351\232\217\346\234\272\346\242\257\345\272\246\344\270\213\351\231\215\345\222\214\347\213\254\347\203\255\347\274\226\347\240\201.ipynb" index 12a2bc9204..cd65907788 100644 --- "a/jupyter_chinese/topic08-\351\232\217\346\234\272\346\242\257\345\272\246\344\270\213\351\231\215\345\222\214\347\213\254\347\203\255\347\274\226\347\240\201.ipynb" +++ "b/jupyter_chinese/topic08-\351\232\217\346\234\272\346\242\257\345\272\246\344\270\213\351\231\215\345\222\214\347\213\254\347\203\255\347\274\226\347\240\201.ipynb" @@ -110,7 +110,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -149,9 +149,84 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
IndexHeightWeight
0165.78331112.9925
1271.51521136.4873
2369.39874153.0269
3468.21660142.3354
4567.78781144.2971
\n", + "
" + ], + "text/plain": [ + " Index Height Weight\n", + "0 1 65.78331 112.9925\n", + "1 2 71.51521 136.4873\n", + "2 3 69.39874 153.0269\n", + "3 4 68.21660 142.3354\n", + "4 5 67.78781 144.2971" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data_demo = pd.read_csv(\n", " '../../data/weights_heights.csv') # 导入数据集\n", @@ -167,9 +242,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0, 0.5, 'Height in inches')" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.scatter(data_demo['Weight'], data_demo['Height'])\n", "plt.xlabel('Weight in lb')\n", @@ -389,11 +485,190 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
agejobmaritaleducationdefaulthousingloancontactmonthday_of_weekdurationcampaignpdayspreviouspoutcomeemp.var.ratecons.price.idxcons.conf.idxeuribor3mnr.employed
026studentsinglehigh.schoolnononotelephonejunmon90119990nonexistent1.494.465-41.84.9615228.1
146admin.marrieduniversity.degreenoyesnocellularaugtue20829990nonexistent1.493.444-36.14.9635228.1
249blue-collarmarriedbasic.4yunknownyesyestelephonejuntue13159990nonexistent1.494.465-41.84.8645228.1
331technicianmarrieduniversity.degreenononocellularjultue40419990nonexistent-2.992.469-33.61.0445076.2
442housemaidmarrieduniversity.degreenoyesnotelephonenovmon8519990nonexistent-0.193.200-42.04.1915195.8
\n", + "
" + ], + "text/plain": [ + " age job marital ... cons.conf.idx euribor3m nr.employed\n", + "0 26 student single ... -41.8 4.961 5228.1\n", + "1 46 admin. married ... -36.1 4.963 5228.1\n", + "2 49 blue-collar married ... -41.8 4.864 5228.1\n", + "3 31 technician married ... -33.6 1.044 5076.2\n", + "4 42 housemaid married ... -42.0 4.191 5195.8\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 4, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = pd.read_csv(\n", " '../../data/bank_train.csv')\n", @@ -418,11 +693,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "df['education'].value_counts().plot.barh()" ] @@ -436,7 +732,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, "outputs": [], "source": [ @@ -452,9 +748,37 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{0: 'basic.4y',\n", + " 1: 'basic.6y',\n", + " 2: 'basic.9y',\n", + " 3: 'high.school',\n", + " 4: 'illiterate',\n", + " 5: 'professional.course',\n", + " 6: 'university.degree',\n", + " 7: 'unknown'}" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAW4AAAD8CAYAAABXe05zAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAADz5JREFUeJzt3X+s3XV9x/Hna7cUpJCWH5UgJRYygqJuQG6YRGc2nAhq8B+SlewHOpdmm1tkMzEQk0X/c8tmdIlRG3/MbIo/EDbCVGSCcS5b9RaKtJRqxSrthFYNP40i+N4f53vxcrm399tyvr3nQ5+P5KTf8z1fvudFv6ev+7mf8z3fk6pCktSOX1vuAJKkg2NxS1JjLG5JaozFLUmNsbglqTEWtyQ1xuKWpMZY3JLUGItbkhqzYoidnnzyybV+/fohdi1Jz0lbtmz5UVWt7bPtIMW9fv16ZmZmhti1JD0nJfl+322dKpGkxljcktQYi1uSGmNxS1JjLG5JaozFLUmNsbglqTEWtyQ1pndxJ5lKckeSm4YMJEk6sIMZcb8N2DFUEElSP72KO8k64PXAR4aNI0laSt8R9/uAdwC/HDCLJKmHJYs7yRuAfVW1ZYntNiaZSTKzf//+sQWUJD1dnxH3K4DLkuwGPg1clORf529UVZuqarqqpteu7XVlQknSIViyuKvqmqpaV1XrgQ3ArVX1h4MnkyQtyPO4JakxB/VFClX1VeCrgySRJPXiiFuSGmNxS1JjLG5JaozFLUmNsbglqTEWtyQ1xuKWpMZY3JLUGItbkhpjcUtSYyxuSWqMxS1JjbG4JakxFrckNcbilqTGHNT1uPt6fO+j7Ln6v4bY9WDWvee3lzuCJPXiiFuSGmNxS1JjlizuJGcn2Trn9nCSqw5HOEnSMy05x11VO4FzAZJMAXuBGwbOJUlaxMFOlbwa+G5VfX+IMJKkpR1scW8Arh0iiCSpn97FnWQlcBnwuUUe35hkJsnMT3764LjySZLmOZgR96XA7VX1wEIPVtWmqpququkTj10znnSSpGc4mOK+AqdJJGnZ9SruJKuA1wDXDxtHkrSUXh95r6rHgJMGziJJ6sFPTkpSYyxuSWrMIFcHXHnacV5tT5IG4ohbkhpjcUtSYyxuSWqMxS1JjbG4JakxFrckNcbilqTGWNyS1BiLW5IaY3FLUmMsbklqjMUtSY2xuCWpMYNcHfCBe3fxj7//hiF2PXHe/pmbljuCpCOMI25JaozFLUmNWbK4k3wsyb4k2w5HIEnSgfUZcf8zcMnAOSRJPS1Z3FX1NeAnhyGLJKmHsc1xJ9mYZCbJzGM/f3xcu5UkzTO24q6qTVU1XVXTq45eOa7dSpLm8awSSWqMxS1JjelzOuC1wP8AZyfZk+Qtw8eSJC1myY+8V9UVhyOIJKkfp0okqTGDXGTqlDN/3YsvSdJAHHFLUmMsbklqjMUtSY2xuCWpMRa3JDXG4pakxljcktQYi1uSGmNxS1JjLG5JaozFLUmNsbglqTEWtyQ1ZpCrA+77/iN84M9uHWLXGshbP3TRckeQ1JMjbklqjMUtSY3pVdxJLkmyM8muJFcPHUqStLg+XxY8BXwAuBQ4B7giyTlDB5MkLazPiPsCYFdV3VtVjwOfBt44bCxJ0mL6FPdpwH1z7u/p1j1Nko1JZpLMPPqzB8eVT5I0z9jenKyqTVU1XVXTxx2zZly7lSTN06e49wKnz7m/rlsnSVoGfYr7m8BZSc5IshLYANw4bCxJ0mKW/ORkVT2R5C+Bm4Ep4GNVtX3wZJKkBfX6yHtVfQH4wsBZJEk9+MlJSWrMIBeZev4Lj/eiRZI0EEfcktQYi1uSGmNxS1JjLG5JaozFLUmNsbglqTEWtyQ1xuKWpMZY3JLUGItbkhpjcUtSYyxuSWqMxS1JjRnk6oA/27adHS968RC71nPEi+/ZsdwRpGY54pakxljcktSYXlMlSXYDjwBPAk9U1fSQoSRJizuYOe7fraofDZZEktSLUyWS1Ji+xV3Al5NsSbJxyECSpAPrO1Xyyqram+T5wC1J7qmqr83doCv0jQCnrhjkLENJEj1H3FW1t/tzH3ADcMEC22yqqumqmj5xyuKWpKEsWdxJViU5fnYZuBjYNnQwSdLC+gyNTwFuSDK7/aeq6kuDppIkLWrJ4q6qe4HfPAxZJEk9eDqgJDVmkHcRj3npS3jxzMwQu5akI54jbklqjMUtSY2xuCWpMRa3JDXG4pakxljcktQYi1uSGmNxS1JjLG5JaozFLUmNsbglqTEWtyQ1xuKWpMYMcnXA7T/ezss+8bIhdi0d0F1X3rXcEaTBOeKWpMZY3JLUmD5fFnx6ktuS3J1ke5K3HY5gkqSF9ZnjfgJ4e1Xd3n3b+5Ykt1TV3QNnkyQtYMkRd1X9sKpu75YfAXYApw0dTJK0sIOa406yHjgP2DxEGEnS0noXd5LjgM8DV1XVwws8vjHJTJKZJx95cpwZJUlz9CruJEcxKu1PVtX1C21TVZuqarqqpqeOnxpnRknSHH3OKgnwUWBHVb13+EiSpAPpM+J+BfBHwEVJtna31w2cS5K0iCVPB6yqrwM5DFkkST34yUlJaozFLUmNGeTqgC856SXMXDkzxK4l6YjniFuSGmNxS1JjLG5JaozFLUmNsbglqTEWtyQ1xuKWpMZY3JLUGItbkhpjcUtSYyxuSWqMxS1JjRnkIlP83x3wrtWD7FrShHnXQ8ud4IjjiFuSGmNxS1Jj+nxZ8DFJvpHkziTbk7z7cASTJC2szxz3z4GLqurRJEcBX0/yxar634GzSZIW0OfLggt4tLt7VHerIUNJkhbXa447yVSSrcA+4Jaq2jxsLEnSYnoVd1U9WVXnAuuAC5K8dP42STYmmUkys/+nDsglaSgHdVZJVT0I3AZcssBjm6pquqqm1x6bceWTJM3T56yStUnWdMvPA14D3DN0MEnSwvqcVXIq8IkkU4yK/rNVddOwsSRJi+lzVsm3gPMOQxZJUg9+clKSGmNxS1Jjhrk64AvOg3fNDLJrSTrSOeKWpMZY3JLUGItbkhpjcUtSYyxuSWqMxS1JjbG4JakxFrckNcbilqTGWNyS1BiLW5IaY3FLUmMGucjUXXsfYv3V/zHEriVpIu1+z+sP23M54pakxljcktQYi1uSGtOruJOsSXJdknuS7Ehy4dDBJEkL6/vm5PuBL1XV5UlWAscOmEmSdABLFneS1cCrgDcBVNXjwOPDxpIkLabPVMkZwH7g40nuSPKRJKvmb5RkY5KZJDNP/vShsQeVJI30Ke4VwPnAB6vqPOAx4Or5G1XVpqqarqrpqWNXjzmmJGlWn+LeA+ypqs3d/esYFbkkaRksWdxVdT9wX5Kzu1WvBu4eNJUkaVF9zyr5K+CT3Rkl9wJvHi6SJOlAehV3VW0FpgfOIknqwU9OSlJjBrk64MtOW83MYbxSliQdSRxxS1JjLG5JaozFLUmNsbglqTEWtyQ1xuKWpMakqsa/0+QRYOfYdzweJwM/Wu4QizDboZnkbDDZ+cx2aIbI9sKqWttnw0HO4wZ2VtVEftIyyYzZDp7ZDt0k5zPboVnubE6VSFJjLG5JasxQxb1poP2Og9kOjdkO3STnM9uhWdZsg7w5KUkajlMlktSYsRZ3kkuS7EyyK8kzvpdyCEk+lmRfkm1z1p2Y5JYk3+n+PKFbnyT/1OX7VpLz5/w3V3bbfyfJlWPKdnqS25LcnWR7krdNSr4kxyT5RpI7u2zv7tafkWRzl+Ez3ZdnkOTo7v6u7vH1c/Z1Tbd+Z5LXPttsc/Y71X1B9U0TmG13kruSbE0y061b9uPa7XNNkuuS3JNkR5ILJyFbkrO7v6/Z28NJrpqEbHP2+9fdv4dtSa7t/p1MzOvuKVU1lhswBXwXOBNYCdwJnDOu/R/geV/F6Dswt81Z9/fA1d3y1cDfdcuvA74IBHg5sLlbfyKjb/Y5ETihWz5hDNlOBc7vlo8Hvg2cMwn5uuc4rls+CtjcPedngQ3d+g8Bf94t/wXwoW55A/CZbvmc7lgfDZzRvQamxnRs/wb4FHBTd3+Ssu0GTp63btmPa7ffTwB/2i2vBNZMSrY5GaeA+4EXTko24DTge8Dz5rze3jRJr7unso7xQFwI3Dzn/jXANeMMe4DnXs/Ti3sncGq3fCqj88oBPgxcMX874Argw3PWP227Meb8d+A1k5YPOBa4HfgtRh8qWDH/mAI3Axd2yyu67TL/OM/d7llmWgd8BbgIuKl7ronI1u1rN88s7mU/rsBqRuWTScs2L8/FwH9PUjZGxX0fox8IK7rX3Wsn6XU3exvnVMns//SsPd265XBKVf2wW74fOKVbXizj4Nm7X6POYzSynYh83VTEVmAfcAujkcGDVfXEAs/zVIbu8YeAk4bKBrwPeAfwy+7+SROUDaCALyfZkmRjt24SjusZwH7g490000eSrJqQbHNtAK7tliciW1XtBf4B+AHwQ0avoy1M1usOOALenKzRj7xlPXUmyXHA54GrqurhuY8tZ76qerKqzmU0ur0AeNFy5JgvyRuAfVW1ZbmzHMArq+p84FLgrUleNffBZTyuKxhNHX6wqs4DHmM0/TAJ2QDo5ogvAz43/7HlzNbNrb+R0Q+/FwCrgEuWI8tSxlnce4HT59xf161bDg8kORWg+3Nft36xjINlT3IUo9L+ZFVdP2n5AKrqQeA2Rr8GrkkyeymEuc/zVIbu8dXAjwfK9grgsiS7gU8zmi55/4RkA54anVFV+4AbGP3gm4TjugfYU1Wbu/vXMSryScg261Lg9qp6oLs/Kdl+D/heVe2vql8A1zN6LU7M627WOIv7m8BZ3TuwKxn9KnTjGPd/MG4EZt9pvpLR3PLs+j/u3q1+OfBQ9yvazcDFSU7ofupe3K17VpIE+Ciwo6reO0n5kqxNsqZbfh6jufcdjAr88kWyzWa+HLi1Gx3dCGzo3mE/AzgL+MazyVZV11TVuqpaz+h1dGtV/cEkZANIsirJ8bPLjI7HNibguFbV/cB9Sc7uVr0auHsSss1xBb+aJpnNMAnZfgC8PMmx3b/d2b+7iXjdPc04J8wZvQv8bUZzpe8c574P8JzXMpqP+gWj0cZbGM0zfQX4DvCfwIndtgE+0OW7C5ies58/AXZ1tzePKdsrGf3a9y1ga3d73STkA34DuKPLtg342279mYxeZLsY/Sp7dLf+mO7+ru7xM+fs651d5p3ApWM+vr/Dr84qmYhsXY47u9v22df6JBzXbp/nAjPdsf03RmdeTEq2VYxGpavnrJuIbN1+3w3c0/2b+BdGZ4ZMxOtu7s1PTkpSY57zb05K0nONxS1JjbG4JakxFrckNcbilqTGWNyS1BiLW5IaY3FLUmP+H3jwbo8iXYE/AAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "mapped_education = pd.Series(label_encoder.fit_transform(df['education']))\n", "mapped_education.value_counts().plot.barh()\n", @@ -470,9 +794,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
agejobmaritaleducationdefaulthousingloancontactmonthday_of_weekdurationcampaignpdayspreviouspoutcomeemp.var.ratecons.price.idxcons.conf.idxeuribor3mnr.employed
026studentsingle3nononotelephonejunmon90119990nonexistent1.494.465-41.84.9615228.1
146admin.married6noyesnocellularaugtue20829990nonexistent1.493.444-36.14.9635228.1
249blue-collarmarried0unknownyesyestelephonejuntue13159990nonexistent1.494.465-41.84.8645228.1
331technicianmarried6nononocellularjultue40419990nonexistent-2.992.469-33.61.0445076.2
442housemaidmarried6noyesnotelephonenovmon8519990nonexistent-0.193.200-42.04.1915195.8
\n", + "
" + ], + "text/plain": [ + " age job marital ... cons.conf.idx euribor3m nr.employed\n", + "0 26 student single ... -41.8 4.961 5228.1\n", + "1 46 admin. married ... -36.1 4.963 5228.1\n", + "2 49 blue-collar married ... -41.8 4.864 5228.1\n", + "3 31 technician married ... -33.6 1.044 5076.2\n", + "4 42 housemaid married ... -42.0 4.191 5195.8\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df['education'] = mapped_education\n", "df.head()" @@ -487,9 +990,188 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
agejobmaritaleducationdefaulthousingloancontactmonthday_of_weekdurationcampaignpdayspreviouspoutcomeemp.var.ratecons.price.idxcons.conf.idxeuribor3mnr.employed
0268230001419011999011.494.465-41.84.9615228.1
1460160200132082999011.493.444-36.14.9635228.1
2491101221431315999011.494.465-41.84.8645228.1
331916000033404199901-2.992.469-33.61.0445076.2
44231602017185199901-0.193.200-42.04.1915195.8
\n", + "
" + ], + "text/plain": [ + " age job marital ... cons.conf.idx euribor3m nr.employed\n", + "0 26 8 2 ... -41.8 4.961 5228.1\n", + "1 46 0 1 ... -36.1 4.963 5228.1\n", + "2 49 1 1 ... -41.8 4.864 5228.1\n", + "3 31 9 1 ... -33.6 1.044 5076.2\n", + "4 42 3 1 ... -42.0 4.191 5195.8\n", + "\n", + "[5 rows x 20 columns]" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "categorical_columns = df.columns[df.dtypes == 'object'].union(['education'])\n", "for column in categorical_columns:\n", @@ -506,9 +1188,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "-1.0" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.loc[1].job - df.loc[2].job" ] @@ -522,9 +1215,25 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + " precision recall f1-score support\n", + "\n", + " 0 0.89 1.00 0.94 6128\n", + " 1 0.62 0.01 0.02 771\n", + "\n", + " micro avg 0.89 0.89 0.89 6899\n", + " macro avg 0.75 0.50 0.48 6899\n", + "weighted avg 0.86 0.89 0.84 6899\n", + "\n" + ] + } + ], "source": [ "def logistic_regression_accuracy_on(dataframe, labels):\n", " features = dataframe.values\n", @@ -563,9 +1272,70 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
0123456789
00000001000
\n", + "
" + ], + "text/plain": [ + " 0 1 2 3 4 5 6 7 8 9\n", + "0 0 0 0 0 0 0 1 0 0 0" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "one_hot_example = pd.DataFrame([{i: 0 for i in range(10)}])\n", "one_hot_example.loc[0, 6] = 1\n", @@ -581,7 +1351,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 13, "metadata": {}, "outputs": [], "source": [ @@ -590,9 +1360,386 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
012345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152
00.01.00.01.00.00.00.01.00.00.00.00.00.01.00.00.00.00.01.00.00.00.00.00.00.00.00.00.00.01.00.00.00.01.00.00.00.00.01.00.00.00.00.00.01.00.00.00.00.00.00.01.00.0
11.00.00.00.00.01.00.01.00.00.00.00.00.00.00.00.01.00.00.00.01.01.00.00.00.00.00.00.00.00.00.00.00.01.00.00.00.01.00.00.00.01.00.00.00.00.00.00.00.00.00.01.00.0
20.01.00.00.00.01.00.00.01.00.01.00.00.00.00.00.00.00.00.00.01.00.01.00.00.00.00.00.00.00.00.00.00.00.00.01.00.01.00.00.00.00.00.00.01.00.00.00.00.00.00.01.00.0
31.00.00.00.00.01.00.01.00.00.00.00.00.00.00.00.01.00.01.00.00.00.00.00.00.00.00.00.00.00.01.00.00.01.00.00.00.01.00.00.00.00.00.01.00.00.00.00.00.00.00.01.00.0
40.01.00.01.00.00.00.01.00.00.00.00.00.00.00.00.01.00.00.00.01.00.00.00.01.00.00.00.00.00.00.00.00.01.00.00.00.01.00.00.00.00.00.00.00.00.00.01.00.00.00.01.00.0
\n", + "
" + ], + "text/plain": [ + " 0 1 2 3 4 5 6 ... 46 47 48 49 50 51 52\n", + "0 0.0 1.0 0.0 1.0 0.0 0.0 0.0 ... 0.0 0.0 0.0 0.0 0.0 1.0 0.0\n", + "1 1.0 0.0 0.0 0.0 0.0 1.0 0.0 ... 0.0 0.0 0.0 0.0 0.0 1.0 0.0\n", + "2 0.0 1.0 0.0 0.0 0.0 1.0 0.0 ... 0.0 0.0 0.0 0.0 0.0 1.0 0.0\n", + "3 1.0 0.0 0.0 0.0 0.0 1.0 0.0 ... 0.0 0.0 0.0 0.0 0.0 1.0 0.0\n", + "4 0.0 1.0 0.0 1.0 0.0 0.0 0.0 ... 0.0 1.0 0.0 0.0 0.0 1.0 0.0\n", + "\n", + "[5 rows x 53 columns]" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "encoded_categorical_columns = pd.DataFrame(\n", " onehot_encoder.fit_transform(df[categorical_columns]))\n", @@ -608,9 +1755,25 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + " precision recall f1-score support\n", + "\n", + " 0 0.90 0.99 0.94 6099\n", + " 1 0.61 0.17 0.26 800\n", + "\n", + " micro avg 0.89 0.89 0.89 6899\n", + " macro avg 0.76 0.58 0.60 6899\n", + "weighted avg 0.87 0.89 0.86 6899\n", + "\n" + ] + } + ], "source": [ "print(logistic_regression_accuracy_on(encoded_categorical_columns, labels))" ] @@ -645,9 +1808,19 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "university.degree → -5370095693728667446\n", + "high.school → -7042998680499890429\n", + "illiterate → -7750457402342120656\n" + ] + } + ], "source": [ "for s in ('university.degree', 'high.school', 'illiterate'):\n", " print(s, '→', hash(s))" @@ -662,9 +1835,19 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "university.degree → 4\n", + "high.school → 21\n", + "illiterate → 19\n" + ] + } + ], "source": [ "hash_space = 25\n", "for s in ('university.degree', 'high.school', 'illiterate'):\n", @@ -680,9 +1863,111 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "job=student → 14\n", + "marital=single → 21\n", + "day_of_week=mon → 1\n" + ] + }, + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
0123456789101112131415161718192021222324
00.01.00.00.00.00.00.00.00.00.00.00.00.00.01.00.00.00.00.00.00.01.00.00.00.0
\n", + "
" + ], + "text/plain": [ + " 0 1 2 3 4 5 6 ... 18 19 20 21 22 23 24\n", + "0 0.0 1.0 0.0 0.0 0.0 0.0 0.0 ... 0.0 0.0 0.0 1.0 0.0 0.0 0.0\n", + "\n", + "[1 rows x 25 columns]" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "hashing_example = pd.DataFrame([{i: 0.0 for i in range(hash_space)}])\n", "for s in ('job=student', 'marital=single', 'day_of_week=mon'):\n", @@ -700,7 +1985,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 19, "metadata": {}, "outputs": [], "source": [ @@ -772,7 +2057,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4, diff --git "a/jupyter_chinese/topic09-\346\227\266\351\227\264\345\272\217\345\210\227\345\244\204\347\220\206\344\270\216\345\272\224\347\224\250.ipynb" "b/jupyter_chinese/topic09-\346\227\266\351\227\264\345\272\217\345\210\227\345\244\204\347\220\206\344\270\216\345\272\224\347\224\250.ipynb" index 7e41b56817..83016710d7 100644 --- "a/jupyter_chinese/topic09-\346\227\266\351\227\264\345\272\217\345\210\227\345\244\204\347\220\206\344\270\216\345\272\224\347\224\250.ipynb" +++ "b/jupyter_chinese/topic09-\346\227\266\351\227\264\345\272\217\345\210\227\345\244\204\347\220\206\344\270\216\345\272\224\347\224\250.ipynb" @@ -104,10 +104,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 1, + "metadata": {}, "outputs": [], "source": [ "import warnings\n", @@ -138,9 +136,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "((216, 1), (300, 1))" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "ads = pd.read_csv('../../data/ads.csv',\n", " index_col=['Time'], parse_dates=['Time'])\n", @@ -158,11 +167,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4wAAAELCAYAAACS4AjrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzsvXmcHPdd5/3u+5r7lEa3ZKkkWZZ8SJbj+MzhEBIgIQc5yAHLAgtJ9oEFluV5lrAE9mH3AQIJWQIssCEJkICdi1y248S3LduyrdOlY3SN5uq7Z/o+6vmjulo9Uo/m6umqqfm+Xy+/rOmqqa6q+XXX7/P7fr+fr0PTNARBEARBEARBEAThapxmn4AgCIIgCIIgCIJgTUQwCoIgCIIgCIIgCA0RwSgIgiAIgiAIgiA0RASjIAiCIAiCIAiC0BARjIIgCIIgCIIgCEJDRDAKgiAIgiAIgiAIDRHBKAiCICwriqJ8VFGUp8w+j7lQFGWzoiiaoijuJh3vvKIob7rO9rcoivL1up81RVFuaMZ7z+Pcfk9RlC816VjXvc4lHvtBRVHeuhzHFgRBEOaHCEZBEARhUSiK8iNFUeKKovjMPpfZsLhY/UPgj8w+iVayCFH8P4A/WK7zEQRBEOZGBKMgCIKwYBRF2QzcDWjAT5p7NisPRVEOAJ2qqj5nwns3JYLaClRVPQR0KIqy3+xzEQRBWK2smIeGIAiCYCk+DDwHPA98BPgXY4OiKL3A3wP3Aa8B36/b5gD+FPgg4AcuAO9XVfVY/cEVRbkf+IyqqjdVf34E6FJV9UD15yeBP1FV9euKovw28O+BAeAS8H+rqvo1RVF2AZ8HPIqiTAMlVVW7FEUJoEet3g10AUeBN9e9/QcVRfkUEAQ+rarqH1bf0wn8VvW9uoAfAL+sqmqsuv1D1eO2Va/xerwVeLzB629SFOW7QD/wZeBjqqpq1ff+nep7B4DvAR9XVTWpKMp9wJdUVV1fd//OA7+gquqjiqL8HrAHyKGL+1+/6l5/G/ieqqqfrXvtCPBJVVW/dvUJXu86FUW5HfhzYBeQBR4Efl1V1YKiKE9Ud3tVURQN+HfAw8AXgYPoc5Kn0e/pSN1hfwS8DXixwf0SBEEQlhmJMAqCIAiL4cPogubLwFsURRms2/Y5dHGyFvj56n8GDwD3ADuATuC9QLTB8Z8DtiuK0qcoigfYCwwpitJeFXz7gSer+55Fj3Z2Av8N+JKiKGtVVT0J/DLwrKqqbaqqdlX3/2PgNuBOoAddBFbq3vsuQAHeCPxuVXgCfBx4B3AvMATEq9eKoii7gb8EPlTd1gusZ3ZuAtQGr78dOFC93vcCb6m+/tHqf/cDW9HF2l9c5/hX81PAv6IL3S9fte0LwM8aPyiKsg9YB3z76oPM4zrLwK8BfcDr0O/hrwCoqnpPdZ991b/HV9DnIX8PbAI2oovMq6/rJLBvAdcqCIIgNBGJMAqCIAgLQlGUu9An+F9VVTWiKMpZ4APApxVFcQHvAm5SVTUNHFMU5QvoIhGgCLQDO4FDVVF3DaqqZhVFeaH6e6PAq0ACeD2QB06rqhqt7vsvdb/6FUVR/gtwO/CNBufuRBewd6iqern68jPVbcZu/01V1Sx6JOxVdLFiiM+PGdGvauTuYjXi9m7g31RVfaK67b8CH7vObewCphq8/keqqiaAhKIoPwRuRo8mfhD4U1VVh6vH/y/o9/bnrvMe9TyrqqphsJOtu1aAbwJ/pSjKdlVVT6OLwa+oqlpocJzrXqeqqi/V7XteUZS/QhfYf9bopKp/wweNnxVF+UPgh1ftNoV+vwRBEAQTEMEoCIIgLJSPAA+rqhqp/vyP1dc+jZ5K6UZPDTW4YPxDVdXHFEX5C/TI3CZFUR4CfkNV1VSD93kcPa11pPrvOLr4yFOXzqkoyofR0yw3V19qQ49wNaIPPRX27HWub7zu35nq8UAXyV9TFKU+GlkGBtGjbbVrVlU1rShKo8ipQRxdOM/3vYeou4/Vf7ur7z0fLs22QVXVnKIoXwF+VlGU/wa8H10YNuK616koyg70NNX96Cm9buClqw9St38Qfdz8GNBdfbldURSXqqpl42f0xQJBEATBBCQlVRAEQZg31XTQ9wL3KooyrijKOHoK4r5qKmMYKAEb6n5tY/0xVFX9jKqqtwG70VNTf3OWtzME4z3Vfz+OLhjvrf4bRVE2AX+DHuXqraadHgMc1WNoVx0zgp4uu21BF65zCXirqqpddf/5q5HKMequuSqEeq9zrCPo1z5fRtEFq8FG9Ps8AaTRxZnx3i504V7P1ffhar6AHsV8I5BRVfXZWfab6zr/Er1udbuqqh3odZcOZuc/oaf/Hqzub0Si639nF3qEWRAEQTABEYyCIAjCQngHelRtN3q65M3oE/ongQ9Xo0IPAb+nKEqwWvP2EeOXFUU5oCjKwWpdYhpdvFVozDPoYuJ29PTV4+ii6SBgGKiE0MVQuHr8n0M3eDGYANYriuIFUFW1Avwd8KeKogwpiuJSFOV182wN8nngD6siFUVR+hVF+anqtn8F3q4oyl3V9/p9rv+M/Q668J0v/wT8mqIoWxRFaQP+O3raaAk4BfgVRXlb9b7+P8CCWp1UBWIF+BN0E5rZmOs624EUMK0oyk7gP1z1+xPoNZj1+2fRU3B7gE82eM97ge8u4HIEQRCEJiKCURAEQVgIHwH+XlXVi6qqjhv/oRuVfLDasuFj6KmU48D/QTc1MehAjwjG0dMqo8D/1+iNqjWQh4HjdfV0zwIXVFWdrO5zAl3kPIsuRm5Cd9o0eAw4DowrimKk0P4GujPqC0AMvdfffJ6Hf45e7/ewoihT6MY8B6vncRz4VfT03LHq9Y3MchxUVT0MJBVFOTiP9wVd5H4RXSifQxfaH68eK4luLPO/gcvoQnzW974O/4B+/750nfOe6zp/A72edQr97/yVqw7xe8AXFEVJKIryXvTaxgB65Pc59HrNGtX2I9PV9hqCIAiCCTg0ba4sFUEQBEEQmo2iKA8Av6Kq6jvMPheo1YL+oqqqd5l9LgaKojwI/K2qqt8x+1wEQRBWKyIYBUEQBGGVU61FfAz4X6qq/oPZ5yMIgiBYB0lJFQRBEIRVjKIob0GvAZ1ATzUVBEEQhBoSYRQEQRAEQRAEQRAaIhFGQRAEQRAEQRAEoSFus0+ghfiAA+iubuU59hUEQRAEQRAEQbAbLmAtulN4fj6/sJoE4wH0PmGCIAiCIAiCIAirmbuBp+az42oSjGMA8XiaSsVadZu9vW1Eo9Nmn4YgLBoZw8JKR8awsNKRMSysdGQMtwan00F3dwiq2mg+rCbBWAaoVDTLCUbAkuckCAtBxrCw0pExLKx0ZAwLKx0Zwy1l3iV6YnojCIIgCIIgCIIgNEQEoyAIgiAIgiAIgtAQEYyCIAiCIAiCIAhCQ0QwCoIgCIIgCIIgCA0RwSgIgiAIgiAIgiA0RASjIAiCINgMTROnQUEQBKE5iGAUBEEQBBsxPJrit/7yWZ49Pm72qQiCIAg2QASjIAiCINiEl0+F+Z//eJhoKselCWmALQiCICwdt9knIAiCIAjC0nnkxUv886On2by2g8l4hnSuaPYpCYIgCDZAIoyCIAiCsMJ54tVR/unR09y8vY/f+sAtdLb5yORKZp+WIAiCYAMkwigIgiAIK5zDp8Ks6Qnyq++8CafTQdDvlgijIAiC0BQkwigIgiAIKxhN0xgeTXHD+k6cTgcAIZ9bIoyCIAhCU5AIoyAIgiC0mGS6gHoxjnoxwVg0zc//+C76ugKLOlY4kWU6W2TrUEfttaDfw+VIulmnKwiCIKxiRDAKluHhFy4R9Lm5a+9as09FEARh2fjiwyo/PHwZAJ/XRalU4ZtPn+fn37ZrUccbHk0BsHVtvWB0k5YIoyAIgtAERDAKluDwqTD//IPTbFvXIYJREATbMpUp8PjLo9y2o5+33rGJTWva+MoPzvDY4cv8xOs307+IKOPwaAqvx8m6/lDttZDfTTZfolLRammqgiAIgrAYpIZRMJ1IMsvfffskgNTcCIJga15Sw1Q0jZ94/Wa2DnXgcjp56x2bcDrhO89dWNQxz42l2DzYjst55ZEe9HsAyOTlO1WwLiPhaUrlitmnIQjCHIhgFEylVK7wV984jobG7s3dkkIlCIKtOXRygsGeIBsG2mqvdbf7uHvvEE8dGSOazC3oeKVyhQsT02wd6pzxesivJxCJYBSsyqlLCX73bw9x+FTY7FMRBGEORDAKpvLQE8OcHU3x0bfuYtNgO5lcEU3TzD4tQRCEppOYzqNeTHBw1wAOx8w00bfesRGA7z6/sCjjpUk9QlNveAN6DSNARlprCBblm0+fAyA5XTD5TARBmAsRjIJpnB9P8b3nL3L/Les4sHOAoN9NqaxRKEl6iiAI9uPF1ybRgAO7Bq/Z1tcZ4PU3reGJV8eIT+Xnfcya4c3VgtGnC0bJ2hCsyJmRJCfOxwHIShRcECyPCEbBNM6NTQHwttdtAiBk1NzIBEcQBBty6LVJ1vWHWNcXarj9x1+3mUpF43vPX5z3MYdHk3SGvHS3+2a8Lt+ngpX55jPnaA96cLucZAsyRgXB6ohgFEwjHM/idjnpqk50jBSqdFZSqARBsBexVI4zI0lu3zkw6z4DXQH27+zn2ePjlCvzy7QYHk2xdajjmhTX2veppKQKFmN4NMWx4RhvuX1jzc1XEARrI4JRMI3JRJb+Lj/O6kTHWBGXCY4gCHbj0MlJAG5vkI5az23KANPZImcvp+Y85nS2yEQ8e006Klz5Ps1KhFGwGN96+hwhv5v7b1lHwOcmky+bfUqCIMyBCEbBNCbj2Rk9x66YNMgERxAEe/HCaxNsGmxnsCd43f32bOnB5XTwyunInMc8P1atX1x7rWD0epy4nA6pYVwCyXSBkfC02adhKy6MT/Hq2SgP3L6RgM9NwCcRRkFYCbjn2kFRlD8G3gVsBm5SVfVY9XU/8GngTUAOeFZV1V+sbtsBfAHoBaLAh1VVPb1c24SVh6ZphJNZdm7sqr0W8otJg2BtNE3jldMRhvpCc078BcFgMpHl3NgU77lv25z7Bnxudm3q5uUzEd77hhuuu+/waAoHsLmBYHQ4HAT9bnFJXQL/+qMzvHomyp994q5aJoywNL77/AWCPjdvvHU9AEGfSwSjIKwA5hNh/DpwD3C11/f/RBeKO1RVvQn4r3XbPg98TlXVHcDngL9a5m3CCmMqUyRfKNPffSXCGAoYJg0ywRGsRzZf4i+/cZzPPnSUf3vmvNmnI6wgTl9KALDvhr557X/z9j4mYhnGounr7jc8lmKoL0TA13jtN+j3yALcEhiZTOtpv7GM2adiC/KFMq+ciXBw92Ato8gvEUZBWBHMGWFUVfUpAEVRaq8pitIGfBhYr6qqVt1vorptALgVeHN1938C/kJRlH7A0extqqpKx9cVyGQiC+gmDwYBnxsHEmEUrMfI5DSf+/oxwvEsPq+LKTFmEhZAOJHFATNS8K/HzTf08aWHT/HK6Qhrexs7qpbKFYZHU9x8HREa8rvJyGR8UVQ0jbGYLtjPXk7N+ncQ5s+R4SiFYoUDdcZPkpIqCCuDOQXjLGxDTwv9pKIo9wPTwP9TFZcbgMuqqpYBVFUtK4oyWn3dsQzbFiQYe3vbFnnJy0t/f7vZp9BSjl/UV9yVrX0zrj0Y8KA5HKvuftgBu/7N1Asx/uCLLxHyu/nD/3AnX3nkFLlCybbXu5pZrr/pVK5Eb1eAobWd8z6Pres6OXY+zod/Ys+MbZqm8czRMb74nRNMZ4vcuW/drOfd1eFnKl2QsboIJmMZCkXdqXY0nl0x99DK53nkO6/R1e7jzls34HLqKb69XUFyhUlLn7fQWmQsWJPFCkYXsBV4WVXV31QU5SDwLUVRrl9wYQGi0WkqFc3s05hBf3874fCU2afRUs5ejOMAXJXyjGsPeF1EEplVdz9WOnYewz84dIFyucLvfmQ/nW0+PC4H41N5217vamU5x/DIxBS97b4FHf+mLT1886lznL0QpSPoBXTDkC8+rDI8qqeifuJde1HWzX7ebgckp2WsLoZjw1EAgj43x85EVsQ9tPL3cK5Q4oUT49y1dy2xaJ2RUKVCrlBmfCKJyyk+jKsdK49hO+F0OhYcQFvsp/MiUEJPDUVV1eeBCLADuASsUxTFBVD9/1D19eXYJqxAJhNZutp9eNyuGa+H/B5xSRUsxeVwmrW9QTrb9H6hoYBH6myFBRFOZOnr8i/od26+oQ8NOHJGFy6nLiX4o388TCyV4+feupPf//nbuXl73zX9F+uR79PFMxbR01EP3jjI5ci0pE0ukSNnoxRKM9NRQV8kBsgVpLWGIFiZRQlGVVUjwA+p1hRWHUwHgDOqqk4CrwDvr+7+fvRIZHg5ti3m/AXz0XswXlvPE/S7pQ+jYCkuh9Os67+yEhfyu0nnSmiatTIVBGtSLJVJTBfmXb9osHGwje52H6+ciaBejPPpr75KV5uP//qRA9y9bwinc27XTt0lVcbqYhiNZmgLeHThrsH5cYl6LIUXTk7S2eZl+/quGa8bhk3SL1QQrM2cglFRlM8oijICrAceVRTleHXTLwO/oyjKUeCfgQ+pqpqo2/ZxRVFOAR+v/swybhOWmbFomqePjjXteOFEdobhjUGoOsERBCuQzZeIpnIM9V0xvAj5PZQr2qpZES+VKxRLFbNPY8USSeaA+RveGDgcDm7e3sfR4Sif/uqr9HT4+O0P3EJ3u2/exwj5PVS01TNWm8lYVM8s2FJtWXL2ctLkM1q5ZPMljgxH2a8MXLPQYQhGMWcSBGszH5fUTwCfaPD6MHDfLL/zGnCwVduE5ec7z13g6aPj7N3WS3u1nmax5ItlktOFGS01DMQGXrASo9W2ButnCEajX2hx1nYGduLvvn2S+FSe//zBW80+lRVJuOoI3d+5MMEIcMv2Pn54+DLr+kP85vtuoSO0sO9eo3VBJldaFWO1mYxFM9ym9NMW8LCmJ8jwaMrsU1qxvHo2QrFBOipAoDpGJeVXEKyNVBgL8+L8mJ6O89rFxBx7zk24QUsNg1C10bSkUAlW4HJYF4zr+usEY7VfaDq7OiY4FyamUC8l5uwJKDQmnDAijAurYQTYvbmHX/yJ3fzW+xcuFkE3bAEkzX+BpDIFprPFWiuNbes6ODualOfSInnh5CRdbV5uWH+tS3DAWxWMEgUXBEsjglGYk2y+xGjVAODkhfiSj1dbcZ+lhrFU1mp25oJgJpfDabxuJ311Y7U+wmh3NE0jlsoD8MyxcZPPZmUSTmTxup2LEnxOh4M7blyz6KyOUF2EUZg/huHNUG8QgG1DnUxlioSr6cXC/MnmSxwdjrF/5wDOBgZNAZ+rtp8gWA1N05jOFolU562rGclREebk4sQUGnqtQVMEY7waYWyQkhryV6M3uSI+r+ua7YLQSkYj06ztC82Y6NQijKtgEp7OlcgXyziA546P8857tjac9AmzozukBq7rZrpcBP2rZ6w2k7FoBqAuwqhHxs5eTjbMjBFm58XXJimVK9y+a7DhdiMKLoJRsBKPvHCJJ4+MEknmajXgv/dzB9g4uHp7REqEUZiTc9V01PtvWcdELEMstbRV1slEloDPXVv9ricoK+KChRiJpGfUL8LMRQ27E61GVG5T+omm8pxqQkr6aiOSzNHfufB01GZQizDm7T9Wm8loNI3P46KnQzcYWtcXwudxMXxZ6hgXylNHx1jTE2TbUEfD7QERjILFiCZzfOWxM7hcTu7au5Y3798AQGwqb/KZmYsIRmFOzo2l6O3wc/suvWB9qVHGcCLHwCwr7qtpMi5Ym+lskeR0YUZLDahLSc3af4xGq4tDb9q/Ab/XJWmpC0TTtFqE0QyMCKMswC2MsUiaNb3B2jPK6XSwZW07Z0fFKXUhTMQynB5J8vqb1swaYfe4nbicDrJ5qWEUrMEjL17C4YCPvfMmPvCmHdx/6zoAcqt8UUMEozAn58ZSbFnbzvqBNtoCHl5bomDUezA2XnEPBSTCKFgDo2536KoIo9fjwuN2roo0PyPCuLY3yH5lgBfVSfJFmdjNl+lskVyhvOCWGs3C73PhQFJSF8poNFOrXzTYtq6TS5PTFGT8z5unjo7hcMCde9bOuo/D4SDgc0uEUbAE6VyRx18Z5fZdg/RWM0MC1fKo1W7MJIJRuC6pTIFIMseWtR04HQ52burmxIX4ot3iKhWNSCLbsKUGSM2NYB0uh6cBWN8fumZbyO9eNRFGr9tJW8DDnXvWkCuUefl0GNDF0N986wSfffAI5YqYVDXiSg9Gc1JSnQ4HwarztDA/svkS8al8rX7RYNtQJ+WKxvnxKZPObGVRqWg8c2ycPVt65+wdGvC5RDAKluCHhy+TL5b5sYMba6/5q2nTEmEUhOtgtNMwmhfv2tRNfCrPZHxxjlGxqRzlijarccAVVz+Z4AjmMhJJE/C5Gk52QoHV0S80msrR2+nH4XCwY2MXvR0+njk2zrHhKL/7t8/z/IkJXj4d4dvPXjD7VC3JUnowNoug3y1N0RfAeGym4Y3BlmoN3oUJEYzz4cT5GPGpPHftnT26aBDwyRgVzKdYKvPoSyPs2drDhoErpShetxOnw7Hqx6i4pArX5fxYCgewaY3uDLV7UzcAJy7EGewJXuc3G3OlJ1njCVTA55YUKmHRRJJZsvnyjC/7xXI5nGaoLzRrre2qiDAmc/R06NExo8XDd569wLHhGEN9If7ju/fx/UMX+eZT59mzpZetsxhbXE0slePUSII7dq9ZztNvOhPxDI++MEKpUqFS0dDQzcCMBbWrMQRjn0kRRtCzNiTFf/5cSUWf+XzrCHpwuxwkple38cV8eeroGCG/m5tv6Jtz34DXveqjN4L5PHNsnFS6wFsPbprxup427SK3yutsJcIoXJdzYynW9AZrTmYD3QG6232LNr4xJlCzRRid1XoGmeAIi+ErPzjDn3zlFSpLbLCtaRqjkTTr+hoLz5DfvSqMmWKpHL0dV8TO3XvXEgp4eODABj750f1sWtPOzz6wg652L3/zrePk51nj8bUnh/nrb55gMp5ZrlNfFh5/ZZTHDo/w8ukIR4ejPHtsnEdfHJl1/3AiR0fQg99r3tps0Lc6xmqzGItmcDkd1yxqOhwOOkNektMFk85s5ZDOFTl8KsIdu9fgcc89zdQjjKt7Mi60nu8+d4G//85JfvDSCKcuJfjeoUtsWtPOzo1d1+zr97rJFlb3vFQEozArmqZVDW+urJ47HA52bermtQvxRU3KJ+NZXE5HLWrRiKDfTVps4IVFMBpNk0oXuLDEOqNUusB0tsi6BvWLsDpSUgvFMqlMsVb4DzDQHeTPP3EX73vjdjxu3Qgg6PfwC2/bzWQ8y1ceOz2v476k6nWQh09Flufkl4mxSJp1/SH+7ON38acfu4sdG7pqKYyNMNMh1SDklwW4hTAWTTPQHcDtunZ61BHykUyLYJyL509MUCpXeP3e+WUQiOmN0GoyuRIPPTHMM8fG+fIjp/ijLx9mIpbhrQc3NswqkjpbSUkVrkMslSeVKV6TbrVrUzfPHBtnZHJ6wU1Mw4ksfZ1+nM7Zm1iHJIVKWASVilarrT06HJ01TXA+jFTT0q7uwWiwGiKMRkuN3o6ZNZyNHqY7N3XzloMb+d7zF8nkS9y6o5+btvbWMhPqOXI2Sq5Qxudxcfh0eIa5gNUZi2Zq6fmgu8c+e3wcTdMa3pdwIltr+m4WQb/9FzeayWg0M+vnvqvNW8uSEWbnuRMTrOsPsWme84OgCEahxRw/H6Nc0fjtD95Kf1eAS5NTTGWK7N850HB/v89NTlxSBaEx58b0JsWNBCPAD14aoVJZWJRxIp6Z02I+uAom481iIp7h608Oc+jkxDXOtZWKxqGTE0uOtq0UIindUAng6Nnoko41Gq7WMfXPlpLqoVCsUCzZ9wESS+m1Wr3XyQao5513b+X+W9dx4nycz3/jOJ/48yf522+fuGZcPn9ygo6QlwcObODsSHLFRGyKpTLhZJa1de0W1vaGyObLDa+hXKkQS+Xp6zSvfhEkwrgQSuUK4XiWtbMIxs6Qd8WMV7PI5IoMX05xy/a+WXsvXo3f5yJbKC3afV0QFsqRsxFCfjfb1nXQ3e5j77Y+Xn/TWpyzjNmAVxY1JMIozMq58RQup+MaA5GeDj9v2r+eR18cITaV55d+8kbaAno7jJHwNI+/Msqt2/vYtblnxu9NZ4tcmpzm7a/bfN33DfndJCJiLDAblYrGkbNRHjs8wrFzsdrrTx4Z40NvURjoCnB+PMUXv69ybmyKfdt6+Y/v2WfiGbeGiWpq4O7N3Zw8H2cqU6A96F3UsS5HpmkLeOgIehpuDwWutH/panMt7oQtTi3COE/B43E7+dADCh980w7OXE7y1NExnjoyxk1be7l91yCgpwG9eibKfTcPsX/nAN965jyvnA5z783rlu06msV4LIumzezLuaYqHseiGbraZkZiY6k8FU0zrQejQdDvplSuUCiW8XrsOVabxfnxKSqa1rCVDkBHyMtUpkipXGmYsirAifN6ucqeLb3z/p2gz42mQb5YNrXeV1gdVDSNo2ej3LilB5dzfp/jgM/FZMK+C8TzQT6ZwqycG02xYaCtYdH6B960g/X9bXzpYZXf/z8v8FN3beH5ExM1AXNhfOoawXjkbARNg5u3X981LbhKHCgXg3oxzj/94DQXJ6bpbvfxjru3cPfeIQ6fCvPg42f53f/9PDdu6eGV0xHaQ176Ov2kMqvjXhq1ZG/ev4ET5+McPxfjjhsX58J5OZxmfX9jh1S40v4lnS1eIxTsQjSZw+FgwdfndDrYsaGLG9Z1cmF8in/90Vlu2d6Px+3k8KkwpXKFgzcOsr4/RF+nn8OnIitCMI5F9ahzfbuFtVWn6PFoupZ5YRAxWmqYLhivLG6IYLw+h05M4HY5ZxU7xmdhKlOcs7fgauXYuRh+r2vejslALXU9mxfBKCw/F8anSGWK7Ns2t4OvgV+cfEUwCo2paBoXJqaua3t/z74h1vWH+F9fO8bffvskHSEvP33PVjL5Et97/iKxVG6Guc0rZ6J0tnln1AA1Qq8PK80X0D62AAAgAElEQVRaF2QXJmIZnj8xQWI6T2K6wFS2wAFlgDfuX3/NqtdkIsu//PAML6lhejp8/Puf2M2BnQO1Ve433raeW7b38eVHTvHKmQhvvG0977h7K19+ROX0SNKMy2s5E7EMAZ+bm7b10h70cGQ4uijBmM2XGAmnueum2fuH1UcY7Uo0laO73bfoSIrT6eC9b7iBP/nnV3js8AhvuX0jz5+coL/Lz9a1HTgcDm7d0c9jh0fI5ksN6x2txGgkjQNY03NFAHa3+/B5XIxFrzW+CSerLYQskJIKeqqgiJzZKVcqHHptkn3begn6G4/FzpCesZBM5+VeNkDTNI6fi7FrU/eCvjeMz34mX5L7Kiw7r56J4AD2bO2Zc1+DQDVtejVj7Se0YBqJqTzZfJn1c/Sz2zbUySc/eoDTI0n2buvF43YyEcvwvecv8uJrkzxwu25oUSpXODYc5fZdg7PmiBsE/W7KFY1CsYLPa98V8S8+rHLifJz2oIeuNh9Oh4N/fuwMzxwf5yM/tpPNa9o5eznFIy9e4iU1jNvt4B13b+Ett2/E1yBS0NPh5+Pv2kuuUKqt0rYH9RSq1cBELMOangBOh4M9W3o5OhylUtGua7DUiB+8NEK+WOZ1e2YXm21G1MbGkfBoMjfv+sXZuHFzDzdt7eVbT59nz9ZeTpyP8bbXbaotBN26o5+HX7jEkbNRDu4ebMZpLxtj0Qx9Xf6aOyzoBkBreoOMNXBKDSd0R+juDnMnwIb4We1Np+fitQsJUunCdcdhZzXCmJDWGg0Zj2WIpnL8+Os2zb1zHQGf/pla7TViQms4cjbK1nUdCypZCXjdFIoVypXKvNNY7YYIRqEhxgOxex7paB0hL7cp/bWfB3uCbBxo4wX1imBULybIFcrzauIbqqVQFW0rGCOJLCfPx3nHXVv4ybu2APrq7EtqmC8/eoo/+MKL+kQ0qkfN3nxgPQ8c2Div1df6lJ72oId8sUy+WG4oMu3EeCzL9g26I+Xebb08e3ycc+Mptg3prw2Ppnj1TISB7gBDfSHW9ASviWpl8yW+f+gie7ddvwm9MQm3e4TxhiY4fL7n/m188u8O8WdffQVNg4N1WQs3rOukI+jh5dPhFSAY0zPSUQ3W9gY5fSlxzevhRJbeDr/pk4uQ3/7R8Gbw3IlxAj4Xe7fNXntnRBhTYnzTEKMkZc+W+Udu4EqEcbWn/AnLT3I6z/nxKd5595YF/Z7fGKOFMiG/CEZBqJGc1k1nutoXZxpyYNcADz4+rEcpOv28ciaCx+1k1+buOX+3tiKeK9Gz+M4Iluapo2MA3HnTlcmzw+Fg/84Bdm/u4etPDnNuPMWHHtjB6/asWXRdh7GCNpUp4Os0t5ZqOSkUy8RSOdZ062mkN27pweHQ3VK3DXVy/FyMzz54hEKpUvsdB/DT927lbXUmTI++eIl0rsRP3XX9h0n9ooYdqVQ04lP5eRveXI/1/W3cvXeIJ14dZX1/G+vqTGOcTgc3b+/j0MlJiqXKvJp8m0GlojEeyzasbVvbE+S54xPkC+UZC1zhRI6+LnPTUaH++9SeY7UZFEtlDp8Kc+uO/uvWeXZUBWNiWkzZGnH8XIzB7sCC63brU1IFYTk5OqwvauxdQP0izIyCG8//1YYIRqEhieoKamdocelU+3fqgvFFdZIHDmzgldMRdm/qnleU60p9mD0nOBVN4+mjY+ze3E1fAxEX9Lv5wJt3NOW92qsun1OZYsP3sguTiSwaenQboC3gYdtQp556MtTJXzx0lDU9QX7tvfvIFUqMRjI8d3ycBx8fpi3g4d6b15HJlfj+oUvcfEPfnD0cAz4XTofDtmM0MZ2nXNFm1CAvhXfevYWX1Enu2XdtXeitO/p54tUxTl6ILfgh3irCySylcmVGSw0DI+o4HrvSo7FQLDMSnuY+C5j5BH32j4YvlSNno2Tz5evW7IPuBBzyu6W1BvC5rx3F53Hx7962C4fDQbFU4bWLce6+aWjBxwrWTG9kjArLy5GzEbravGwcvH651dUEvEYUfPU6pVpzOVcwncRUHgfQEVrcSspgd5BNg+288Nokl8NpoqncnO6oBqG6CKMdOXkhTjSV5669C3+wLpQrEUZ7ChsDo6XGYJ0hyU3bejk/PsVfPHSEob4gv/WBW+hu97G2N8RtSj+/9FM3smdrD//wfZWXT4d59MVLZPJzRxdBjwYH/W7SWXuO0YX2YJyLzjYfn/74XbzxtvXXbNu1qQeP28nJC/GmvNdyMBbRx1ej/ny11hqxdO21U5cSFEuVBZkqLBdBm3+fNoPnTui9QXdu6ppz3842H6lVUsOoXozX3H7rKRTLvHI6wjPHxvn+oUsAnB5JUChWuHERY77eJVUQFkJF0/ibbx3ntXk8P0rlCsfPx9i7rXfBhoq1MbqKjW9EMAoNSabzdIS8S6q/2b+zn+HRFI8dHgFg3zzqF2GmDbwdeerIGCG/m1t3LH80paMWYbT3BMdoqTHYfSUCtK9ai7RhoI3ffP8ttV6hBm6Xk195xx42r2nn8984zvcOXeSW7X1zuvgahAIe20YYIyl9ktiMlFQDt8vZ8CHtcTst3xDdaKkx1CDCONgdwOGA8Tqn1GPnYrhdTnZsmFuALDcupxO/1yWCcRaM3qC37xyY1/OuM+QlkbZ/SqqmaXzmwSN89Udnr9l2YWKKckWjr9PPv/7oLOrFOMfOxXA5HezcuPAx7/O6cCARxsXy5YdP8dffPG72aZhCcrrAs8cn+ObT5+bc9/RIkmy+vKhMFn8tJXX1LmqIYBQakpgu0Nm2uPpFgwM7BwD40SujbF7TPu9+biEb19ykc0VeUsPcsXvNDLfF5WL1RBizdIa8M0xsNg6289sfvJXfeN8ts9Yc+L1u/uN79tHd7iNXKM8rumjQ5nfb1iX1SoSxNQ6fVnfzHY2m6Qx5a4tZ9XjcLvo7AzNaaxwdjqJs7LKM0VTI77bl9+liOTeW4ti5KCfPx3jkxUu13qDzobPNS3IVRBijqRzZfJlTF+NomjZj29nLKQD+0/tuZqA7wF9+/RiH1TDb13cuqt7e6XDg97lEMC6CcqXCM8fHeO7EBBfGp8w+nZYTrbYveu1igsn4tW7V9Xzv+YsEfW52z8NL42pqKamrOMIoNYxCQxLT+SU3JB/oDrJpTTsXxqfm5Y5qEPC5cWDPCONzxycolSvctXf2Hn/NxO914XY57B9hjGdq9Yv1zCfC0xH08l8+eCuXI2k2Ds4vugh6JNyu9zWazBHyu1vWRLs96LG0kchYNNOwftFgTW+wFuWOJnOMRTPcs2/5U87nS9DvseX36WJ47vg4f/2tEzNeG+wOsHWOumUDIxpu9z7Bl8N6VD2VKTIey8xwCD57OUl/l5/B7iC/+s49fOofXiSVKXLPzYsf8wGfWwTjIjg/NlWLen37uQv8yjv2mHxGrSWSvJIy/dTRcX76nq0N9zt+LsbR4Sjvvf+GRT3XAlJnKxFGoTHJ6QJdS4wwAty+S48yzrd+EfTVxoDPbcsUqqeOjLFxoG3eaY9LxeFw0B70krKpsDEwejAuls42H7s3L6z2JhRwWzolNZ0r8tzxcUrlytw7X0U0lWtqOupctAc9lo0wapqmt9RoUL9osLYqGCuaxrFzUQD2bJ29PUOrCfokwgiQL5T5lx+dZfOadn7nZ2/jP3/gFn7z/fp/8xV/nSEfxVLF9qlpo5ErNbnqxSttYzRN48xostauaF1/Gz//47vweVzcsoDn/NUEfG5xSV0EJ87HcAD37Bvipdcma/X8q4VINcK4Y0MXTx8do1LRrtmnUtH4ymNn6Ov0N6yjnw9+r6SkimAUrqFcqZBKFxbtkFrPm/dv4D9/4JYFRW5AN2pI5+01wQknslyYmOLOm1oTXTSw8mS8GaRzRaYyxYYRxuUk5PdY2vTmi99X+etvneBTX3iRixMLS1WKpnJNM7yZD3pKauGa1DcrkEwXyObLDDXowWiwtjdEsVQhlsxxbDhGT4evYb2jWejfp9Ydq63ie4cuEp/K8743bueG9Z0oG7vZtal7QW7ARqlG0uZ1jCPhNN3tPjpDXk7V9RmNpfIkpwtsq+vRevuuQT736/c07FM6XwJeN7nC6p2ML5YT5+NsHGznnfdsxeVy8t3nL5h9Si0lkszREfTwptvWE5/Kc/x87Jp9nj46xkh4mnfft23RrZuMOtvVnJIqglG4hlS6iAZ0zaNJ/Fy4XU6UjQvPF7f6ZHwxTFTz6zct0M55qVi9PmypTMT0lJQ13a0WjPqKeKMVTbNRL8Y5dHKS/Uo/qXSBT33hRb7x1Ll5RRs1TdP7p7ZQMHYEvZTKmiUnjGPVSMua66WkVhcrRsJpTlyIsWdLj6XSFUN+jy0zNhZCfCrPd5+/wP6dA0syI+qq9mK0ex3jaCTNur4QysYu1EuJ2mLO2dEkAFuHZqbwOpc43iXCuHDyhTJnLifZvbmbzpCXu/eu5emj48Sn7L2YUU80maW3M8C+G/poC3h48sjYjO35QpmHnhxm61BHzVdjMVyps7XeM6pViGAUrsFYOTUejGYQtKFJQyShp04stKnxUukI2rfWDq4I8ZZHGKuuq1ab5JQrFb78yGl6O3z8u7fv5lO/cJADuwb4xlPneOjx4Tl/P5svkSuUm9aDcT60W9jNd7RqZnP9CKM+9p4+NkY2X2bPFuuko4LxfWqtcdpqHnz8LJWKxnvu27ak43RUa/ut7Oq7VCoVjdFomnX9IZQNXcSn8oSr7TXOXk7hdTvZMNDchc+AmN4smFMjCcoVrVZO8ZaDG6loGo+8cMnkM2sdkWSOvk4/HreTO24c5OVT4RnPke8dukhyusD73rB9yYt4fq9b2moIQj2JKf3D1rlE05ulEPK7bWfSEE5mcTkdSzYTWij2jzBmcDhaL8TbjPYvFnNKfeKVUUbC07z3DdvxeVy0BTz84k/ciLJBjxTMhVET0tfiGkawppvvWDSN3+u6bk13e9BLW8DD4VNhnA7Holz4lpOQ302+WF5UPasdODeW4plj47z5wIYlf0901iKM9o3ihBNZiqUKQ32hWjTW+O44O5pk85p23K7mTh+DYnqzYE6c19v3bF+vpwcPdAU4uGuQH75ymWmLPZeWg4qmEU3las+qe/YOUa5oPHd8gpMX4vzpV1/hG0+dY7/Szw3rO+c42twEfG5yq3iMikuqcA1Gj6lmmN4slqDfY8sIY2+nH6eztalq7UEP+WKZfLFsGZv/ZjIey9RWGFtJKKB/fU7niszPkH/5mc4WeeiJYZQNXexX+mds2zDYxhOvjlLRtOumjxmRhL6u1tYwglUFo+4QOdfq9JreIGdGkmxb39Gw/YaZ1Dv8Gffa7kSSWY6di3H8XIwT52N0BD28/XWbl3zckN+N2+WwdYTxcjUNe31/G0N9IdoCHk5dTHDH7jVcnJjiTfs3NP09/T73qk73WwzHz8XZvr4Tb91z/Q23ree5ExO8diHO/iWkYK4EktMFSmWtJhjXD7SxeU07X/3hGcoVjY6gh3fes5U3LdLo5moC3tUdBZ+XYFQU5Y+BdwGbgZtUVT121fZPAr9Xv01RlDuAvwICwHngZ1VVnVyubULzSEzlcQAdJqakGhFGO1mXR5JZ+lsYtTG4Mhkv4OtsbRSuFUzEsi1PRwVqosBKqX5ff3KYTL7EB96845rPzfr+NgrFCuFElsHr1HsaKZhrWnhP2wNWTklNs2ceDrpre3TBuGfLwtx2W0HQ6G27CgSjpml865nzfP1JvZF3d7uP/coA99+6bkaf1sXicDhqrTXsyuXwNKCnYTscjlp2woWJKUplreaQ2kwCPjelcoViqdLyxT+zyRfLnDwf58hwlHgqR6FUoVAq43E5efOBDdx8Q9813+fJdIGR8DTvundmG4mBbv0Zb+U2Rc0imtKzYXrr5jVvv3Mz//bMee7ZN8Sde9bMENNLxb/Ko+Dz/VR+HbgHuMZ+SVGUW4E76rcpiuIEvgT8qqqqO4AngD9arm1Cc0mmC7QFPU1POVkIQb+bckWjULRPClU4kaOvxWmTYO10v6WiaRrj8UzLDW9AX9QA66SkVioaT7w6xuv3rG1YX7S+X39tZDJ9zbZ6xqNpejp8LevBCHWLGha5lwbZfInkdOG6hjcGhkOk1eoXYfX0EKtUNL708Cm+/uQ57rhxkD/4hYP88a/cyc/9+C42r5lfn8X50Nnms3VK6uVImr5OP75qK4EdG7qIJHO8cFJfn9+2rnn30iBo0zGazZe4MN7YpXo8luEz/3qET/z5k3zmwSM8e3yc+HSeYqmC1+0ilsrz2QeP8j/+8WWGR1MzfvfkBd0N9Op2UG0BDy6nvSPgBkYPxvryiVt39PO7Hz3Afbesa6pYBIkwzmtGoKrqUwCKosx4XVEUH/A54P3Aj+o23QbkjN8DPo8eEfz5ZdomNBG9B6N59YtwxVAknSvWHlormVyhxHS22NK6MAMrp/stlWS6QL5QNiXCeGWMWuMBEk3lKJUrs9ZqrOsL4QBGwtPcdlW6aj2j0cyS7PEXg8/rwut2Wi7COB4zoq1z34+79q4l6HezZW1reqwuhNpk3CJjdTkolsr89bdO8JIa5q0HN/Lu+7YtW3ZKZ8hbS922I5cj6doCE4CyUa9jfOLVUXo7/MsyPwj4jD53JVOzm5rNIy9e4htPnuPXfmbfjMWkfLHMZx88Qipd4J59Q9x8Qx/Kxq4ZC/WlcoUnXx3lG0+d4w/+4UXu2D3Iz7zhBjrbfJw4Hyfkd7PpqpZlToeDjpB3dUQYk0aEsTXzKr9vdbd+WWoI6feBL6mqev6q1zdSF3FUVTUCOBVF6VmmbUITiU/na72mzCJkwXS/pWCWQyroLqlgzXS/pWI0KTbScFqJ1SKMk3F9Ajs4y73weV30dwcYqaabNULTNMajGdaaIMDbgx5SaWvcSwNjfM0nwtgW8HDPviFLptAbEUarOfo2k89/4zgvqWHe98btvOf+G5b179AZ8pKwaVuNUrnCeDTDUN+VRZL1/W0EfLpx0nJEF0HvwwjYzoUymsyhAX/9zRO1iBjAPz16mvFohl9+xx4++OYd3Lil55qsLrfLyf23ruf//aXX8fY7N/GiOsnv/M3z/OClEU6ej7FzU3dDT4TOkNf2bV/gSg/GVnkzBLyrOyV10TlHiqK8DtgP/HbzTmf56e1tbQ+8+dLfb51V6alMke0buk09p6Hq5Nfj91jq3iyW4Ql9kr59c2/LryfUrq++VRzOZX1vM/5OR87HAVC29tHf3/rPdtDvpuJwWGKMpk9FANh1Q/+Mmo56tq3v4uJ4atbzDcez5Itldmzuafk1dXcGyJcrpt7Lq987lbuMwwE3bu/H4165mQ4Vl37ubq/bEmO12VwcT/Hy6QgfeEDh/W/ZuezvNzTQzvQro3T3hEwt3WjEUv++F8dTlCsau7bOfFbt2dbLCycm2LdjYFnG0NqkHhHz+r22GqO5UoWeDj/ZfIm/+beT/I+P3cVzx8Z54tVR3v2G7dx3YNO8jvNL67t5+z038PkHj/DlR04B8DNvXtvwXg30hAgnMiv2Ps73vFOZImv6Qi27zt7uILlCmd7etpabF1qBpRSp3AvsAs5VU1XXA99XFOXngItA7VOgKEofUFFVNaYoStO3LeSko9FpyzXa7u9vJxxunOPeaioVjcRUHr/HYeo5FaoOqaMTKQY7zE2PbQZnLurCxqVVWn5fNU3D7XIwHp5atvc2awwPX4rjABylsinvH/S5Cccylvj8nr0Yx+txUs4XCYcbr4L2d/h47miakdFEw1XZ4+f0r9M2r6vl1xTwuIgmsqbdy0ZjeHgkTm+Hn0S11+dKJVv9Pp2IpC0xVpvNQ4+dxu1ycPvO/pZcn7s6Vxy+EKO73TrPp2Z8Dx89pdcptvtmfgdsGWznhRMTDHb6l+Ue57N6RGxsYoqhFjo0LzeReIah3iD33jzE5752jP/+94c4fi7KtqEOHrht3YLupRf4+E/v4dDJSZ49Ps72oY6Gvx/wOk39Ll0KCxnDo5E0GwbaWnadlZKejjoymmiKgZaZOJ2OBQfQFr00pqrqH6mqOqSq6mZVVTcDI8BbVFV9GHgJCCiKcld1918G/qX67+XYJjSJqUyBiqbRGTL3Ieiv1i3aJV88ksji87hqbpCtxOFw0B70krJhSmokmaOr3Weaq17Q7yZtkfYvk/EMA13B66bire9vQ0N/0DZiNKq/vravtTWMoKekTltsjE7Esi11i10ujHQ/u7UqAsgXyjxzbIz9ygAdLXKANUo27FgnNhpJ43DA2qvSsO+9eYiPvnXnstXoBvz2NL1JpQt0hLzcpgzwYwc38uJrk4CDX/rJGxcVnXY4HBzcPcj/9Z59tZ6gV9MZ0nsvlyv2MQ28moqmEU3mWuoLUV9nuxqZ12hVFOUziqKMoEcRH1UU5fj19ldVtQJ8CPhLRVFOo0cjf3u5tgnNw6jLMNv0xli9sY1gTObo7/KbVt/UHvTY0vQmkjCnVYlByO+xTJ3tRDw7a/2igeGeOlsd41g0Q9DnrtW9thKrjVHDgdcMQ6Vm43Q68Htdtuxz9/zJCbL5Mvfdsq5l72ksqNrRifJyOM1gd/CaFOyAz72sNbqB6iKxnWoYNU0jmS7UhN277t3KAwc28Cvv2LOsjumdbT40sFxNeDNJpQuUypUWC0ajztZ+36PzYb4uqZ8APjHHPpuv+vkZ4KZZ9m36NqE5GCumXSab3tQijDZZyQkns/Sb2AOxPei11GS8WYSTOXZt6jbt/UMBT61nmZmUK3p/xVt29F13v/6uAF63c9bWGuPRNGv7rh+lXC7ag14KpQr5QtkSzsiGA68dIoygT3bsuDL+w5cvs64/xPZZ3IGXA0MA2LG1xkgkzXoTMgzs2Polmy9RKms111eX08n73rh92d+3yxif6bylUqabSaTqkNpKwWi0mrLLvHShWKtaWzAdY8XUbJdUn8eFA3usNmqaRiSRo8/EuoyOoMd2LqnFUoXEVN6UViUGbX63JVxSo6k85YrG4Bz9KJ1OB0N9oVkjjGa01DAw0rWtMk7Ho0ZLDXsIxqDfbTuX1HNjKS6MT3HfzetausjRUZuQW2OsNotiqcxkPMO6/tZ/B7hdTrxup60EozE+Wt0mpKOWMm2v8VmP4Tg7m8HbclBLSbXBvHQxiGAUZmBEGM2uYXQ4HHrPGxukUE1li+SLZYkwNplYSrcrN6NViUEo4CGdK6Fp5hppTVZNWeZKSQVYP9DWMCqazhVJpQvX1C61ilq/UAsIcIBx4572mDe+mokdI4w/fPkyPo+LO/esaen7etxOQn637QTjWDSDpjGjpUYrsdsYTRkL8C0WjF3V+VvKZuOzHqMHY19H61NS7TAvXQwiGIUZJKYLtAU8ppmI1OP3umyxkmP0YDQzwtge9JAvlskX7fNFF66uMJoZYQz5PZQrmum1thMx/V4MzBFhBN34JpUpXjPZHatG1EyLMFqsX+hELIPH7aSnhROS5SToc1um3rYZZHJFDp2Y4ODuQVMcC7vafLbrdXe5aoa1zoQWRaA3Rs/YaDJuWoQxZF9TJoNoMkd70NPS8oVar1AbLWosBPNVgWApktN509NRDQI+t+kT8WZgpE6YHWEE60zGm4EhxE2NMFad/cx2Sp2IZ/B5XPOqPd5QTTe7Oi11rDpZNC/CaAhGa0QYJ2K6iZDTJKOqZhO0WfTmlTMRCqUK9948ZMr7d4S8JNP2mpBPxrM4mF+mwnIQ9LlsVR+WMkkw1iLgNlvQqCfSYodUqE9JXfnz0sUgglGYQWK6YLpDqoHfa4+HRzhRjYSZHGEE60zGm0E4mcXldJg6XkPVurt01txxOhnPMtAdmFcd17qqU+rlyasEYyyD2+UwbWHDWNSwSvuX8Zg9HFINAj571TCGEzkcXHH+bTWdbV7bTcjjUzk6Qt5FtXtoBnZLSU2mCzgdDtpMaKfV1eazXcp0PZFkrqX1iyCmNyIYhRkkpvM1hy2zCXhdNokw5mgLeGpfNmZwJcJoH8EYSeTo7fTjdJoXAaoJ8ay5D+aJqmCcDx1BLx0hL5caRBgHe4Km3U+/14Xb5bTEGC2VdddZuxjewJXJuNn1ts0iljJX3HSGvLabkMemzHXVtNuiRipdoD3kMSVLQV/QsFcE3EDTNKKp1kcYnU4HPo89SqUWgwhGoUZF00ilC3RaJsLotkXoP5zI0m9idBGo9dWzU0pq2OQejEBt5XjaRJFTrlSIJLJzOqTWs6E/xEh4ZmuNsZh5DqmgG121W8TNN5rMzct1diUR9LspVzQKJXs0845N5enpMDG7wO+hWKpQLK38Z5RB3GzB6LVXhDGVLtAZNGcBvjPks61LaipdoFhqbQ9GA7/Pnv1s54MIRqHGdKZIuaKZ3oPRQP9grvyHRySRM7XODmwaYUzKfYX6lhrzvxfr+tsYjaSpVPRoU7FUJpzIMmRS/aKBLhjNH6PjMXu11AD79bmLpXL0tJtpeKXfTzsZCcVTeVPvadDvttVkPJUptLx+0aCzTa+xtUtGQT1m9GA0CHjd5CTCKKx2DEctq9Qw6h/Mlf3wqFSM1AlzhY2e7uewRPSmGWTzJaazRfpMFoxBvxuHw9xWEJMxo/3D/MXNxsE2iqUKL7w2CegGL5oGa0wXjNZo/zJhCEaT70czMQwb7CBwNE3T0ydNjDAGaoZXK/9+AuQKJTL5kqn3NOhzky+WKZXtEQVPps0TjF0hL6WyZqsUXwNDMLa6hhH071E7LWosBBGMQg2jHsMqgtHvc5ErrOyam/iUHv0x0/AGjHQ/r2UMRZaKmSuM9RiGBtMmCsaJuNFSY/4Pz/3KADes6+Rvv32Ck+djjFUF0pCJKamAZVJSx+NZQn63KWYVy0XQp1+LHSKM2XyZfKFscoRRv592mZDHp/QFY1NTUv32iYJrRomPaRFG/e9ox7RUw3m+lT0YDQ3EUl0AACAASURBVPRSqZU/PheDCEahRqL6wLBMWw2vG02DQnHlrjZaoaWGgVXS/ZpBpOo8a3ZKKuh1jNMmipyJeAaf17WgiYnX4+IT797LYHeQzzx0lEMnJnQ7fZNTMNsDXlOjtQbj0bSt0lFBj96APSbjsSl9wcjMGkbjfmZMbqnTLAzB2GOiYKzdUxuM0Wy+RKmsmZeSWn1fOxrfxFJ52gKt7cFoEPC5xSVVEBK1CKM1BKPfa/S8WbkfznC1V6DZEUawTrpfMwhbJMII0G5yhHEynmWwa34tNeppC3j49Z+5mTa/h5dOhent9OPztP4BXE970EO+UDbdSGQinjVdPDebWkqqDSY7sZQhbsyttwN7pPjClXtqZoTRTvc0aVIPRgNj4d9uTr5QdfM3aZ4a8EpKqiCQnM4T9LnxuM2dNBr4q6uNK7mOMZLUGyH3mpA6cTUdFkn3awaRRBaf12WJlME2k4X4RCyzoHTUerrbffyn991MW8BjWj+7eqzQLzRXKBGfytsvwmijFEpLRBir99MuNYzx6j01VTDaKMKYqgo1s1JSjdIiu/UKBT3N1iw3f7/NeoUuBPMawwmWI5Yy16b8amoRxhX84QwncnR3+EzrFVaPnSKMkWSO/k7/gqNqy0FbwMMZkyKM5UqFSDLH/p0Diz7Gmp4gn/qFg7hd5t/LjjrX2R6TFlkmqzWhdhOMRoRxJX+fGsRSeRwOc8sn7CRuQE9JbQ96TF0wNkR41gYi3OwIo9/rwutx1swM7URiOs9QnznfzwGf3odR0zRLzD9aifmzWMEyRFM50yZpjQh4V36EMZrMmlKY3Yj2oId8sUy+uHLvp0E4mTXdedagPehhOlM0xZzJ6Be42AijQWfIWzPxMBOjTYmZ5kzji3CdXQn4PC6cDoct0v3iqRxdbT5cTvOmMB63E6/baZsaxpjJPRihrlWJDUR4ymTB6HA46Ax5a+dhF4x+4WaZM9rBW2OxiGAUakSTOXotUBNmYPQNW8kFxtGUde7plZ6BK/sBomkakUTOEnWhoNcwVjTNlMiN4ZBqlwbzV1JSzReMSxXhVsPhcFQt4Vfu96lBbMoa2TBBv9sWAhz0CKOZNaFw5Zlvh3uaTBdqLtpm0dnms12E8Uq/cPNSUmFle2ssFhGMAqCnKWXyJUvU2hmsdNObcqVCfKpgIcFofn1YM5jKFskXy5ZwngVoM/G+Gv0CB20ibqwwRiPJHJ0hr+kGQMtBwCb1NzELiBvQUyjtIG5AF4xmRxj9XhcOB2TyK/sZBXqEsT3kwWli2mJXyGs70xtDAJtVGxqwQanUYhHBKAB6JAysYc5isNJNb+JTeSqaZpl7aqx0pld4ClWk6jxrhZYaAG2BauTWhDrGyXgWn8dlWtpTswn43LicDlMFY8ICE+flIuhb+RExTdOIp3KW+BsF/W5bpE8WimWms0XT76nD4bDFGAVdMHYGzf1e7gz5bGd6U+sXbtJYXenz0qUgglEAIGYIRotEw2Dlm95Ek9a6p0aNWjq7Mu+nQa1pr1VSUqtRsWkTRM5kIkv/IlpqWBWHw0GbyW6+8WkbC0b/yo8wpnMlCqWKJertgz73il+AA33Mg7kOqQZ2EeHJdMH0hbzONi+ZfImCDXwLDIx+4V0SYWw5IhgFoE7cWOAhbOB1O3E6HCt2JcdqUduQTSKM4URVMFpEiLdX7+tUtvUiJ5zI2iYd1aA9YK6bb2Iqb9rq9XIT8LnJrPAeYsbippkN5g1CNqlhjNf6Wpp/T4M+e6T5pjLWEIxgr16MRr9wsxySjTrb1diLUQSjAEAklcPldJhqU341DocDv9dFboV+MK0mwg0HurSJTeabQSSZoz3owe+1Rlcgo4ZxusX3taJphBM5+u0mGIMeU8Q36Kl56VyJbpMMFZYbvYZxZX/+jQbz1ogw2kPcGH0tu61wT20QYdSqTp5m1dkZdIaqvRjtJBin84T85vULv5KSurLH6GIQwSgAV3owmlmg3YiAz7ViP5jRVI6OoAevRcwz3C4nPq9rxTeajiRzlokugt6uwO1ytjwqlpjKUypXGLBILWez6AiZF2G0UmrechC0QYTRaDBvFZfUbL5ExYSWOs0kXk3zs8JCSdDnXvF9GDP5EqWyZnqEscuIMNrIKTU5bV5LDZCUVEHQW2pYYHXxavw+N9mVmpJqsTYlAG1+94qPMOqmJNa5rw6Ho9aLsZUYDeZtF2EMeEwTjLX6GJsKxoDPTW6FC5zYVB6X02H6ZBz0rA2Nld36CfR7GvK78XnNX9wM2CDCaHYPRoPOqrBK2Mj4JjGdrwlhM6ilpK7QeelSEMEoANV+gVYUjN7W9Q0rlipUKs2bSEVSeXot0vrBIOT3rPgIY2I6b6nUadBFTqtTUiertZx2izC2Bz1k8yVK5dY3RrZSpGU5CPgMgbNyJzuxVI6uNmtkwwT89ugbGE9Zx+jJDi6phmA0OyW1PaC39Uim7RRhzNeEsBm4XU48bueKXyRaDCIYBUrlCompvCVqQq4m4HW3zPTmU194ga89OdyUY2maRiyVo89i9zQU8DC9gk1viiW9xszMlJRGtJlQdxdOZHE5HZZIzWsmxt/WqAFuJbZPSfUbhg0rd7JjlE9YgZrz9AoXOHELZW0E/W7yxbIpC0bNImmRCKPT6aA95LFNaw1N00hMF0xfMA54XRJhFFYn8ak8GtZp/1CP39uaGkZN0xiLZnj5dKQpx0tlihRLFcvd09AKT0k1HnxmWWrPRpsJaZST8Sy9nX5cTnt9jW9d1wnAqUuJlr93fCqPz+uqpR3ZjaDPBoJxKmeZxU3jfq70FMr4VM4yItwOY9QqghGgK+SzjenNdLZIuaKZvmDsr6b2rzbsNdMQFoUVezAa+H2tiTBm82XKFY3RSLqWTrIUrOaQahAKrOyUVKMWw2o1Zu0Bb+trGBNZ26WjAgz1BmkLeEwRjImpvG3TUeFK/U0rBM7Lp8IUS82NElU0jfhU3hLtH+BKxDazorM2KqQyRctE1Wv3tAVjNJsv8eDjZ8k3uU9hKl3A6XDQVm25ZCadbV4SNjG9qS0Ym/wdHfCu/H62i0EEo0DEouIGWlfDOF2XTvjaxfiSj2c0l7eaCA/5PaSzRbQVanphPPjMrg25mvagp+qM17o0qnA8azvDG9BNhJQNXahmRBinrVPLtRy0ajI+Fk3z2YeOcujkRFOPO50pUipr1okw2qCGMWGxNOygTxdZrbinr12I8+1nL/DqmeZkFhmk0gXaQx5L1Nma6TrdbIyxaqbpDeju/ZKSKqxKohZqhHw1Aa+bfKG87K5+U3VpmurFpU9UjXtqNREeCrgpV7Smr6i2CiO1xuwVxqsxejG2Kno7nS2SyZdsGWEE2LGhi0gy1/I6xsRU3nJjq5kEWpTuZ0QCxmOZph7X6BdolWeVHWoYDaOnHgvVMEJrIozGc//0SLKpx02mC3QGrbGoabhOr9RF4nqMDCMzTW8AgtWF99WGCEaBmMX6BdZjuPrll3k1x/jwtwc9TYkwRpM5Aj537eFnFWoTnOzKnOAkpnVLfUOgWQUj9Wg605paEaOlhp0FI8CpkdZFGSsV3VDBKpGW5aCWkrrMAsdwDJ6ojtNmEUtVxY1FFuJ8XhcOx8quYTRKUqwy7ms1jC0Q4cZz/3STsxlS6YIl6hdBX8wslSsrdpG4nlqE0eR72xH0kGrRs95KiGBcoeQKpabVh1ixX6CBv9oXarnrGI2Ujdt29DMWzSy5SNyqfS2vrIivzNWxxHSe/5+9Nw+SJC3PPB/38LiPjIjMOPKsrDO66+iurqbpA+imQUItJIQE6GAE7O7MooFdEztjM7s7Mlsb7ezOjs1qtH+MJHbB1nY0SBqDETMjAUJCSAho+qBp6LOqq6Oysq68IzLu0+Py/cPji4zMjIh0j3DPdM94f2YYkJEZ5enp4f693/u8z+Nz2wwh9enG2y4YD0v6k8jKnZvjKEkFgPmwB067oEm3Xym5kohmSzLMwlkPXPbDCZ1mTswJrTuMBitueI5rx0CY834KdEXJGOScHmaHkW1srCSLmm6i5MsGKhg7m5mHc41mCiL+/V+9rUuBmivW4LILR97c8LllzwItY9jMgKL2RywW+10AHwWwCOBSPB6/GovFJgH8MYDTAGoAlgD8w3g8nmz/zGMAvgjACeAOgE/E4/GEXq+NG//XV15DOODCpz90fuT32s6LmA+5NTgq7XHYWcHYAKDfA409OB6+L4zvvbaO+L0M3nl/ZOj3S+WrmDJYBiMAeJzyR96scopssXbk8wu98LTlR4eVxZhsd25Cx7TDyPMczs5NHKrxDZO/HmdJqlWwQLDwuheM7P6yla1AkiRwGm3wpAsiBAsPr4EUBi6TB82nCyKcduM4Ax9WFxzYuV9LErC8nsOlU5Mjv6ckSciXaoaZs/c65eMoVOqYOoTnxbXbaTz7+jqunJvCA6enNH1vo2Qwe102SJDPqVH+zoeB0g7jnwN4EsDdrq9JAH4nHo/H4vH4JQDLAP41AMRiMR7AnwD47+Px+DkAz+r52jiynirjx/HEyA9+lhdoFInPXhw2NnOjb4exWKnDwstmGw6bBW+P2NlI5Y3eYTTnAidXNOaMGVvAFg6pYExkK5jw2GA3oIxcK2LzfmymR+/2KyWdM1b3Si9cdovuBQ5biIu1piau04x0voqg165ZAaoFLofV1KY3RspgBGRVkSzz1f9eWqzUMTXhAM9xWNJI/l6syMZMRz1nx+g8mw6pw8i67TfX8pq/d7ZkjOc/KxILxySuRCmKCsZ4PP5cPB5f2fO1dDwe/17Xl34I4ET7fz8MoBqPx59r//8vAPgVHV8bK+qNJiqiLEl9bcTcwIJB8wIZTlt3h1E/CuU63E4rBAuPc/N+xEeYYyxX66iITUOeUzeTp5hUQiWH9h79A2Mvhz3DmMxUEDmm3UXGuYX2HOMhdRlTbWfj414wOh1W/SWpXRsnWs4xZgqiYfICGbIk1cwFY9VQ1zzXkfkezgzj1IQDCxEPbqxoY3yTyDL1hzGe/2zev9sJXk/YZvTymrZGQoAsSTWCwogV4bkxm2PUZIax3f37LICvt7+0gK5uZDwe3wbAx2KxoE6vjRX50s7D+KURbcuN6ubJOKwOY6lS78yhxRb82EiVh84u6sSUGLFgdJhXktpotlCs1A3xwNiLYOHhtFsOcYbxeEZqdHMi4oXdasGNQ5pjTOWq4DjA5zaO3FEPDqPDWKo04GyPE2xltJtjTOeN1Q0D5HuqWWfCAVmSaqSCETg8mW+x2oDbacW5eT9ub+Q18YVIGsyQzHvIM4ys0L+1kdd0xk+SJGQNojDyjWmHUSvR+u8DKAL4A43eTzcmJz1HfQg9CYW8ir8303a4nI94ce12GnaXfegB6xsbBQDAmRNBVcdwWDQ4eU/D5hB0Pb5qo4XAhAOhkBePPziLr353GRvZKs6eVK/Bv7VVBGDcc2oTeEgcr/mx6f27JtoLz7nohCHP64THjnpL//Mg1pvIFms4Oes35HnQkvtPBrG8kT+U3zOVqyLgdSAamdD93zpKJjwOVGoNXc+p2Gjh1Kwfb99Joyg2Nfm3Wi0JuZKI2YjXUNd90O/C8rr+12it3sRX/iaOjzx9dmAgvJrjaDRbyJdqmIv4DHVOfR47GodwLy2LDUwFXLgSC+PbL68gV23i/pOjff5L9XUAwP1nw4YYGZAkCTzPoclxh/I3Zlv7Yq2JclPCyYhP9Xv0Os5CuYZGU8Js9OivVadbLlqbOqyjjMzIBWPbEOcsgA/F43G2PXMPO/JUxGKxKQCteDyejsVimr+m5nhTqaLhnI1CIS+SyYLi77/b1to//dAM/uhbcXz7hVt46vLsUP/27RVZesm3WqqO4bAot1v+ie2SrseXyVcxPelCMlmA1yZ3i16+uoH759Q/PG4Z/Jy6HAKSaW3Pp9preBhutSUuFsmg59UuYDtb1v3Y1pLyhoTLxhvyPGjJyYgHf3Yjidv30gMXyVqQylUw4bYe+3Mq8EC+KOp+P50LezA14cDt1awm/1a+vWC0WzhD/Y14SChW6rof0/W7GXz1O0uw8Rze//Bcz+9Rex/ezlYgSYBDMNY5tVl4ZAtVXY9JkiQUSjUIHBBpy5xfvrqOKc9o95k7q1kEvHbks9o6BI+Cx2lFIqXvGoqRzlXgcVpRrNTx8tUNeKzqhIz9ruHV9nNPgHTk16okSbDwHDYShSM/lmHheU51A20kSWosFvtXkGcLfzEej3fr934CwBmLxd7d/v+fAfBVHV8bK1j+y8WTQUSDLrz01vCy1FS+CrvV0pEqGg02w6j7zE251pFuWHgeZ+f8uD6kFC6Vr8Iq8PAZyMmvG3f7Zn4Y/LtvXscrN5KavBcL7TWCJKUXHqf1UGQ/bEYm7Hfp/m8dNSyPUStDikGk8lXDXlta4rQLhzLD6HFaEQm6Opmho5Jtxz8YTZLusguoN1qoN/SOfpLvf28spzR7z3SB5Voa67p32QXdcxgrYhPNlgS3wwqf24ZI0KXJvPRWtmI492qvy3qIpjcNzIc98Lmsms4x5gz0/Oc4Dj63TVNDLzOgqGCMxWK/F4vFVgHMAfjbWCx2LRaLXQDwWwBmALwQi8Vei8VifwYA7U7jJwH8P7FYbAnAUwD+mV6vjRvMNXDCbcM77w8jfi879Lwdy2A0kutcN4KFh4XndM1hlCQJxUqjYwgDAPctBLCVHm6OMZWTXWeNek7dDuuhuKQ2Wy089+YG/uiv45qYFuVKxlwwMrxOKwqHYCzQmZE55jOMAHBqxgfBwh+K8Y0sST36xYjeOO36zoe1JAmlah0ep4BwwImtjBytMSqd0G6D/Y3YZqveJi1swf/2vQxqGmXcMQ+DoMHmQp2HMMPIjN+YcuHc3ARuruXQGvFaTWYqhplfZHid1kMzZCtV63A7BJyelc+nVrDPvxFiNQC5CM+PmemNorZSPB7/HIDP9Xip74o4Ho+/AODSYb02TuRLNTjtAqyCBY+ej+Drz9/By9cT+OlH5lW/VypfNdzuYjccx8Fhs6Cio0tqRWygJUmdDiMAnJ6Vdfd3Ngq4fFbd+Unlq5gy8Dl1O4ROl0pPmFFRvlTDt166h198z6mR3i9bFMFxcgaSEfG4Dq/D6LQLhlUFaIlVsCASdCKZrer674j1JkqV+lgUjC6HgFq9hUazBcGiie/dLipiA5IEeBxWTLjtEOtytMao7sZMYRAwQIehGyczEqs2dHVwZh3GeqOF+EpWk8zANCsYDfa8OgyXVGb8xgrGs3N+/OCNDaxvlzAXGs7rQqw1kSvVDGdI5nFasbZdOpR/q1xtwOWwIhJw4tWlbeTLNfg0eGZ3NozcxrhWqcNImIJ8qdYxuZmedGMh7MGPhnRLTedFTBnUIZXhtAuo6uiSyrLzPF0S0oWwFxwH3NlUnyXEurZGxe20HopLKpO9OWwWfOtH94bugjOyRTkMmeeN2bn1OK2oNVoQNdr970ciK+9gG7WDrTVep/47uTtyR2MsRvSEBaPrpdpgcne3U140AtpEa2Taf6NhDd70gmXb6t0Ry5frcNotsAk83tRIlpouiHA7hI4buVFwOQSI9SYazdFdS/tR3FMwnpuX/QqWVofviiWzxnJIZXhctkMbQylVG50OIwDc0iiPMVeswWm3wG47eiMhAPC5bJ1NnHGBCkYTki/VMNFV3Dx6PoLl9TxSOXW78GKtiWKlbujiBpALDj1zGFlXyOPcWYjYbRbMTLlxZ1PdQHOt3kS+XDdsTAkg7/wfhiSVFYy/8K6TaDYl/PkPbo/0ftmiaMgMRgbrfOrdZUxkjn+kRjc+t033+RtWjIxFh9HOJJT6nNPuhTiTTW+lRzcAyRZF+FxWXbqio6D3+WQUyjX4PXbcdyKAN25pVDC2nYGNBjunes7aFvdsFIf8Tky4bVgaQf7emS832P2ZmdCMKrc9iFq7yHc5BCxGvbDwnGayVKNEajB8bhtypbomcnuzYKw7L6GIXFeHEQDuXwwAAG5vqNvJYflYhi8Y7YKuM4ysw+jdY1KzGPHizkZe1Q2hk2tp4HPqdsomDVrNwfSDSYpORL14+qFZ/OCN9Y7D5zDkijX4DdZd6KaTd6XjTm6z1UIqVzXcDraeeJ023fOuMsXxKxj1yrbtlvpNTjhg4TlNJPDZgmi4+UVA7oYBhzPD6HXZcOnUJBKZiib5lumCiEmDyVGBrnN6GAVj+77NcRxOTvuwkhj+GcUMnoxoeiNJ+l+jbCPa7bDCZrVgIeLRzPgmW5IVRkbB57Kh0WzpujY1GlQwmpD8noJxZtINjtuxHVYKKzBPRtXn5BwmTpu+rn6sI+TeY9u/OO1DvlzvdB+UwLq8UxPGemB0w35PvbuM7G/msgv40LsW4bBZ8NXvLQ/9frmiMReMDLZTradMZTtXRbMlIRI07vWlNV63FWWxoas8bRwlqYfRYbTwPKb8To06jDVD/n1cjsO5nxbKNXhdVlw6FQQATWSp6bxs0GY0XPa2zFfHc1qq1MFhZwMFAKKTLmxlKkNHryWzFbgdgu4RQGphm5l6SyjZPYUV/KdnJnB7M49ma/R7d7ZgtA6jfE7HaY6RCkaTUW+0UBYbuwpGm9WCaNClemdseS2/SzZkVGRJqn67OGyB491bMEblQFY1slQ2w2C0HcZuPGyBo/NMA9sddjoEeF02fPCxE3hjOYW7KmW+QDtgulw31A7jXtgioaDjed1IyQvv6Um3bv+G0WBSXz1lqZmCCKdd6BRTx5lOwahTh7FYaXcZ2p+HSNspdVQyBpOkMTqSVJ1nGAvlOnwuG8IBFyIBJ968pSqCeh9irYlStWE4wxvgcDqMhUodLoewayY+EnCi0Wx1zIDUksiUDfnsZ5uZes8xsk2TTsE4O4FavYXVxGiGO5IkIVcy1oYRM/IZJ6dUKhhNBtsh2rtwng15sJZU96FcXs/h1IzP8OYZTru+LqnFSh0WXnZj7WY+7AHPcaqMb5K5KgQLbxjr5164O65+h1MwsgXVex+ahU3g8XevrKp+L7aLZ+QO42HMMG62C8Zo8PhnMDJ8h9C5zRRFQ8vItYQt5vRSbRQrdXDczr8TDjiRGDFao9FsoVCqGTJSxyrwsAm8rjOMzVYLpUq9MzZx6fTkyPEa6QJzSDXedd+RTevcYdzbCWT31c0h5b6JbMWQG/Be5+HM15e7JKkAcKZtfDPqHGNZbKDeaBlqXcWe99RhJAwLy2Dc6xQ3H3Ijka0oNocpVevYSJU7TlZGxmHT1yW1WKnB47LuK5xtVgtmQ27c2VDXYZyacIA3cBHu7szaHY4k1WmXC3G3w4rHLkTx0ltbqnc6maW+USy1e+FyCOA4fTuMm+kSvC6r4SRPenIYHcZsYXwKRqfOHbFSpQ63w9q5B0YCLoj1ZufZNQz5Ug0SjDtj6nLoGwNRrDQgYeez8MCpSdQbLbx9b3iDlnRelmEHDXhOD2uGce99NNIuGLfS6jvijWYLqZxozA7jIahfgJ1NaPb3C/rs8HtsIxeM6+1IECMV42wNnj+EKC2jQAWjyehXMM6F5dwgpV3G2+ty1+z0jLHnFwFZkirWm0PPFRxEoVzfJ0dlLEa9uLNZULw7nsxWDPnA6MbdmbnRf7fRbrXAwu/cZt53ZRa1RgvPvbGh6r1yBgvt7QXPcbIbnY6dsI1UGdNj1F0Edsyo9O8wGvtzqxVsA0fPDmP3PHhEA6dUZkpkJElaNy6HVdeCkV377LMQW/DL8RojuKWm8sbtMO7M2epbMO71LZhw22C3WbA5xLWazlfRkiRDGpIdxnw9sL/DyHEczsz5sbQ6/MYGgM6m/aKB/DbYZ5E6jIRhYRfnxJ4gVBY0q9T45uZaDhwHnJw2zgewHywjSs/csH4dm8WoF8VKvfNwPYjtbBVTfuM9gLtxOw9HkloRG53FKWMh4sWZuQl879U1VRbfWSZJNeiCkeFxWnXthG2kyoiO0fwi0CX90em8tloScsXa2HQYLTwPu82i22Jcvp/uzIKG2xsciRHmGLMFY3/+XQ5B33m7MnPylj8LVsGCcwt+xO9lhn7PdL4KDsbs2jpsFnAcUBb1u5f2kqRyHIdowDXU5oZRIzUAwG61wGblD2GGsd1h7JoFPzc3gXRexHZu+M//nc08/B6boa5VwcLD7RBohpEwLvk+HcbJCQccNovi4eJb63nMTnlMYfLAig69shgHFoztglqJLLVUraMsNhAyeKdC7vpxKOksSS2LjY6DYDfvvzKHRLaCqypMG7IFERx2nMmMyqTPge0hDRMOolipo1ipY3pyvDqMLocAC8/ptjueL9fQbEmGzk7Vmgm3DbmScvdnNZQq9Y6xFgBM+uyw8NxIxjdZg8eeuOyCrhtwezuMADAddCOZrQ49G5ouiPB5bIbLtQTkws1l11/m2+u5Hwk6h+owJg0aqcHwOq2HMsPotFt2GQmdm/cDAJZWhpel3t4oGKq7yPC59Y98MhLGu1MQA8mXanDYLLBZd3dueI7DXMiDFQUdxpYkYXk9j9OzxvsA9oIVtRU9O4yu3lLHuZAHFp5T5JS6nZULhZDBO4wcx8HttB5JhxEAHo6F4HPbVJnf5EoivG7bLnmrEdHC4KMf42h4A+xIffUqGFkUTniMzmvQa+/MsGlNsbp7A64TrTFCbmC2KMLCcx1pndFw6zzDyDqMvq7n1NSEA2K9OXTXKJOvIug17rNKz65tvdGCWG/2LBijQRdS+SrqDXVREIlsBVaBN6wxm8dpO4QZxkYnEoUxF5IbEzeGlKVWxAY202UsTnu1OERN8blsJEkljEu+3D+8dC7swVqyeOBidTNVRkVs4PSM8Q1vAHTcS/XoMLYkaWCH0SrwmAt5FDmlmiFSg+F2CPrHavR4eACylOO9l2fw5nIKCYWLSDmDzbjzi4xwwIWK2NBF+rORktUD49ZhBGQpnl5S3+1xLBh9DsUyGw/LowAAIABJREFUe7X0mg2LBJxDGYkwsgUREx6bYc3EXHb9Zxg5YNdzaqotoWbXr1pSeRGTBozUYOh5TruzQvcSCbogSTvPc6UkMrJ/gVGvUY9L33EJQC7umAs7g+c5nJ2bwI2V4QpGFsNlxA6j120j0xvCuORLtX1yVMZcyI1StXFg0Pxy27HKLB3GzgyjDk6p5WoDkrQ/g7GbxWkv7iowvkm2NfpTBpekAmh3GPV3Se3VYQSApy7PguM4/ECh+U3WoBlse2HzK6PMa/VjI12GYOFMcX1pjddl1W1WhM3WhAPjVDDakS2KmgRqd1OrN1Grt/a7TwZcSGTLquaWuzH659/lEFARG0P/fgdRKMtFeLfUj83cpoYoGCVJQrpQNaThDUPPDuOggjHacUpV1xFPZCuGNLxheF1WFCv6dsNK1XrHIbWbs3MT2EiVh7qHM3WXETuME9RhJIxMbmDBqMz4Znk9D7dD6FhIGx3WYdTD1W/Qg4NxIupFqdpA8oAHczJbhdsh9LxhGg2Pw6p7h7EiNnYNv3cT8NoxH/bg7payyJJcsX9n3UhEdCwYN1NlRIKuXYvGccHn1q/DmMpV4XFaTTHPrRVBrwOSJH+utKTf/TQadKJWbyF7wGZmP7LFGgIGLxglAFWdCpx8ubZrfhHY2ZgcpsNYqjZQq7cMGanBcNkF3XIY2XW6txMO7NzD1WQxSpJkeId0j9Oqu+lNudroOKR2E5sPABhujvHOZh6TPscuObZR8LqtKIsNNJrabrwZFSoYTcbgDqNcMK4kBheMt9ZzODnjM6x0Yi9sIaeHS2pngTNgNuZklBnfDJalbmcrmDLwA6Mbt0NfkwZANr1xDiieI0Gnol3cZquFfKlm6A4DY2rCCQ4YaV6rHxvp8tjNLzK8Os4wbueqY+OQymCdJa3nGPsXjO1A9CGjNTIF43cYAf1iIArleschtfvfdDuEjrJFDWkDR2ownDp2GEsDNopdDiu8LquqDmOuVEOt3jKkQyrD67SiIjZ1LW76dRgXp72wCvxQ8Rp3NgqG7C4CXVmMY9JlpILRRDSaLZSqjX2RGgyXQ8Ckzz4wi7EiNrCWLOGMSeYXga4Oow4zjMw1bFCHcTbkhmA52PjG6DuM3bidVhR1lKTWG000mlLfDiMgLyK3cwebC+RLdUiAKWYYrQKPoM/RsVjXikazhWSmMpbzi4A8K1IRm6qNKJSwnat25sHGhWB7di1d0HaOsdSncxMZUuYHAGK9ibLYgN9r3M8/m9XWS+Zf6NFhBGRZ6jCSVLZRYOSCUU+X1IOURZGgC5sqZm4TBndIBdAx9tOzy1iuNnoWjIKFx6lpn+o5xmKljkS2gsWoQQvG9jnVezbUKFDBaCL6RWp0c5BT6u2NPCQAp0wyvwjom8NYaGv6B80wChYeJyLegbtjrZaE7VzV8A6pDLdDgFjTb7eRPegHFYxKzQWyBg/t3gtzStWSRKaCliRhOjheGYwMr07B05IkIZUfw4LRq1OHsf2537sQD3jtsFl5VYtwRs4En39m9KFXR6xXhxGQFQ3DSFLZRkHQyKY3DgFiXZ9n1E7B2Pv5pDaLkT3DIgbvMAL6FTf1Rgu1RqtnlBYAnJ334+5WQdVoETO8MWpeOCsYc9RhJIwGGxgeNMs1F/ZgM1Xue5NdXsuBA3Bq2jwdRqvAQ7DwusyHKJGkAsB9JwK4vd7/ZicbSEiGz2BksA6AXjvibOE0aC4s0jYZOUi+yaTAIQM/jLuJ6FAwbrBIjTHtMOq1k5sv1VBvtMbOSMjlEOCwWTR3Su3XuWGB6MNIUpmJm1HjCoBuSar2i/FWS0KpUoevxzNqasKB7Zz6GJ9UvgoLzw3cfD5q2GajXt4FdqsFVqG3KVsk6ESuVFP8bycyFXAcDC1tZ5tuRZ2k/eza3+uSyojN+yFJwPK68jlG5k5/wqgdRrc+G5lGhQpGE6G0w9hsSZ0F5l7iK1nMTLlNYczSjcNm0WeGsVyHYOFgt/Z+cDDOLwbRkiTE+0gqzBSpAaAzmK6X8U2l7Wg7sGAMyudqkN2+JEn4/mvrWAh7MDtlju5aOOBCsVLXdEZ0My3LzMd2hlGnDiPrzhh5oacXQZ+jM8umFYM6N9FJdV0bRrZtzGPkDqOeM4zFiizJ79VhnJxwoFZvqc7Xy+RFBLx2Q/sYuHTs2pYq9b7dRWDnPqt0428zXcbUhAOCxbhLaraJo1cWI9t87re2PD0r+2bcUGF8c2ejgHDA2dNIxwiwzyTNMBKGgznaDSwYw22n1B7GN/lyDW/fzeLy2Sl9DlBHnHaLPjOM7QxG7oAH55lZH2wCj7fupHu+nszKC68ps0hS2w9LvYxvyqL8voM2Jtxtc4FBXYc7mwXcSxTx1OWZA/9GRkGPaI3NVBl+j22snDy7YR1GraM1WME4bpJUQJYjpod0Le1HaUDnJhJwIZmrqJ5DZZL0gIFnmDsbcDoUjOya7zXDODVktEY6b+xIDWBnLlSvIryXQyojotKkaX27hNkpjybHphd6zzCyv1O/4s5hE7AQ8WBJxRzjnc28YecXAbmRYRN43SKfjAYVjCaCXZSDCsZo0AnBwvWcY3wlnkRLkvDIfWHdjlEvHDZBlxxGuWA8eCFiFSw4OzeB63cyPV9PZtuSFIM/hBk7HUZ9JKlKOoyA/GAe1HX4/mvrsFl5PHo+qunx6YkeBeNGuozpSXN0WPVgp8Oo7WKHSTLN8rnVkqDXgYwOHca+c2FDBqJniyJsVt7QmyV2mwUWntNlMc6u+X4zjID6aI1UXsSkgecXAX07jGyjuB9hf9vtWkHB2Gi2sJkuY8bgChgmFS3qNMPINp8HbRKfm/djeT2vaNMoX6ohlRexGDXm/CIgS+29Y5TFSAWjiciVarDbLAPlkxaex+yUp6dBy4+ubyEadGE+bOydsF44bRZUdegwFir1nju3vTi/GMTadqljwtDNdq6CoNduaElKNzszjHrtNrYfHgcs8qIBV98ZxorYwEtvbeGd90VMJaFmsuSERtEakiRLzMd1fhGQNx4sPKdLh3HcMhgZQZ8d+XId9YZ2G3GDOjfs+lUrS2WRGkZWGPAchym/U7PPfDeFAR1GttGxrSJao9WSkC2KJugwtmcYdeowDioYbVYLgj6HoizGrUwFzZZk+JEJwcLDZRd0M705qMMIAGfn/Gg0Wx0zm0Gw+cWTBo3UYPjcNuTJJZUwGvlSrW+kRjdPXIxieS2/y8I4VxQRX8ninfeHDf3g7YdDJ4vtYnnwg6Ob84tBAMBbd/d3GZPZqmnmFwHA0y7AjnKGEZDnGLPFWs/NgJeub0GsN/HU5RldjlEv7FYLAl67Zh3GfNt8YVznFwG2k2vVfLGznauM5fwi0OWUqqEstTRgIc5MrtQa32SL5shgjQacQ7nAHsSgDiPLYlTTYcyVami2JAQNbCIE6N9hHCRJBWS1lpLNjfVteb7c6B1GQN50YM7wWqOkw3hqRu4W3t1SUDBuFMABWIgYvGB0WanDSBiPfKkGn4I5jicvz8DrsuIvXrzT+dqP40lIEkwpRwVkichWpoJWS50b3EEUK/UDHVIZ8xEP3A6h5xxjMlcxldOiwy6A46BbFmNZbIDjdjI0+9FxSu2x0Pr+a+uYC7k7DxkzEQk4saVRFiNbYI9rBiPD57KhoPGDOTWGGYyMThajhtEagzo3LocAn9s2RMEoG7QYnUjQhUSmjJZKx9KDKJRr4NA/AkJtFuNOpIaxr3vWqdLa6KrVklCuNuA5wEgl3M5iPMiBdi1ZBMeZ4/7scVl1n2EcpCrye2zwuqyKCsabazlMT7kNr/6QO4xUMBIGI6eww2i3WvCBR+Zx9Va609b/0fUtzE65MRsynxwVkG2VxXpzKFv2frRaEkrV+oEPDgbPcbj/RADX72Z2PUTEehO5Ys00GYyA/Lu4HVbdJKmVagMuu3BgN5t1zfbKUu9uFnB3s4CnLs+asiOuZRYjczwe1wxGhrw7rt31Kklydur4Fowsi1G7OcaDOjdyF075PVySJGQLIvwGNrxhRIIu1BotZDU2EiqU5XNq4Xsv19RmMbINAqMXjHabBT6XVfXM60GUxQYk7I9+2Us04EJFbByoaljfLiHkd8J2gNO6EfA6bTrOMDZgt1kGjuVwHIcTES/uHSBJbTRbuLGaxf0LAa0PU3N8bhsKpbrmG0VGhApGE5Ev1RTnJr3vyhxcdgF/8cJdZAoillZzeOR+c3YXgZ0cHiU7U0opiw1I0sEZjN2cXwwinRex1VUMsIe1mSSpgDwEr5cktSw2FO0MMoOYvYvI77++DqvA4/ELEV2OT2/CAVdHSjoqG6kybAKPgMFNKvTG69bWXECe3xu/DEYGkyRqJUlV0rlRG61RERuoNVqmkaQC6iW3B1Eo1wbO2avNYmQbBEET3E/CAZfmmbZKs5eZU+paW3Laj7XtkuHnFxkep7abbt2Uq/W+GYzdLES8WNsuDTS+WV7LoVZv4fyiCQpGlw0tSdJlZMpoUMFoEhrNFkrVhuKC0WkX8P6H5/DKjSS+9twtAMA77zfn4huQ5R5WgVc0LK2UjpmAwhlGALi/fQO73iVL3W7vgE6ZrWB0WnWcYWwcaHgDyOYCkz77Lklqo9nCj97awjtiIbgMmr90EGG/dk6pW5kyIkGXoTPTDgOv06bpYocZhYzrDKPNaoHHadXMKVVJ5yYSdCFfrisOuM+YIIOREemoJbQtcPLles/5RUYni1Fh5yiRrcBptyi6Px814YATCY07jDtZoYOfLadmfHDaBXzj+dt9i/FGs4VEpmKK+UVALpIL5brizQU1lBU+809EvWi2pM7sZy+u382A44DYgl/LQ9QFr1u+jnJjMMdIBaNJYA8DpQUjAPz0I/OwWy149vUNLIQ9pjbNsPA85sMe3NOww6h0p7GbsN+JSZ8Db3XFazDJjNk6jAGPHdsazi91o7TDCMi7yN2S1LfupFEWG6be4OhEa2iw2NlKlzuL0XHG57ZCrDVRq2vj6pka4wxGhpZZjEoW4tGO8Y2yz0Ung9EEM4x+rx02K6/aBfYglHQYAeXRGutJOTPQDFL/cMCJdF7U7DMPKC8YPU4rfvm9p/H2vSxeuLrZ83u20mU0W5JpCkavy4pGswVRw/PJKFUbijZ4T0TksahBarG37mSwGPWZYsOYZQRrPV9vRKhgNAlMiuVTMMPI8DitePqhWQAwtRyVcSLixd2tgmZacfbg8CrIYWRwHIfzi/IcI7Oj385VYbPy8KkoPI1AdNKF7WwFjaa6IG0lVFQUjNGgC5upcmfX8+XrCTjtAi6cDGp+XIfFThbjaIvHRrOFZLaKSMBcmxF6wLosWjmlsgX2OGYwMoJeRyeLclTY/XTgDKPKaA02D2iGGUae4xAJuHSQpA7uMO5kMR5chEuShLXtkmkKHKbUSKrMmRwEm+E7yCUVkA0Ez8xO4D/+3c2e5jtMrmomSSqgTxajUknqlN8Jp93St2AsV+u4vZE3hRwV2NnMUpuFakaoYDQJrN09ofLB+cxjC3jXpSje84C5ogl6cSLqRUVsdiSgo7Lz4FAnzblwMoiy2MBnfvf7+Kf/9/N4/s0NhCacptix7SYadKHZkjQ3FQBkxzSl2YmRoAtlsYFiRZ4pe2VpG1fOTpkm07IXDpuACbdtZHnadq6KliSZWh2gFazLopUj3ThnMDKCPrtmLqlKOjchvxM8x2FDYVG1lizBwnOmkKQC8r1Myw5jqyWhVKkP3IxkGx5KnFLz5TqKlbppCpxwuyOtZb5l5zpV0L3iOQ6feiaGitjAn3735r7X17dLpnFIBXY2x/WYYywpfObzHIeFcH/jm2u3Umi2JJw/YY6CMRJwwWm34NZG/qgPRXfMuyIbM1hYvBpJKiB3JP/Bz51X/XNG5ESEGd8UNXm/fGeGUd25uXIuhN/4hfP40LsWEZsPYGbKjScuRTU5psOE7fZvprQPm1bXYZR3kbcyFbx1J42K2DgWHXEtnFLZ4pMkqV3SH806jOObwciY9DlQERuamDOVOgVj/8+9YOEx5XcoKqpaLQkvXd/CxZNBUzhQAvK9LJmtaqbaKFbqkNA7g5GhJotxPSk/O2dDZikYtZsFZ5SqdVh4Dk67smtqLuTBM48u4Pk3N/H2ngzmte0Swn4nrII5rk82fqN1ni0gbxK7FUpIFyJerCSKPWPSXltKwirwODM3ofUh6gLPczg17cPN1dxRH4ruHLiii8VivwvgowAWAVyKx+NX218/B+BLACYBpAB8Kh6PLx3Fa+NAMlcFz3GGD9vVk5kpNyw8h7ubBU3yJLOFGpx2AfYDsgL3Ilh4PHbefAXiXqaDwwVpH0RLklQVjB2ziHQZ1+9m4LILOL9oXjkqIxxw4trt/ZmdaugUjCRJ7XQYtcplS+WqppHm6QVz3k0XRMyO2GlVOhsWDSqTbb59L4NMQcSvvu/MSMd1mEQCLrTacS1aqAI6xmwHjDtMTjgUFYyrJpRQuh2CpsY3xYosnVSjCPr5Jxbxo+tb+JO/uYH/7R+8s2NAtm4ieS+wY/CndbYlm4tUqio6EfWg1mhhI13edy2+sbSNM7MTpinCAeD07AS+8fwdVeseM6Kkw/jnAJ4EcHfP178A4PPxePwcgM8D+OIRvnbsSWTKmJywm1qmNypWgcdsyK1ZtIZZAqH1wuWwwue2KZaHKUWsNSFhcIBvN1MTDlh4DqvJIl5dSuKhc+aWozLCAReyxRrE2vAGA5uZCtwO4cBF+Dig5QzjuGcwMoJe+ffXwim1WKmD57gDF0zRoGxyddAs+otXN+G0W3D5zNTIx3ZYRDXehMu3r/VBHUaAZTEeXFStb5fgdgimUhxpmWkLHJwV2gu71YJfevIU1rdLeH1pGwBQb7Swla6YplsL7MzbaZm9CqATKaGmwwhgnyw1V6rhjonmFxln5iYgAcdelnrgqiwejz8Xj8dXur8Wi8XCAK4A+HL7S18GcCUWi4UO+zX1v7I52cpUOnr+ceZExIu7mwVNbKEzRREBE5gp6InS3X41MHmb0t1GC89jyu/E829uoiI28ch95nVH7YZ1BUeZEWUOqWabj9UDRzsUWosZRpbBOM6GN8BOFp8WxjelSh1u58Gdm0jQhVp9cMC9WG/ixzeSeEcsbBo5KrBbLaEFSjuMUxMOpHLVA5+La8kSZkPmcEhlyFmM2j2jSpW6qigtxiP3hTE14cA3f3gXkiRhKy1vepipw2izWuD32DSPKim1Y3KUPvM7MWl7Nv+v35UVOWZTGJ2angAHYPmYy1KH3cafB7AWj8ebAND+7/X21w/7tWOPJElIZCodPf84cyLqRbFSR0YDK/hMQYR/jDuMwI5DqZaw3UY1OV/RgBPFSr0tRzXX7mI/2Od1FOObrUyZ5KhtOI6Dz23VRE7FujHMYXJc8Xvs4ABNjG+KlbqiTriSLtyrN5IQa008cdFc0n+P0wqP06phwai0w+hArdFCrtj/s8EcUs0iR2WE/E5s57SbCy2U6/CocJtnWHgeP/voAm6t53FjJdvlkOrR5LgOi5BfnrPVkp0Oo/JN4l4xadfvZOB2Wjt+FWbB5RAwE3Lj5vrxLhiPr9i2D5OTxvxwh0L9PyC5ooiK2MDp+cDA7xsHHrwvgj/59g1kKg3ETg9/LpotCblSDbMR31if0zMLATz7+jrsLvvIMiV2HhMFedESDXsVn9uTc368vpzCEw/MYDpqjmH3g3B65O5Vud4c6hqr1hpI50Wcos99h4DPAbEhjXw+3l6VpUNnT07ueq9xPM8Bn2Poa7SbbLmOSNB94PtY7HJR+crNFN7z8AJ4fn+368dLVxEKOPHEQ/M9Xzcyc2EP0sWaJtdSi+PAccDJ+QAsA2T6pxfkjkwiU8a5hd4bbqlcBRWxgdhi0FTX+ZmFAKQX7kCyWBAKjbZ+kyQJ6UIVD98fGeocfPh95/CNF+7ib15Zw9k5P3iew6VY2FTzdvNRH15fSmp6DdzdljdIZqMTit83diKIZ19dxVQ7E1SSJMRXsnjgzBQiEZ9mx3ZYXDw9hedeW8PkpMd09yylDFswrgCYjcVilng83ozFYhYAM+2vc4f8mipSqd7OTEdJKORFMtl/Lm95Td61cFn5gd83DnisPDgOeCOewOnI8A+PbFFEqyXBxmOsz6mn7RR37UZiJFey7mt4fUtejNeqdcXn1tfemby4GDhWfw+P04pbq9mhfqeVhOxo6LVbjtU5GQWnzYJUtjzy+bi9Krsd8s1m570Oug8fVwIeG9YTxZF+d0mSsLpVwBMXo4re5+efOIG/eOEuWo0mPvEzsY6JCCBvkL4aT+CDj51AKqWNI/ZhMum14627GU2upc1kEW6HFel0aeD3WSGvaVYTRQT6uNRevZUCAEw4BVNd505BvjauL293fs9hKVbqqIhNuGzD31Pf//As/vP3b2EjWUTY70RWQ7nsYeBzCEjlqljfyGpW6G50nvk1xec1PGFHqdrAWzeTCPudePVGEolMBR957xlTXZ+M2aALpWoDb8S3TNHF53lOdQNtKElqPB5PAHgNwMfbX/o4gFfj8XjysF8b5vjNBhv4JmmaPHw+Mzm68Q2TtAZMku+lFyw/auOABYka1M4wAsA774/gkx84h4snzTW7cBCREQwbdhxSaXaZ4XXakC+NbnqTzFXhdgjH2tFOKQGfA+kRJf65Ug3VWlOxM+gvvecUfu7xE/jea+v4k7+O7zLAeemtLUgS8PgFc8lRGZGgC5mCiGpt9KiSQrl24PwiAExPuTDhtuGFN9b7fg+TUJpp5g7QNotxR4o+/Ozy0w/NwmGzYCO13+HTDIQ6s/XayVJLbAxFoekNsNv45nuvreEP/uxNnIh48dTD5pw0YxvurMFzHFESq/F7AD4CIArgb2OxWCoej18A8BkAX4rFYv8cQAbAp7p+7LBfO9ZsZcrgOJq3YSxEvHjr7mhxBcxwYdxnGJlDqZbGN6xgVLMYdzkEPH1lTrNjMArhgBM3VrJD/exWe4FEs8s7+NxWFCqjzzDe3cxjdkR523Eh6LXjjZvbkCRpaDMUNgcdVRhgznEcPvLkKQDAN1+8i3RBRKj9fHvt5jZORL2mK2wYzPgmkal0FsXDki/XD5xfBOSZsEfPR/Cdn6zi773/TM+fWUuW4HNZFb2fkfC5rLDbLJoYtWy3i6RRCkaXw4r3PjSLb710z5TXaNjfzrbMVjQ7/jIzvVHxzJ8LyTFp/+n7y0hkKnjg9CQ+8+EL8DitqBS1nbE8DCIBJzxOK26u5fDkgzNHfTi6cOBfNx6Pfw7A53p8/W0Aj/b5mUN97biTyFQw6XPAKpg/akALTkS9ePHaJrJFEf4hO4SZYrvDOOYFo4XnEQ44NTW+2TG9Mc9ch16EAy788NoW6o2mavnPZrqMCY+NumBdeF021OotiLWm6vxUhlhr4t5WEc88uqDx0ZmToE82TClWlBUnvdhsb26oyR5kRaNg4fGdn6x2duY5jsNHHzk11HEYAaYE2kyXRy4YM4UqTs0oGxV44mIU3355BT+6nsD7H96/+ba2XTLlJgnHcYj4tYnWYFmVo8bpfOCRebx+cxsXTKiICflHd+/eS6nagE3gVa1RrYIF05NurCaLePLBGXzyZ87Bwpt3jctxHE7P+Ma7w0gcPVvkkLqLE+3ZxXtbheELxoIInuPgM9luqx5oHa1RERsQLLypjAD0IhxwQoIs/1G7m7uVqZAcdQ9Mnpcr1xC2DXdPvLWRR7Ml4ewIM7vHiWAnm00cvmBMlWETeARVxpRwHIcPv/skPvzuk0P9u0aEfWZHdUqVJAmZgtj5+xzEQsSLxWkfXry2ua9gbEkS1lMlvPvS9EjHdFSEA06sJkcfm0jlqnDaBVXSyV74PXb8H59+bOTjOQq87Y5tUsNsy7LYUDWCwvjYe08hUxDx5IMzpop66cfp2Qm8vpxS7BhtNsxbzo8RiUyZMhi7mG4vvLfSw9/wskUREx7bsXWzUsP0pBuJTAXNlja25WWxQd3FNmyjZ5jd8a10GdEgbRR1czIqu+d9/9W1od/j5qosET49SwUjAEy2uy3pwvAysM20/Izij8Gib1TsNgsCXjs2R3g+AXL8Q6MpqVLBPP3wPG6t57GR2l1cpXNViLWmqULmuwkFnEhmKyMbFm7nKiN3F80Ox3EITTg17TCWqw24hyjCHzg9hacuzx6LYhEAzrSfKbeOabwGFYwGp1ipo1RtdHTnBOB1WmG3WjrykmHIFsSxl6MyokEXmi2pM98xKhWxAeeIO7jHhciQhg3lah2Fcr0zD0XIzIU9eNelKP7mxyudGU+1LK3lMDvlHmqBcxzp7jAOyyZtbuwiGnQNfX0yWAGvpmv71JVZcBzw4rWtXV/fyQw0Z8EYCcjPqHR+tGfUdq469gUjIG9kajETyihX60N1GI8bJ6d94DkON4+pLJUKRoNDDqn74TgOUxOOjuPZMGSKtbF3SGUwo4oNjeYYqcO4g9shwGUXsKXy4bzV+dxTwbiXjz51GhYLjz/9u5uqf7YlSVhey48UIXPc8LptsPDc0B3GRrOF7WxVseHNOBAJurCZKkOShu+IZfLq5+wnJ5w4vxjEi1c3dznPmr1gZBvmau+j3UiS1C4YaS0V9juRzFZ3XSOjUBqyw3jcsNssmA97cHOVCkbiCGCdiTB1GnYxOeFAaoQOY6YwvGHOcYMZVWg1x1ipNlS5pR1nOI6Td3NVSlLZ34I6jPvxe+z40BOLeHVpG9fuqHNLXk+WUBEbHekQAfAch4DX3ilQ1JLIVNCSJFWGN8edsN+JstjoxA0MA4s6UTsX+sSFKFL5Kpa63JnXkiUEvPaRZ/eOCibtH2XurlipQ6w3qcMIIOR3oNFsIVcc3XEakM+tmzqMAIATUU9ng+a4QQWjwUlkKuAAhP10k+tG7jAOVzCKtSYqYgN+LxneAHK4vMdpxaZGWYxlsUHOnl3To4kkAAAdDUlEQVTIBaO6YnwrXW5/7mk3vBc//Y55hPwOfOVvl1TN3i61pUJn5/16HZopCfocSA0p92PmLtGgObtXesCKklEklOl8FYKFU5TD2M2VcyHYrRb83StrKFbkuIP17ZIpIyAYfq8dVoEfySlVK4fU40CoM1s/+iZxsyUXngEfbcADcpe/UK6jVm8e9aFoDhWMBmcrU0HAZyfHyT1MTcg7uCz/Rw0UqbGf6UmXZtEaVDDuJhxwYTtXRaOpvLDZylQwOUFROv2wCjx+9X1nsbZdwvde7R9Wvpebq1lMuG0I0aJxF0GffegZxs20+kiN4w5bPI8yF8pUMGqNhOw2Cx6/GMXLbyfwuX/7A/yTzz+P1WTRtHJUQO6Ch/3OkeZCmSJpkj77XdEao/sWZAoiWpKESZWd8OPKVPs8DLsBZ2RoNWJwEpkydRl6wHYJh+kyZtpSH5ph3CEadGFDK0nqkBbbx5VIwAlJgioJ9Wa6THLUA3jo7BTOzU3gWy/dUzyLs7Saw5m5iWPjyqcVkz4HskVxKBfKjXQZPreNPvNdsMXzKM6z6XxVtRyV8es/fRb/9Ncu41eePoPYvB/zYQ8eOjs19LEYgVGNWqjDuMOkzwGe4zQxvqFCfDfB9mYRFYzEobOVqdDCsQfs5jTMHGO2XTD6qcPYITrpQqFcR2mIjm03jWYLtXqLOoxdsPmbLYVyKkmS5EgNMrwZCMdxeN/Dc0jlq3hLwSxjpiBiO1fFWZpf3EfQa0ezJSFXUj/TtJkuI0qmbLvwMSOhETqMaRUZjHux8DzOLwbxzKML+I1fuIB//l8/gthCYOhjMQIhvxPJTGVoI6HtXAUuDTIYjwOChUfQZ9ckWoMVRtRhlOnEFI3w2TcqVDAamHK1jmKl3llwEjuM1GEkSeo+OsY3I8pSK6Js8kCmNzuEVUZrJDIVVGtNRCim4EAeOhuCx2nFs69vHPi9zOr8zBzNL+4lMEJHbDNVJofUPTAjoWFnGFuShExBpLmwLsIBJ2qN1lCbGgBFauwl5Ncmi7HTYaSCEYC8ruQ5bqTYN6NCBaOBYXKBsJ8exnvxjJDFmC2IcNotcNioqGGw+ZZR3b1YwUgdxh18LivsNotiw4a//OFdCBYeV86FdD4y82MVeDxxMYpXbySRLw9eSC6tZmETeCxEPId0dOZh2CzGYkXe1CTDm/0EfY6hC8ZCqYZmS0LQS4twBovDGDYvOJWrkmyyi2Hcu3uRylfhc1lhs5LPBiB39wNe20gu/kaFCkYDQxmM/RklizFTpEiNvUz5nXDYLLi7VRjpfcrUYdwHx3GI+JXN3yQyZTz/5ibee3lm6PmlceM9D0yj2ZLw4tXNgd93czWHUzM+CBZ67O2FXWtqC5wtMrzpS9Bn70RjqKUTqUEqmA6htlP8MF0xymDcT8jvRLFS72zyDgsV4vsZZbPIyNCT08Cwh3GICsaeDJvFmC2IJEfdA89xWAh7sLJVHOl9Ku3cMTLA2E046FI0w/iN5+/AYuHwwcdPHMJRHQ9mQx6cnvXh2dfX+843FSt13Nsq4swczS/2wu0QYLdaVHcYOw6pJEndx6TPITtIDmEkxP4OtGm0A5OTDlMwUgbjfsIdp9TRuozbeZHkqHuYnBg+psjIUMFoYBKZCgJeO+zU6u/JsFmM1GHszXzEi5VEcagFDqMsytlDJEndTSTgxHa2MjAzcDNdxgvXNvH0Q7N0farkyQdmsJEqd+YUu7l+J43/9Q9/BAB48Iy5nSL1guO4dkdM3f10M12GhedoId6DUYyEMu2/A21s7mAVLAh47UgOoSoih9T9sGiNUWSpkiQhnacO415G2SwyMlQwGpitbIUiNQYwTBZjS5LkkFl6EO9jIeKBWG+OZLVdFuW/BUlSdxP2O9FsSUgN6OB8/fnbsAo8fvYx6i6q5ZH7w3DYLHj29Z1MRrHexJf/dgn/5iuvwSpY8FufvILTM9Rh7EfQqz6LcTNVxpTfSTLfHgSGlPkCsiRVsHDwusjRs5vQhGOo7ECKfthPJ4txiAKckS/XUW+0qMO4h0mfA82WhGzxeDml0qrOwCTSZdoRH0C3U+qCQqtsZiZAHZz9LIS9AIB7W4WhZ5IqrMNIktRdMKfjfrmq69slvHRtC888uoAJt+2wD8/0OGwCHj0fwYtXN1ERm1jfLiGZraDZkvD+K3P42NOnSalxAAGfA6u3Uqp+ZjNdxjTNL/ZkJ4tRxGmVP5vOVxHw2ikvdA9TfifevpdR/XOsKKIO4w4uhwCP04rkCB1GKsR704l9GyFL1YjQtqBByRVF5Mt1zIbI0a8fw2QxUqRGf2ZDblh4DvdGmGMsVmT5lZMcaHexE62x++EsSRJev7mN3//Pb8Bms+CZRxeO4vCOBe+7MgerwGMjVcLMlBvPPLqAf/brV/DrHzhHxaICgl478sUaGs3+suluWi0JW5kKGd70gQV4D9NhzBREckjtQcjvRCYvot5Qdo0ytnNVymDsQcjvGGmGMU0ZjD1h5+O4OaXSqs6g3EvIi/YTZAHfl2GyGDMFKhj7IVh4zEy5cW8Ep9Qb97JYCHvA87Qz3o3fY4NN4DsFoyRJWEkU8dXv3sS1OxlEgi785kcuweui7uKwzIc9+P1/9ORRH4ZpCfockCDfI0MKRiFS+SoazRYZ3vTBZRdgt1mGMr9I50Wcmyf59F6mJuRrNJ2vIqJioyJFGYw9CfmduL2RH/rnaTa0N52C8ZgZ31DBaFDYon0+TAVjP4bJYswW5Q4YSVJ7sxD24M3b6aF+tlSt4+ZaHj/7GHXJ9sJxHMIBJ354bRNXb6exnaugVm/B7RDw8fefxdNXZmkOjDhSJrtm7pQUjOvtzFbqMPaG4zgEvXZkVM6FttqzTwHqMO4j1OXsqaZg3M5VKZ6sB+GACz9+O4lGszXU8yeVr8Jhs5DJ3R7sNgs8TutAzwIzQn9lg7KSKGJqwkESigEMk8WYKYjgOY7mxPqwEPHi+aubyA7hJHvtdhotScKDp2nuthePXYjiR9e3MOlz4MJiECG/A49diMLjpM84cfR0JJQKswNX2ioY2tTsT9Cn3l4/X5bn7Nnfg9ghNEQUhJzBWMHFk0G9Dsu0RINOtCQJyWwF05Nu1T/PMhhp1nY/k77hYt+MDBWMBuXuVhELEe9RH4bhUZvFmC2ImPDYSDLZh4W2BPreVlF1wfjmcgpuh4BTMz49Ds30fPCxE/ggOaASBoXNzCmduWObmtRd6M+kz94prJXCnGppbGI/Ex4bBAuPpIpnfqFSR63eImOWHkTas/Vb6SELxnyV5hf7EPTZFWUvmwnSQBmQaq2BRLqMBdq5PRC1WYxyBiN1F/sx3+WUqoZWS8Kbt1K4eGqSinGCMCF2mwVuh6Cqw0jdxcEEvQ7kSzVVJi0sg5FMb/bDc5xqo5YUzdn1hcl6tzLloX6edRiJ/UxOyOoCSTo+WYxUMBqQ1WQJEoB5Mrw5ELVZjNmCeqnlOOFyCJiacHRMl5SyvJZFvlzHA6cmdToygiD0JuB1IK1gA06sNbGVLlPBeADMUp8VgUpgHUaSpPZmasKJbRVZjKy4pE7YfjxOK9wOAVtp9QVjRWygLDYwRee1J1M+B8RaE6Vq46gPRTOoYDQgrLtzgiSpB6LWKTVTEEnqcwAnIl7VHcYfX0+AA3DhFM2JEIRZmfTZFXUYV7eL8qZmmJ5Rg9iJ1lBufpEuVGEVeJpt7oPaDuOt9TysguwATuwnGnRhc4iCkTIYBzNM7JvRoYLRgNzbKsLtEKiwUYCaD2WhXJN3xCbILW0Q8xEPEpkKKqLynbGfXN/CqRkffBQLQRCmJehzKJph7BjekApmIKzDmFbRYWSbmmQk0puQX1YVlRSqipZWczg57SMX6j5Egq6hZu22KYNxIEGfuplwM0CfIAOykihgIeKlB4YC1HQYaZGjDGa2pNSsIV+u4cZKBpdOkxyVIMxM0GdHqdqAWG8O/L6VRBEOm4Xmwg4g2N70VWOvn86LnZ8j9sM2fJXIUsV6E/e2Cjg7R5mW/YgEnMgURIi1wZ/5vVCHcTDsvGxTwUjoRbPVwmqyRLMhClGTxbhKNvCKYGZLSmWp126lIUnAA1QwEoSpUeqUupIoYi7sAU+bmgOxWeU8toyKRWO6UKUMxgGE/PK5USJLvb2eR7Ml4cwsFYz9GNb4JpWvQrBw8FFEWU+8TitsAk+SVEI/NlNl1Bstml9UiJosxpVEERNuG8kmDyDgtcPjtCo2vnnjVgp+r51iYAjC5CiZuWtJElYTRXLxVsikz6G4w9hqScgWamR4M4BOFqOCZ/7SahYAcIY6jH2JtgvGhEpZaipXRdDnoE2jPnAcp1jibxaoYDQY90g2qZpJhdEaZAOvDI7jsBDx4O7mwR3GVkvC1VspPHxfmB4cBGFyAgrmbrZzVVRrTbqXKiTosyueYcyVamhJEklSB+C0C/A4rUgqkKQureUwO+WG20EGQv0IB+QCXK3xDWUwHgyL1jguUMFoMO5tFSBY+M6uD3EwJ6d9WEkU8frN7b7f02i2sJ4iqa9Szs37sZooIl+uDfy+lUQRpWoDl8+FD+nICILQi4DHDg4Y6JS6ssWk/aQoUELQ61DsksoKywAtxAeixCm11ZKwvJaj+cUDcNgE+D021dEalMF4MJM+B0lSCf24t1XEXMhNjl4q+OBjC5gPe/D/ffN6353xzXQZjaaEOSoYFXHx5CQkAG/dSQ/8vhttyc9Fyl8kCNNjFXj43DasJfvL0VcSBXAcMBuimAIlBCfsqIgNRa7TG9vyop3JLoneyFmMgwvGte0SKmKT5KgKiKp0Sq03msiVapTBeACTPjvy5TpqB5iImQVh1DeIxWI/D+B/B8C1//Mv4vH4f4nFYucAfAnAJIAUgE/F4/Gl9s9o/tpxQJIkrCSKuHJu6qgPxVRYBQs++4sX8S/+8GV88evX8D/9vYdg4XcX3CtkeKOKxagXboeAa7fSeOx8tO/3La1kMelzYMrvRDKpLruRIAjj8ej5CL798gqef3MD77o0ve/1lUQRkYALdqvlCI7OfHQbCc2GBj9/bqxk4XFaMT1JCqNBhPxOvHIjiVZLAs/3HoVg84tn5/yHeWimJBxw4ZUbScXfzxQI1GEcDDs/6YJ4LFSDI7WxYrEYB+CPAXwyHo9fBvBJAF+KxWI8gC8A+Hw8Hj8H4PMAvtj1o3q8ZnoyBRHFSp2kPkMQDbrwqWdiWFrN4WvP3d73+mqiCMHCHYsP7WHA8xzOLwZx9U4akiT1/B5JknBjNYdz87SDSxDHhY+99zTuW/DjS996G8truX2v0yy4OiZ9O4vGg7ixksXZuQmaBz+AKb8DzZaEbLH/Ob25msOEx0bRLwqIBl0oVuqKsy07kRrUYRwIOz/HRZaqhe6xBYCtGP0ANgBMAbgC4Mvtr38ZwJVYLBaKxWJhrV/T4Hc4Mr7ynSX86z96GV/97k381Q/vAQA5pA7J4xeieM8D0/jmC3cRv5fZ9dpKooiZSZL6quHiySByxRpWk6WerycyFeRLNZydpx1cgjguCBYe/90vXULAa8cf/Jc3d8n8K2ID27kqFYwqYI6nB5lfZAoiEtkKYnQ/PZCOU2q2AkmSZxWfe2MDra7NzaXVLM7O+SnPWgGRoHw+t9LKZKnblMGoCHadKi3Ejc5IktR4PC7FYrFfAfC1WCxWAuAF8EEA8wDW4vF4s/19zVgstt7+OqfDa4p76ZOTxnrQ2ewCrt5OI5GpoNFswW6z4PL5KJz2kdXCY8nnPn4Fb976Wzz75ibe/fBC5+tr2yU8FAsjFKJiXClPvmMBf/hXb+NOooQrF/ZL0167Jc83PvbALADQuSVMD13DMiEAv/3px/E//t4P8IWvX8Nv/7ePw++149qtFADg4tkQnSuFBINu8ByQKzcGnrO3VuRu7qMPzo50bsfh73Jfe+TkB1c38R+/u4w7G3kAQCJfxW/84iVsZ6tI5UV85Gl65ivh/pb83+VGS9H5SuSqcNotiJ0OwdJHEjwKx+VvFgp58a8++y6cnffDcQzW9CP9BrFYTADwWwA+HI/Hn4/FYu8C8KeQpamGJJUqotXqLbE7Cj7y7pP4h7/0ALYSeWTbkpVivgJlCXhEL955fxjf+ckqbt9Lw+O0Il+qIVMQEfbZac5OJTNTbrx0dR3vuRjZ99pPrm/C47TCzsmfJzq3hJkJhbx0DXfhsnD4jQ+dx+f/7E189v/8Dj7+U2dRrsrGLT67hc6VCmILAXzt2WWksmX82vvOwNUj5uHH1zbgsFngtfJDn9txuYalZguChcMLb2zgRNSLTz0Tw8Z2GX/x3G1IzRbm2rOi0wHHWJyPUbG0WuA4YOlOGhcXDu5wX13exmLUh3RK+5XqcbuGoxN2FPIVGO034nlOdQNt1JL3MoCZeDz+PAC0i8YSgCqA2VgsZml3Ai0AZgCsQO4Uav2a6eHbIZ/E6Lzr0jS+/fIKXnprC+9/eA4rbcc/ckhVz8WTQfzdK2sQ6819JhdLq7JlOUl+COJ48uCZKfz2f/NO/Pu/vI7/9xtvwWm3wO0QEKCcQFX8o19+AF977g7+6qW7uHY7jf/qmRgeOL3b3C6+Ikso+5m4EDsIFh7/5Fcvw26zYDHqAyDP1NebLXzzxbuY9Nlht1pIOq0Qq8BjasKBrczB0RpirYnVRAkffPzEIRwZYSRGHehaBTAXi8ViABCLxe4HEAGwBOA1AB9vf9/HAbwaj8eT8Xg8ofVrI/4OxDFjPuzBQtiD59/cANCdG0YPD7VcPBlEo9nCjZXsrq/niiISmQo50BHEMWd2yo3f+sTD+LX3n0WzJeH0LG0SqcUqWPCx957G//Kpd8BlF/Bvv/oG7m7u9BzypRo2UmXEFHR3CJnYQqBTLAIAx3H4xAfO4fELUaTyIk7N+Pa5pRP9iQRcimYYb2/k0ZIknJ7xHfi9xPFipE9TPB7fBPBZAP8pFou9DuArAP5+PB5PA/gMgN+MxWI3APxm+/8z9HiNIDo8cWkadzYLWEsWsZoswu+xweuyHfVhmY5z834IFh5Xb+3OY7yxmuu8ThDE8YbnOXzgkXn8zmefwKc/dP6oD8e0nJz24bc+8TCcdgHfeOFO5+tsQ47up6PBcxz+/s/dh59/YhEffIw6YGqIBF3YzJT7uqIzltflZ//pWXJHHzdGnsKMx+P/AcB/6PH1twE82udnNH+NILp57HwEX/3uTTx/dbNtA388hqgPG5vVgtj8BK7eTgE42/n6jZUsbFYeCxHq2hLEuOCjTbeRcTkE/NQ75vD15+9gNVnEXMgj308FHotRek6NioXn8ZEnTx31YZiOSMAJsdZEvlTDhKe/5Hx5LY9I0AWPc/8cLnG8oX49cSzxuW24dGoSL17dxPp2CXNh91Efkmm5cHISG6nyLnv9pZUsTs9MUEwJQRCESn7qHfOw2yz4i3aX8cZKFqdn6X5KHB0so3oz3X+OUZIkLK/ncIbkqGMJ3Z2IY8u7LkWRK9XQbEk0vzgCD5yeBAB84WvXsJkuo1xtYCVZxNk5kqQQBEGoxeO04n1XZvHy9QSW13NYSRQpf5E4UiIKCsZkropCuY5TJEcdS6hgJI4tD5yegtshq65Jkjo8M1NufPpD57G+XcJv/7sf4U/+Jg5JonkbgiCIYfmZRxZgFXh88WvXIIHup8TRMulzwO0QcKOdB9qL5bX2/CJ1GMcSKhiJY4tV4PGuS9Nw2QVEg86jPhxT8/iFKP7lpx/FhcUgfnhtCzzH4RQ9NAiCIIbC57bhqcuz2M5VIVjofkocLTzP4fKZKbyxvI1Gs9Xze5bXcrBbLZ2cS2K8GNn0hiCMzEefOo0PPDJP9toa4PfY8ZsfvYSX306gXG3AYaPbB0EQxLA88+gCvvvqKhanfbDtybkliMPmoXMhPH91E0srWdy/GNz3+vJaHienvZQVOqbQio841lgFHkGf46gP49jAcRzeeX/kqA+DIAjC9AS8dnzmwxcx4Sb3WeLoubAYhFXg8crS9r6CUaw3sZIo4mcfWziioyOOGmq7EARBEARBHAFXzoUo044wBHabBRcWg3h1Kbkvj/HORh4tSaJrdYyhgpEgCIIgCIIgxpyHzk0hnRdxb6u46+vL63kAZHgzzlDBSBAEQRAEQRBjzoNnpsBxwKtLyV1fX17LIRxwwusi+fS4QgUjQRAEQRAEQYw5PpcNZ+f8eOXGdudrmYKIGytZnJ4hOeo4QwUjQRAEQRAEQRC4cnYKq8kiEtkKckUR/+bLr6LRkvDTj8wd9aERRwgVjARBEARBEARB4PK5EADg2dfW8TtffhWZgoh//MsPYjFK84vjDMVqEARBEARBEASBsN+JuZAbf/nDu7AJPP7xrzyIc/P+oz4s4oihDiNBEARBEARBEACAxy9EYRN4/A8fewCxhcBRHw5hAKjDSBAEQRAEQRAEAOCZRxfw9JVZOGxUJhAy1GEkCIIgCIIgCAIAwHEcFYvELqhgJAiCIAiCIAiCIHpCBSNBEARBEARBEATREyoYCYIgCIIgCIIgiJ5QwUgQBEEQBEEQBEH0hApGgiAIgiAIgiAIoidUMBIEQRAEQRAEQRA9GSfPXAsA8Dx31MfRE6MeF0Eoha5hwuzQNUyYHbqGCbND17D+dJ1ji9Kf4SRJ0udojMe7AfzgqA+CIAiCIAiCIAjiiHkPgOeUfOM4FYx2AI8A2ADQPOJjIQiCIAiCIAiCOGwsAKYBvAxAVPID41QwEgRBEARBEARBECog0xuCIAiCIAiCIAiiJ1QwEgRBEARBEARBED2hgpEgCIIgCIIgCILoCRWMBEEQBEEQBEEQRE+oYCQIgiAIgiAIgiB6QgUjQRAEQRAEQRAE0RMqGAmCIAiCIAiCIIieCEd9AONMLBY7B+BLACYBpAB8Kh6PLx3tURHEYGKx2B0A1fZ/AOB/jsfjfx2LxR4D8EUATgB3AHwiHo8njuIYCYIRi8V+F8BHASwCuBSPx6+2v973/kv3ZsJIDLiG76DHvbj9Gt2PCcMQi8UmAfwxgNMAagCWAPzDeDyeHHSt0nVsHKjDeLR8AcDn4/H4OQCfh/yhIAgz8LF4PH65/Z+/jsVi/38799NiYxjGcfw7ZkMW/oREKKVLTVIjG//egcIC8w5IeRmaLJG8AJqFlLwCaRY2IkldSmJCMsZGYWHG4twyTc+5xea5T76fms7M3Zy6Fr9+dZ155l4F3AQulDw/AKb7HVEC4C5wDHi94rzWv3azWjIsw7CiiwHsYzVoCbicmZGZ+4CXwHQtq+a4LS6MPYmILcAkMFOOZoDJiNjc31TSPzsAfMvM2fLzDeB0j/NIAGTmbGbOLT+r9a/drNZ0ZfgP7GM1JTMXMvP+sqOHwC7qWTXHDXFh7M8O4G1m/gAor+/KudS6WxHxNCKuR8R6YCfLPv3OzHlgVURs7G1Cabha/9rNGiUruxjsYzWs/OXwPHCPelbNcUNcGCX9raOZuR84CIwB13qeR5L+R3axRtFV4AvmdaS4MPZnDtgeEeMA5XVbOZea9evRqMz8DlwHDgNvGDxeAkBEbAIWM3OhlyGlulr/2s0aCUO6GOxjNapc4LQHOJOZi9Szao4b4sLYk3LL0xNgqhxNAY8z82N/U0l1EbE2ItaV78eAswxy/AhYExFHyq+eA273M6VUV+tfu1mjoNLFYB+rQRFxicH/JZ4oH3JAPavmuCFjS0tLfc/w34qIvQyubt8AfGZwdXv2O5U0XETsBu4A4+XrOXAxM99HxCEGt0mu5vf11x/6mlUCiIgrwClgKzAPfMrMiVr/2s1qSVeGgeMM6eLyHvtYzYiICeAZ8AL4Wo5fZebJWlbNcTtcGCVJkiRJnXwkVZIkSZLUyYVRkiRJktTJhVGSJEmS1MmFUZIkSZLUyYVRkiRJktTJhVGSJEmS1MmFUZIkSZLUyYVRkiRJktTpJ6Y+w/0mwsutAAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(15, 4))\n", "plt.plot(ads.Ads.values)\n", @@ -180,11 +198,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA5MAAAELCAYAAABERdKOAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzsvXe4bFld5/3dqXKddO+5oXPTTS9SE2xAfB9w5FVx0JfRMbQBWnRMmHVeHMOI4ow6iCgzRpSgBEHhVcCXJDJkCU3qpqFvLzrdezvccO49seKO88faa9euql2nqs6tc+ucOt/P8/TT59ausGpV1d7ru76/YERRBEIIIYQQQgghZBzMaQ+AEEIIIYQQQsj+g2KSEEIIIYQQQsjYUEwSQgghhBBCCBkbiklCCCGEEEIIIWNDMUkIIYQQQgghZGwoJgkhhBBCCCGEjA3FJCGEEEJGRgjxNiHEdw04dp0QIhJC2CM8z3OEEDL175NCiG+ZwPi+SQjx8KU+z4DnPiqEOCGEyO/G8xNCyH6DYpIQQmaYSS3QyewjhPhbIcTvDrnPkwE8BcC7L/X1pJSfkFKKS32eS0EI8SNCiE+Oen8p5TkAHwHwk7s3KkII2T9QTBJCCDmQZLlnozhqB5yfAvB3Uspo2gOZIn8HNQ+EEHLg4UWTEEIOCEKIHwHw4wA+A+DHAKwD+Bkp5fsH3N8C8EoALwawBeCPAPwpAEdK6QshfhTAfwFwFYAVAH8gpfyr+LHfBOAtAP4EwEsBBAB+GoAL4H8COAzgVVLK34/vb8bP9RMAFgD8bwAvkVKuDhjbdwL4HQCPiV/7Z6WUHxBCnATw41LKD8X3ezmAG6WULxJCXAfgwXgOfhvASSHED/feBuAbhRDPAvDHAJ4A4BSAX5RSfjR+zo8C+ASA/xvAkwF8GsAPSSkvxMefHc/bE+J5exmArwJ4D4ArpJRBfL/vBvDbUsqnZLy/bwfwKgBXA9gE8Gop5atS8/oXAP4zgBqA/yql/Lv4cXkAvwfgVgB5AO8E8MtSymbqsa8G8KvxZ/IbUsq/EUL8JIAXAoiEEL8E4CNSyhdkTP3zAfxwapwWgD8A8CPxOP+o530M/Y5IKa/qecwxAA8AuFpKeTG+7esA/Es8f17P/YsA/hLAdwI4A+Bveo7/GtT36giAh+L5eqcQ4vEAXgPAEULUAPhSygUhxHcA+F0ANwDYAPB6KeXLU0/5WQCPEUJcK6U8lTFHhBByYKAzSQghB4uvByChxNwrAbxeCGEMuO9PQImHpwL4OgC9eXLnAfw/AOYA/CiAV8eLfs0xAAUAVwL4LQCvBfAiALcAeA6Alwkhro/v+/Px8/87AFcAWAPw51mDEkI8E8CbAPwKlPD8RigROCr/DsDjAXxb1m1CiCsBvBdKUCxBieF/FEIsp+7/Q/F7PgIgF98HQohrAbwfSnQvQ83dHVLKzwG4COB5qee4LX4fWbwewE9JKasAngTgw6ljx6A+vyuhhP5fCyF0uOgrANwUv+6N6Mx9+rHz8e0/BuDPhRCLUsq/hnLcXimlrGQJSSFEGcD1UN8fzU9AfQeeBuDpAL6352HDviN9SCnPAvgolCDW3Abg73uFZMxvQwm/G6A+0xf3HL8f6vs2D7UB8RYhxHEp5QkALwHw6fg9L8T3r0MJ5gUA3wHgp9M5olJKH8B9UOG+hBByoKEzSQghB4tTUsrXAoAQ4o1QDtdRAGcz7nsrgP8lpXw4vv8rAHyzPiilfG/qvh8TQnwQatH+xfg2D8DvSSkDIcTfA/jr+Pm2AHxVCHE31IL8QahF/c+lXuvlAE4LIW6LF+9pfgzAG6SU/xr/+5Ex5+DlUsp6/DpZt70IwPuklO+Lj/2rEOLzAL4dwBvj2/5GSvm1+P5vB/Af4tt/CMCHpJRvi/99Mf4P8WNfBOD9QoglKOHzMwPG6AF4ghDiTinlGpS4TvMyKWUbat7fC+DWON/xJwE8WTu6QojfB/BWAL+eet7/Fs/p+2JHTkC51cPQYmsrddutAP6nlPKh+PX+B4Bv0gdH+I4M4o0AfgHAX8bu5w+iM8e93ArlsK8CWBVC/AlSAlpK+Y7Uff9BCPHrAJ6JAXmf2oGO+bIQ4m1Qmw3vSt2+hc58EELIgYVikhBCDhaJaJRSNmIxVRFCPAfKUQOU4HwilEP4UOqx6b8hhHg+lCt0E1SkSwnAXam7XNQhnQCa8f/PpY43AVTiv68F8E4hRJg6HkAJ3V6xeDWA92HnPDTktmsBfJ8QIu3OOVCFVzRp8d1A531cDeWEZfEWACdih+9WAJ+QUp4ZcN/vAfCbAF4hhPgygF+TUn46PramhW/MKajPahnqM/hCSiQbAKzUfS/2iPP02IexHv+/CqAV/937HekK+xzhOzKIdwN4TexcCwAbUsrbB9x32Bh+GCok+Lr4pgqUs5uJEOLroRzeJ0G5znkA7+i5WxWd+SCEkAMLxSQhhBBIKT+BflFxBirXTXO1/iPOzftHqHDAd0spPSHEu6DEy054CMB/klL+24j3vWHAsTqUYNEcy7hPVvGY9G0PAXizlPInRhhL1tiemXVASvmIEOLTAL4bKmzzLwc9SRwW+51CCAfAzwF4OzrzvyiEKKcE5TUAvgLgApRAf6KUcly3Fsiel/SY6kKI+6GE4Up885nUuPRYAFzad0RK2Yod3xcBeByAN29zdz2Gr2aM4Vqo8OpvhgpnDYQQd6TGkPWe3wrgzwA8Px6HzvHVz2lDhRDfOex9EELIrMOcSUIIIYN4O4BfFEJcKYRYgCraotGOzQoAP3agnpfxHKPyGgC/Fy/+IYRYjovsZPF6AD8qhPhmIYQZj+9x8bE7APyAEMIRQmTl8I3CWwC8QAjxbUIISwhRiHsXXjX0kSrv8FuEELcKIWwhxCEhxFNTx98EVZDmZgD/lPUEQoicEOKFQoj5OEdwE0DYc7ffie/3HKicxHdIKUMo4fRqIcSR+LmuFEJ8G0bjHFRBo+14H1TIp+btAH5BCHGVEGIRwK+ljl3qd+RNUIV9/gO2F5NvB/DrQojF+DP6+dSxMpRgXAGSgkBPSh0/B+AqIUQudVsVwGosJJ8JFbqc5pkATrL4DiGEUEwSQggZzGsBfBDAlwF8CUpI+ACCOO/xF6AW8mtQC+5/voTX+l/x4z8ohNiCyuH7+qw7xuGOPwpVlXQDwMegQlMBVTn1hnhMvwPlMo1FnP/3nQB+A0qEPARV7GfoNVNKeRoqt/L/BbAKJW7ThVreGY/1nVLKxjZPdRtUtdlNqHzSF6aOnYV6f49CideXSCnviY/9KlRxmM/Ej/0QVJjoKLweKk9zPXYQs/hrAC9MFW16LVSV1Tuh8iATgXyp35HYpQ4BfHGIcPsdqNDWB6G+r4nwlFLeDVVh9tNQwvFmAGn3+8NQjuZZIcSF+LafAfDf4u/hb8XjT/NCqM0PQgg58BhRdJBbRRFCCBmV2Fl6jZTy2qF3JgOJQ0V/SrcvGfOx34SMdhqXEyHEWwG8XUo5SHBO8rU+DOCtUsrX7fZrjULs+H4MwNOklK1h9yeEkFmHOZOEEEIyifv3PRfK7TkKVUjlnVMd1D5HCPE9UGGXHx52372KlLI37HNXEEI8A6olzaBw58uOlPI8VAsZQgghoJgkhBAyGAMqhPAfoAq7vBfdPQvJGAghPgrgCQBui/MbyQDitjXfBeAX43BZQgghexCGuRJCCCGEEEIIGRsW4CGEEEIIIYQQMjYMc1XkATwDqldVMOS+hBBCCCGEEDJrWACOA/gcgPYoD6CYVDwDwCemPQhCCCGEEEIImTLPAfDJUe5IMak4AwBra3WE4d7KIT10qIKLF2vTHsa+hHM3HpyvncO52zmcu/HgfO0czt14cL52Dudu53DuBnM55sY0DSwuloFYG40CxaQiAIAwjPacmASwJ8e0X+DcjQfna+dw7nYO5248OF87h3M3HpyvncO52zmcu8FcxrkZOe2PBXgIIYQQQgghhIwNxSQhhBBCCCGEkLGhmCSEEEIIIYQQMjYUk4QQQgghhBBCxoZikhBCCCGEEELI2FBMEkIIIYQQQggZG4pJQgghhBBCyMzy9g/fhzd+4J5pD2MmYZ9JQgghhBBCyMxy8uwmVrfa0x7GTEJnkhBCCCGEEDKz+EGE9VobURRNeygzB8UkIYQQQgghZGbxgxCuF6LlBtMeysxBMUkIIYQQQgiZWfxAOZLrNYa6ThqKSUIIIYQQQsjM4gchAGCdeZMTh2KSEEIIIYQQMrMkYrLuTnkkswfFJCGEEEIIIWRmCUKGue4WFJOEEEIIIYSQmaUT5kpnctJQTBJCCCGEEEJmFi0mN+p0JicNxSQhhBBCCCFkZkmqubIAz8ShmCSEEEIIIYTMLEmYa41hrpOGYpIQQgghhBAykwRhiEgZk1ivtRHpf5CJQDFJCCGEEEIImUl0iOtcyYHrh2i2gymPaLagmCSEEEIIIYTMJEEc4npovgCA7UEmjT3KnYQQ7wJwPYAQQA3Az0sp7xBC3ATgjQAOAbgI4IellPfGj9kzxwghhBBCCCEHD+1MHpov4sEzW1ivtXHF4fKURzU7jOpMvlhK+RQp5dMAvArAG+LbXwPgz6WUNwH4cwB/lXrMXjpGCCGEEEIIOWDo4jvLsTO5wSI8E2UkZ1JKuZH65zyAUAhxBMDXAfjW+Pa3AfgzIcQyAGOvHJNSrozyHgkhhBBCCCGzhc8w111lJDEJAEKI1wF4HpRw+/cArgbwiJQyAAApZSCEeDS+3dhDx0YWk4cOVUa962Vlebk67SHsWzh348H52jmcu53DuRsPztfO4dyNx+WarzCMUGt6mCvnLsvrXQ52c+48P8Sv/OnH8SPf8QQ89aYju/Y602LSc9dSWhJXHJlDMW+hHUT79lywF8c9spiUUv44AAghbgPwhwBetluDmhYXL9YQhnurXPDychUrK1vTHsa+hHM3HpyvncO52zmcu/HgfO0czt14XM75uv3EObzhfSfwxz/7bJQKIy9N9yy7PXermy3c//AGvnTiHK5cLO7a60yD3Zi78/Hz1ettzJXzOLNS25fngsvxmzRNY2xzbexqrlLKNwN4LoCHAVwphLAAIP7/FQAeiv/bK8cIIYQQQsgeZW2rDdcLsVFn+OEoNF3V2qLZ9qc8kv2BLsDj2AYWKzmGuU6YoWJSCFERQlyd+vcLAKwCOA/gDgA/GB/6QQBfklKuSCn3zLFxJoMQQgghhFxedE7bVsOb8kj2By1XicgGxeRI6O+XZZlYqOQpJifMKLEEZQDvEEKUAQRQQvIFUspICPESAG8UQvwWgDUAP5x63F46RgghhBBC9iDaOao3KSZHoUVncix0n0nbNLBQyWOj5iKKIhiGMeWRzQZDxaSU8hyAZw04dg+Ar9/rxwghhBBCyN4kcSYpJkeiFYvIRotichS8eLPCtk3MV3Jw/RDNto9SwZnyyGaDsXMmCSGEEEIImRSer8QkncnR0M4kw1xHo+NMqjBXAFhjr8mJQTFJCCGEEEKmhnYmaxSTI6HDWxnmOhp+3KnBtgwsVFT7mQ3mTU4MiklCCCGEEDI1GOY6HokzyTDXkfBj59u2TJSLKrS1zrmbGPu/mQ8hhBBCCNm3sADPeDRdOpPjoDcrbKvjoenQV3Lp0JkkhBBCCCFTg87keGhn0vXDZO7IYNJhrpalKrjqDQxy6VBMEkIIIYSQqcECPOPRagfJ3yzCM5x0n0ntTvohRfikoJgkhBBCCCFTQ7tEWw2KyVFouR0B2WTu31A6Ya5GIiYDOpMTg2KSEEIIIYRMDb3Yr7c8hBEX+cPQYa4AnclR0JsVtmXCMnWYK53JSUExSQghhBBCpoZe2EcRK5SOQrPtI+9YACgmR0EX27HMjjNJMTk5KCYJIYQQQsjUSC/smTc5nJYbYGkuD4BhrqPgBxFsy4BhdArwMMx1clBMEkIIIYSQqeH5UeIY1Sgmh9J0fSzNFQDQmRwFPwiT75dpGLBMgwV4JgjFJCGEEEIImRp+EGKhkgPA9iCj0HIDLFWVM8mw4OGkxSQAWJbB1iAThGKSEEIIIYRMDT8IsRiLI4a5bk8YRWi7ARYqeRgGnclR8IMoCW8FANs0mTM5QSgmCSGEEELI1PCCEPMVJSbZHmR72nEl12LeRjFno0kxORQ/CGGbHcljWwZzJicIxSQhhBBCCJkaQRChWnRgmQbqLYrJ7dBtQQp5C6WCzTDXEfCDELadDnOlMzlJKCYJIYQQQsjU8IIQjm2iXHToTA5BO5GFnIVins7kKARxNVeNzZzJiUIxSQghhBBCpobvqwIp1aLDnMkhaGeymLNRytvMmRyB/jBXEwGruU4MiklCCCGEEDIVwihCECrnqFx0WM11CE2XzuS4qGquHWfSMk06kxOEYpIQQgghhEyFIM5dc2w6k6PQancK8DBncjT8IOpqDaLCXOlMTgqKSUIIIYQQMhU8XzlEtqVyJmsUk9vSSjmTDHMdDT8Me3ImzWQTg1w6FJOEEEIIIWQq+HHumm2ZqJaUmIwihiAOolPN1UYxb6PV9hFyvrbF9yNYfc4k52xSUEwSQgghhJCp4PtaTBooFxwEYZQIJtKPdiaLOdUaJEIn9JVk44chHKunNQgL8EwMiklCCCGEEDIVdO6adiYBsAjPNjTbASzTgG2ZKObt+DaGum6HH0Sw0mGuJp3JSUIxSQghhBBCpoIXL+p1n0kALMKzDS3XRyFnwTAMlGIxybzJ7QmCsKcAD3MmJwnFJCGEEEIImQqdMFcTlVhMbjUoJgfRbAco5JSILBboTI6C19sahDmTE4VikhBCyIHizMU6/vFj97PIByF7gK4wVzqTQ2m5Pop5CwA6ziTbg2xL0NMaRPWZpDM5KSgmCSGEHCi++LUVvPfTp5iXRcgeQC/qHctIwlzZHmQwLbfjTHbCXDlf2+H3hbkaCEJuJk4Ke9gdhBCHALwZwA0AXAD3AvgpKeWKECICcBcALe9vk1LeFT/uBQD+MH6NLwD4USllYxrHCCGEEI0Xh9U1Wj7mSrkpj4aQg40ON7QsE6WCDcNgAZ7taLl+Iro7Ya6s5rodfhB2F+Cx6ExOklGcyQjAK6WUQkp5M4D7Abwidfz/klI+Nf5PC8kKgNcCeIGU8kYAWwBeOo1jhBBCSBotJustLlgJmTaediZtE6ah2oMwzHUwmc4kz2UDiaIIfhD1tAZhzuQkGSompZSrUsqPpm76DIBrhzzs+QA+L6W8N/73awB8/5SOEUIIIQluypkkhEyXdAEeQAkkFpQZTLOtqrkCas5ytklncht0OKvFaq67xtAw1zRCCBPATwP459TNHxVC2ADeD+DlUso2gGsAnErd5zSAq+O/L/cxQgghJMHz1cKLziQh06dTgEeFITq2mbiVpJ+WG6CY6yzfiwWbOZPbEMQOpN0V5kpncpKMJSYB/CmAGoA/i/99jZTyISHEHFRe5csA/OYEx3dZOXSoMu0hZLK8XJ32EPYtnLvx4HztHM7dzrncc2daalfftO19+bntxzHvFTh343E55qtYWgUAHD0yh+WlEgoFG6Zl7vvPajfGH4YRWm6ApcVi8vzVUg5BZOz7+Uozyfey1XABAAtznTmbqxYRRhGWDlVgmcZ2D99z7MXPeWQxKYR4FYDHQuUlhgAgpXwo/v+mEOJ1AP5zfPfTAJ6bevg1AB6a0rGRuXixhnCPVXdaXq5iZWVr2sPYl3DuxoPztXM4dztnGnO3VW8DAM6tbO27z43ftZ3DuRuPyzVfa+tNAMDmRgNmEMCIgHrD3def1W7NnQ7/jfwwef68bWJ9s7mv5yvNpOduo6bO962Wlzxvu6UE5rlzG3Bsa2Kvtdtcjt+kaRpjm2sjtQYRQvw+gFsAfFccxgohxKIQohj/bQP4XgB3xA/5AIBnCCEeG//7JQDePqVjhBBCSEKnAA/zsgiZNl7QnTNpW0aSR0m6abkqRF/nTAJAMW+jwRzTgehwVtvsruaaPkYujaFiUgjxRAC/DuAKAJ8SQtwhhHgngMcB+KwQ4k4AXwbgQYW5Qkq5BeAnAbxHCHEfgHkAr5rGMUIIISSN66kFGQvwEDJ9+nMmLeZMDqDlqnNWId8Rk6WCjQYL8AzED7s3K9J/sz3IZBga5iql/CqAQQHFT97mce8G8O69cIwQQmaZBx7dRM4xcdXy3sz73mvohSoL8BAyfXqrudqWkUQPkG46zmSqAE/eRpPnsoHo71e6z6T+m87kZBgpzJUQsnO+fP8FvOrvv4Qw4kmL7A5v+aDEOz5y/7SHsW/wPLYGIWSv4AURDCAphKKqufJ6mYXOmSz2hbnSmRyEFozpPpO2qf5me5DJQDFJyC5z/yObuPvkGjbr7rSHQmaUthegxibfI+P6dCYJ2Sv4QQjbNmEYHTHp+xRHWWQ5kznbhB+EiLhhnYkOc+3uMxk7kxMquvnQ+RrOx4WkDiIUk4TsMjom/+Jma8ojIbOK54doUBiNDAvwELJ38IOwK5/NsehMDkI7k+mcSRaT2Z5OGHVWAZ7JOJOvf8/deOu/fm0iz7UfoZgkZJfRC9fVzfaUR0JmFc8PKYzGwPNZgIeQvYIfRN0LfdtkzuQAtDNZTDmTLCazPdp9TG9Y6JzJYEICfKvp4czF+kSeaz9CMUnILpM4kxt0JsnuoJxJn2FOI6LDXNtewAUYIVPG9/udSf4us0mquaZyJh1bzR0FeDZBsPvVXFtugAsbrQP7GVBMErLLeAxzJbuM64cIowhtj3lGo+D5IYpxmBjdSUKmix+EXcVRnNiZ5OZYPy03gGUaiYAEUvl/FOCZJH0m0+63Obk5i6IILddHFOHA5k1STBKyy3TCXCkmyeSJoii5IFIYDScIQwRhhIVKHgCL8JDdYWW9iQsHdGE5Ll5cgEejXaNgQsVRZolWO0AhZyXFioDOfLE3Zzb6+mh1hbnGzuQEvmOuH0Lve5y92Ljk59uPUEwSssvoXTE6k2Q3SO+sMm9yOHpzZ76cA8A5I7vDGz9wD974L3Law9gXqDDXjjhi2OZg1mptVEq5rtv0fPmcr0z0NdLJKMAzidYgOo8VAM6uHsy8SYpJQnYZfSJjAR6yG6QXXKzoOhydL7lQVc4k54zsBvWmj/UtnvNHwQ+j7h6AFsXkIB48s4nrjlW7bmM11+3phLlmhQZf+pzpPFYAOLd6MKMRKCYJ2WX0BbHW9NB2mdNGJku3mKTLNgy9e7+YhLlyzsjk8YIQW+z9OhK+H3aFICZOG8M2u1ivtbG21cb1x+e6bj9IYa5/8a6v4I77Loz1mG3DXCfhTLbTziTDXAkhu0D6BM9QVzJpXJ9hruOg52u+op1JzhmZPK4XoNbwWERmBFQBHoa5DuPBM5sAgMf0iEk9d7Me5uoHIT5/z3l8+IsPj/m4jAI8E2wNop3J5YUCxSQhZHfwU5UjWYSHTBqGuY6HG1e8XajonEnOGZk8XlxhWTeZJ4Pxgv7WIPp20uHBM5swDQPXHK103W4fECdXn7vl6fXk71HIbA1iTm7OmnHE2XXH5lBreqgdwIgEiklCdhkvCHFksQSAziSZPB6dybHQC9RCzkI+Z6He5JyRyaN/lwx1HY4fRN3VXOlMZvLgo5u4armMnGN13X5Qwlzbnnp/nh/intPrIz/OT8RkvzM5iWqu2pnUuaznDqA7STFJyC7j+yGWF4owDOAii/CQCZNeQDToggzFixckjm2hXLDp5pJdQYdT1xr8fg3D93v6TE64ofwsEEYRHjyzheuvmOs75hyQAjzpPsp3PXBx5Md5QQQDgJlqpzLRnMnEmVRi8iCGulJMErLL+EGIvGNisZrHxQ06k2SyMMx1PPQiP2ebKOUdurlk4oSp3q90Jofjhz1hrnQm+zi/1kSj7fcV3wFSYa4zPl86tNW2zLHEZBD3Me3uzTnBnMm4AM9VRyowDYNikhAyebx413VprsCcSTJxPL+zW0thNBw9X45tolKkMzkKURThPZ86ifUaIytGoSv0nGJyKL19Jm06k308+Gh28R2gI4zSUSphGGGj7u7otfwgxDs+ch/W9lhrG+1MPun6JZxfa44cTuoHUdf3C5jsd0yHuZYLzoEtwkMxScgu48X5IIfnCsyZJBNHL1wrRYeVSUdAz5djmygVHNQZGjyUi5st/NPHH8DtJ85Peyj7grSY3GKY61C8IKIzOYQHz2wi71i44nC571hWWPCnv3oWv/IXn8KZi/WxX+vRC3W8/7On8e5PPrDzAe8CWkzeIpYBAF8e0Z30gxCW2S13LNNIjl0qLTdA3rFgmgaOLZUoJgkhk0eVPVfO5NpWG+EEEr4J0XhJq4sccyZHoBPmaqFUsOkcjYAb55nSmRyNtAg6iJUdx8WPwxA1idNGMZnw4JlNXHu0AtM0+o45GWGu67U2/CDEP//bybFfS+cA/ttdZ/dUNJU+D121XMHRxeLIoa5+EPY5k4ZhwDINBBMpwBOgkFNFkY4dKuHcahNhT0ugzcbOXOL9AsUkIbtIFEXwfJUPcmguj+ASQk8IyUIvuBbKOba5GIG0M6kK8FCAD8ONQ4PX91jY217FTYWe15o8329HFEVxmGuGM8kwVwBKDJ06V8ssvgNkV3PV57nb7z6Hh8/Xxno9LSaDMMIHbj+9kyHvCtqZzOcs3PyYQ5Cn1wc6i49cqCc1Kvwe51tjW+bEwly1mDy6VIIfhFhN1cdYWW/il/7kk/jImP0x9xMUk4TsInrXy7GVMwmwPQiZLHoBMV/JUxiNQG+Yq+uHdECGQGdyPBjmOjpBGCEC4KScoyRsk79LAMDDKzX4QZhZfAdI95nsuGGeH8IyDRTyNt75ifHCVXUO4PXHq/j4HY/uGVctEZOOheOHy/D8MNP5P7vawO++6fN464e+BgAIego8aWzLmEgFXOVM2gCA5fkigO51nnZ33/6R+7Gy3rzk19uLUEwSsovoRYVyJpWY3EthI2T/oxf685UcPD/sKshD+tGuUc5RziTAKrjD0HO21wpy7FUY5jo6uppmOsy140wyJQTYvvgOoFpeWKbR5bK5foi8Y+Hbnnk1vnTvBTx4ZnPk12vHzuR/fM5j4PkhPvT5hy5h9JNDX+tyjolSXp+7/Z77BPjLd31k+XCwAAAgAElEQVQFbTfAatyKzfP7w1wB1R4kmIQz2e44k6X4mtJ0O9dh/XfbC/C3778HUTR732uKSUJ2Ee0aObaJQ/N0Jsnk0d+xhXIeQP/FlXSjd+wt00wu/KyCuz1e4ky6M7kQmjS6hUG5YFNMDkGfv2wzqwAPN8YA4IEzm6iWnGQNkYVtmV2bGJ4fwnFMfOvTr0Yxb+OjX3pk5Ndrxd/f647P4ck3HMJnvnpu54OfIGlnMhFtPXUC3va/78VD52s4fqiURFIEYZT0lUyzU2cyCMOu82A6Z1L/v5Ual/77ec+4GidOreHjdz469mvudSgmCdlF/FRIXTFvI+9YWN/aGyEjZDbw/BAGgGrZAUBhNAzP7xT7qBT0nHHBvx1tv7Oz3mxzgT8MLZCW5goMcx2CdtPSzmSnoTw3LgDg5JktXH98rqtPYi9KGKXFZADHUuuOK5fLOLc2enilzpks5CwcXSrtmV6prhfAMg3YVsqZTIk2eXoNH7vjUTz/WdfgGY87gs26iyAMkyKIvdimCT8cz5m875ENvPQvPoX//1Mnk9taboBCPB49rrTI1X9/2zOvwY1XzuMDn907eaiTgmKSkF0kuVDGIRZ5x+RuK5kovh/GxWSUMKIzuT2uHyIXL1xLBQrwUdDOJMC8yVHQ87VYzaPe8ljBext8v/saCaiwTdsymMsMJUQevVAfmC+psW2zR0yGyDnKJVueL+LCxuhisu12i7a2G+yJnp9tL0DO0efu/jDXRy+oNijf+vSrsVDJIwKwWff6+phqrDGdyU995Qxe+dYvYqPm4pGVTsuVdAGeQobI1WGupYKNG66cw+pWe+YiPCgmCdlF0jmTgDrhs0IdmSReLCY7IZt7Yxd5r+J5QSImmTM5Gm5qUb9GMTkUPV9LcwVEEdiyZxuSVJAe52hSlTb3O6fObiEChopJxzLh+R2B4vodN255oYC1zfbI4jwtjgaFk04D1wsSgVzMEG21WFiWCw7mKzkAcYuUgWGuo+dM3nHvBbzuPSdw45XzuOZIBZupqvzpMNecbcIyjcTdBdTcmYaBnG1ivpyH54czF+FBMUnILqJ3vXQOiNOT10DIpeL6AZ3JMXD9ELbdvVCiM7k96VYXbA8yHH2OX6yqPOatPVINcy+ir5G91TYdm9dKAEnhnOuPV7e9X6/41jmTALC8UESE0es1tFPiKHEA94SYVEWFAKQK8HQ2AutND3nHgmObWKio357ut5kZ5jqGM3n6/BYA4JdvfQqOLBaTCrdBGML1QxTjaq6GYaCQs7qdybaPYt6CYRiJyN2oz9Z5lGKSkF2kd9eVF0gyabwgdiYzdmpJP15XmGt2RUDSjcsw17HQqQxLsZhkEZ7BZOVMAv0FZQ4qD5zZxPJCAdVSbtv7ZYpJqyMmAYzclqLlBsjndA7g3tmkbHsBcvFGoGObsC2j63pXb3koF9W4O2LSRRBEsAZUcx3V/W67AWzLhGNbqJZzSS50O5Vfqinm7a4CPM12kDip8+VYTNZma4OJYpKQXaQ3zNVhmCuZMCrM1WKY64h4fifM1TJNFHIW6lzsb4vrB7AtA6W8zQJiI5CEuWoxySI8A+mtK6BxbIa5AsDJM5tDQ1wBwLGNrrVFetNMi8kLo4pJL8OZ3CNiMp9T78kw1PmomRpXo+Un4neu7MAwVCSFFwzoM2kaI4e5pudkrpRDrekhCMNOsaJYLAJKTKbDWFXYcCwmY5G7UZ+t86g97A5CiEMA3gzgBgAugHsB/JSUckUI8SwAfwWgCOAkgBdJKc/Hj9szxwiZFn6qNQigHEo2YiaTRO9A25aJvGPtiYv+XsaNc0w1hZyVlJwn2bie2rBYrOaZMzkCXipnEsCeqYa5F0kqnveGuc6IMxlGEQxg20qsg9iotXFxs41vefpwMWn3rC10+gOgehDblomV9dHCXFuu3wknzdikvP3EOXzyrjP45e97yo7e105Jh7kCQLHgdDuTTQ+V2Jm0TBNz5RzWa20EQQjb7B+nbZlo+KNdL103QD4OG54rKcFaa3hJcZ1eZ7K3mmspr453nMnZOo+O4kxGAF4ppRRSypsB3A/gFUIIE8BbAPyslPImAB8H8AoA2EvHCJkmPgvwkF0mnRtTKth0JoegnVxNzrb4mxyC56sqiguVHMNcR8CN2/Xo/CiGuQ7GG5AzOSvXyl97zafx4S+O3uMxzYNnVJ7eKM6kCnPt5P+lz3OmYWB5oTBymGs6ZzLJxU+Jo3tOr+MrD6xe9k2SdJgroPIm05un9ZafjBdQoa7rNRd+EPWFUQPjFXlqeZ3Q37lYEG42PLRc9fpdYjJn9YjJTuuQcsGGbRkz50wOFZNSylUp5UdTN30GwLUAbgHQklJ+Mr79NQBujf/eS8cImRpeTz7IrOy2kr1DOjemVLDpTA4hHf4FMI95FFxPzdlCNY81FuAZiucHcBwzKQZCMTmYTpjr7BXg8YMQFzZaeGSltqPHP3BmE6Zh4Nqj2xffAfpTaLyeCIzlheJYOZNJmKvum5i6rtTi4jNnLzZGer5JocJcU2KyYPflTGonFQAWyjlsxAV4bLNf7liWgWDEAjxtN0hcUZ2/ull30WprZzIV5lqw0XTTrUH8JGfSMAzMl3MzJyaHhrmmiR3AnwbwzwCuAXBKH5NSXhBCmEKIpb10TEq5Our7O3SoMvpkXEaWl4efSEg205674qk1AMCxI1UsHyqjUs5jtdae+rgGsVfHtR+Y1txFBlAu5bC8XMVCtQAvjPbd53g5xxtEEaqVfPKaxYINwzT31Zxd7rEalolSwcEVR6r49FfP4dChCsyMsLH9wOWYO9uxkXdsHDkyh/lyDn64f8+tuz3u0sOqWumR5UrXa5UKDvwg3LfzBgDlqspV9KKdzeP59RauOlrBVVcuDH+tkhIo+nX8MML8XCH599XH5nDfIw/h8OFKV2hqFEU4cXIVj79uKbnd9UMszBexvFxFFEWwLQNR6hzZjluQ1Nzd+3yyntcPIsylzt2LcwWsP7qZ/LvR8rG8VE7+fWy5glPnagjCCNVqvu85y6UcoouNkd5DCKBaVtdZF/H8WWbSquT40bnOuOaLaD24lvzb9UIsxfMJAIcWimi4wY7nbi/+JsYSkwD+FEANwJ8B+I+TH850uXixtueaCy8vV7GysjXtYexL9sLcra6pnbvNjSasMEQYBGi2/KmPK4u9MF/7lWnOXbPlY6EcYmVlC45p4MJGa199jpd77pptH4EfdL1mveHumzmbxndtq9aGaQB5y0AYRnjg1MWkkMR+4nLN3cZWC7ZlYGVlC6W8jQtrjX3z/UpzOeZrdV01f9/abGIlXYQnitBoevty3gA1d488ug4AWF1v7uh9XNxooJy3R3ps4AdotTtri7YbwHc7/67kVT79yYfWUCl2QkFPnFzFH/79Hfivt92CG66cB6DOkVEQJo8t9nyHVzeVw3nfqVV83Q1LY7+vYQz63vWOyzJU252VlS24XqDCy6PO8YJtYr3WhmEAbrt/3RV4AdpuMNL81uouluYKWFnZgh+nkjxydhPleC6b9RZWVuLiQGGERsvD+fObMAxDRSaEnXGV8zZWdnhOuBy/SdM0xjbXRq7mKoR4FYDHAvh+KWUI4DRUuKs+fhhAGDuBe+kYIVOjU81VXSRZzZVMGi9VaKFcsNFoM6RuO3w/7Mq7Yej5cNx4ztLl9slg0iGGlZKDrSbnaxCD+kyOk8+2V9HFWXZaLbrR8rvCNrfDSc1XFEWqt2JPmCvQ3x7kofMqBFfnQgdhCM8Pu3IAVW5i5z3o6sRnVy9vmKvbG+aaypnUvYK7cyZVOGoU9VcLBuLWIOE4OZPqtYt5G5ZpxDmTGWGueQtBGMHz1Vz6QdhV7XW+nJu5c+hIYlII8ftQeYnfJaXUCRNfAFAUQjw7/vdLALxjDx4jZGroC6U+qfdWXCPkUknnABaZMzkUN1WwCJiN3KzdRucALsatLpg3uT3p32Sl6LA1SAY6Cqy3fZZmFn6XujhLbYdF0RptH+URxaQqWNQ9p6OIyTOxINR5vUnfRCedm+gk15UoipL7nrmMYtIPQgRh1JXvXirY8IMQnh8khefKxe4CPJrM1iDj5Ex6nWquhmFgrpzDZsNNPuNivruaK6CcVH28lBKTc2XVWmS/b5akGSomhRBPBPDrAK4A8CkhxB1CiHfG7uRtAP5SCHEvgH8H4NcAYC8dI2SaeD3FBehMkkmjCvB0Ku+13ADBiLutB40oiroKFgH8TY6C64XIdzmTFJPbkW7LUCk6LMCTIghDvOG9J/BfXvMphLGDBmQ5k8a+/11q12qnn3+6b+Iw0hvVet7SERiH51Wbmj4xGRfR0c5eVt/EdKGbZttHEEbI5yxcWG9OXBBtNVycuVDvu92N2zelW4NogdZo+Yn7mxbfw8Xk6O63KsDTee5qycFW3UXLDWCZRtfzJ2LSDZKqrmmnV49ra4Y2mYZueUgpvwogM9NeSvkpADfv9WOETAsvLhFvmakwVz9EFEWXtT/TfuPBM5u49mh13xb5uJykQ+rSDaZ1xbmDzKmzW3j3Jx+E6wd46Q88LVk45FLOZM424fnsM7kdbuxM6kbgdCa3x/M6bRkqRQf1lo8gDGFlVJQ8SPhBiNe9527cfkK1AN+oualezN3nese29n0UjxZmrhfG6QjWkEd08PwAnh+iuIMwV9frdyaLeRuVooMLG929Js9cVMJNizE95rRoKxfs5HG6HcgNV8zh7pNrWFlv4vih8sjvKwhDfO6e83jm445mXt//6eMP4M77LuKVP/0NXQKtHb+nXLoFh77etf3EOe0Kc62mxWRWmKvR1U5lEFEUKTGZeu3EmWyryrfp9Vwx13Emzfj2Yk+YK6A25Rar+y/3PIuDfWYjZJfReQv6RONYJqIICPZYoae9xOpmC//9jZ/H7SfOTXsoex7ttNmpnEkAEw11PXl2c9+JhyiK8Pr33o3f+dvP4Y77LuDuk2vwfFWgAUDXom4Wwul2G9UaxOpqBE4G4wWdMFe9qVNvMvxcC8mn3ngYgHLJ9G/PGuJMnj63hUczHKtxiKIIL3/D7fj4nY9e0vOMSrrXYG3Mz78Rt5wYPcy1M19e0C8mgf72ILWml7hjOky0kwPY7QA24+M6ZPvGuFjPuHmT95xex1//89340r0XMo9v1Fys19q45/Ra1+2JM9nVZzLugdnyk1Di9HxVS04i5jKdSdNEEKjN/e3w/BARkIS5AsBcKYfNuuozmZ4roBPymg5zTYvJuTiXc5bag1BMErKL+H7YdRLTi9hZipWfNDok6NGLl7ZwOAgEYYQInUVDcnFtT27h+uf/dBfe+YkHJvZ8l4O1rTb+7a6z+IYnHsOtz70RALBZ9zJ37B3LopgcgpvKAVyo5LFGMbktrhd2hbkCuOwN3vca9ZaH20+cx/OecTW+/5vVb3JlvYkgjGCZRrLo16hNns4i/83/IvFn/3TX0IX/dpxfb+L0+RpOnbs8FWK1MAM6Ym1UdMGbdK7ddtjJRnUILxZe/WKy0CUm030i9WZH2+0PyywWbNRbPqIoSsTnY69a6HuOUdiKe1Tec2ot87i+dn3+nvNdt7fj95RzuvtM6sfo8adzJk3DwHws3KwMZ9K2DEQAwiHfqZbXX2RnrpTDVsONe3J2f0bpnEn9ftI5lQtl5UZuzNB5lGKSkF3ECzquEdA5uXPxOhi94D+/NlqD5YNMUmgh3rBI74hOiq2GhwsjNrveK+iFx82PWcKxpRIAYLPhpnKJWIBnHFwvSBZxi5U81rdmZ0d9N0hXWK6U1OJWN3o/qOim91ctV3BorgDD6DiTWa6RDtvU4nGr6eHsagP3Pryx4zGcOrvVNZbdppVqXD9uRVcdXTJONVcA8P0oM2cSUM7kxY12klOvN2wXKrk+ZzId0lkuOAjCCK4fJpWJjywWMVdyMovwrG21u9571vs6cTpbTOpr1xfkStemu14X5HOpAjypnMlG24NpGH0uoa7oOihnEsDQUNd2RuhvtezA9UOs19sZzmRH5LZih7mY6y7AA9CZJISMiN9T7EPH7XPxOph2nL/WWyiA9KO/RzoHUDvfk/p++UEI1w+xus/CXNupYg3pC3fWjr2dymMm/QRhdxXFhWqeYa5DUGGu6rdYSlyKg52Xm3ZobMvEUlW5ZH4QZuaz6d+oXuhrEfKJSwhR1WKyftnEZOczHz/MVYvJ0QvwAOq7lxWBASgxGUYRVtZV/uPZiw3Ylolrj1aT8WW5cGnRpiOHqiUHx5ZKmWGur3zbl/APH74vc5x67h+9UM8UU822j7lyDvWW3xXqmjiT9mBnslSw+2pR6GI3ToaY1KHVwZBIseR6ks6ZjMPXz681B4rJVjtAUzu9KYfZsU2UCzbFJCFkNAY6kwxzHYjOjaAzORw3Ft5OqlowMDkxqRdDa1vtfSW22qnd9bmyWoxt1t0kZzLXkzMZgXnMg9ALU+1MFvPWQNeBKNJhrlqEuwe8yFNvlVAVctlSYtLOdiYBJBs9zbYPwwA+d8/5HeeEn4zF5OXqxdtKRYiMG+ZaHzfMNRHf4cCcyZuuVqGpX77/IgBVfOfYUhHVUseZzHLhOoXdPNQaHmzLRN6xcOxQOTPMdW2zNTiMNTUPWfdptHx8w83Hkc9ZXaGu7W2ruXqot7yuEFeNFpODwlyBHTqTsZjcanh9Ya5aXDbbfuK0lvLdgnO+ksfGDPWapJgkZBdRbQg6J7FJO0eziF681lt+14WH9NPbT2zSmxV6MeT54b5qb6Ar/+UdK6mct1l3M/uv5Rh6vi29c5Z3LPhBxPYz25CusOw4POcDSC2qtZgsxmGuUaZrlBZHrqfc8VvEEbh+iM/efXbs14+iCKfjXMnL1Yu35QbJ+Wfc82dzzDDXRBj5IbwBzuSxpRKuWq7gC1KJtDMXGzh+qIxy0d6+AE/KAdxqeqiWHBiGgWNLJdSaXtd783wVzXJurYnNDOet0fIxV3JQzNs40SMmoyhC0/WxUMnjaY893BXq6ma4g45twrYM5Uy2fFQy5mq0MNcQnh/ilW/9Iu7LCKPuuLXpaq4d4drrTNqWiZxtoun6aLb7W4cAqqLrRn12IjwoJgnZRfwg6in20Tl5kWz0RQNQBRPIYPrEpDVZYdRMhWmtbu6fC196F9uxLRTzlgpzzRCTzGPeHjcpfKGdNiu+nfM1CM8PU/OlncmDPV+9/fYOLxSxUXfRbPsDcyYBNZc65PMJ1y7i6iMVfPzOM1339YMQb/rAPbiwMfh6sbLRQr2lXutyi0nbMsbPmWzrVhfj5Ux6KWcyl+H4Pl0s476HN7Cy3sTKRhPHD5VQKTpJ+xIdddCbMwmoDd5aw0uKSh07pPLR06Gu6Xz9+x/pF2aNlo9KKQdx9UKfM9lyA0SRCu19hjjSFeraCXPtvCfDMOJKs6rPZFZIsHYm7Yw2JLplmx9GWN1s4Z7T6/jkXWf67pflTM6lWm/1OpOACnVttn00XR/FfH/47XwlR2eSEDIaKh+EC9dxSC+6GOq6Pb3hTJP+fqUXBqtbrW3uubdo9xSRUGXc3STUMN1nctICfNboDQ3Wc9r2DnbY5iD8IEQYddy2REwe8PnSG1PFVJgrAJxZbWS7Rqkoi6SyacHGNz7lCpw6t4WHz9eS+z56oY6P3vEoPnbH4HxKnS/52KvmJ1rtejuabdU2olx0xnYmtfAdtTelnTqPuQOquQLALY87ggjA+z97GlGkBKEWi7Wmj5YbIO9YXdV1k7zflo+tppuIyeNxcbN0qGt6bu/LEJP1lodSwcbjr13E+fVm1waAvt6UizYed+0iAOChc+pzTqJNevMTC07sTHooF/tF3fJCMX7OfqFpp3ImdbXlrNDbrJzJdB/nQr7/M1JiMkCz7XdVctUoZ9LdV+kj20ExScgu0lupjgV4hpNedLEIz/b4idNmxf+fdM5kSkzuU2cSiBtMp53JjA2eg57TNoheAU5xtD1e328ydnIP+Dm/lRTg6YS5AsDKWjO7AE9SnTRMFaOx8dir+vsbbsaVcu+8L7t3IaDEpGUaeOxV8/B85cLtNi03QCFvo1Jwxi7602j5I4e4Aumw4Ci1ydgvYq48XMbxQyV88stKeF9xqJwIrXrLQ9sLMgRbJ8y11lBhrgBweKEA0zBwfr3fmTSQLSYbLR/lfEcs3nNqve+xpYIKg83nrKRIjZtRgAdQQrfR8tXzZjiT4poFvOzFT8c1R6t9x9I5k7plSa/ABbKdScc2k+9yb5groHLLm3E112KGczlfzsPzw5kpzEUxScgu4gVhT0gd82eGoYXAXMmhMzkEtzfMNRGTk7lApS90+8qZzBKTjZSY7FkUAPxNDiIpwKOdSUc7k5yvLBInNxbftmXAwOR+k/uVRtuHaRjJZoQWk2EUZRbgSTuTnXxLJ8lB3Eq1WtmqK1fp4ZX6wFDXU2c3ceVyOXl84zIs4nVD+504k422P3KIK9CdQjMoZ1JziziSFJ05ulRKXqfe9OK+if2CDVBis9b0UC3GvRtNE+Wi3VWpVocQX3/FHB48s9V3Xq23fJQKDq5cLqNSdLryJjuhvUoUavcOUOd00zD6Nh5KeQu1pheLyf75MgwD1x+fy5wHKzVntUbn8+nN5WxliElArVGAbcJcXVWAp5BRREn3v5yVvEmKSUJ2ET8IM10QLlwHoxukH1ks0ZkcQq/TZpkGDGNyBXh0WXPHNrG2j9qDtN0ABgAnXtDPl3M91VxZYXlUep1J7VrQmczG66mwbBgGHMecmRzTzYaLh87XcOLU2lhN11vtAMW8leSOVYtO8l3KKsCTvlamey7qvp3ptgppYakrlaaJoggnz27h2qPVjst2GYq76Yb2laIzdjXXRssbuZIrkIp6GpIzCai8SQA4NFdA3rG6ciLbboCC019QJu9YqDU8Veim1HEASwWnay61IHzyYw7BD8Kk6FHnuIdywYZpGHjMFXN4eKUTrpwOcwViMRl/x5RjavblHhYLDi5uthABmc7kdug5C4IoCXMt5e2+UNdO8Z/u+azGGxOZzmSuE+aa9TnqTY1ZyZukmCRkF/H87NYgLMAzGN0gfXmhyAI8Q+gtKGMYBpy4b+Ik0A2Xjx8qZYa5BmGIf7vrDE6cWuvKr5w27fg7pPN+dN8yPcbMoljc4MnE63Em9QKVOZPZdNzvdMVgayY2EO99eB2/9CefxG+/4Xb84du+hNe+5+6RH6sLkWgMw8DyvHInty3AE4SpHpU2LNNEpehgK+UkbTY8WKaBIwvFTDF5MS6+c92xaiI4LkcRnqZ2Jgv2+M5ky0+E7ygkYa6pnMksxxcArj5SwfFDJVxztAKgI96UM+lniqNSwU6ux5VU/mGlYHcVF9LC8uYbDgHoDnUNwwjNdpCE71ZL3Y5tb2/NtDPpemFfiCugxJ/+LmTlTG6HbXY7k45t4kmPWcKJU2tduYwtL4Bjm7DM7vnURXiGFeDJyqmcjwsDrdOZJIQMo8+ZZLGPobieqoR4ZLGItc32gQ8P247M6qTW5MRks+3DAHD8UBmrm/1hrl87vY7Xv/cE/vBtX8LPvfrjeMsH5UReV7PT4gS9eT9z8S7wxfg9dDmTbN2wLe2BziTnK4vesGBA/T5nISf3Qtzs/rbn3YRbxDLuf3QT4Yj9WVUxmu5Fty7Ck5kzmRJHiTOZ786B1mw2XMyVc3jyjYdw98m1JMdNo/tLXntsrtObcJc3v4IwguuFKOZjZ7LpjXU+U2GuozttXWGuceE/0+ifV0AJ+Zf+wNPw4uc/DkB3tdaWGyCfIY5KBRvn4rSTasqZLBcd1FLCvJnagDw8X+hqtdErFqulHLYanXnRj9U5nPPlTi9G1wv6wkz1uDp/j+tMxnMWhthquKiWHDz+2kWs19yunNy2m/3aSZhrhlgsxDmTzQE5k0cWCnjCdYs4ulgaa8x7FYpJMjYnz27i3Fp/o1rSj9/jTNoMqRtK2wuQsy0cWSgiArCyvn9y9S43WeFMk3Qm1a6qjaW5PNa22gh7FkM6NOiF33oTrjlWxd0nsxtV7wR5eg0/8+qPd4WzjUrbC5BPOUPz8Q7yxY0WDHQ7Idzg2Z7e/CstkuhMZpPVMD43wd/kNNH99r7upmU89cbDaLsBzqyOthbIqmqp8yazcvvsntYgjt2pbDpXcpKiOwCwVXdRLTp4yo2H4QdhX87bwys1GACuPlJOxMe4YafjogsO6ZxJP4jG2oBptLLDIweRDtf3vHBgvqRmsZpPOWsWLNNICvBkOpN5GxcynMlyrzPZ9mAaBvKOhcdeNY/7HtlIxKJ2LXVuY7XowA/C5FzSTBVaAoC5Sg6Ntg/PD5Jok6xxaSpjikkrXYCnqVqePD4uDJT+DrUHCNm5bcJcS3kbLVdXc+3/HB3bwkt/4GkD8zn3GxSTZGxe/54T+P8+cv+0h7EvUDuEnd1BLlyHo8JcTSwvqoUGQ10H42WUgHdsc2KbFTrPaalaQBBG2OoRdvri/9QbD+MxV8x15S5dKo9cqKPtBngklVMzKr07yYkzudGCY3fn3TBncns6BWV6C/BQTGbhJVUnU2LSsWbCyU233LkuXgSfPLM50mObbtC3qNZiMjPMNZUS0iusqqUcNlNhrltND9Wy6l2Yz1m48/7uqq5bTQ/logPHthL3qrnLYa7pvppafG0X6hpGUSK6oigav5prEq6vqrkOypfMwjCMRBQqZ7JfHJULDoLYhe4Wk92VahstP8mNveHKeWzU3SQipN7qFovJvMSfZbPtwzKN5ByT5BXW3diZ7H9P6TkaO8w11Rqk1vRQLeWwvFDEobl8t5jMKEoEdNqDZIW56tuCMMpsDTJrUEySsam3vH1V2XGaeH6U2SCdOZODcf0QOUc5k4AqHU+yyXZBJpef1XR9FHM2lqoqv2O1pwiPDksqFWxUi2pRManvtt7t3kkRpkFhrhdiMZkmaQ0yA4v93SApwKOdSTR0h+UAACAASURBVIetQbajU2G58/1TzuT+ny/dKijnWDi+VEI+Z+HBUcVkhkOzbZhrjzOZFg19Ya51F3MlB7Zl4onXLeGrD652PVe96SVumA6V3e0wV+3CFfN2p1rqADd0vdbGy9/wObzxAypNoO0FCKNoZ2IyCOGO4Ez2osNVWxkFePT70KR7LJaLDpptH0Govvfpz+pIvCF8cUOtF3W4cjkV5gp0IlyUELWTzb60mGx74VBncqcFeHRrkGrRgWEYeNw1i5CnOy1LWgNc0Sddv4SnP+5IslbpGlfqs8sSm7MGxSQZm5YbYH1GKlDtJlEU9eVMss/kcFwvQN42US2pan90Jgejv0ddvUwnWoBHFQ9YmlOLvt4iPI04pzKfsxLBVh+z0MQg9C72TsKce8OS9NjacSGFNHQmt2dwa5D9L452g8w8ZtuciT6T+ndlGgZM08B1R6t48MzW8AdCnUuKueww1yxnsqs1SE9l07mSEjB6rrcaXiJMjiwUsV7rbgZfb/lJHp5jW3Bsc9cL8IzqTK5utvAHf/dFPLxSw/2PqvzCTo7oDsNcg52JyaQAT4aTlm670Rvmmh5zs9XZNFjURWbi9aIW04kzGeccbqWcyfR71u0zNmvuwFDT7pzJ8URbV2uQppeM5+hSCbWml2yYDQr9PbpUws9815My5zp9/3E+x/0KxSQZiyiK0HYDbNTcvvwp0o3u45S+UE662uYsoncgDUNV52N7kMF4viq00Bu2uZPvV6Pl480flF0FE5quKh6wOKedyW5hp3tomYbR2WVuTEpMqucZ1DduO3rDXPOOlTiVvRUB2a5ne1w/gG0p8QCo+TJAJ3cQ+nvUF+Y6A9+vdk8I5PXH5/DQ+a2RohGabtDXb+/wvHYmB1dz9WNnMl3ZtJrqNdn2VD6dLgpTLtqJO6dRzmSqnUXe7grNnASeH+C3Xv9Z3PWAqibbEZN2ImR7X3Oz4eIP3vpFbNRdPO6aBaysN5MQV2C8gjIdly2El7FpNoxKwcHaVhtR1N9PUY3Fjt+P1fXcve+tkRKEi3FEi24r1dtHspqIbDc5nnZA58vq8TrMNZcV5ppXz5HPWZnfo+2w43Oaym0MkvHozUftfg8qwLMdaQGZJc5nDYpJMhauFyKCiu+f1KJxVvEzQhABdeHkwnUwrt8JKTmyUMR5hrkOxPP7d6B3Us317GoDv/umz+MjX3wEn7vnfHK7FovVoqN6TW72hrn6SdiYvhBPKm+y3tTO5KWHuQKdIjxZ8wWwqfwgekvyG4aBnGPRmRyADgvucyZnYL5aXncI5HXHq/CDqKtXYBZ+EMLzw74wV8e2cOtzb8Sznni07zGO3emb2GgH3Y5V/FvebLjJ+UYXk8lyAestryufrlSwJx7men6tiYdX6vjaQyo8UgtC1Rok25n8ygMXsbLews999824RRyB64XYrLupqqc7yZkM45zJ8QRMuWAnFbuzwjK1sE27kupxsZjUoaptP7lvMW8j55hYj3tF9jqu1QxnMp1fWE31FB3kTOpNhsqYriTQmbONuD2H3hBNwmsbKTGZ4UxuR3rjJKua66xBMUnGQudMAMD6PmpiPg2yQhCByRZImUVcL0h29ecrubH7cx0kXL+/0MK4zuTJs5v472/8PGpxXlFaDDbj0DTDMLBYzWc6k8XehcGEPi+dc7SjMNeMnWS92zwwzJUbPJl4ftDVMxEA8s5siKPdICvMdVaquWY5kwCGhrpql643zBUA/v3XX4PrjvVXtExXc+0Nc60mzpGXCBF9W6aYbHa32SgVbDQnXM1Vn6f0uihxJvM2KrGQ7b2W1eINs2uPVZOQ3/PrzU446BjhkZZpwADgBRHcjE3GYZSLTuKeD6pOCnS3BVGP684HTRdLMgwDi5V84kzWW6ofqHYYi3FUi56X3rxa21I9RZUzGWY7pvH9x20Lop5fbVisb6lrXmWQMzlAyG5H+n1kVXOdNSgmyVi0UguIjRlptrpbDHImHctkg/RtSF80VBVELloHkelMjrlZ8dm7z6kQrRc/HccOlbpK7qcrMC5V8xkFeNJictJhrmqhVWt6ycJsVNoZCw+929wrvg3DUNEC3ODJxPVC5HtcDuVMcr6y6IS5pvtMzkaYa2+lz8PzBVSKTlKEp+X6mZt/zbgK7DiLavW7NGJnsjvMda7UiYLQC/4+ZzIWN2EYxT0bU85k3pl4mKuOoFjtFZM5C45tIeeYffnk9aYHA2pedLGa82vNVJjrmPNlm4kLPLaY7CoYMzjMNV18B+i049Dz2SsIF6t5rKWcyXKhU2DHMAxUSk5yzWi0+9uhLFRy2Ki1B7cGicdV3oEzqXMm9fi0UJ7vEZOtATmT21HqEpMMcyWki1a7s7BnEZ7tSSpt0pkci3SYay4uXMH83GyyCi04Y1aObMeNtQ8vFDFXymGz3lmEpUuiL1YLWNvsdgnTOS6VogMD6Kqy6HrBjp3lWsqNGCfUNYyizAbXiTOZsSBhHvNgXD/McCa5yTMId6Azuf/nq9XTIsEwDFx3vIqTZzbxyIU6Xva62/Gbr/1MXx9q3XNxXIfGsU00Wz78IOouwJNa7OvNLy0EtJhMh10Cndw+QAmPSYe56nOUDunsdWMrcYGbNPWWh1JBuXOH5gow4ufpzS0cFTveqN6RmEzNT3ZrkO52Hpqkb2fTQxCGaLlBlwheqOQTt7be8vscxGrJSaJhmj2bBoASdqtbbQRhlNkaJGebsEyja/yjkjiT8WdWiYWyFswbdVXIyXWzhex2pH8nvbnCswjFJBkLhrmOThLmeolhiAeJIAzhB1ESBqMvah5dkEx8P+zfrBgzZzIdElot5ZILe6vHTViay2Nty0UYdoR9uvqeGV/Q02Gu7/jo/fiDv/viDt6Z2sW+9lgVwHihrl6c1927IErE5ICedvxNZqPCznudSRPtGRBHu4Hnh7DMTsEiAHAccyYKFrW9/rYR1x+bwyMX6vgfb/4CvCBEGAF/9Pd3JAt0YPsw1+2wLRMb8eZUWoTkHQs528Rmw016FA7KmdQCLt3QvliwJ17NVVcdT4rNtFTPRB2u29uPEeitMmtiaS6vxGRLi+/x5suxjNiZDMbqM6nHp8nKmUxvGqZJxGTL77SKSomnhWo+qa7baHl9DmK16KDW9BBGEVo9ubEAMFfO40I8t1mCzjAMzFdyWIiL9YyDaajQYL2WraY+i3LBxmbdheur68m4zmQhZ0GfAZgzSUgP6aIL63U6k9uhw1x7e2hx4TqY3jYE+v9cuGbj+f1V+8btM5l28ebKKuQojKJk46gjJgsIoyhZ3AGqz2R3/zGnK+fykZUaHrlQH9vFcr0Anh/iukRMju5M6nPUIGcyqyLgTooWHRSy8nLzjgXX5W8yCxVZ0f+bDMKoayNmP9J2/b5NmuuvmEMUqfz237ztFvzyrU/BVsPDH//DnYmI1CJjXIfGsc0k0iEtMoy4evRm3cNmw0XOMZNxlXvEpA537SrAk7fRbPtd7UMuFX2OUpVBfVW8LM43B5QI643S6K0yu7xQxPlYTOZzFixzzOqkcdTTznImU2GuGaKt0xuyW0xapoli3ka96WUWDlqs5JPWG40MZ1LPS6sdIEK/ez1fySUifFDe4i9/31PwgmdfN9obTWEYBizLRL2lWlyl50D3Mm272deTUZ67kLdhW+bYn8V+ZPbfIZko2q2wLYPO5BB8X12oWM11dHSImA5nYYP07RmYMzmOM5nKRamWcgjj0vTNntC0haSBtPrdR1HUlx+jnM3OgulC3Kx63F6hevGwvFhEMW+P1R5koJgcUM1V38bfZDZuRq5SzrHQ5nxlon6T/U4u0Kn0ul9RDe27F/tPfswh/Kdvfzx+47ZbcHihiOuPz+El3/lEPLxSw+elqgzddMfvmwioTZ6OM9nrWOWw2XCxWfdQLXby+GzLRCFnpZzJ/pDRUsFGEEYTq0gcRhEubLSSvohrW+1YTHbGXC46SZEaTW+V2SOLRaysNdFo9zt4o2BbJvwgUhErY1dzTTuT/Y+dr+TwtMcexhOuW8p4rI16y0Oz1R/OnG4PonMm01Tia0bv9SZ53XLnsx0k6K5criTn93HRm/2lgt0l3lXKh5vUCRlXTAJAKW8diHxJgGKSjIkWk8sLRRbgiYmiCO/99Els1LrnQ+fIZOVMjtKX6yCiRaNevHYapHO+snAzFg12LIxG3XVXlerUd1RfkDfrbqdoRq4jNAEkYWWuHyIIo75S7tqZDMMoCfk6tzqumIzdhIKD5YXCWGGuyU5yb2uQpJorcybHwctwJlkYazBeRui5jrDY70V4slrumKaBZz/5eJcYeeL1SzAAXIw3k9KVTcfBtlNisjf8seRgq65ag8yVs90uIHUu6cqZVH9PKtR1o+bC80PcdNUCAFXQpbfNRaVgZziTflf47fJCEZsND6ub7R01utfF/XZazVWTlTNpWyZ+/nuenFTw7X1sveV3nMmeMFdA5SXWW15fTmQ1ziUdVME2LSazokouFR2G3FtYaL6SU1Vk4+vJuGGugPq+H4RKrgDFJBkTLSaPLZVYgCfm/FoT//ixB/C+z5zuut0L1GK+rzUIncmB9IpJ/X8uXLMZVIAnAhCMGFKXLnuerpLY6lkAVjJ6ggHduUxpZ3K91k7GcL6nIMcwdJ5TuWBjeaE4oTBXNc6sXKIci2INpJ3RLDzvmOwzOQDXD/vmK2k/s483xfxA5bOP0m/PtkzMVXJ9lU3HzZlMXyt7nclq7ExuNbw+IVBOi8nUuUSjBcukivDo89NNVysxub7VRqPl9TuTze7Q2nqrP8wVAE6f29qRmNRVqbM2gIZRGZIzuf1j4zDXjCq0ixUlJle32n1VdQF1XYnQyYvfiTN5KVixM1npCd+dK6nvV+JM7kBMFvP2gciXBICR3qUQ4lUAvgfAdQBullJ+Jb79JIBW/B8A/KqU8l/iY88C8FcAigBOAniRlCrm4XIfI5ND51EdWyrhzvsuIgyjrkIDBxF9QfrM3Wfxfc+9odM8eFBrkJ6F6x33XsB8JZe543fQ0Dv3+kKYtxnmuh2ZYa6p/my9GxlZtL0wuVAm/dtSoaodZ7IjNIHUAjG1+z5XUrvMYRjhYqrya291x2HoRYlyJovqXBNFMI3h55pOWFL3ex/UZ1Lfxg2ebLLDNq2ZKCizG3heVh7z/g9z1ZsHWfl0WSylqj+33ACWaYztlqWL12WJDL1xdfWRStexdOXUeobA0e7YpJzJXjG5moS5duaqXHAQxqkBpYKjWpa0/L4wVz3mHfVNtI1kE3DcuS7mLVWQxuiv8zCMctHBhc12Z4Mx9Vnp0N+zFxuIItWWJY0ueqPnsC+cudIprDNuRdVRsOPQ1mpPYaG5cg7NdpBE4uxEyD775uMH5roy6rftXQC+EcCpjGPfK6V8avyfFpImgLcA+Fkp5U0APg7gFdM4RiZL2w1gW2ZSjGNSDcr3M/oEutXwcNcDF5Pbk2quWa1BUieYt37oa3jrv37tMox076NDFPucyQNyQh6XrJC6xAUZcc7SOXFdYa49OSy6wbT+zSe70D05k9H/Ye/Nw+TI7irRE3vua2VVqVQlqbWlutX7Zrftxlu7MRjMYg8YbMx4+IbtA8M8mAc83vvgMe99zDzwPD8YD2A8jMF4bGNsvI53u93uvdUtdbekVmnfas2qyso9Y8mI98eNe2PNqsxUqVSS8vzTrYqMzMjIGxH33HN+5wcSfkEtbvGI2LfNlYVmREQU0hEYHROVHp0QWheba0QW8Y6HduLe/YXAPuKQTHZFWKCMIglDZbILwt0Cdir1dTzGutnHuyGXUrBctZNN7dpqrofFIDfc9za/UpeMyeiYFlbrWiAUJuFTJqOKtx6OKZMbSCY5ANvyMcQjIlZDyGQ67rSbAMg5seCtVRy1lUmgvx6TFJLAs8XtfmsmOY5DLCJCkYS+f6d4RPIE8LitrKLAIxWTMLPUsF8b/B0Bp65+s5VJSpz9Y4h+Lj2uQT77h+6awFvvm7zCI7w+0BOZnJ6efmJ6evpSH+97H4D29PT0E/a//xrAz1yjbUNsIGifqYy9WjQM4XHIJMcBT70yz/7O0lzDAnhcymSjbeDsbNXTn+9mBV25l1kAj10zOUyODIUe0gOwXzLpbg1Ce0V6bK62TYf3NZgOC0xwq5dUmbx1Z7ZvZZKFZkQlZv3q1erazeYKAO96455QBwCx0w3HWBg03Qy0BlEkkhi8Ffq/Lqw0PS2rrjVI+m2XAJ7rWM1t91k7lk9FsFJrw7IstH3EqlfQe5koBFXNVMxrr3eDkEkyJhoh7Sjov5vqxiyGl1bbyKUUiAKPbFJhYTOREIWOLoo1wlJmIxI7tkFtrq0BlUlyLBIiAwTGxKOk1UrT/k5+a2cmqWCmVAeA0DRXwCmF8JPJeESEYLvfNrNmkjpZSmXy3Blk/N5M2Ihf5pPFYvHlYrH4X4vFYsb+2w64VMzp6eklAHyxWMxdg21DbCAcMkkutNX6kEzS1bh79hVw5PQSWxGlhDE0gMee6Jv2g9YC8NKZpc076C0KOtlSRBrAc/3bw64m1lQme6wBdNdM8jwhjNWmzgJ43A9Rd8BOM4xMRp26yuVKG4mohKnRBFbrWuiCwLm5KpuAuNFUdfAch4gs9E0mB0nfG9pcaU2c9xx0TBKyFGh1scEpy5cW6wO3afjTT76Ij37p+IYcx0ZA14PK5I1kc+31usolFWi6yfoPDhooAxBi5VfLUi7FKiyAp6Ua6Jimp5cjBSU0/r6Pg6K02mL3qUxSYQE8aymT9ZYTMuYGtboOokyKLmWy35pJgNQ+DqLAUQvvSlUldllf6VM2obCMjaAyScmkbXP1kVnaRxK4yjWTITZXAChVuve4HMLBlVaGPjw9PX2pWCwqAD4M4L8AeN+VH9a1QT6fWP9F1wCFQvJaHwKDxZEo5907CU/vcPyWOj4/NuPYRDsq/d1v3Y8XT5Zw/OIq3vGG3VDsh8T4WMrz4EsnI9A7FgqFJOotHXQKdeJSBT/91uJVP961cK1/S+VCGQA5Z4WROAS7vkKOyNf82NbDtTg+vWMinYp4PnskVwMAJJKRdY/J6BCykMtE2WuzqQhUwwQn8IgqAsbGHCUvl4pCNUwUCkmIZ4ile3JbBgVa62O3w+EkAdW2gbF8DPt25oEfnIPOcZh0HU/HtPCrf/4YHjg4jt9//wOe4+qAkNrR0RSyuQQ4DmjqZk/nWJLJmNk+kQlMELohmVDQsWpbfoxRXI3j/NO/fw5zSw38P7/xMFNUKNHPpmOez8xn4wCARCqKbDJyRZ97Yb6KP/q75/BbP3s3HnlwZ1/76oaJakPDkdNLWKxpOLg7v+4+V/s3NgEk4t77VdlWyaJx5boZYxT0eOds2/rYaLKn77BrMkv+RxRgWBaSif6/e9x+bibjwfv/TsNZfJjalvFsHy+QuVwkRu5lWd89MmcvmnCCgEIhifnlBuJRKaBO9Yrlahv33zqGQiGJiUISzx2bR1s1kM84100kTtxcBjgUCklcWCJK3OS2tOfYJsdSODdXw+hIYqDzRXt65rPxvvffOZFGtaH1vd+4/fpKU0ciFvytto0m8RJ9Xkx4v28qEwMArFTbEAUeE9uIJuW536SjWKmqmNiWHvg36oaoPceYGEt5j1sk90Bq1Z6cSA9Ux3o1sBXvIVdEJqn1dXp6Wi0Wi/8VwJfsTRcBsKdCsVgcAWBOT0+vFIvFTd3Wz/dZXq5vuabChUISpVLtWh8GQ6WmQuQ5dGx7yOW5ypY6Pjc269yVlkktQCEpYcdoAt945jweLBawaq9oVVYbUJuOgqtrBnS9g8XFKqsriyoiXjyxiNm5yjVrcLsVxtrSCnnA1mstlCyT1bSsrDSu+bGthWtx7jqmCdO0oGuG57Nb9lhbKNWQWMcWRMmC4XqPmCxgqdyExJOVYPd7KxKPy4tNlEo1LNo1MK1GGyWD/E4Guy9UMVeqY1s+jqhIVn5PnFnyHE+9pUMzTDz50iwOvTKLnePOA3K53ERUEdln55IKzs+seo5lplSH0bE8+wHASpkcV63SRKve27VkGiZU33ncqrhaY+3khTKWKm382Seex6+88yA4jmMqiq7pns/U7HEzN1+FEaIs94OzF8hj+nPfPYU7d2X7qtdyO2M+9oVX8Afvu3fN/TfjOm21dVgd0/M5jRq5zy8tb+37mB/u87WwSGyK7aba03cQQAjb6QsrqNZVZBNK39/dZIFsQmBfw2VRNXXvtWt1CKG6cLmM1ZqKdEwK7B+RBSytNLCwUMXvfORJ3LYzi19+58G+jg8gim25piIRIferiMixcWl2OuxzLcuCKHCYXaiiVKphdqEKANDamufYUrbt1dQ7A5wvR/lutXr7ndz42TftAWD1/7k6uf/Plmqe+zZFRHSuybDxQ2uwEwr5nf3Xadxe3KpVWmhvcEs6yyRjzDK855s6VRZW6POkxa7ja4nNuIfxPNe3uDbwrLVYLMaLxWLa/n8OwHsAHLE3vwAgWiwW32D/+1cBfPYabRtiA0H7TIkCj0RUwuqwzg9N1YAs8RB4HnfsyePCfB0d02RW1rVaN1BLygMHClD1DqYvljf78LcUWGsQkQbwkHM3DPsIQu82vmiacA+2Tdq/U3bZsUgzcB1NtROoX/HbXDl4AzkS9kSI1kzmUxFm2/LXTbobeH/u8TO+bd4I+UxSYcSG4tPfOYUPf/algDWzrZPkyF6SbCluNpvrZ757Ct954TL7t9ExsVJVkU8peO7VRXzreRKRoNvXnX+M0d98I65LajW8XGrgxMXVwHbLsrr25aVWwdt2ZXF6poIjp659qUBY+q20wbbga4G2TRh6t7kSxXql2kY75F7SC2jeQJjlM2nXdwPB8BTqSKi3dDRaesBKSt+z2TZweqaCakPDsfMrA9UAL9n2+0KGfN9s0kkfdbfY4DgO6bjM7J40bdbvnqAhPH47aC9w3/MGWZSWRL7v4B7A6VHZrT9mxpXIGvZb0HPQbYyk4jJ4jus7ZbYXCKxm0ntcksgjHhFhdCxIIn/Tdy1YDz2NtmKx+BfFYvEygEkA3y4Wi8cAjAF4rFgsvgzgKID9AH4dAKanp00AvwDgr4rF4ikAbwTw+9di2xAbC1ozCZAbxDCAh7RLoTfBQiYK07JQrqrQOyY4Dp4UOcC54euGo7zdu38UssTjyOlrPxm6lnD6TNLgBR4Czw3TXEOgu1bt3egnOTKsDioZk1FraHZoRrDBdLNNapFaKgmYcLfrEHjyAJ5faULTTeTTEUQVEam4HEh0pSE7t+/J4+jZFc9Cin8CmIzKLKKdotLQUGloOOwjEJpm9h2WcDORScuy8PhLs3jmmBMWtlJTYVoWfvz1t+De/QX80/fO4NJiHap9TvwEgtUA2osRaxG+9UDVcVniGYl142vPXsQf/M0zLFjEDTomfuQ1OzGWi+Gfv38m4C4atBZzUIS165FvoDTXXnsQpuMyBJ7DSlVlaa79gi6Mhe1L67uB8AAewCaTbd0TckMRU0Q02joOnyoBIHXes6VG38dI+yPSmkm37dt/H0onFFRsZS2sZQkA7BxPguc4VjrQD9xhf/7nwtUEJb4WggE7gEOwaR28H5TIdRsj9+4fwRvuHO87ZbYXsACekJIIWp50NWo1bzT0dHVPT09/EMAHQzbds8Y+TwG4YytsG2LjoGoG6zOVScrDAB4ATVe4wEiaPEhKlTYMwwqEowDegBSqTKbjMg7uyuGl00t479v2X5Wb5vUAzTADqpJ8k7QhMDrku/f623dVJvtIc2WtWFwTj1RMQlM1UGsFUxBp649GyyD90kKS/5IxGefniA0nnyKTiLFsNKBMUhLxnkeK+POFQ/jc988ym2KzbWA8F2OvTUQlXFjwWntoi5LvvXgZDxwYdb6Tq9VJr5AEHh3Tuin65q7WNbTUDuZXnN+DJhaOZaP4hUdJ7fexcys4sJPULwWUScmrTD75yjz++bHT+E+/9rq+J151e1L9lnsm8Y3nLmKx3MRo1vntL5fqWK628ZWnzuNfvXmvd197DKTjMt7+4BT+/uvTWCg3sS1PajrPz1fxHz/5Iv74Aw96xtPVhBbSMJ4FFl0HZPLo2WVMjMSRS3lrYVlrkB5/X57nkEkoWKm10daMgVJC6bjrFt6TiskwQlqxJGxCs7TagmWFq2ExhSiTh08tYXshjplSA69eKGPS17Oy2tDwsa8cx3vfth9jIWOIBoONMjLpqHD+VNN0XGatJsJalgDAjrEk/vK3H74i8g0MpkwOCnfAUdhxZ21lMhYJbw+TWIdM3rlnBHfuGdmIQw2Aqp2JWHCMpOMy5pabwyTXHnBtirOGuG5BlElywWfiQevZzYiWS8FxJ0+G9RsDnJu84VImYxERd+0dwXJV9UzybjaEEQFZ4q9re1gvULUO/t1fPoHnTyz2vA8jk74FC7GPNFemTMrOeyRdkej+yZC79Ueri9qQjElswpS3F1fGsjGW1kdBe0nm0hE8+sAOnJ6pYKVKV+29ymQiRvrGUZXJsizUmzqiioATF1cxt+woCm1XOm2v6LedyvWMWftcNdoGsyyXmFUvinRCQTap4MJCjSmP/muS2lzpdXm5VEe1qePCfP+1PM22Dlni8bYHpsDzHL7zwoxnO1Ufv/n8JSz47o10QSERkxhZpGMIAM7Pke9wte2vzxyfx+nLFZi2QtstzXWrt5+xLAv/5fOv4JMhfY/bIfeK9ZBPKVgst2B0rMC9pBfQiX63ZNNUXA4NZKHkZt6+54TbXCVcXKxjsdzCm+/ZjtFsFK9eCJaZnJ2r4ui5FfzjN6dDVe7SaguKLDA11GtzDVEmXa1BullZByGSwJXbXAeF+3uE2lyTDpkMA1UFB0n8vVIIAg9J5EOfGUNlsncMyeQQfaGtddgKYyYpo1LXtlxo0WbDrdDkUgp4jsNSpQXdMEPrttwTV6pMxiIic9QfPwAAIABJREFUxmxby81sHdZ0M9ggXRSu6/5svWBxtYVG28DFhXrP+2yEMqmF2FxT9uSsqQbVBLd9jIz7MDLpTO7ytroxloui0tA8VkVqc03EJOyeIImxl0t1mJaFZtvwWNOSUQm6YbJx0NY66JgW3nT3dgg8h+8ddgiIZtd194N+CPj1jrklh3jThavSaguiwLHapl3jSZyfrzl9X7uQI7oYQUnp2dlq38fTaBmIRyRkkwru2J3HSz6rf62pY+d4EqLI4zPfPe3ZVrc/NxGVkE05NXoUtNfpsXPLfR9Xr3j1Qhl/+6Xj+PR3T3WtkxcFHhy2fp/JttaBZph4+cxyYKFY1TqQRD6gpK2FXCrCmtUPpLSto0y+8e4JPPrAVODvEVmAwHNs8SHU5hoR2f3o7r0juHVnFtOXyuiY3t+oZp+HY+fLeGG6FHifcl1FLqkwxS0eEdlz33//zMRl1Fs6jE54y5IrhbumcDPJpCQK7LkdDSGM8YjIahDDkIiSZ8agJPpKoIg8UjE5VDGlz8J+nyc3I4ZkcoieoRukjQCdeKbjCkzLYhOJmxVuhUbgeeRSCpZW2zA6Xcikp2bSafJLJ+p0tf1mhGZ0WI9JihvN5mqapGbNTaxoiEMvtvFPfHMa3z8yw4iP2FUFGaxmMuUig0FlkmyrNfWudVC0mbgs8WxMj9m2Rbc6SQN4ElEZkwViS7xcqqNl9111194414Zm/5fsOzESx33FAp56ZZ59l7Y2VCbXwtxykwWXzC+Tyfbiagv5dJRZfHeOJ7Gw0kTVnkj766/8NteqrR6ena30fTyNts4Ui0ImiorveVJraZgcieOdr9uFI6eXcPz8imsbUadFgWdWuhXXYhxVKacvVa6Ku6HR1vGxr5Ael2dnqyjZ6dz+88Vx3KbV5eqGiS8+cc4TcNUrqG24Y1p4+ui8Z9sgin82pTB7bHQQmyvtM9mFhDx46xjecu9k4O8cR+opF21rfTebKwDsHEsil4rg1p1ZtNROYEGvao/HiZE4PvWdU2hr3trdWkPz3DM5jkPOVuL89aUpu19itaGh0dKRGCBkZy24CeRm1kwCzjkOI/4cxyGbULq21qCOl0F6a14pfux1u/Bvf/y20G1DZbJ3DMnkED2D3kTdATwAWDrZzQq/3W8kHUGp0gq1OwFeFYRMyAU7TIDcuOo3M5kMUyYl/rqoNeoVh6YX8fGvnfBYWpfsSeh6ZPLMTAXfe3EG//jNk0wF6qZM9nLOQgN4XA3AI76JASN11OYa8vCn4zifirDV3rBE12bbgCILkEQesYiEfErBTKnBUg7dq9i0noVeG9T6mIhKeOPd29FUDRw9u8y+U78P/+vFhrgRmFtuYNe2JASe8yiTtOYLIMokAJyeIWPMf01S2ytV2qh6c2YAZbLZNthENBWXoGodz+JRvakjGZPxyP1TEHgOx887VsR6S2djUhJ5pOKyR5kkves4GB0TJy8Hk2KvFP/4zZOo1DX86x85AAA4ZF/TUkhLHknkmdLbCwZ1/JyZqeCLT5zDF584F7q9l3RcUeDwg5dnPbZO1RW+1ytyrjCaQWyu6ymTayERldhiQpgCSInLPftILV5xB+mL6be61po6FEnAv377AZRrKr769AXP9kpT9/SRBhxbZ1T2K5POnKl+VZTJa2NzBVxksgshfMdDO/Hme7aHbluvZvJqYiwXw/6pTOi29JBM9owhmRyiZ7ACfPsGSa2d/pW6mw0tX+z5SCaKpdV2TzbXVtuxCtLJ881NJsNqJm8sZfJbh0hipbv+i5LJ8joW528duoSoIiAeEfHPj5F2Gn4LoqN8r3/O1JCaOI8y6VMTWM1kSw+Me/9raL0k4NQS076qAAIr89sLCVwu1VnKoXuilbRtUJRE1m2FMhGTGPFZsFXPQWyu/STgXu+YXW5ieyGB0WwU8ytNWJaF0mqLtTYAgJ3jxHZ8yiZg3ZRJjSmTGgSeQ7mmeshcL3DXjtGxR8mpatsuEzEJksgjk1A810i9qTOLHED6kbqVyeVqG3fszkMUOBw711fb6XVx9Nwynj2+gJ94wy684c5tyKcibIEoLHhNlnq36//gpVn8zkeeZDX1/YA+Px47PBP6W3z5qfP4w799JvS5XbOvr9fdPo655aYnYbmt9X9d5VKuMJpBWoOso0yuhUREgsX+P2TRy76/3G2TyXRcxvaReIBMVpsakjEJeyfTuHNPPmB1rTa0AJnMdlEm07YyWWmoXVuWXAmuJZmkbaG6Ef+H75rAvfsLoduS67QGuVagv+swgGd9DMnkED2jTe0q9g1SZlanG38C1g2maUHVvZPqQjqCSkNDs21AEoM+fDbZZ8okuZGKAo+oIgZaINxM0PROaH3WjRLAc26uijO22rPgsnwuVajNtbvKv1Jt49CJEn7orgm879EiI9iB+qwB0lzdK68Ru48sEFQT6BitNdeqmSTjeSTljciXRd5Th9VoGx7b02Qhgbllx1rpViZpzRO1t9JJbzIqIaoQizi10BKba3+PNvc1eSOj0dZRbWiYyMcxnothfqWJRttAS+0wwg+QiXUmIWPGbpXgV9okV/9Xy7JQa+rYN5kG0H/dJBkHNpm0J2/U6kpLKJKucBO3el9r6Z7+cPlUhBEo07RQrqnYlo9j32Rmw8nkpUVih3zk/ilwHIe7941g1q4PDEsT7keZvFxqoNLQ8PhLs30fF71GOh0LX3ryfPC9F+sorbYDChvgLNK85d5JyBKPbz93kW1zJ7n3Co8yeUU1k/2TLnf/xjB75UO3j+PXf/J27BhLsr8d2JnFqUurHuW25iKLo5koa+0BkAW7lmowaz/FSDoCWeQDij5VulbrWteWJVcC97PgWimTYW6V9UDLJwaxQl9NMJvrkEyuiyGZHKJn0DQ3ukrjX52+GdGyV3f9yiRAwi3WbA1ip7m6V10TUfGmViZVwwxNjtzqwRW94luHLiEiCziwI+OxfFJlsqUajOD58d0XZ2DBwlvvncT9B0Zxf5Gs8vpVI9rcuRdiRCe37oRGjuOQsq2uYXH+yaiElWobHdPqokzaNleXMkneU2b1RwBJc3UTxslCHB3Twhm77s7TZ9JnAa81nXpLgNhoaSKppncQkfqb0NwsNZNzS2TMbcvHMJ4jCbtUIXeTSQDYZauTAAJ1zDzH2Ys8ZEGsY1o4eEsOosD1TSa9NlenpgxwiBH9/TPJMGXSGSfZFFEmLctCpaGhY1rIpxTcfksOl0uNvlXTtVBr6JBFnj0PqcIFhE/k5T5qJimp+84LlwKBMOvvS87Zw3dN4ImX5wIteVbtc0vbsLhBr6uRdBQPHBjF44cvs+d7ewDF361MDtIaZCwXgyILHtW8V1BngyIJob9HPCLhfldLIQDYN5mGZphsEQUg9cAp1/hrqR2m6lYb5Hz5lclHH5jCn/zK6wKhLvR1CyvNri1LrgQ0gEcUOE//381AfB1lci2M5WKIR0RMFhLrv3gTMbS59o4hmRyiZ9AbKH2gyK7V6ZsVrTYlk87Nhk7KKg0tEI4C+FqD+NSdRFS+qclkqM1VFPqqNdqqKNdUPP/qIt5w5zbsGEtisdyCadckLVXabByE1U2qegffPzKDe/cV2GLFL/7IAbz/h4vYlg/2Pus17EPVOxAFLpDQyFaKQ+qckjFHBQwjkzR8YizrPa5UXGYkAbBJRNSrTALA9EVirfTHzXOc2+aqQ+A5dt2NZhwyqeodyH20LwD6qzO9nkHbgmwbIcpkx7Rw3Lb1jfrI5M5xR7EJqwGUJQGq0WG/aS4Vwc6xJFsM6AVGx4Sqd4I216Zv0cBWfrK2zZXW8rlrJgGihKkaUYtWaoQ4ZlMRHLwlBwA4ctLbeufiQs0T6NMPiP3RSYEsTmXYeAxvCSX0PL7qLQMCz2G5quLFk/21NWm0dCiygJ96+BaIAocvPXHee9x1DcWpDAQ+JB3XdV0d3JVDS+2wUCF1gGCrRFRiTpNBlMlbtqXwV//LG5FOKOu/OOSzgfAk126gz273ggW1uQIOuaDtPejimJ9MJmMyDu7OB95fFEgoGU24vVo2181WJQFH/R2ETKbjMv7yt38It2xLrf/iTUQqLkPgua4ptEM4GJLJIXpGW6XKJLmwhsokWGsP96S74FJk1qyZ7ASVyWRMurnTXPUOlJCG393UuusJjx2egWlaeOS+SYzlYtANE6s1Fc02sYzu2U5sgmF1ky9Ol9BoG3jkfie5MB6R8KZ7todGmksCz9oUrAVNM8P7azHbUbjySPtIhtmStuXj+IP33Ruoj0nHZa/N1VczNJ6PQeA5nJsjypbbmsbzHOIRyQngaWlIRCX23UcyUSxX21D1DoyONUxz7YLZpQYkkcdIKoJxexHiFTu4aMSn/lAyKQp8qMqhSDw0rcMIXyomY/dEGhfma10DXvxosD67TgAPADZOmM015thcVb2DltqBppOgHrfNlSphK1WVha/kUxFMjiaQikk47Kt3+8IPzuHjXzvR07H64SYZADlPd9gEwm/VB8j50nt8VtZbOoo7MhjNRPFNl9WU4h++MR36d4AQ8EREQjqh4N79BZy85K0BrDQ07BxP4sdetxOHTy3hVXc6rq30chyHnG1TL9ukvD1AAA/HcaxlyyABPFcCRib7IGy01pF+Z9PuZ0vJIg3WoQt+dJz6yeRaIPZxYpG+WjZXaZOTXAHnfA9ic92qEAUev/fee/GW+4KJwUN4MSSTQ/QM1WdzHdZMuupIXTfQVFxmk4nQFWp3axCfMhmPSDd1zaSqB22ustT7iv5WxumZCnZtS2I0G2M9RRdWmsziuteuOQtTJqlVjb5mPfSjTIbVd6XWSNdL2D0fge6r0PsmM6zNBHtPlzJpWVagabco8BjPxxgZ9F877oWWWlNnahVAVDXLAqtZ67e2i16TvRDw6xlzy02M52LgeQ7jOUImz8xUkIpJgbCQnXYtWRgxApxgLPqbJmMS9mxPBWyCALH8h41r2hqJTqolUUBUEVgAj1MbSyfz5L/lusoWFjzKJO01WWuzsKe83ft317YULi3WPJ9frqtYrauhzegB4GvPXsDcciN0W60RTPG8rzgaOCaK/pRJ0m7ikfsncWa2ijMzXrX3+VcX8KUnz4e6ghpt59rIpyNYrWvMAdHWDKh6B+mEjEcfmALPcXj1opNyW285+1KHASXlqt6BMgAhzCUViAK3+TV8UW+wXS9IxYgSRUOcmm1i4WY2a1+CPR2n6VjvZDKdUNj+G61M0vtYWHnN1cZrbxvDe9+23xPgdiNg7/b0hv9ONyKGZHKIntH2pbkOlUlHmXRPqjmOY/ViYTd1an3V9A7aalCZrA/QH+xGgWZ0QlqDCNANk02Irlc0Wk7tDbWALpRbKK2SSe8+qkyGTLprtp2v14bhkij0VDPZrY1GMk5triHbXCSuH+taKiaj3tTRMU1ohgmjYwWi8adsq2tYemMiKrEm9fWWzkJZALCaqst2KIrcb5qrdHMok3PLDWaLTsZkxCMiLCtYLwkQlSYdlwPXIwVd5Km5rH67J4hNzW91/ci/vIK//NwrAdLWaNnJva7JWirm1Nb67cy0l+RqzU0mvWmuAFUm24jIAhujmYSClYq3ZrJSV2F0rFA3SL2l47PfOxOwglLUWl5lEgDuLxbwJ7/0ILbl44HXu2smLcvCtw5d8tQQez/bQCIq4Q13boMs8njuVceeq+kdNNoGmqqB515dCD1uSmYzCQUd02Kkhypp6bgMSRSQTshMhQOAelNj11UmqYDjHKeEqnX6XqQByNgKI9dXG47NtffP5nkOmYTMvjMb28xmTQN0yPZuNte1kHa99mq1Bul2zV5N5FIRvHWo4N20GJLJIXoGrZmkE0ye5yAKPNQboJ5tUNDG8377D52chdpc7b9Vmzppzu6pmSR91m6Gfnd+WJZF+kyKfmXSnuhvIQV8ZqmBZ47Nr/9CF0h6nz0pSSmQRB4L5SaW7STXydEEFFnAai04waw1ghPXtSDZ4SjroRuZzCbIRDKM1CU9rUN6J5PphAwLRG0K6yUJANsLcfvvwe+aiLptrt5auVGbnF+2FbG+ba6+NNeZpQZL2L1RoOodLFfamBhxiA61uhayQTIJkJq1br+xIglQtQ6qrp6f+VQEiajESD3FcqWNc3NVVg9L0bAXzjwLai4Fu9bUkIg5dmbHhqi6wnmccZBJEBVypdbGcrWNnKvXaSYhY7WuMguuaVqMXK2GWMsrNmF4+cyyp40PQO5V1YYeUGE4jusaIiJJTprr/EoTn/r2Kfzz984EXmd0TLRUQiYjsoh8OuIJDnIrvI8dngns7w4louSaLlDRWr+03e8wm1Q8373muq5EgbRiWam2YZoWNMMcKNXyJx++Bb/17rv63u9KMYjNFQCyyQgjk0x1jzu2f1nkPTbXiCyEuju6gbYHcR/jRoEuVF8LZXKImxvDETdEz2hrHTsp0hk2pG5m60zyNxvtEGUSINHgQLcgBptM2g+qaMRLJgGyMn2zga7aBxqki9ROfe0Jtm6Y+MIPzuKP/+45fPTLx0Ote91A1QaApGGOZqJYWGlhqUIUlHhERDahhL5n1W7c3iskke8tzVUPb6Px8F3b8O/fc09opL4ncr9PZRIg4571kvS9P52Ih1nTgjZX53ykEzIkkcdluxapb5urr2byb754FP/jW6f6eo+tjvnlJiwAEy7VjFpdC+lwMvnzj+zDL//4wdBtikSCsapNDfGICFHgwXEcMi4bH0AULepq+Z/PettR0D6KCdc4SMdkRlBrTZ1ZXAHHZliuq6wcwD0eeZ5DNiljuaJipaZ60kQzSQWWBQ9RpUJpWJ3yqqu+99svXPZsa2sdGB2zr2tSFnlmc6Wk7qmj84G0VXptMLtpKsLChADHYnn33hGcm6vh/Lw3PdejTFIyWfWSI6qOZRPevpzE5up8p3wminJNZffeQVItMwnFE+a0WRgkgAcgBJuSd3c9MEAWC9IJ2QngaWh92zopkQf6s+D2AmZzvQbK5BA3N4YjboieQQvw3YEfNNHvZgUL4AmQye7KpMBz4Djnwe7uoeWQSfIQsywLR88uX/cWz15AJ1r+CQsll1vBTv2hTx/Gl548z9QddzrpWtANb2olQNpZLJRJzeRIOmJPxOVwm2uzT2VS8NZMmmb4+CHJp8EJYkQWcWBnNnSfQW2udEW+2tAcZTLahUyGrNgnosQma5qk3tJtc+U5DiPpCOv917fN1UUmLctCabWNmaX6OntdX5hbIartuCv9l5HJEJsrQIKNuhEBWeKh6qanDx/gKIAUtC/ftnwMR8+u4OKCU7dYD1Em3bW1fiupLJFFF4/N1XddZFMRlGttrFTbyLt6nfrr3dyEN+yao8rknokUnnhljhFfwG1v7MctIDB3BVVETcvCV3y9IKmVmz4Lskkv4aPE90cf2glZ4vG9Fx11smOSOny/Mkn3Z4Ex9rXo7ttpWlZA8S/YZJIuBlxPzdsp0XcvRvSCbNJJDK76bK4A7MUSh5z3Y3El+zu9C8PmB1cC2hpkqEwOsdkYjrgheoaqdQK9omRJ2BKT/GuFltqBwAfDBZjNVQymIHIcB0ng2SQrFqZM2g+xMzNV/Od/egkv+FIIb0TQcRToM0mDnq5xPVvHNHHycgWPPjCF9z26HwC61jz5QYNG3BO1sVwMpdUWSqsttviQ8dnOKGrNoKVuLbgDeCp1Fb/x4cdD66tUPTzNdS3QyTvH9Te5ZA3pPcqkl4zmUgriETF0gpaISuiYFlaqbVhWkESMZqKMYAya5qoZHTRVElKyVGnfUDWUVZs8UYIBANtHCHkfD2kvsx7cNtekTyV2p/bS/3/n62+BIgv4+rNOCmmTpbn66sZbpLa23tQDiyh0sl/vYpXOJRXMrzRRa+oskAdw1VvaRMBNeMNtruS43/2mPVC1Dp54eZZtqzW8/S97gVuZpGT59XeM46lj85h32Wj9wUK5pIJqXWP2XHrcE/kYXnvbGJ49vsDOI61Bpfsm7dYGji1TBc9xHqLaUkkrlWbbgGXBs0iTTxNVlCmT1xGZTEQl/Oa77sAb7tzW1365pALNMNFoG+x3SvjIZJm1BgmGMK0HqgonrkLqqXgN01yHuLkxJJND9Iy2ZgQmacoN0rZhULRUA1FFDLRnoIEg3VYIJZFnkyxPzaT90KJ2PjrJOHGhjBsdKiOT/tYgWyPoiU7YRtIRpx9ewwnuqDY0fP7xM6yO1o16iBI3lo3C6FiYXWowW3TWtgi6g0o6pkkCZ/qsmaREaKHcQlvr4JPfOslq1CgG6R3n7kEZ1pakG7w2V0oEvN+J4zj87nvuwTtfvyuwP50Az9nXRNKnXrrVtX5trgJP2l/ohsnSKy0LrAXKVsH3j8zg//zYMx51r1fUWJiNc7+5c28ev/Ozd2PPRP/93WTb5lpragHlptpwEkQpKduWj+FNd0/g2VcXmI2w0dYRVQRPsBSdbNeaesDmCpAFF2pzjUfEQChVLhVhqmPebXP1hafQ+y/PceE217oGRRJQ3JHFvsk0vv3CZXZdOopVfws8RsdktZoCz+Hdb9wDSeDx5SfPsdf5yWQ2qcCCcx7LNRWyyCOqiLi/OArNMFlKrX9f3nY70DG9WteQikus1UvW1eoiTOktZKJoqR1GtgcJ4LmWuGdfoe+6xCxriaKyVinuMXalyiTtmXk1EkLpfKNbAvMQQ1wtDEfcFsaffeownnKthl5rtPVOID7+RmnbsB4+/Z1T+OO/ew5ff/Yisz8BQEszQnvtFTJR8BzX1QYoijybHHhW5e0HH7UB0kbs05dWcaODBsYovlVVRdw4m6tpWnjm2Dw6Zv9jtumq86OEyq3AvHRmCV956gI+9pXjAVsyU+I8ZJKoQRacGttMQoHRMdnrAad+dtCaybqrzvBzj3kDP7oF8KwFOkb7bUJOgip4W5n0toRwY+d4klkS3aCT3LnlpuffFO4QmX5troBDwN3plv7glWuNl04v49CrC/iTjx/CZ757qi/l1B9mAxCycfCWXF+LAhSKxLPWIEnXhDodl9ExLVbTSK+RTELBAwfGYFnABZsMN1qGx+YPOOO8XFPRVI2gMpkg6n3N7jXqh1t5zSUdZTIZl8HzDnGkBGnbSCzc5tpQmTX7gQOjWKq0PfWW5Fh7JwR0UUw3TFQaKlJxGemEgoduH8eLJ5cYUQ0ok652JwAhfiRplcOIvYBCyWJYu5RM0kt+3DV7WZcNlv5e7u+Utx0TdAHnelImB0XO1WvS30sUIO1pVK2DRltHvaV7FlJ6AV0s2egkV8Apq9nsNixDDDEccVsYS5UWHnvx8vov3CSENS1W7F5jNzqOny9jdrmJf/reafz7v3oaM3Y/u1bbCJ1URxURv/fee/DwnROh7ycJPDp2HZubTNIHDFUmaaLk7FKjZ0vl9QqadBjWZxLYmH6mJy+t4qNfPo7nXVH7vYK1gYmIiCoCRIFjk0rAqcE6fGoJX3rinGdfNslzrUaP5RxrIZ20udMqKWisfz8r4JLAw7DPJz3G+4sFPHZkFqddPeu0AchkRCbfvV8yyXEcafvQ0NBoGRB4rq/PpiSW9v3zK1ajV6BMAg4BpxNzAB774VZAva1j71QGr79jHN947lJficJE5du4CawsCtB0svDhVugyCX9jd8daOZ4jvxE9r01fr1HAGee0Z2igJjJJlM9KXQtsA+CxtubSzv/zHIeci1itNggZLaSjXW2uGftYaFowVaqrzf5trm4rddXVo3JbLgbVbvcBBF0M/nvCak1l55gSnyVb6Q0jk9lkxKmZrGueNFGmTNZUhyC7ritKVuk1dzOQSTfBroUE7GRsMk4Ti9N9KpN0UW2jw3eAIZkc4tphOOK2MPZuT+PE+ZWuDZU3G201nExea/vhZqDe0vDQwTH84fvvg9ExMX2R2E5bqrFm4/aw1gqA92Yfdam9osAjqghslbi02maT9lM3uDpJlcmwPpNk+5WPs1W7TvXouZW+93W3MeA4DklXPzyA1CbGFBGvv30cX3ryPA6fdOpcncAZ57fOJJweftQW7Z+IAwgNgVgPkssxQBMJ3/fDRWSTCj7zXZJSalok7r/fnmT0u8dCFPn1kI6TejpKIvpRxALK5Bo210FSJ6kyuVJrM/Kz1chko6VjLBvDL779ADgOKPn6Jq6FWp+JwOvBTSzcY5OSFapIVuoaknEJPM8hFpGQiklM8W2oRkChSfvIpP+Yqe3zcqkeGq5CQ3c4OHWSFLm0Y4ElpEwmttkuaa7Ukjhqq96LZUImaw0NUUXsa9Ku+JRJ+j2Z8ugihLLIs9e7e2cCZNGKEh5ZEpCMSZ59AR+ZtJVcy7I8nws495sVV6sV974OmSS/1/Vmcx0E6YRM+mtWVVIP7COL1C59YYGQyX5trhzH4b79BdzaJeDsSiDZGQ1DMjnEZmM44rYw9m5Po1xTsdTHhOFqQtWNAJmUbavTjQyLptzFJOzeloIiCZi3H64tLWj97QW0tiGqCOB574Q6EZVYymGp0sLde/OQRT7Qow0ALszX8PhLW8cKfSVgATxd+kxqG5AaTInV0XMrfSfkOmEhZLKVisns/QBbyUgqeP/bixjLRj3tBOohNYIcx2E0QxQPZnNNOhY//zEn+lFBXGmu9ZYORRKQisl44MAoLi3W7Z6eg8f9F9IRjwLUK1JxQsDr7SCJWA9UVZtfDlesKCEHBovGl0QehmGiXFWRScqYyMe2nM213tKZXTMVl/tqTdNvIvB6cI8bbwCPX5nUPARmLBfD/AohZY2WHlhwo+9F3R9+NZUSqUbbCLW5Zu06yZTdLsYNUk/pKKbphIJsQkajbQQWqyp1x+ZK0padsoOqr060FzjKpOk5J/k0JZOOVTXhS0xWZIEljJbrKiM09Dst+8lkzK1MKkz5rDZ0jzIpSwISUalrOm4uFQEH55q7GZRJgSf9Ncu2Wuv/nWm7FVq3PMgCzb/0m+R7AAAgAElEQVT98YN4872TV36wPgisZvLG/52G2FoYksktjD3b0wDgsaVtNjqmyZTRttaB4iNORJm8/msmyzUVX3nqfGgtHekpZiEZlcFxHMZzMaZY0ACefkEnFmGqZiIqod7UoekdVOoaxnMx7NmeDq2b/M4Ll/Hxr53YcgrKIFCNtQN4NsLmSq1c1YaGSwv9tX5gZNL+zdwtDAC7likhQxIFTI0mPBN9auv0L8aM56KIKiIjqLSe6YqVSVcAj5tEZJMKNN1ES+2w89lPw22KX//pO1iibT9Ix0mPtkZL7zuAIqqI4DkO1aZXuaGQRAHZpAJFEljASD9wlEkV2aSC8XxsS11XlmWh0XJqCDNdepJ2Q1iYzZXAHfLhaQ1CU3ttBbDiq9Mbyzkkvdk2AnY/YiHnMVMKXzRw19OG2VyTUQmSyHvqJSlyqQiztK7WNaZMkn8755L2xqSfJQrk/ajNdRCVl54vVeug5rK5UuWREcKm7rHDc7Y9d6XWRlM1oBumR3HNpyIeIir5rg1Kvi8v1mFalue3AMCIUz3kupJEHqm4jGX7/QdZOL0ekU0qKK22AhZuwBl/FxcGs7leTfAch9t2ZXHLAIFaQwxxJRiSyS2MyUICUUW4IjKpah1cXKgNZJU1TQv/+98+i3/5wTn2XgFlUrwxaiYPnVjE5x8/i+eOB2vp/NYh9yRzLZvrWqBkMqoEJ0OJqIxaS2eKdCETRXFHBpcX64E0Tko0vnXo0pqft1Jt4+Uzy56/6UYHz7260LUH4WaDBfD4aybFjbO5Vhs6I6tHzy2v82ovnARSm0zGpEDNJJ2o+Sf69ZaOeFQK2Dp/9KGd+MW3F9m/JZFnSgFFramD4/oLbJBEUpNrmhZqriRYd9P3K2lEnorJjAD3tV9cRqOlo2Y3uu8HHMcx8hBGIgByrSgDNuyWBNK6YaXaRi4ZwVguhlpTD1xzV4rPP34GR04v9b1fS+3AtCxGYoh90VtH/a3nL7Fem24YHTM0zOZK4Fap/L0gY4rokEmXwgeQ3paVhoaWaqDRNkITfVNxiZGrMJsr+9yQa4LjOIxlY6yHphu5dASNtsGCgzIJJbROmdrh3URhNBtFqewok/2eS9quoVxTbVJn90GMyxAFzrGqtvXQOtFyTWXHmHGHDKUULFfbxEHT1ANqLf1+5+drge9E9y/TQKOQ7+Q+39dTn8krQTap4KJ9HfltrhFZgCIJrI60X5vr1cbvvucePHBg9FofxhA3GYZkcguD5zkUd+Rw5nL/ZPLQiUX8X/9wCL/x4cfxx//9eRwboEZs+tIqFsotln6pGWagZkKReWi6uWXqOgcFnfh/9ZkLAfuj3/4znothuUJ6b7XUYO/NXkD7QYXVVCaiIhotnVmqRjJRFKcysEACZNygdUlPvjIXOunVDRNfffo8/re/fQYf/uxLngnTS6eX8ddfPIZnjoeHeCyutnBhvv8WBINC7dJnktlcN4BM1poaRjMx7BhN4JWz/V0TTdWAKPDs+JJxGdWmDsuyWD0StZ9l7P5ttG1OIyRoBAB2jafw4K1jnr+RRuIOSag1NSSjUl9qG12s0DumHW9vH5erPYKmbX7vuHRchgXSrmSQNENKHrrF/e/ZnsJoCInoBUSZ7GClpiKXUjBuh65spDppWRa+/uwlfO2ZC33vS69vSq4yCa/NtdnW8anvnMKHPn2Y3Tso6D1sI8mk20rnn1CnEzJWG4Q0VRu61+Zqn9dLi3UYHTP0HuhWgxK+xN9EVGJBI93GwW//qzvxc4/sC/w9nyI1gJdLdXRMi5BJ1wILBSXCbhW0kIl6lMl+SQRVJmmoGrUDk2AgJyQnjBDmkhGUayr7vTM+ZVLVSH/UemstMlkFEPytiDLZDv1cwKnpFHiOnfcbHaT/JnGi+B0hHMchnSCJxZLI3zQEe4gh1sLNcWe4jnFgVw6XSvXQ3nVr4ZuHLmFptYW32L78uQEmRLTJ+VKljTMz5EEUpkyalgWjc2OQydmlBo6c8qoGtGaNTmTHczFYIJMh07IGUyaFtWyuQWVy90QKohCsm6w2NOwcT0LTTTx+JFg7+aFPH8bnvn8WBTst1E0m6eTpq09fCFUnP/OdU/iPn3xx0+rGnJpJ721JFHgIPLchNtdqk/RZu313HmdmKsy62guabcMz8U3FZOiGibbWQa2pw+hYbJLHSJutcDRCJnndQJpiu2yuvtYLvYCOL90wUffZXAESPuIok5v3GKATWd0IJxHrgTVj73Iu3/XGPfj999470LHJIo9yXYNumMglIxjPE9KzkeO/3tJhdEycmami2afiSQkhPYeZhMLeDwC7X1SbOj782Zc8i0u1AdJH1wMdNwLPBe5jVJmvt3SPCgeAJbqenSXPlDC7M/2OYX0kObt3ItBdoc6lIuHEyK5PPD/nqHTONeG1rNPtFIVMBLWmjmbbsK3jfV6TEiWT5HdKeWoTFU/dYxghXK2rzM7qViZp4NBypU1UTd++9J7ElMmET5lMKqg2dazWtdDrip6fm4k0uS3SYYsG9JymYvJAbXWGGOJGw5BMbnHcuisHywLOzVX72q/R0rFvKoP3vHUvRIFHudp7bQ1AbFEvTJdwYEcGAPDMcUIsI0qwZhLAdWV1feroHD70mSMeBbJcU7F7IoVCJoKvPn3eo7TWW2SS4VYmAec3uaKayTBlMiZB1TqYXW5AlnikYhIkUcDuiRROuVRqy7JQa2o4uCuHAzsy+PYLl9nEEiAT9pOXK3j0gSn8m3fcCoCETlDQer+55SYOTQftvStVQjg++uXjnve9WqA217AkOnmDUoNrDR2pmIw7dufQMS28eqHc874NX30XJWi1psYsanSixkJIqNrQCtr5uiGblL0211b/LR2YMmmYqLkmp+5wlCuxuQ4K98QsMYBNll6D3SbyPMcNVC8JEBvikq085VIK6xVLw2I2AnQxx7QsHD/f+9gDnERgeg4ooaAq2rJNUn7mzXuxWG7hr75wlN3HBumLuB5oL89kLGjfTidIbWzVPra0S0kbzUbBATg7S+5lYQo1VSa7hU5RgtNvDSglXlSlyyQURBURssR7VN4KO263zdW+789XYVn91TADjpJLVWP3OcmlIliptmGaFpohwULZlALLcghh1hfAA5D7dZi6KIk8kjGJJdH6ba50HM0sNULPd84ONLoZwnco3NZef80k4CwWbjWL6xBDXCsMyeQWx/6dWXDoP4SH1qK4i/f7wYkLZdRbOt52/xRu2ZbC87ZKGahn20AL4mbg9EwF//1/nsCxcytswgCQerdcKoIfee1OnJur4biLZNRDlEngCsnkmsok+ZzzczUU0lE2UduWjzGLFEBsl0bHQiou420PTKFcUz2qKp1AbsvH2ASi0nC3siA9x7blY/jKU+cD6uRqQ8VIOoJzc1V85anzfX/HfqEZHcgSH7rSK0v8hqS5Vm1FYc/2NCKy0FfdZKute34vOpGoNnRGJh1lkpI2cr4bIYpBN+RSEVQbGrPI1hpa35MWSiYbLR2abjISodj1bKs1raut+GrCPZEdxOaaWMfmeiUQRaf3azYZgSjwGMlENtTm6nYGvHK2v5rdus/mSs8lJUFU8Xr9HeN45+t34fj5Mlswcqz6G6hM2uQodLIdJ1btsNpDSRSQT0dwxlYmQ22utJ6wC2Gjk/1uymQ3UGWS2vczCaIsZRNKoGZS4DnPOKN9TM/Yz+LBba72wlPcSwhXaxpqLR0WguObhvScna0gHhFZ/SUA5FNOgE+Yqgk450uRhECIDt1mdMx1971Z4KnLDSWTVJnc+PvQEENcjxiSyS2ORFTCRCHeF5m0LIv0cbNrTXIpxdOIuxc89+oiooqA23fncc++EdZQOazPJLA5yqTRMXH4VGlglWy1ruIj//IKIyvUVkS3ZRIyXn/7NiRjEp58eY5tq7V08JzTpF2RBeRSCs7NUjI5WE87IHwiRUnrpcUaaxkBkMCNWlNnKZ10opiKSbhrzwgEnsOFBafGkRLHdFxxiI+LQFcaGrIJBe94aCculxp43lU7aZoWqg0Nrz04jocOjuPLT51nUehXC5pudo00d6cGHzm1hA9/9qXQ5N21358kNKbipOZq/1SGTQx7QaNteEJn6CS62tRQZmSShqN4J/qNlu7pMbkWpkYTrI8eef/+kyPp+KJ1WO79s0nligN4BoV7Aj5I025GJq/CJE5y1YNRNWY8t7HtQah9efdECkfP9ddDuNEi92CnZtKbQrpUaUOWSIDTjrEkAKcPpd+qvxFgymQIqUonZBgdE3NLTfZvN8ZyMUbewhRqOknvdrz0u/e7qEDrLWnbEXpc9JqgoAtt7oWtAiOT5L4/6DW5VGkFau1yKQWmZbFrPqxmEgAuLzY8RAdwAnyWK21Smx1GCO3z5f8dgPUDjehn31w2V5riy4U+3xmZHCqTQwwBYEgmrwvs3Z7GmZlqz33xNN2E0bGYrS6bjPSlTOqGiRdOlnDPvgIkkcfd+0bYtm5kcjPagxw9t4K//Nwr+NCnj3iaxfcCy7Lw1184irbawS/Zlk9qC2upBtpaB9mEAknksX0k7untSft+uScW47kYFmzb0CDKJAvgWUOZNDqWpxE7q+2xJz2MTLr7ztXCrVqiQCaZHmXSbmD9mtvGkE9F8LWnz7Nt1aYGyyLk6F1v3A3Lgsdiux5M08K5uSrOzVVxcaHW0wKApne61u+5U4NfOrOEl88s49i5/myC/rox0nPOO46+++JlfOjTh0OPt6mG21yrHpsr+Y2iighZJNY5Te9AM8JX/cOwY5QQgYt2QElrgBROh0zaiZiuz6bBLd3Sc68mIrLI3AyDpMHS77GRpIiCnjPBvpYAEhazsNLsuydpN6zWVHAc8Po7tqFcUxmp6QX+EB2npYVtc622MWI7GWiz+SUWGKOBw8Yquop9vsLUGUpa6AKU31pJw42AwZTJu/bkce/+Qt91txzHIZuUYVnwKHyZpOK7d6qBFhqxiIhEVGL23H6vSeoAaKkdpONeokrtt/R8hdlcAWKPdofvAE6Az6VSHZYVfm1k7fcPa2PhJpNhizS5m1CZzCQVcCDPijCnzNDmOsQQXqx7Jy4Wi38O4F0AdgG4Y3p6+qj99/0A/h5AHsAygPdPT0+f2mrbbgRMjMRJjHqrN4XC38Ign1awelyDaVrg+fXriY6dW0FLNfDgrSReevtIHCPpCJYq7YBFRt5EZZKSp1OXK/gPH38eH3z3XZgaTfS0b6Nt4OTlCn7q4Vtw5548ADACQAkWfUjnUhGcuOgQFdKfzfuQHc/FWM3TldRMRkPTXJ3PGgkhk+WaikImyo6bPtBoSAOF32KWjssBm+uu8SQEnsctEykWdU63AeScZBIKOM5bb7kenj42j//21VfZv99873b8wqPFNfYAVMPsarlUJJ5ZqekE+clX5thv2Qucfo22shOXWYAJTSk8fr6MY+fL+Pahy3j7a3Z49m+2Dc/vRa/FWkODbtnNxe3jJyEhxOpHVf1eayZzKQUxRcSlhRpqzRHPMfcKRiarQWUyk1Awe6HsKJObrDik4zJKq+2elVo3nNYgGz+Jo+csm1RY3eV4PgbNMLFaU1lt2pWgXFORisu4a08enwBw9OwKJgs93sNaOqKKyBqTJ2Mk4ddRJlvMyUD/61Ym41Gpp/t/r2Cpxl1srgBwYaEeaq0cyzn3tTCF2iGT4b/zrbtyuHVXbqDjziQUlFbbHlKWtQODLMsCx3FYbWjM1upGIRNl5Q39XpPd+nICTt0j7V3oJ3Uxu65T001P+I6zv9KViAKOUyKMTLrfO2xfSqxulh6TAAl9S8Xlrr/xUJkcYggvelEmvwDghwD4s8z/GsBHpqen9wP4CIC/2aLbrntQIkNXpteDf/KaS0ZgWlbPDa7PzVXBAbjNflhzHId79hUArKFMbkA923qgNYD/68/fA90w8env9L5eQPcdydhN4hURSzaZpCvSdLUxlyL922gNYb2pBR6y7h5mV5bmGtJn0jWRKGScCWzGRSYBrzJJjt/bUoJaWun2VFxmhNA0LTvZlLxnPqWgVG4x2x1LM0zYqmdM9tSYrgcaMvGb77oD20fimOtBgVmutLs+vGVJgGrbe+kE+fCpUmg7lE98cxp///UTgQRkfwgJVU/c34ue2y8+cY4tNgDUOu5VJiWRR1QRUW2SmsmMz0KWSRClmAWn9KgKcRyHHWMJXFyss9+4b2XSHl9lW5l0j6lMUkGlrqGtbX6aK+CMx0ECeKhadDVqldxkkmI8SwjFRtVNlusqsgkFuVQE2wvxQN1ktanh4197FZWQe3Xd116Gt1sU0Gt1udJG3iaRiiQgFZM8yuRGhu8AZBFix2gCe7anA9votTW33AglMPT+yXHBUDfAIWpXQ4HOhFg+M0kFRof0ZAWozTVI2kbt8TCIyusOFgv0erTHHK3l9F8bnK0+uo/fjXwqwpwX4b0iqTIZ3JfWjALh5F0UeKQT8kAtsK5njOdinuevG2O5GESBw/aR+CYf1RBDbE2sO4uYnp5+Ynp62tMRvVgsjgK4F8Cn7D99CsC9xWKxsJW29X4atjYS/ZLJlleZpPU/K7XeyGS1SZoXu3tKvfW+7XjT3RMY8d1cqWVN1a6+zbXWJA3n909lcNuunCeMppd9AWeSkk9HmM2V1spQspb1ke9aK9hEmrYNAAZbsV0zzdU1SaEtPQBnwsHIZFMDzzkhEZmE7AmRqDQ0T082mrBIv5NlOZOaXCoCzTDZeWL9zOLOxMutaq6Hqp0qeM++ArYX4uvW7Gp6BxcXaqETU4DWTHZgmhaWK23cfksORsfCs3bKsBtPH53H94/M4o/+7jlPX85qw7YJUqWW1py5FNfVuoriVAamZeHT3z3N/t7WOnYbGO84SMUk1JoaylU1MMnLJJ32CEB/NYJTo0lcXqyzc95/fRaZ+DnKpNvmSuqzlistcBw2vXccvQYHCeC5dWcWv/LOg9g3ldnow2LKkVuBHM+TyaKbTDbbOv7zZ470ZVGlWK2pjKzecUseJy+toq05ix4nLpTx+Etz+NhXXw3tdxvW9mG1rqHZNtBoG54a65FMlNn1w9wVVwqe4/DH/+bB0Abp9FromFZond6YTSZjihiavjuajeLAjgz279j439kfkgU4NYWrNRVGx0S9pSMTQoJp2UEi1r/Ky3Ecu+/7ySRd4KT1ueGEUPH81w33mF0rRCcV8lu4t3cbI7/0jtvwjtfuDN12o+LXfup2vP/tB0K3ZZMK/uK3HmYL7kMMcbNjUN/CFICZ6enpDgBMT093isXirP13bgttK/XzpfL53uxGm42pCfJA5SURhUJy3defsntoTU5kUCgksccgkxIDXE/7t3UTuVTE89pCIYmD+8cCr9VBHqiRqNTTe18JDIsQgEIhiW2jSbx4soSRkQSraZhdIkrOgZ3ODZ4e00n7nOycJOdkopDA/HIDhUIShkWCZ/buyiMWkXDLJDnfliigUEiiqRoo5OKe73dQpHZGYGp7pu+JRSZNJlPbx1Oh5y2qiGipBg7sLTAbrWVZUGQBasdCoZCE1iEkb2w0Rd5rLIXmizNIpqOIyCLaholc2vkdx0cSePHkEkZGEqjrxKo1NZFGoZDE7qksAMAUeBQKSegW+T57duUhiTwK2RgqddVzrKculTE1lgwl06phImuPocmxFA6fWvL8Vn4cO7uMjmnhvtvGQ89HMqGgVGmBk0V0TAtvvG8KDdXAs68u4md/+Fb2umZbR1vr4Ifu3o6Tl8r4s08dxkf/4BGM5mIwOfI7796RQ1QRcUubKHMWT37njmmh0tDwyIM78MDBcfzj109grtLGnXsLWCyTSd5YIeE5vnwmirZuYqXaxq235DzbthWSePnMMnj7/NDrsRcc3DuCbx26hFm7LnfXVBaFHu2QAFC36yGrTR08z2HnZJad+502YV+pa4jIIkbt8bNZGB9JAKeWsGMyC8G+bvq5d/zY2NU53oy9cDM5lmTHMzKSgCILqLYM9rdDry7g6LkVJJ65gD/8wGv6+ozVuoY79xVQKCRx721j+PpzF6GaHKbs91aPkjF67NwKnn61hJ984x62r2aYyNnHSI9lLB/D3FIDpr0gcMtklm2bHE1i+mKZ3MO0DiZHE1f9Hk3B7lVaB6O+eycA5PIJ20qodD2mP/utN16VY5scJ5+3zXUt32Ivopk8D1EhhGtyW/DevGcqC+A8u7f1C0USoBsmxgvJwP6juRjOz1UhCjwmJzKBe+W2QgKvXihjp33PdmPndod079ieQSHvVcz228/psH0BYLyQwImLq9g5lQ3YuQuFJN60SeNmK2Ej1IjNut5uRAzPXXdsxXNz85jge8Dycj20efu1RKGQhN4m6sTsfBWlsfUnlHOLhDipLRWlUg2cQVa+z19exYHt60/ElspNxBQRpdL66Z11WwkrrTR6ev2VwH1cCk8mVxcul5md96OffwWvnFvGn/7yQ8gmySSFHtOM3VdMb+solWpIREQslpsolWq4PF+DIgto1Npo1NoQbEXg7MUyslER1YYGkYPn+1mWBVnkIQgclpfrfX8Xzf5N2y0t9LzFIyJEgUO92oL73TNxGTOLNZRKNSwuN5CISmx/2RaYzpxfxmg2hsWVBuKu31HmOWh6B5dmVnHeTjHlOh2USjWI9nc+c2EFmYiI2cUaElEJq2WivkQlAWcrbfZezbaB3/3/foD7igX82k/eHjj+UrmJuCKgVKohInLQDRNnL6x0rTE5dIyk544kpNDzYXVMNNsGTp4lrU8iAofX3DqGT3/nFI4cn8N2m2jRus/iVBpvunsb/uTjh/DCsTncf2AUc6UaZJFHrdJEneNg6uS6uDS7ir3jCVTqKkzTgiJwePj2MXzyGyfw9Euz2JaO4JJdj2Tqhuf4opKAuZUmVqptRETes00ROLS1Ds7a9bd6O/y3DkPGrid83j4v/ewLAHXb3lpabSIRlbC05Iwi3r7HXVog5+NqX7d+3LYzA02dxIp93biv02sJzbZM+3/HsUwUZ2dW2d+OnyFrlM8cnceLx+YCddvTF8t49vgCfuLh3R4FStM7qLd09v5UAzp1fhnpCFmcujBbQVQRcGBHFh//yjFM5qLYaZOf1arKFDR6LFGJ9MY8fX4FACC77lPJqIhSuYX5hQpWa23s2ba55zkdk7GotQLnk2I0G4Uibe74KxSSEG2OJvMc+2wJFniOw3efv4g33b0dALlO/McWtXeOycJAxy0KZH+JC743tW4noqLneqWI2Td4zjQD+youc4HW0lAqeZ1CEoAPvvtO3DqZCj3uhCKA4wC1qaKkOg6orXJtXo8YnrvBMTx33bEZ54bnub7FtUH9TZcAbC8WiwIA2P+dsP++lbbdEKCWl3pIfVgYnAAesl9UEaHIQs+JrtWmFlrnEgZab6Vpm1Ez6Vi1WJKhy9a5VGlD0018/vEzwX19tWf5VAQttYNmW7fbgrgsT/Z7r1TbaLaN0IQ8nuMwlosNHEpw194R/NjrdnlsaW4kY7InydV9bPQ7V3z9B/29DSt1zVPHx2oEG5qrbYhj+wWAZdsWuVpTA/tWGxqz3i1X2zAtC8+fWMShE4uB43T3RmRNtV3j75lj83juVceievpyBWO5WFc7p2wH8JRWyXuMZCJ47cEx8ByH512f79S/KtiWj4MDMGvbEWt2iw264p+KS+Bc52vVFTokiQIyCYXVTdL6S399bDIuY7Hcgm6YQZurff5m7IlhrwE8AAndEngO5+dqEHiu77pcatl095j0H1elrl2ThMaDu3J476P7N/1z10NYzSRALO3u9iCzSw3EIyKiioAvh/RfffLoPB47Mos/+m/PemoiqZ2evj8tGXC3KFqpqsinIvjAj96KREzCP33PsVo32nqgli6TkNFoG5hbIWPcY3NNE7v+SlW1E6k3NyyE3m+6WSt/+IEpRtw2E2FtMlIxGT/84BSeeHkOzxybD2ynoPfkfm3nFLT1USqkdpEmunarxZwYiUMU+NDnAi1lEXiuawuPu/eOePpTuvHW+6bwW+++a9Mt70MMMcSNgYHuHNPT04sAjgD4OftPPwfg8PT0dGkrbRvku21FKJJAVKpmrzWThuehQor3FZR77DVZbfTe146luRpXv2ay3tIYsWbEyVXHt1xtQxQ4PPXKPAsyoKg2NVvtI0OekqelShurdZWl3QFEFZQlHuWa6mr2HXzA792e9gTx9INcKoKf/qHdofVCAPAzb96Dn33L3sDfs0mnuXatqXkCayhJKNdIKmGloXkCFyhxrNTVQBJsPEIWHGgdaaXhJdipuIyOabHzQV+XjEn4xDenA61aqk2NjSE6SVquOOPvi0+cwye+MQ3d6MCyLJyeqWDvGqq5IgnQDBNLlRY4+z1TMRn5tMJatADeCbsikebos7ZaSQKHnN9R4HkkXaFE9LzSyb67rpaGWvnbWaRiEmsjEgzgIe8zU2pAFHhWX9wLRIG0qLFAznE3e3DX/V1hH/6FkFRcBn23bum5NyNEVjPpneiPZWNYqrRZf9fZpSZ2jCXxlnsn8cKJRbZYQbFSbaOQiSAZl/H//tNLbNGELXTY4yumiIjIgqcN0XK1jXwqgkRUwp2785ix+w6aph0A5UvApWPs7EwVssh7Fg5oEvSF+RpZENvkBuu0JrnbwuTDd03g4bsmNvOQAAB7J9N45+t34Y7d3iTon3jDLRjNRvHtFy4DCA+6SSdkRBUhtG6xF0hSeM0k4Iy7bmTywVvH8J9+9aHQ7TkXEe33XkGPp59k7CGGGGIIN9ad3RSLxb8oFouXAUwC+HaxWDxmb/pVAL9ZLBZPAvhN+9/Ygtuue3Ach3hU6jmAp9nWEYuInodKLtVbr0lV60DVO55J91oQBR6CbZ/sBY8dnsH//Q+H+m44DxBlyR02AzgTNGohe+T+KcSjEj7z3VOehuC1pndlnhGcajugTJJeZNPZb/AAACAASURBVBGsVNuMwIcFE/zcI/vw737mrr6/Ry8o7shi32QwfIKGupiWhWrDS47cfShbage6YXqUS0YmGxpRpWQnsp/jOIxmo0yJW7WbdrPPtc9P1dXTDgB++ccPotk28D++dZK9Vjc6aKkdp2UJC4Ai+xgdE6XVNhptA4emS1gst1Bv6djbJXwHIKRHN0wsrraQTSlsUWAkHfUEMZV9ybzbR+KOMhmySJKJyy5l0lE1AWAkFWHfs8nIpE+ZjAXPL/u3fQyzSw3Eo2Lfk7wp29I+iAoiuRQGvyJFY+8BQJGHSgTFrTuzePjObdg+4rX3jOdjsCxgcZWkHc8uNzAxEsejD0xBlgR89enzntevVFXsGEvi/3j//cilFKac07FJg7Q4jsOIa8GC7NtGzl7oGs/FUG3qdriODgvB0CJKTE/PVJBPRzxjrGC/D21lcTWSUdcCvQb9iyzXGqLA4ycf3h1o6SRLAj7wIyRwhQNCn4E8x+H3fv5evOOhwcJoqGMgTK3NraNM8hzXlcQqkoBEVNrQPqJDDDHEEL1iXe/U9PT0BwF8MOTvJwCEpg9spW03CpJ9kMl62whY6nJJBZcX16/tqzS9ilUvkCWh5z6TJy+v4sxsFYdOlPCa24KBPt2gGybaWodNiFgSZ92rKm0fieOnHr4Fn/jmSRw5WcKk3c+MqHjOOWG2zkob5ZoWWIXOJRWs1FTUWuR8hCmT18ISlE0o6JgWllZb0HxkMaqIkEUeq3WVqW1pj1WVfEdic1UD5KeQiWG50mJE1X1O3ER0EmTSKwocbt2VxSP3T+Ibz13CB360A0USWGoqPd/JqARJ5JkyXlptMbvs9w/PsDrltckkOdezpQZGXAm3+VQER885VsLVuoao4pDkiZE4jp1fQcc0UW1qgfq2dEJhCbdlu6E8nUTm0xE8f2LRVoXCE1k9NuOk3+ZK/q0ZJgoDTPJ2jCbxJOYHaoPhbkMQpkhlEgoqjWtjc92qGElH8YEfvTXwd+o+WFhpIiKRUJmJkTiSMRkPHBjFkdNL7LWWZWGl1sYdu/OQJQH7JzN49UIZlmU5qdGu64oshjhW6kbbYAtdNPF0odxkxCcszRUg1+WUr54+l4qA41xkcpNtrqwFR4ilc6uiuCOLt90/hemLZQh8+P19x9jg4RfUZpoO+S3Ws7muh5F0pKvFdYghhhjiamK4LH2dINEHmfz/2zvzIEfP+s5/X91S62hJrT5mei7PeB5fE3vGB7axDQ52nEBlIYRAYkK2bFc2BLO7MbtFtmo32SRsCLuVpJIiYUPK2bALLDmqoIqlkmWLAgqMExMgYBvjx8dcnqNnpk9draMl7R/v+7x6pfeVWq9a3f3K8/1UTU233vP59Svp+T6/q7Ret4VDZZIR5Es1MyTv1MW8ozcx35VLNwghS0P5zVCexP/7zNkOz+FmqLGrCVE46EcsHDC9SsqDlElGcPdNcwCAV85Z2kKU6x0hoUmj9cnZy0VsNJq21fNMUg8nVZ5Jr6z4qn5hp40wXuuYNE0zWwU4/R1jkQD8Pg35kr7dJibTUSwZ3thGs9WxXf1s9rTLV5BJRODTNHNypbya+a4FCc1YUVeeSdVm4TaRw0vn1vDNH1xANBzAXJ+eXSrX6MJSuaP311QqgtVizQxB1HM925PXPVMT2Gi0cHllXe+11+VtSMVDZmuQ1aLeUF5NIrPJCBpNvUVMqbKhN+7u8mZYhd5k16RZ5SoD7vIlFfu34Jn0+zQoJ5WTR0o97xSTmzOT1kXdwnLZDJlW/eXmsjEU1+tmTm2psoFavYms4Y0/Mp/CWqlmLFpVEQn5Ozxi2WQES3nds75sfobpx85YRGy7vYxz/iuAjkUWQF/syiTCOGV8Vux0mOs1c0m9EJpDjp+X+fm3HMFvPnL7tpw7FPAhHPKbnwtWzDDXIf9O73tI4D0/fu2W7o8QQoaBYnJMcCMmyz08ky3o3pfTC3l85H9+Bx///HOmuFTkS+49k3oPwMHCVleLNYSCPpy5VMCLZ1c3P8Cg6ND43dqwW/XTyybDCIf0kJ8rlly67qbdmqYhmwzjVaOqabdXKZ2IGB4+o3BP1BuhWirM6YxRXbRbEKpek2aBHYuw8mkakhN6r8k1JzE5GUWhXMcVo9F5d84k0H4+lvLtBuntnMhKxz5WoZtJhE3Br8Tkz77pMAJ+DS+dW8Phvcme+aNAW/RsNJodvTfVPSihulKsdoSC7TEm/a+cX8NGo9VxT8pe+VINzWbLbChv3rMlFLpc3UDUoSeeEnqxSMBxgqhsOMxihPKiDiMmrT3tnI5XNqKY3JxYJIDkRAgLy2Wcv6KLSfVcKaGk3jPLlkUtoO1tf/n8GlYKVVuYYjbVLgSmil9NJfVzTk9GoEF/vzh9/qnfVXsVp2JeU6koqkZxtJ32TF53II0/ePyNjr10vYymaX0/i7ZCJOR3zMUE9PfkdfsncXTIHqqH5pJm5V9CCNlJKCbHBFeeyUrdFo5nVtTMV/CNH1yE36fhh6eW8b++LDs8hKZXycXEIxQYPMx1tVjFXTfOIhkL4svfPmvb/h2HghaALgYBe/P1tpjUJ3HKc5dNRszegM1mC8WyPV8um4rg4lLZPJeVTDKMVgs4d6WIYMBd8ZTtRE1GzyrPZLeYNHIqlcfWlsc3ETJzJlNdY84ZHpiTRlic1SbRcADhoN8Uqcv5qrmSbs0/BeyeSbWPEvwLS2UkY0HMZGI4cVTv5tUvxBVAh/2nujyTAMxQwe7817msPiZpLFx0P9epCf3vXCjXsNoV7mwNhVZ5yN2YeaEJ56q8qrBT9/txEGKRIN73kMB9twxXpETlTfYKcwXgmefa68ymo6ZnMhlr56a1xaT+/KlnXOUJz+f0PpWvnF+zec2Bzue32zMZDOgFpC6vrKNkisnO50iPRugsdOV0fv1Yb0RXXM28/d5r8JhDKDWgFwT78MMncNMhFsIhhIwXnEmMCfFYEKX1DTPXrB8lJ8+kMUG5uFzGMy8s4I7rZ/DTdx/EU89exJf+4Yy5X95soeHCMxkaLMy1UttApdZAbjKKt9w6j2dfXTKrFQJ6vtGTX3oBn/vKS7ZjnVbmJ+NhrBYMcVOoIBkLmt6YTDJseguK63rxim7hZZ18dXsmM4Y4OHupOHSFvO0gNRGCT9Nw5pJuN5uYNAT2WrEKv0+ziZjURAiLa+soVzccw1wB4OQFXUx2l8ZXQnSj0cRqoWrabzKh35MpJh08k+mk7ultNJu4tFw289AeuHUffJqGGw9m+o7bWnV0ysEzubSmtypZK9Y6vD+RUABTqQheNHo9doe5moWcijVdiFqOnbJ6JisbjmIyFtG9ldkeLV624pkEgPuP7zVDKt2i3gtO11bjZDXXwVDtQS4ulkyvJAAz5Nr0TBoecvXe8Pk0HN6TxCvn1mxec6CzqvRSvgKfEaqumMnEsLBcNsVkdwEewFIwyskzaYjdaNjfkUdLdoe9UxM4Mt9/4YwQQsYNfruMCfFIEM1Wy8zNeeX8Gn7jyWfM1WxFo9nEetU+8VXi6CvfOYf1agP33TyHd9x7CCeO5vB/vnXaLIKSL9UQCwdcTTx0z+TmYa5rZh+/EO4/MQ8NwHcsHVxKlQ3UNpp44fSKbVyFcmfOJKCLmNWi3gZD95S1J1PZVARXVspotVqOXk21j3muLmGlKi5eWi7veBXEfvh8GlLxEIrrdWiwj2kyHkat3sTCchmpeMgmglPxkBlm6hTmCgAnLxihv11iMhkPYa1Y1VuPoD1h9vt8SCdCZuuPQrmOcLAzL0h5eteKNSwsl818sCPzKXz81+7F4U08k9ZwTGsOVjoRhk/TsLhWQaFUQ6PZsnl/9kxNmB4jm2fS2HdxrYLier2jRYwKl15aq6BUtS/QAHrocCoe2lRMOomA7UblmTotDKn7YpjrYMxkYiiU6zh7udghJmORICYiAVwxKgov5Svw+7SORZ4je1M4d6WI1ULNJianLIshy/mK/jz72u/Z2XQMl1bKKFbq0DTYKpACm4hJ4zWvhOkTQgh5/UExOSaoyajy0MmzKzi/WMIXvnGyYz/VwqB78hoO+TERCeDCYgkz6SiO7puEpmm4+XAWG42m2V4hb2k2PyjhAau5WlsvxKNBJOOhjobdqiJrC8A/GI2jFWYBCkuY16RR2bS4XtcLwljE5FRSz0UqVTaQdxCiQFsM6X0lOyfVypPbwvAFEbYLq0Dprjhozal0KqKUNMI6AbvncWoyCg16yN5EJGBrcK08k2Y4nmXymrW00ciXajaRqxYzXrtcRL5cx2y23Z/TaYLcjQrHDPh9HfetC9kwltYqZmivTUxm25N/p9YgQDsHtfvYbDKCReWZ7HGfv/qOm/DwQ9c5blOCfDdCDNs5kyzAs1VmjRDw+kbT5imemoya+dkr+aq5wKE4Mp9CqwU0Wy2bmIxHgwgH9V6TS2sV26LEdCaK9WoDFxfLmIgEHXP5sqkIwiE/Eg7vd7XwstPFdwghhFw9UEyOCWoyoKqLqhyxp59fwBkjfw6wiEmHkDyV13XvzXtMj9WcMdFWuYNDicmQ3wxzLZRr+K2//DY++unv4s+/+EOzYTcAW2n8TCKClQ4xqf8cCwfwrecWuvpE1jARCXSIJ1UsZaVQxXKhanoTAUvxlLWK6ZnsbrFghmk6FESwVuL0Wq6RGqeTWFQiYTlfdSzJ31mhtXN7wO8zwx+78ykBvVrpWrFmPntTFvGesfTLy5ftxX2UOP/RGT3cVIW5DkrYELbZVMQ2oc6mIlhaWzcXI7on7FZPUvekWglT9R5yCkPslzMJ6J6n2axzKKqy5zA5k1sl0CfMNZuKIODXbAsKxBnr4seeLjGZm4x2FODJdOUuHt6Tgnpi013vK80IkV7KV7CUr5pVYM3rGu+TVy+s9fRuv/WuA/jwLxx3FJqmZ3KHi+8QQgi5eqCYHBO6PZOLq+uYy8YwEQ3ib772iim8ihXnEvKAPqH3aRreeNOs+ZqaJKnQx3y57lpMhgI+0zN5ZqGAs5eKqG008NzJJXzuKy+b+6n8RiV4VC9HhRIDD9w2j4XlslkIRo27V4+1C0slVGsNW5groE/uzBDZ7pzJlBKT9vFqmmaKNq+FiCmB4uhxsoghJ6FgFXlOf2cl+hy9mvEQytUNXDIKG2UsE99sMoKVQhXNZsvwTDp7gV84vQzAvZhUnklrWxDFlDEZV4sVvcRkLByw9QYNBnSP/ekFe9Ehdd8qZ3KY9h57pybg92lmWO9OEgz4EHUYM6B/PnzksTfgrhtnHY4k3eQmo6ZY625hk5uMYHGtgmazhSVLYSpFNBzA3pxembc7NxvQn9/LK/piSLcQVc/NarFmK76jSMZCODSXdNw2mQgj4Nc8F11BCCHk9QPF5JiQ6BKTV9YqmM/F8S/eeBA/OrOC507qjdtL685hrgDw4G378N4Hr+3wOsWjemVCq2fSqaFyP6ytQRYNT+O/fueP4afuPIC1Us3M81wrVREyJriAXvFwuVA1hfBKoQoNwAO37UMo4MPTz7VDXQvlum1CpESgKhjTIW5UYYt8BflSDRr0vFMr6UQYmuY8wQPaHkCvTcSUWHISg1Yx5CQIlcB0yrcE+ntr1flOXcgjORHqCIPNpiJotvSejE4LEtFwANGwH+eulODTNNe951Q4Zi5lPy6bjGC5UMXSWgWaBiS7iuyoiq5OYYCA7oVVCw7dz0I2FUGt3kRto4noEN7Fvbk4/vSJ+zCfi2++84gJ+n19831nMjFHoUnsBPw+TE1GkIgFbXm305NRNJotLOUrWC1WzZBuK9caRVe6FzoA/fk9v1hEs9WyVWSdSkbM1h/dn1+D4NM0PPzgUdx/fK/rYwkhhJBB4ExiTIhbxGSz2cLSWgVTkxG8+fheZJMRfPV75wEAZdMzaZ/43ngog/tPzNten8vGsLBUQn2jiXJ1wzYZ34yQJWdyWVUkTIRM75Pyeq4W9dYLKsQ2k4igWmuYYnOlUEViIoR4NIgTR3N45oVLZjP64nrd5iFUoviUKSbbE7FENIhQ0G+GucZjwY7CFoA+QXzojv14w/UzjuNSYcFeC3NVoXJOYjIc9Ju5fY5i0ngtHgs6Com2mOx97MmLBVs4njruyuo6CuWa4zOkJtm5yYhrERMxikJ1hxgCumen1QJOXdRFbnceaTQcQDYZtoU5d48r4PfZ3jfWcQ4bqrpbFVMPzSUh9g/Xs47YueFAGjceslcdVgsjr55fQ6PZsr03AOBNt+zBg7ftc3xPqucXgC1n0ufTMG1UWR62iNObb9nb03NJCCGEbJXx6iZ8FaMaphfX60aLhRZyqSgCfh+O7pvEj87o4YMlM2dy8InHXDaGf355sV311HUBHh8azRY2Gk0srVWRTugT+hmLmDw0lzT6rFka2RuTruVCFbFIsKN0/q1iGv/4wiWcvVTA4b0pFMo1W0PmYMCHeDRoFk+xruprhvdrOV9Bq9W7b+a77z/Sc1zq/rxWvCLdJ2cS0L1r+qKAfVKrBGivY5Ugd8qZVF7N9eqGLRxP2f7spWJPe6eTYZxfLLkOcQV0kfyRx+6wXRdoT8BPXsibXshu3nrnAURCzh936plMJ+zVb62T+14FeLzKu958eLdv4XXFL/2kc5ElJSbla3ov07TDM7p/JoH9M84N5a3PmNPzPZOO4eJS2XOLWoQQQghAz+TYoGka4tEAiut1s9iDat6+fyaO1WIN+XLN7EfWq1iIE7OZCRTKdVxYKgGA6zBX5Xmp1ZsdVVWnJ6PQNL29BqBXc7WKFOWpUm0bVgtV0+t2zR59Jf3UxTxarZbhmXSuSrnRaMHv02wCaTodxVK+gnzZXl10ENQ4vDaJyxlVV3u3ozAEo4N3MRLSCwv1EpP9PZNh237dv58ycg8d8zGNv/dsD8G3GdNp57BMVWSkWm84hucCwP0n5nHXTc75geqZdDrWOs7YEGGG5PWPykV/8awuJrvfG5vRISYdwmDV4stuFHEihBBCNoNicoyYiAZRXK+b1TRV/ti+aT0f67XLRZQqGwiH/K7CCJU35yVjZX2Y1iAAUNtoYDlfMSdTwYAPU6mILcxV0fZM6uNZKbQ9k5PxEFITIZxeKKBSa2Cj0XLMXZyMt/fvDmPNpWNYWqsgX64PVc3w8J4kcpORoZvGbxfZVAT/+ZHbcft1047blU16Ccb53IRZEKSbI/MpHLsmi2vn7eGRiVjQrErZPWFWPRlPXdS9xE6eSRX+N4xnsh+ZZKRdLbNH/ms/VHsQp2Pj0aBZ/IeTeeKE3+dDNhU2F826C/BsxpTxOT4RCTi2yZnJ6Nu9tqhFCCGEAAxzHSsS0SBKhmdSQ9tzZorJS0WUKnXEXU56TTF5djgxqSbblVoDK4Vqx0r7bGYCC8tlrFc3dM9RwtKaIh6CpumeyVpd7wmpJvSapuHgbAKnFwpm0SGnqqpmmxEHb8B0Oop8uY5qvYmbDtpznTZjby6O//r+u10ftxP0CpkD9Mmsht5i8sO/cAK+HmsN8WgQT7z7ZsdtAb8P8VgQhXLdOdw0GTFDjp1CpdUxoxaTqqXJSqHas5hSP/p5JjVNQzYZwcWlsitvP7m60NuDVDpylgclGQsiGPD19GiankmKSUIIIR6EnskxYiIaRGG9jiurFUwmwpam5CGkE2G8drmA0nrddQuDqVQUAb9mtuIY1jN5eaWMRrPVITRmMzFcWl7HalePSUBf0Z+Mh7FScG7rcHAuiYuLJSwaYb2OnklDnDqJm5zRaLxab3gu73E7+fET8/jgO4/1LPwSDPhsRWoGRQnUKYcQW6tHxknIHr92Cm+/5xAO700Nde1+9AvP3Qx1TK8QWbU4wjBX0guVN5lJhm15t5uhaRpmMzFM91hkOTKfws++6Rocuya75fskhBBCRg3F5BiRiKkw13Xkuibz+6bjOHu5iFJ1w7UHxefTMJOOYaPRQjjkN8XhoKj9LyzqYV7WaoazmSiq9QZOG+GP3RP2TCKM5XwVK0bepNWzdGgugRaAH57WG90750yGzfN0k0u320i4LSo0zkzGwzh+NLct506ZnmCH/ELjmfRpmuMzGIsE8fZ7Dm1LOwolbocJc51Ox+D3aY6VYgG9PQMwfgV4yM7RFpPu8iUVj7/zGB5+4FrHbX6fD2+766BjCCwhhBCy2/DbaYyYiAZRLNfh0zRcfyDdsW3/TBzPn1xGJhm2VT0dhNlsDOcXSz3bJ/RDecAuGgV8uj2TAPDiWV0QdnuO0skIXrtcbHsmLWLzwKxehEf10OyXM+kc5tpe6R9mXMROaiKEUNDnmL+lRFdiImg2eN8plJBN9/Au9iOdCOMPP/jGnjlpx4/mUKk3zEgAQrqZVmJyiMUM6/GEEELIuEExOUbEo0E0mi2sFKq2MMP90wk0Wy0srlVwwxD5gSpv0m2IK9D2TF5cVp7J9r3N2MSk3TP57KuLWC3Yw1xTEyFkkmG8drkIwNkzOWN4H51aQmRTEWga0GphqAI8xM6Dt+3DDQfTjqF8StD3asOynRzZm0I6ETaLmbil3/Nx7JosQwxJX5Rn0m0lV0IIIWTcoZgcI6yek1zXSrYqwgMMV3VyLqOH+A0jBFQBnouLJcTCnRUJ04kwwkG/WZwiEuoMoc0kwqjVmzh3pYhIyG8L5To4m8Ry/gr8Ps0xzGtvLo7f/eU3OBZ1CfhVTmb1qsqZ3E4OzCZ6er6Vd3CYBYmtcvORKfzBkakdvy4hgB7ZsW86DrHfXgWZEEIIeT3DuK0xop+YzKWjpodwmKp/qvdfrwqg/VDXLVXszew1TTNL20/G7U3h1f6vXsg75rsdNIRLPBrsWdhiLjvRc9tuCpyrDdPWFO7kKiMc9OO3H70DYn96850JIYSQ1xEUk2OEtTVGd5irT9MwP617F4dpYTCbicGnaUMVMLFWDc06FGZRXsOUQz5b2tj/8sq647UPzel5k075koMwlYzA79NYPGUHSESDSMSCtoUOQgghhBDy+oQz7DFiIqr/uQJ+zbGf3v7pBF49n0d8iBYG0XAAH374OPbmnCta9iMcbK9JZB1aRigx6dS2IZNo7+9UPEWFVDrlSw7C7ddNIxYJuC7XT9yjaRp+65E7hgqzJoQQQggh4wdnfWOEKhKSTUYcq2Xum9HzJoedzB/dN1y+T8DvgwagBecCFDOmmLSLxdRECH6fhkaz5SiQ49Eg9kxNOIrUQTh+NLdtbTKInWE824QQQgghZDyhmBwjYuEANABTPcIIbz48hRNHl4dqDbIVNE1DKORHtdZwbNEx20dM+nwaJuMhLOWrPcvq/7v33GIW+SGEEEIIIYR4A87QxwifT0MmGcaerHMoajoRxgffeQyxIcJct4oqwuPkmZzPxXGryOGma5xblqSNUFcnz6S+PYyJXRgTIYQQQgghpDf0TI4Zv/7eE54UViGjobtTOGow4MPjP3Os57GZZBg4zxBJQgghhBBCxgmKyTFj2Kbs20045Iffpw3VWkQV4Ukn2PCbEEIIIYSQcWHLYlIIcRpAxfgHAL8upfyyEOJOAJ8EEAVwGsAvSikvG8fs6Day/YQCfqQTYfh87qumnjiaQ3G9jgT7ExJCCCGEEDI2jCpn8l1SyluMf18WQvgAfAbA41LKowC+AeBjALDT28jOkJuMYN90fKhjj8yn8OjbrnesUEsIIYQQQgjxJtsV5norgIqU8inj9z+D7i18dBe2kR3gsbfdAL05CCGEEEIIIeRqYFSeyc8KIZ4VQnxCCDEJYD+AM2qjlHIRgE8IkdmFbWQHCAZ8CAb8u30bhBBCCCGEkB1iFJ7Je6WUrwkhwgD+CMCfAPjCCM6742Szw4Vpbje53M72jXw9Qdu5g/YaHtpueGg7d9Bew0PbuYP2Gh7abnhou9540TZbFpNSyteM/6tCiE8A+CKAPwZwQO0jhJgC0JRSLgshzu7kNjdjWVoqotn0VqhmLpfAlSuF3b6NsYS2cwftNTy03fDQdu6gvYaHtnMH7TU8tN3w0Ha92Qnb+Hyaa+falsJchRATQoiU8bMG4OcBfB/AdwFEhRD3GLu+H8DfGj/v9DZCCCGEEEIIISNmqzmTMwC+LoR4FsDzAI4C+ICUsgngfQD+uxDiZQBvAvAfAGCntxFCCCGEEEIIGT1bCnOVUp4EcLzHtqcBHPPCNkIIIYQQQggho2VU1VwJIYQQQgghhFxFbFefyXHDD+hJp17Eq/c1DtB27qC9hoe2Gx7azh201/DQdu6gvYaHthse2q43220by/kH7ventVreql66S9wD4Ju7fROEEEIIIYQQssvcC+CpQXakmNQJA7gdwEUAjV2+F0IIIYQQQgjZafwA5gD8E4DqIAdQTBJCCCGEEEIIcQ0L8BBCCCGEEEIIcQ3FJCGEEEIIIYQQ11BMEkIIIYQQQghxDcUkIYQQQgghhBDXUEwSQgghhBBCCHENxSQhhBBCCCGEENdQTBJCCCGEEEIIcU1gt2/g9YIQIgvg0wAOA6gBeBnAr0gprwgh7gTwSQBRAKcB/KKU8rJx3GcB3A+9QWhCSlk0Xr8bwCcsl5gGsCClPNHj+v2u0QLwHICmsfv7pJTPjWbkW8fjtssA+FMAtwKoA/hrKeXvjGzwQ+BVe7k9z27gVdsZ2x4F8ASABoANAE9IKb85ssFvEY/b7hHotvMDOAngX0opl0c2+CHwgL0cz2Ns63l9L+Bx2/Xctlt41V5CiKPGteegf6b9E4APSCnXRzf6rTFq2xnbBv4sH/YaXsCrtvPCc+dh2/gAfAtAzNj1IoD3SylPb2W89EyOjhaA/yalFFLKYwBeBfAx4w/3GQCPSymPAvgGgI9ZjvsLALd0n0xK+bSU8hb1D8C3AfxvpwsPcA0AuNtyPs8ISQMv2+5TAJ6RUh6VUt4I4M+3ONZR4El7uTnPLuJJ2xlfPH8E4AHjPL8D/YvAS3jVdtcD+C8A3mK8R58B8NGRjHhrQDEaLwAABTFJREFU7Jq9+p1nwO+L3caTthtg227hVXvVAHxISnkdgB+DPoH99+6Ht62M1HZuPsuHvYaH8KrtvPDcedI2UsomgJ+UUt4spbwZwN8D+MOtDpZickRIKZellF+3vPSPAA5A92hVpJRPGa//GYB3W4776mYrwkKIaQA/AX2Vw4m+1/A6XrWdEOJa6B9Ef2y55sKAw9o2vGovl+fZFTxsO834lzB+nwRwbpAx7RQett1NAL4vpbxi/P53AN470KC2kV22V7/zeP77wsO2G+gaO41X7SWlPC2l/Gfj5yZ0UXpgoEHtENtgOzef5Vv+++wmXrWdF547r9rGuMaaZd8k2lGLQ0MxuQ0YqwK/CuCLAPYDOKO2SSkXAfiM8MlB+SUA/09KeanH9kGu8XUhxPeFEL8nhAi7uPaO4jHb3QD9zfqkEOJ7Qoi/E0Lc6GpA24zH7OXmPLuOl2xn/PwrAL4nhDgL3bP2ATfj2Um8ZDsAPwBwuxDikBBCA/AwgLjL628ru2Cvfozi+juGx2znebxqLyFEFMCjxn15klHYzuVn+Vi9F/vhVdt54bnzom2M+ewCgPcA+DfDjMsKxeT28HEARQB/MqLzPQLgf2zh+P1SytsA3AddIP3GSO5qe/CS7fwA7gTwKannijwJ730Resle23Ge7cQzthNCJAF8EMDtUsr9AD4E4AuGOPIinrGdlPIl6F+Gfw199VflSm6M5tZGgmfsNYbQdu7wnL2EEAEAfwXgq1JKr32HWtmy7cbws3xUeM52HnruPGcbKeVbAewB8DkA/2nY+1KwAM+IEUL8PoBrAfy0lLJprCAcsGyfAtCUAxaHMJJoM9BDt9Rr/xHAzxm/PgGg7zWklK8Z/+eFEE9Cfwg9h9dsZ1z/rDQSnKWUnxdCfEYIMWWs9OwqXrNXv/N4Da/ZTgjxLgCrUkoJAFLKvxFCfArAFAAVvukJvGY7AJBS/hX0SQOEEHdAL7aQH3qQI2Q37CWl/FqfU2zp+juJB23nabxoLyGEH8BnAaxgBB6Q7WKEtvsJ9PgsF0L8K7j8Ph0HvGg7rzx3XrSNwrifv4BeHGhLkVD0TI4QIcRHoccqv0NKWTVe/i6AqBDiHuP39wP4WxenfRTAp6WU5iq7lPJ3ZTs5/mv9riGESBtufrVK8y4A3x9uhNuHF21nbCsJI7RVCHEfdK/HkvsRjhaP2qvnebyER213CsAJoecnQQhxP4A8gF1ftLDiUdtBCDFr/B8B8NsAft/96EbPLtqrH1u9/o7gUdt5Fi/aywjv+xT06pOPSSlbLq69Y4zYdj0/y4f8PvU0XrSdV547j9omZ4hLxc9B7/awJbRWy5Pv7bHDEBzPA3gJgCo/fEpK+TNCL7P9SQARtEv0XjKO+zyAOwDsBXABwPNSyoeMbVEACwDeIKV8cZPrO15DCHGX8XoLQBDA0wB+TXqoxLRXbWdsuw16ifQwgDKAfyul/PZoRj4cHrfXwOfZDTxuuw8B+GXoleiq0KvRPdXjVDuOx23399BXYkPQPZS/KfXCC7uGB+zV7zw9r+8FPG67ntt2C6/aSwjxNgBfMu6tYez+LSnl4yMZ+AjYJtsN/Fk+7DW8gFdt54XnzsO2OQZdaAehF/Q5BV0TnNzKeCkmCSGEEEIIIYS4hmGuhBBCCCGEEEJcQzFJCCGEEEIIIcQ1FJOEEEIIIYQQQlxDMUkIIYQQQgghxDUUk4QQQgghhBBCXEMxSQghhBBCCCHENRSThBBCCCGEEEJcQzFJCCGEEEIIIcQ1/x8AqzI0R2vXqgAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(15, 4))\n", "plt.plot(currency.GEMS_GEMS_SPENT)\n", @@ -300,10 +327,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 5, + "metadata": {}, "outputs": [], "source": [ "def mean_absolute_percentage_error(y_true, y_pred):\n", @@ -319,10 +344,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 6, + "metadata": {}, "outputs": [], "source": [ "from sklearn.metrics import r2_score, median_absolute_error, mean_absolute_error\n", @@ -373,11 +396,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "116805.0" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "def moving_average(series, n):\n", " \"\"\"通过移动平均,计算最新的值\n", @@ -404,10 +436,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 8, + "metadata": {}, "outputs": [], "source": [ "def plotMovingAverage(series, window, plot_intervals=False, scale=1.96, plot_anomalies=False):\n", @@ -454,11 +484,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotMovingAverage(ads, 4)" ] @@ -472,11 +511,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotMovingAverage(ads, 12)" ] @@ -490,11 +538,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotMovingAverage(ads, 24)" ] @@ -515,11 +572,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotMovingAverage(ads, 4, plot_intervals=True)" ] @@ -533,10 +599,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 13, + "metadata": {}, "outputs": [], "source": [ "ads_anomaly = ads.copy()\n", @@ -552,11 +616,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotMovingAverage(ads_anomaly, 4, plot_intervals=True, plot_anomalies=True)" ] @@ -570,11 +643,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotMovingAverage(currency, 7, plot_intervals=True, plot_anomalies=True) # 周平滑" ] @@ -609,10 +691,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 16, + "metadata": {}, "outputs": [], "source": [ "def weighted_average(series, weights):\n", @@ -634,11 +714,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "98423.0" + ] + }, + "execution_count": 17, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "weighted_average(ads, [0.6, 0.3, 0.1])" ] @@ -687,10 +776,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 18, + "metadata": {}, "outputs": [], "source": [ "def exponential_smoothing(series, alpha):\n", @@ -713,10 +800,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 19, + "metadata": {}, "outputs": [], "source": [ "def plotExponentialSmoothing(series, alphas):\n", @@ -746,11 +831,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotExponentialSmoothing(ads.Ads, [0.3, 0.05])" ] @@ -764,11 +858,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotExponentialSmoothing(currency.GEMS_GEMS_SPENT, [0.3, 0.05])" ] @@ -838,10 +941,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 22, + "metadata": {}, "outputs": [], "source": [ "def double_exponential_smoothing(series, alpha, beta):\n", @@ -874,10 +975,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 23, + "metadata": {}, "outputs": [], "source": [ "def plotDoubleExponentialSmoothing(series, alphas, betas):\n", @@ -909,22 +1008,40 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotDoubleExponentialSmoothing(ads.Ads, alphas=[0.9, 0.02], betas=[0.9, 0.02])" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 25, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotDoubleExponentialSmoothing(currency.GEMS_GEMS_SPENT, alphas=[\n", " 0.9, 0.02], betas=[0.9, 0.02])" @@ -1051,10 +1168,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 26, + "metadata": {}, "outputs": [], "source": [ "# 该代码块较长,直接执行即可\n", @@ -1227,10 +1342,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 27, + "metadata": {}, "outputs": [], "source": [ "from sklearn.model_selection import TimeSeriesSplit # 导入时间序列切分模块\n", @@ -1272,11 +1385,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 28, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.11681811415263998 0.002674202051183794 0.059665015439133884\n" + ] + } + ], "source": [ "data = ads.Ads[:-20] # 留下一些数据用于测试\n", "\n", @@ -1311,10 +1430,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 29, + "metadata": {}, "outputs": [], "source": [ "def plotHoltWinters(series, plot_intervals=False, plot_anomalies=False):\n", @@ -1362,12 +1479,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 30, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotHoltWinters(ads.Ads)" ] @@ -1381,11 +1508,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 31, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotHoltWinters(ads.Ads, plot_intervals=True, plot_anomalies=True)" ] @@ -1406,11 +1542,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 32, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, \"Brutlag's predicted deviation\")" + ] + }, + "execution_count": 32, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(15, 5))\n", "plt.plot(model.PredictedDeviation)\n", @@ -1428,11 +1583,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.012841445048055122 0.04883371471892228 0.00943678056045777\n" + ] + } + ], "source": [ "data = currency.GEMS_GEMS_SPENT[:-50]\n", "slen = 30 # 30天的季节性\n", @@ -1462,11 +1623,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 34, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotHoltWinters(currency.GEMS_GEMS_SPENT)" ] @@ -1487,11 +1657,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 35, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotHoltWinters(currency.GEMS_GEMS_SPENT,\n", " plot_intervals=True, plot_anomalies=True)" @@ -1513,11 +1692,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 36, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, \"Brutlag's predicted deviation\")" + ] + }, + "execution_count": 36, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4wAAAFBCAYAAAA8IyHhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xd4XOWd9//3VPXei1XcbvcKxoCpCb0HQkkC6Vk22U12k83u5nq2ZPe3z3Pl2Sd9SUJ2U0glYMD0aooxGDA2uNvHXc2yepdG087vjxk7QrhItqSZkT6v69Jla077nvlqQB/f59zHYds2IiIiIiIiIsM5Y12AiIiIiIiIxCcFRhERERERETkhBUYRERERERE5IQVGEREREREROSEFRhERERERETkhBUYRERERERE5IQVGERGJCWPMpcaY+ljXMZ6MMbYxZmb07/cbY/55Ao75GWPMG6NY/7Ax5qNjcNxeY8z0M9z2k8aYF8+2BhERGXvuWBcgIiLxxxhzGCgCQkAA2ADca1lW3Vns0wZmWZa1fyxqHLbv14BvW5b12ljve6xYlnXvSNaLnsvvLcv6xfhWNLYsy0ofyXrGmCrgEOCxLCsY3fYPwB/GrzoRETlTGmEUEZGTuSEaAkqAJuC/TraiMcY1YVXFyFQ4RxERkeE0wigiIqdkWZbPGPMI8MNjrxljHgAGgErgEuAmY8w/MWRkzBjzGeALlmWtMsa8Ht10a3Sk8fNEQihD9vmPwBeBQqAO+F+WZa2JLnMB/wl8GugBvkckwB4fpRqynxXAT4HZ0Rr/YFnW14eflzHmUuD30XW/DvRGj/mHU5zjeuB/A7cDScAa4G8tyxqIbvPN6L5s4J+GHe8BoN6yrH+Kfn8T8G/AdKAF+ApwUfRrpTHmh8ADlmX9lTFmTvR8l0fX/WfLsh6O7icP+DVwKbAHeGH4uQ6r427gP4B04PvDljmBvyfSh2zgZSIjy+3GmOeAZyzLum/I+luBf7Ms67GhI8jGmOuix5gBdAG/tCzr29HNjv0sdBpjAK4ADNGfleh+LwB+RKSHe4GvWZa1IbrsNWA9cDmwCHgL+IRlWa2nOm8RETkzGmEUEZFTMsakAncAbw9b9Aki4SkDOOU9c5ZlXRz962LLstIty3roBKsdIBKWsogEqd8bY0qiy74IXAMsAZYBNw/b/6VDLkf9EfAjy7IyiQSWh09RWjGQD5QRCaP/baIp5iTn+B0iIWYJMDO63b8AGGOuBv6OSACaBZz0vsBoqP0t8E0iwexi4LBlWf+LSBj6q+j79FfGmDTgJeCPRML0ncBPjTHzorv7CeAjMhL8uejXyY47D/gZcDdQCuQB5UNW+Wsi7+0l0eUd0f0DPAjcNWxflcAzJzhUH3BP9NyuA/7SGHOsZ8d+FrKj5/jWsBpzo/v8cbS+7wPPRIPxMZ8APht9P7xE3ncRERkHGmEUEZGTedwYEwTSiIxqXTVs+ROWZb0Z/bvvgzlr9CzLWj3k24eMMd8CVgBPEBnR+5FlWfUAxpjvAB85ya4CwExjTH501Gl40B3uny3LGgTWGWOeiR7r/4suO36OxphB4EvAIsuy2qOv/R8iQe5b0e1+bVnWjuiybzMkYA3zeeBXlmW9FP2+4RT1XU8kTP46+v37xphHgY8bY/4DuBVYaFlWH7DDGPMb/hzKhrsNeNqyrNejNf4z8FdDlt9LJKwee5+/DdRGRyXXAD8zxlRallUDfBJ4LPrefcCwe0m3GWMeJBJCHz/FeR5zHbDPsqzfRb9/0BjzVeAG4IHoa7+2LGtvtMaHgRtHsF8RETkDCowiInIyN1uWtTZ6OehNRALVPMuyjkaXn/EEOCdijLmHyOWcVdGX0omM/kFktGvo8U517M8D/w7sMcYcInLJ5NMnWbcjGrSOqYke60THKQBSgc1DwrEDOHZvYymwedi+TmYa8Owplg9VCZxnjOkc8pob+F20JvewOk913A+8j5Zl9Rlj2oYda40xJjzktRBQZFlWQzRQ3wn8XyJh+IsnOogx5jwio7ELiIwAJgGrT7TuSWocfg41REZzjzk65O/9RH5WRERkHCgwiojIKVmWFQIeM8b8HFgFPBJdZA9btY9IoDqmeKTHMMZUAv9DZNTwLcuyQsaYLUQCGUAjH7x0ctop6t0H3BW9H+9jwCPGmLxhwfCYHGNM2pBlFcCOIcuHnmMrkXsa51uWdaIRwcZhdVWcrEYioW3GSZYNf1/rgHWWZV0xfMVomA9Gj7tnBMdtBOYO2T6VyGWfQ4/1uSEjx8M9CPxr9J7UZODVk6z3R+A+4JroPbA/5M/hf/j5DXeESHAdqgJ4/jTbiYjIONA9jCIickrGGEd0gpYcYPcpVt0CfMwYkxp99uDnhy1vIjLBy4mkEQkSLdFjfpbI6NQxDwNfM8aUGWOygX84Rb2fMsYUWJYVBo6NyoVPtj7wb8YYrzHmIiKXf55wJCy6v/8BfmCMKYweq8wYc+xS3YeBzxhj5kWD2L+e4pi/BD5rjPmIMcYZ3c+c6LLh79PTwGxjzN3GGE/061xjzNxjYR74dvR9n0fkXsyTeQS43hizyhjjJTISO/R3gfuB/x0N8BhjCqK9P+ZZImHu34GHou/JiWQA7dGwuILIPYfHtBDpx8l+Fp6Nnu8njDFuY8wdwLzo+yAiIhNMgVFERE7mKWNML9BNZOKXT1uWtfMU6/8A8BMJPL/hw8/V+zbwG2NMpzHm9qELLMvaRWTm07ei2y8Eho5y/Q/wIrANeJ9IqAgSuVxyuKuBndHafwTceWwW0xM4SmRilyPReu+1LGvPSdaFSFDdD7xtjOkG1hKZ4RPLsp4jMpPsK9F1XjnZTizL2khk0pYfEJlFdB1/HlX7EXCbMabDGPNjy7J6gCuJXAp6JFrz/yVymSdE7kFMj77+AJEZU0923J1EZmP9I5HRxg6gfsgqPwKeBF40xvQQuf/zvCHbDxIJqB+N7uNkvgz8e3Qf/8KQiYcsy+on8vP0ZvRnYeWwGtuIBPdvAG1EZm29XrOgiojEhsO2T3dliIiISHwxxlwD3G9Z1vBLF0ezj0uJPAak/HTrioiITFW6h1FEROKeMSYFuIzIKGMRkcs918S0KBERkSlAl6SKiEgicBB5NmMHkUtSdxN9/qGIiIiMH12SKiIiIiIiIic0lUYY3USe7aXLcEVEREREZCoadSaaSuGpksisdRfxwRnhREREREREpoJyYD0wEzgwkg2mUmAsif65PqZViIiIiIiIxFYJCowf0gjQ0dFHOBxf923m5aXT1tYb6zJkFNSzxKOeJR71LPGoZ4lHPUs86lniiaeeOZ0OcnLSIJqNRmIqBcYQQDhsx11gBOKyJjk19SzxqGeJRz1LPOpZ4lHPEo96lnjisGehka44lSa9ERERERERkVFQYBQREREREZETUmAUERERERGRE1JgFBERERERkRNSYBQREREREZETUmAUERERERGRE1JgFBERERERkRNSYBQREREREZETUmAUEREREREZI82dA3znD+9R29QT61LGhDvWBYiIiIiIiEwG3f1+fvDQFnoHAqQle2JdzpjQCKOIiIiIiMhZGvSH+PEj22jvGeSrty0iLys51iWNCQVGERERERGRsxAMhfnp4zs41NjNl26Yz6zy7FiXNGYUGEVERERERM5Q2Lb59bO72X6wjbuvMiw3BbEuaUwpMIqIiIiIiJwB27Z56OX9vLWziVsuns6lS8piXdKYU2AUERERERE5A8++XcNLm+r46PJyrj+/MtbljAsFRhERERERkVF6fesRHl13kPPmFXHnR2fhcDhiXdK4UGAUEREREREZhff2tvCb5/ewoDqXz183F+ckDYugwCgiIiIiIjJiVm0H9z+xk+qSTL5yy0LcrskdqSb32YmIiIiIiIyR2qYefvzoNgqyk/mbjy8myeuKdUnjToFRRERERETkNJo7B/j+w1tJ9rr5xh1LSE/xxLqkCaHAKCIiIiIicgqdvYN8/09bCIXCfOOOJeRmJse6pAmjwCgiIiIiInISPf1+vvunLXT1+fmb2xdTmp8W65ImlAKjiIiIiIjICfT7gnz/oa20dA7wtdsWMaM0K9YlTTgFRhERERERkWEG/SF++MhW6lt6+cotC5hTmRPrkmJCgVFERERERGSIQDDEfz22jQMNXfzFjfNZNCM/1iXFjAKjiIiIiIhIVDAU5meP72TX4Q4+d+1czplTGOuSYkqBUUREREREBAiHbX7x9C627G/lU1fO5sKFJbEuKeYUGEVEREREZMqzbZvfvrCHjbub+filM7h8WXmsS4oLCowiIiIiIjKl2bbNgy/v4/WtjVx/QRXXrKyMdUlxQ4FRRERERESmLNu2WbP+IGs31fPRc8q55aLqWJcUV9yjWdkY86/At4GFlmXtMMasBH4OpACHgU9ZltUcXXdCl4mIiIiIiIzWE28c4ukNNVy8uIS7PjILh8MR65LiyohHGI0xy4CVQE30eyfwe+ArlmXNBl4HvhOLZSIiIiIiIqP15JuHePLNw6xaWMI9V89RWDyBEQVGY0wS8BPgL4e8vBzwWZb1RvT7+4HbY7RMRERERERkxJ7acJjH1x/iwgXFfObaOTgVFk9opJek/jvwe8uyDhtjjr1WQXS0EcCyrFZjjNMYkzvRyyzLah/pCeflpY901QlVUJAR6xJklNSzxKOeJR71LPGoZ4lHPUs86tnZW/3yXta8fpDLlpfztTuX4XKOb1hM5J6dNjAaY84HzgH+cfzLGX9tbb2Ew3asy/iAgoIMWlp6Yl2GjIJ6lnjUs8SjniUe9SzxqGeJRz07e8+9U8PqVw+wcl4Rn/zILNrbesf1ePHUM6fTMeoBtJFcknoJMBc4ZIw5DJQDLwAzgePzzRpj8oFwdLSvdoKXiYiIiIiInNILG2tZ/eoBVswt5PPXz8U5ziOLk8FpA6NlWd+xLKvUsqwqy7KqgHrgKuD/ASnGmFXRVe8FVkf/vnmCl4mIiIiIiJzUi+/W8dAr+zl3TiFfvGEeLqeeMDgSZ/wuWZYVBu4GfmaM2UdkJPIfY7FMRERERETkZNZuquNPL+9juSlQWBwlh23H1/1846gKOKR7GGUsqGeJRz1LPOpZ4lHPEo96lnjUs9Fbu6mOP67dx7LZBdx703zcrokNi/HUsyH3MFYTea79aY10llQREREREZGE8vw7tTz86v6YhcXJQIFRREREREQmnac2HGbN6wdZMbeQL1w/T2HxDCkwioiIiIjIpGHbNo+vP8RTGw5z/vxiPn+dZkM9GwqMIiIiIiIyKdi2zSOvHeC5d2q5aFEJn756jsLiWVJgFBERERGRhGfbNg+u3cfazfVctqyMT14xG6dDYfFsKTCKiIiIiEhCC9s2v3/B4rUtR7jy3GnccflMHAqLY0KBUUREREREElY4bPPAc3t4Y3sj166s5NZLpissjiEFRhERERERSUihcJhfPrObt3c2cdOqam68sEphcYwpMIqIiIiISMIJBEPc/8RO3t/Xyq2XTOe686tiXdKkpMAoIiIiIiIJZWAwyH2PbWd3TQefvGI2H1leHuuSJi0FRhERERERSRi9AwF+8PAWao728sXr53H+guJYlzSpKTCKiIiIiEhC6OgZ5HsPbaG5Y4CvfGwBS2cVxLqkSU+BUURERERE4l5zRz/f/dMWegYC/O3ti5lbmRPrkqYEBUYREREREYlr9c29fO+hLYTCNn9/11KqSzJjXdKUocAoIiIiIiJx60BDFz9cvRWvx8Xf3bWUsvy0WJc0pSgwioiIiIhIXNp5uJ37Ht1OVpqXv7tzCfnZKbEuacpRYBQRERERkbjzzq4mfvH0LkryUvnGHUvISk+KdUlTkgKjiIiIiIjElRffreNPL+9j9rRsvnrrQlKTPbEuacpSYBQRERERkbgQtm0eefUAz2+sZbkp4Es3zMPjdsW6rClNgVFERERERGIuGArzq2d38/bOJi5fVsYnPjobp9MR67KmPAVGERERERGJqYHBID9ds52dhzv42MXTue78ShwOhcV4oMAoIiIiIiIx09Xn54cPb6WuuZfPXjuHixaVxrokGUKBUUREREREYqKpvZ/vP7yFrj4/X71tIYtm5Me6JBlGgVFERERERCbcocZufvDwVgC+eddSZpRmxbgiOREFRhERERERmVDv7W3hv5/cSWaal6/fsYTi3NRYlyQnocAoIiIiIiITwrZtXnq3jode2U9VSSZfvW0RWWneWJclp6DAKCIiIiIi4y4UDvPg2n288l4Dy2cX8IUb5pHk0TMW450Co4iIiIiIjCufP8j9T+xk24E2rl5RwW2XzcCpx2YkBAVGEREREREZNx09g/xo9VbqWnq5+8rZXLasPNYlySgoMIqIiIiIyLioberhR49so38wyNduW8yiGXmxLklGSYFRRERERETG3LYDbfzsiR2kJrn51ieXUVGUEeuS5AwoMIqIiIiIyJixbZtX3mvgwbX7KC9M42u3LSYnIynWZckZUmAUEREREZExEQyF+ePafbz2fgNLZubzpRvnkexV5Ehk6p6IiIiIiJy13oEAP12znT21nVy7spKPXTwdp1MzoSY6BUYRERERETkrDa19/PiRrXT0DPKF6+dywYKSWJckY0SBUUREREREzti2A63c/8ROvB4X//CJZcwoy4p1STKGFBhFRERERGTUbNvmhY11rH51P9OK0vnqrYvIzUyOdVkyxhQYRURERERkVALBML99YQ9vbj/KclPAF66bR5LXFeuyZBwoMIqIiIiIyIh19Q7yk8d3sL++ixsvrOLGVdU4HZrcZrJSYBQRERERkRE50NDFT9Zsp98X5N6b5rNiblGsS5JxpsAoIiIiIiKntW5LA394aS85GUn8r3vOYVpheqxLkgmgwCgiIiIiIicVCIb549q9rNtyhAXVuXzpxvmkp3hiXZZMEAVGERERERE5oY6eQX66ZjsHjnRz3fmV3HLRdJxO3a84lSgwioiIiIjIh+yt6+Snj+9g0B/iyzcv4Jw5hbEuSWJAgVFERERERI6zbZtX32/gwbX7yM9K5pt3LqGsQPcrTlUKjCIiIiIiAsBgIMTvX7R4c/tRFs/I44s3zCM1WfcrTmUKjCIiIiIiQlN7Pz9Zs4OGll49X1GOG1FgNMY8DlQDYaAX+GvLsrYYY2YDvwHygDbgHsuy9kW3mdBlIiIiIiJyZjbtaeZXz+7G7XLyN7cvZuH0vFiXJHHCOcL1Pm1Z1mLLspYC3wV+FX39fuAnlmXNBn4C/HzINhO9TERERERERiEYijwy46eP76AsP41vf/ZchUX5gBGNMFqW1TXk2ywgbIwpBJYBV0RffxC4zxhTADgmcpllWS0jP2UREREREWnv9vGzx3dw4Eg3Hz2nnNsvm4nbNdLxJJkqRnwPozHmF8CVRILb1cA0oMGyrBCAZVkhY8yR6OuOCV424sCYlxefMzwVFGTEugQZJfUs8ahniUc9SzzqWeJRzxLPWPTsvT3NfPcPmwmGQvzDPeewanHZGFQmJ5PIn7MRB0bLsr4AYIy5G/h/wD+PV1Hjqa2tl3DYjnUZH1BQkEFLS0+sy5BRUM8Sj3qWeNSzxKOeJR71LPGcbc/CYZsn3zzEU28eprQgjS/fvJSSvDT9HIyjePqcOZ2OUQ+gjXrM2bKs3wGXAfVAmTHGBRD9sxSoi35N5DIRERERETmFzt5BvvfQFp588zDnLyjmn+45h5K8tFiXJXHutIHRGJNujJk25PsbgHagGdgC3BVddBfwvmVZLZZlTeiyMzlxEREREZGpYvvBNv71Vxs50NDFZ66Zw+evm0uSxxXrsiQBjOSS1DRgtTEmDQgRCYs3WJZlG2PuBX5jjPkXoAO4Z8h2E71MRERERESGCIbCPPb6QZ5/p5aygjTu/cQCyvI1qigj57Dt+LqfbxxVAYd0D6OMBfUs8ahniUc9SzzqWeJRzxLPaHrW3DnAz5/YyaHGbi5dWsadl8/Eq1HFCRdPn7Mh9zBWA4dHss2IJ70REREREZHE8O6eZh54bjfg4Ms3L+CcOYWxLkkSlAKjiIiIiMgkMRgI8aeX97FuyxFmlGbyFzfOJz87JdZlSQJTYBQRERERmQTqm3v5+VM7aWjp49qVldx8UTVu16gfiiDyAQqMIiIiIiIJLGzbrN1UzyOvHSA12c3X71jMguq8WJclk4QCo4iIiIhIguroGeRXz+xi5+EOlszM5zPXziEz1RvrsmQSUWAUEREREUlAm61mHnhuD4FgmHuuMlyypBSHwxHrsmSSUWAUEREREUkgPn+QP67dxxvbGqkszuBLN8yjJE/PVpTxocAoIiIiIpIgrJp2/vO3m2jpHOC68yu5aZUmtpHxpcAoIiIiIhLngqEwz75Vw5MbDpOT7uXvP7EUU5ET67JkClBgFBERERGJYw2tffzi6V3UHO3hkqXlfPySalKTPbEuS6YIBUYRERERkTgUDtu8+G4dj71+kGSviy/fvIBrLppBS0tPrEuTKUSBUUREREQkzjR19PPLZ3azv76LpbPyuefqOWSl6XEZMvEUGEVERERE4kTYtnn1vQZWv7Yfl9PJF66fy/nzi/W4DIkZBUYRERERkTjQ1uXjV8/uZndNBwuqc/nMNXPIzUyOdVkyxSkwioiIiIjEkG3brN/WyEOv7CNsw6evNly8uFSjihIXFBhFRERERGKkpXOA3zy/h12HOzDTsvncdXMpyE6JdVkixykwioiIiIhMsLBt8/Lmeh5bdxAccPdVhkuWlOLUqKLEGQVGEREREZEJ1NjWx6+f3cP+hi4WTM/l01fNIS9L9ypKfFJgFBERERGZAMFQmBc21vLEG4dJ8jj5/HVzuWCBZkCV+KbAKCIiIiIyzmqbevjVs7upbepluSngU1fMJis9KdZliZyWAqOIiIiIyDjxB0I8teEwz79TS1qKhy/fvIBz5hTGuiyREVNgFBEREREZBzsOtfG7FyxaOn1cuKCYOz4yi/QUT6zLEhkVBUYRERERkTHU1efnoZf38fauJopyUvjmXUuZW5kT67JEzogCo4iIiIjIGAjbNm9sa2T1q/vx+UPceGEV151ficftinVpImdMgVFERERE5Cw1tPbx2+f3sK++i9nTsvn01YaSvLRYlyVy1hQYRURERETOkD8Q4um3anju7RqSvS4+e80cLlxUglOPypBJQoFRREREROQMbNnfyh9f2ktrl4+V84u48/JZZKZ5Y12WyJhSYBQRERERGYWWzgEeXLuPLftbKclL1aQ2MqkpMIqIiIiIjEAgGOK5d2p55q0anA4HH79sBlecMw23yxnr0kTGjQKjiIiIiMhpbDvQxh9f2ktz5wDnzinkjstnkpuZHOuyRMadAqOIiIiIyEm0dg3wp5f3897eFopyU/nGHUuYX50b67JEJowCo4iIiIjIMIP+EM+9U8Nz79TicMCtl0znynMr8Lh1+alMLQqMIiIiIiJRtm3zzq4mVr92gI6eQVbMLeTjl84kL0uXn8rUpMAoIiIiIgIcauzmwbX72N/QRWVRBn9x43xmT8uOdVkiMaXAKCIiIiJTWmfvII++doA3dxwlM83LZ6+Zw4WLSnA6HLEuTSTmFBhFREREZEoKBEO8+G4dT79VQygU5pqVFVx/fhUpSfoVWeQYfRpEREREZEqxbZv39rbw0Cv7ae3ysXRWPndcPpPCnNRYlyYSdxQYRURERGTKONTYzepX97OntpOygjT+7s4lzKvSYzJETkaBUUREREQmvZbOAR5dd4CNu5vJSPVw95WzuXhJKS6nHpMhcioKjCIiIiIyafUOBHh6w2Fe3lyPy+ng+guquOa8Ct2nKDJC+qSIiIiIyKQTCIZYu7mepzfU4PMHuWhRCTetmk5ORlKsSxNJKAqMIiIiIjJphG2bt3ceZc3rB2nrHmTRjDxuu3QG5QXpsS5NJCEpMIqIiIjIpLDzcDurX9lPbXMvlcUZfO66ecytzIl1WSIJTYFRRERERBLaocZuHnv9IDsPtZOflcyXbpzHirlFOB2OWJcmkvAUGEVEREQkITW09LJm/SHe29tCeoqHOy6fyeXLyvG4NfOpyFhRYBQRERGRhNLc0c8Tbxzi7Z1NJCe5uPmiaq44Z5pmPhUZB6f9VBlj8oDfATMAP7AP+AvLslqMMSuBnwMpwGHgU5ZlNUe3m9BlIiIiIjK5tXf7eHrDYdZva8TldHD1ygquOa+S9BRPrEsTmbRGMl5vA/9pWZaxLGshcAD4jjHGCfwe+IplWbOB14HvAEz0MhERERGZvLr7/fzp5X3848/fZv22Ri5dUsZ37j2fj186U2FRZJyddoTRsqx24LUhL70N/CWwHPBZlvVG9PX7iYz6fS4Gy0RERERkkun3BXh+Yx0vbarDHwhx4YISbrywivzslFiXJjJljOqO4Ogo318CTwIVQM2xZZZltQJOY0xuDJaJiIiIyCTR5wvw+PqDfPNnb/H0hsMsnJ7Hf3zhPD533VyFRZEJNto7g/8L6AXuA24Z+3LGX15efD60taAgI9YlyCipZ4lHPUs86lniUc8STzz1rKffzxPrDvDUGwfp9wU5f2EJd15hmF6WFevS4ko89UxGJpF7NuLAaIz5LjALuMGyrLAxphaoHLI8HwhbltU+0ctGc8Jtbb2Ew/ZoNhl3BQUZtLT0xLoMGQX1LPGoZ4lHPUs86lniiZee9Q4EePHdWtZuqsfnD7HcFHDDBVVUFEV+yY6HGuNFvPRMRi6eeuZ0OkY9gDaiS1KNMf+HyD2EN1uWNRh9eTOQYoxZFf3+XmB1jJaJiIiISILp6ffz6LoDfPNnG3hmQw0Lpufx759bwVduWXg8LIpIbI3ksRrzgW8Be4ENxhiAQ5Zl3WKMuRv4uTEmmehjLgCiI5ATtkxEREREEkd3v58XNtbyyuYG/IEQ584t5IYLqigriM9bh0SmModtx9flmeOoCjikS1JlLKhniUc9SzzqWeJRzxLPRPeso2eQFzbWsm7LEfyBECvmFXH9BVWU5adNWA2JTp+zxBNPPRtySWo1kQG40xrtpDciIiIiIqPS1N7Pc+/UsGHHUcJhOG9eIddfUEVJnoKiSLxTYBQTJpUJAAAgAElEQVQRERGRcVFztIdn3q5h855mXC4nFy0u5eoVFRTo0RgiCUOBUURERETGjG3b7K3r5Jm3athxqJ2UJBfXrKzkinOnkZXmjXV5IjJKCowiIiIictbCts22/W088/ZhDjR0k5nq4dZLpnPZ0nJSk/Urp0ii0qdXRERERM5YMBTmnV1NPL+xloaWPvIyk/nkFbO5aFEJXo8r1uWJyFlSYBQRERGRUesdCLBuSwNrN9fT1eunLD+NL1w/lxVzi3C7RvSobxFJAAqMIiISd/p9AXYcaGXn/hb8gTAZqR7ys5KZUZalX0RFYqy5o5+X3q1n/fYj+ANh5lfl8Plr5zK/OheHwxHr8kRkjCkwiohITAWCIWqO9nK0vZ/Gtj5213RQc7SHEz0xNyXJxfyqXFbMLWLxzDw8bl3uJjJR9td38cLGWt7b24LT6WDlvCKuXFHBtML0WJcmIuNIgVFERMZdOGyz63A7HT2DuFwOBv0hWrt91Df3YdV24A+GAXA5HVSXZnLjqmqWzS0mzeMg2eum1xegrqmX7Qfb2LK/lU1WC8leF7PKs5lRmslgMERLxwC9AwH8wTD+QAh/IIzb7WRGaSaVxRmEwzb+YJhBf4hAKMyM0kwWz8zXiKXIKYTDNu/tbeGFjbUcONJNWrKba8+v5PJl5eRkJMW6PBGZAAqMIiIybgLBEK++18DL79XT0un7wDKX00FhTgoXLS5lXmUOpQVp5GUmHw9wBQUZtLT0AJCa7KYwO4XlpoBQOMzumg42Wy3sq+9i+8E2XE4H+dkpZKZ6SElyk52ehNfjpN8X5L29Lazf1nj8uA7A5XLwfMgmK83LirlFLJiey+xp2SRpgg4RIHJZ+Pptjby8uZ7WLh8F2ZGJbFYtLCHJq8+JyFSiwCgiImMuEAyx2Wrh0XUHaev2Mbs8i9suncn0kkxC4TAet4usdC/OM7jfyeV0sqA6jwXVeQAMDAZJ8rhwOk+8r7Bt09Xrx+1ykORx4XE7sW3YdrCN17cc4dX3G3hpUx1Oh4PywjTKC9Jxuxx4XC4KclIozUtlemkmqcmes3pPRBJBQ2sfL2+uZ8OORvyBMLPKs7jj8pksnVVw0s+YiExuCowiIvIB/kAIh4NR3x8YDIXZbLXw1s6j7KntwB8IM60wnc9du4S5VbnjVC2kJJ36f2VOh+NDl845HLBkZj5LZubjD4TYW9fJ3vpODh3pZk9tB6GwjT8QZmAweHz96pJM5lXlMK8ylxllWXjcupRVztzR9n721HTQ5wsAUFmUQWVxBqnJblzOif3ZCodttu5vZe3menbXdOB2OVk5v4iPLCunsjhjQmsRkfijwCgiMoV19/t58o1DHGnto6vPT2evn4HBIC6ng/KCdGZNy2LlvGKqSzJOOvthe7eP17Yc4fWtR+ju85OXmcxFC0tZOCOXBdV5cT8q4fW4WDA9jwXT8z60rLvfT0NzL3tqO9ld08Gzb9Xy9IYa0pLdnL+gmIsXlVKuCT/kJDp6Bqlp6iEj1UNhdgoetxN/IMzzG2t56d06QuETTe0UuVzb63HicbvIy0yirCCdisJ0ppdmUVGUftr7bnsHAjz15mE6enysnF/Mohl5J9ymzxdg/av7eWr9AVq7fORkJHHrJdO5eHEpGaneMXkPRCTxKTCKiExRW/a38sBze+j3BaguyaQsP415VblkpXnx+UMcauxm3ZYjrN1UT2F2CtMK08nNTKat20d9Sy+hkI3X46SpfQDbtlk0I4/LlpWzYHruGV1qGo8yU71kVuUytyqXW4hc/rqntoO3dzbx6nsNrN1UT0leKstNAaYihxmlmSR79b/Wqexoez9vbGtk055mmjsHTrreqkUlXH9BFdlpXkJhm0ON3dQ39+LzhyITNwUjEze1dA6wdX8rb0Tvw3W7nFQWpVNdmklVcQal+Wn09Ado7RygzxekzxfgjW2NDAyGSE9xs8lqISXJTWVROuWF6UwrTCc/M5mNe5p5a+dR/IEwZlo2t182k6Wz8yd8dFNE4p/Dtk/8r1uTUBVwqK2tl/BJ/kUvVoZO7CCJQT1LPFOhZ7Zt09MfID3Fc8pRvYHBIA+9so/XtzYyrTCdL14/76SjZP2+IJusZrbsa6Wpo5+2Lh+5mcmUF6Th9bgYDIQoyknlkiWlFGSnjOn5xHvPuvv8bLKa2bSnGauuE9uOXP5aUZTO7GnZLJmZz+yK7EkTnkci3ns2Xnz+IO/ubmb99kb213fhdDiYX53LvKocqksy6fMFaOn0EQqHcToczJ6WTXVJ5oj3b9s2HT2DHDzSzcEj3Rw40kXN0Z7jswsP5XQ4mFuVwx2Xz6QkL5XtB9vZur+VuuZe6lt68Qci23jcTs6fX8RtHzWkexQSE8lU/ZwlsnjqmdPpIC8vHaAaODySbRQY40A8/RDJyKhniWcy96y+uZcnNxxmX30nXb1+UpJcTC/Nwut20tMfOD7JjNftxON2UtfcS1uXj6tXVnDzqulxey9eIvWs3xfk4JEu9tZ3sq+ui4ON3QSCYfIyk1g4PY+SvDRK8yNf2eneSftw80Tq2VgI2zZvbm/k0XUH6e7zU5KXyqqFJZy/oJjs9PF95EQ4bNPY3k9jax+ZaV4KslNIT/HgdjlO+vMVDts0dw7Q1N7PjLIs0lM8U65nk4F6lnjiqWdnEhh13YyISIIKh21e2FjLmvUHSfa6WVCdS0VRBs2dAxxo6MK2bTJSvSS7XAQCYfp8QQLBEFlpXr5w/TxmT8uO9SlMGqnJ7g/cBzkYCPH+3hbe2tnExt3N9EcnzwFIT/GwaEYeS2bmM2taNllpulcsER040sUfX9rHocZuZpRl8uWbFzCrPGvC/jHA6XRQlp9GWX7aqLYpzk2lODd1HCsTkclGgVFEJMHYts32g22sfu0ADS19nGMKuPsqo0kq4kiSx8XK+cWsnF+Mbdt09/k50tZPY1sfBxq62Lq/lQ07jgKQl5lEdUkm1aWZTC/JpLI44/h9kKFw+PhsrW3dPrp6/aQmR54zWZSbovvNJlhz5wAH6rvYdrCNd3Y1Rf/xZS4r5xdPqUuPRWRqUWAUEUkQ7d0+Nu5u5u1dR6lt6qUwO4Uv37yA5aZg0l7iOBk4HA6y0pPISk9ibmUOly8rJxgKc6ixm0NHujnYGLkvbZPVEl0fUrxu/MEQwdDJb6FIS3YzvzqXpbMKWDIrnyTPnx+DMjAYZNfhDrweJ+kpHopyUklN1v/yz1SfL8CfXt7Hm9sjIT/J6+Lq8yq44YKq0z7WRUQk0em/ciIicSgctmls66Oly0dzxwDv721hb10nNpHnAd59leGiRSWnnV5f4pPb5WRWeTazyv98WXB3v5/DjT0cPNJFny9IkseF1+PE63aRnOQiLzOZrDTv8dHGPTWdbD/YxsbdzSR5XcyrzKE0Pw3fYIg3dzTi84c+cMz8rGTys5LJSk8iM9VLVrqXJI8LfyBEIBQm2esmLdlNQXYKJXmpGrGO2lPTwX8/tZPuvgDXnFfB+fOLKc1Pi/vHxYiIjBUFRhGROLKnpoPn3qllf0MnA4N//oW/ODeVmy6q5rx5RRTl6P6jySgz1cuiGXksmvHh50GeyAULSgjbNntrO3lr51H21Xex7UAbACvmFnLx4lJcLic9fX6OtPVR39JHe7ePQ43ddPX5GRwWKIdLT/FQnJdKVlokWKYmu8nJiITNZK+b1GQ3eVnJ5GYkTdp/uHjt/Qb+8NJeCnNS+Opti6gqHvnMpiIik4UCo4hIHOgdCPDwK/t5Y3sjORlJnDe3iJnlWRTlph4fWdJlpzKc0+FgTmUOcypzAAiGwgSjo4VDLaXgQ9v6/EH8gTBJHhculwOfP0TfQICmjgGOtvXR2N7P0bZ+Gtv6GfSH6PUFThgynQ4HJXmpTCtK55x5JcwoTk/YiXyCoTBb9rWyp7aDmqYeDjR0s3B6HvfeNF+XnorIlKX/+omIxFhtUw/3Pbadjp5Brl1ZyQ0XVn3gfjSRkXK7nCMe7Uv2ukkekuvSU6L3O+amnnSUc2AwSHe/H99giH5fgNYuH82dA9Q397K7poO3dzbhAGaUZbF0Vj5LZuVTnJsa9//Y0e8LsG7rEV7eXE979yDJXhflhenccvF0rltZqctPRWRKU2AUEYmhTXua+cXTu0hL8fCtTy1neqkueZP4lZLkPulIm23b9AVtXnmnhvf3tbL6tQOsfu0ABdnJzK3MJSvNS3qKh7QUN2nJHgqyUyjMSZnwy1n7fUFqmnpobOuj3xektcvHO7uaGAyEmFORzaeuMCyamadZT0VEohQYRURiZNOeZn72xA5mlGbxlY8tTNjL+EQgMhtsdWkmN66q5sZV1bR3+9h6oI1t+1t5b28LfQMBhs/56nI6qC7JZNnsApabAgqyU8altm0H2tiwo5HDR3to7hj4wDKv28lyU8iV506jsjhjXI4vIpLIFBhFRGJgy/5Wfv7kTmaUZfH12xd/6J4zkUSXm5nMZUvLuGxpGQBh26bfF6TPF6CnP0BLxwANrX3sONTGw6/u5+FX91NRlM7y2QUsM4WjeiD9qbz4bh0PvbyPzHQvM0qzuHBhCVXFGZQXpJOe4sHjnpwT9oiIjBX9hiIiMsE2W83c/8ROphWm8ze3KSzK1OB0OEhP8USfCwkzy7IAuO3SGTR3DvCe1cJ7e1tYs/4Qa9Yfojg3lWWzC1g6O5/q4sxR30cYCIZZ/ep+1m6uZ9nsAr50wzy8ujdYRGTU9FuKiMgEenN7I796djfTSzP5248v1sPURYDC7BSuPq+Cq8+roKNnkPf3tbDZauH5d2p59u0akr0uZpZlMWtaNrPLsygrSCct2X3SyXRqm3r4xdO7qG/p46PnlHPn5bM0cY2IyBnSbyoiIuPMtm12Hmrn6bdq2FvXydzKHP761oUaWRQ5gZyMJC5fVs7ly8rpHQiw42Abe+u72FfXyZrXDx5fz+V0kJeZTFVJBlXFmVQVZ5Ce4uHFd+vYsOMo6akevnbbIhbPzI/h2YiIJD79tiIiMo76fUF++8IeNu5uJicjiTs/MovLlpbpvimREUhP8bByfjEr5xcDkeeV7m/oorljgO4+P00d/Rw80s3G3c3Ht/G4nXxkeTnXX1BJRqomkhIROVsKjCIi46S+pZcfP7KN9u5Bbrl4OlevqFBQFDkL6SkelpxgxLCn30/N0R5aOgdYOruA7PSkGFQnIjI5KTCKiIyDfl+A/3p0G4FQmG99ahkzohN8iMjYy0j1smB6XqzLEBGZlPRP3SIiY8y2bX75zG7auwf5ys0LFRZFREQkYSkwioiMIdu2WbP+IO/va+Xjl81kZrnCooiIiCQuXZIqIjJG/IEQDzy3h7d3NbFqYQlXnFMe65JEREREzooCo4jIGBj0h/j+w1vYV9/Fxy6eznXnV570GXEiIiIiiUKBUUQmpbYuH9sPtpGfncyM0vG9LDQQDHPfmu3sb+ji3pvms2Ju0bgeT0RERGSiKDCKyKThD4R4b28Lb2xvZPfhDuzo6w4HTC/Loro4g9nl2cyalk1W2gefz2bbNi2dA+yr78LpdJCW7KG8II3czORTHjMQDPPfT+1k56F2PnvtHIVFERERmVQUGEXktMK2TXuXjyNt/fT0+wkEw3T3+alv7aO5ox/fYIhAKExxbioVRelUFGVQWZRBXmYyXo/zQ5dmhsJh+nxBBgaDeN0uUpJcJHlcOBwOBgMh6pt7aekcYDAQIhiy8bideFzOyJ9uJ9npSeRnJ5OS5MYBHGzs5s1tjbyzu5mBwSB5mcnccGEV584toqPHx966Lmqaelm35QhrN9UDkJeZREF2CumpXrr7/LR0DtDRM/ihcy8rSGNuRQ5VJRlMK8wgJyOJtGQ3DoeD3oEA9z22nb11ndz1kVlctKh0ItohIiIiMmEUGEUEgLrmXt7c3sihxm6a2vvJSk8iJyOJzp5Bjrb34w+GP7C+AyjITqE4L5XUPDdOp4MjrX28vLmBYCj8gfWSvC6SvS5cTgd9viA+f+hDx3c6HKQkuRgYDBG27Q8tPx2v28lyU8CqhSWYyhyc0ZBalp/Gguo8CgoyaDzaxeGjPeyr66SuuZeWrgHqmnvJSvNipmUzszyL2dOycbuc9PT7OdDQzfaDbby+7QhrN//5nDxuJznpSQwGQvT5AnzpxnmsnFc86ppFRERE4p0Co8gU19w5wLNv1bB+2xHcLicVReksmplPd5+fjp5BstK9zKnMoSQvlZK8NLLTvXjcLlKT3SR5XB/aXzAU5mhbPzVNPXT3+Rnwhxj0h/D5g4TCNqnJbtKTPaSleEj2ugiEwgwMRkYb+31BUpM9VBVnUJybGgmZLiehUJhAMPI1GAzR2TNIa5ePQX8kXOZlJnPOnEJSkk79nzS3y8nMsixmjuC5iMW5qcwqz+bq8yoIhcM0tvVzpLWPzp5BOnoH6egZxOcPce3KSmZPyz7j919EREQknikwikxBYdvmyTcOsWHHUVq7fLicDq44Zxo3XFhFWrLnrPbtdjkpL0ynvDB9jKqNPZfTSXlBOuUFk+ecREREREZCgVFkirFtmz+8uJdX329gwfRcrlpRwaIZeRRkp8S6NBERERGJMwqMIlNIOGzzh7WRsHjNeRXcdukMPStQRERERE5KgVFkiuj3Bfnvp3ay7UAbV69QWBQRERGR01NgFJnEgqEwR1r72HW4g3VbGmjt8vGpK2dz2dIyhUUREREROS0FRpFJpKffz/aDbew81MHBxm5aOwcIhSOPqCgrSOPrdyxhbmVOjKsUERERkURx2sBojPkucCtQBSy0LGtH9PXZwG+APKANuMeyrH2xWCYy1bV0DvD8xlre2NZIIBgmPcXDrPIszjEFlOSlMqcih9zM5FiXKSIiIiIJZiQjjI8DPwLWD3v9fuAnlmX93hjzKeDnwOUxWiYyJdU19/Lc2zVs3N2MwwEXLCjmsmVlVBRlHH9wvYiIiIjImTptYLQs6w0AY8zx14wxhcAy4IroSw8C9xljCgDHRC6zLKtllOcskvCOtvez5vWDvLunmSSviyvOLefKcyvIyUiKdWkiIiIiMomc6T2M04AGy7JCAJZlhYwxR6KvOyZ42agCY15efD54u6AgI9YlyCiNRc8CwRBN7f109gzS1eenu3eQ7j4/DoeDJK8L24bBQBB/IIw/EKKzZ5ADDZ3UN/eS5HFxx0dnc/MlM0hP9Y7BGU1++pwlHvUs8ahniUc9SzzqWeJJ5J5NuUlv2tp6CUcnAYkXBQUZtLT0xLoMGYXR9mzQH2L/kS721nbS1NFPT3+A9p5BWjoGCNun/3l0OMDrcZGW7KaiMINzZhdw8ZIystK8DPQNMtA3eDanMyXoc5Z41LPEo54lHvUs8ahniSeeeuZ0OkY9gHamgbEOKDPGuKKjfS6gNPq6Y4KXicSdgcEg+xu6sGo7seo6ONzYQyhs43Q4KMhOJiPVS3lBGufOKaQ4N4WstCQyUj1kpHrJSPVERxZDOB3gcbtwuxx6DIaIiIiITLgzCoyWZTUbY7YAdwG/j/75/rH7CSd6mchE6PcFcDmdeD3OD7zeOxCgqaOf5vYB6pp7seo6qDnaS9i2cTkdVJVkcNWKCkxFNjPLskhJGtnHzuN2nn4lEREREZFxNJLHavwY+BhQDKw1xrRZljUfuBf4jTHmX4AO4J4hm030MpnCAsEw++o72VvXCUBKkpvczGRKclMpyk3B43aNeF/9vgD9viAZaV78gRBWbSe7azrYXdPB0fZ+AFxOB6nJHlK8LvoHg/QOBI5v73Y5mF6SybXnV0YCYmkWSd6RH19EREREJJ447BHcPzVJVAGHdA9j4ggEQ9S39BEK2bjdDtxOJ263k97+AHXNPdS19EX+bO7FHwjjAIZ31gHkZSWTnuKhdyBAKGxTnJtKcW4qTqeDcNgmFLYJhcLUtfRS19T7oX0keV2YadnMKs/C6XDQPxjEdjho7xwgyeuiKCeyv6LcFAqyU3C7NDIYj/Q5SzzqWeJRzxKPepZ41LPEE089G3IPYzVweCTbTLlJbyR+BUNh9tV1suNwO7sPd1DX3EvoFOE+JcnNtMJ0Ll5cyryqXOZUZON1u/D5g7R2+Whs6+doe+SrzxegJC8Vp8PBkbZ+Nu5uAiIfGqfDgdPpoCgnhRtXVZOTkURPf2SmUjMtm8rijA+FwHj64IuIiIiIjBcFRom51q4B1m05whvbGunq8+NyOphRlsVVKyqoLskgyeMiEAoTCtkEQmFSvJGgmJuZdMKJYFKTPVQke6goStzpi0VERERE4oECo8SEbdscauxh7aY6Nu5uxsZm0fQ8Vi0qYV5V7ognhhERERERkfGj38plQh1t7+ftnUd5Z1cTTR2RewCvOLecK86ZRm5mcqzLExERERGRIRQYZdwNBkK8s6uJdVsaONTYgwOYU5nDNSsrOccUkJrsiXWJIiIiIiJyAgqMMm4CwTBrN9fx7Fs19PmClBWkccflM1kxt4icjKRYlyciIiIiIqehwCjjwqrt4JfP7Ka1y8eiGXlcc14Fs6dln3CSGhERERERiU8KjDLmth9s477HtpObkcQ37lzC/KrcWJckIiIiIiJnQIFRxtT7e1v46eM7KMtP4+t3LiEz1RvrkkRERERE5AwpMMqY2bi7if95aheVxRn87e2LSdNkNiIiIiIiCU2BUcbE+q1HeOD5Pcwqz+Zrty3ScxRFRERERCYB/VYvZ8UfCPHgy/tYt+UI86ty+KtbF5HkccW6LBERERERGQMKjHLGjrT28bMndtDQ0se1Kyu5+aJq3C5nrMsSEREREZExosAoZ+TN7Y387kWLJI+Lr9++mAXT82JdkoiIiIiIjDEFRhkVnz/I717Yy1s7jzKnIpsv3jCfnIykWJclIiIiIiLjQIFRRuxQYzf/89Qumjr6uXlVNddfUIXT6Yh1WSIiIiIiMk4UGOW0BgaDPLbuIK+8V092RhLfvHMpcypzYl2WiIiIiIiMMwVGOaWWzgF+uHorR9v6uXx5OR+7eLoemSEiIiIiMkXoN385qQMNXfz40W2EwzbfvEujiiIiIiIiU40Co5xQzdEevvfQFjJTvXzt44soyUuLdUkiIiIiIjLBFBjlQ5o7+vnB6q2kJrv5+08sJTczOdYliYiIiIhIDOgp6/IBjW19fPdPWwiFwnz99iUKiyIiIiIiU5hGGOU4q7aD+x7bjtPp4Ot3LKE0X5ehioiIiIhMZQqMAsD+hi6+99AWCrJT+NrHF1OYnRLrkkREREREJMYUGIWu3kF+umY7/3979xojV0GGcfy/u5SltRfadWtbaeVS+kIRCq0oUTQiatCkXrAp1iBq4gXjJWq8RBP95iVK/ACCoERD1FAlxOKdRNRIo6JUGltZX4vSliqldXuB3my7O37YU1zX2aEV23POzP+XbHbn7EzyJk/fPfvszJxOn9LLx69ewuSJE8oeSZIkSVIF+B7GDnd4aJibVq1n34HDvPfKCyyLkiRJkp5kYexw3733r2zYspu3vuoc5s6cXPY4kiRJkirEwtjBBjbt5Ce/2cxLFs3mkvNmlT2OJEmSpIqxMHaoPfsPcesPHmTmjEmsuHxB2eNIkiRJqiAvetOBBjbt5Os/GuDxvQf5xJuX0HtyT9kjSZIkSaogC2MHOXR4mDt+/hA/XbOFmadO5CMrLuKM2VPLHkuSJElSRVkYO8T2Xfv58qr1bNz6BC9fchpveOlZ9E7wmUVJkiRJ47MwdoAt2/bwhZUPcHiowXuvPJ/FC/rLHkmSJElSDVgY29zmx57gupVrmXBSNx+/+iJmzZhU9kiSJEmSasLC2MYe3LiDL69aT+/JPXx0xUXMnG5ZlCRJknT0LIxtqNFocM+aLay85yFm903i/csuoP/UiWWPJUmSJKlmLIxtZrjRYOU9G/jp/Vu4cP4zecfShUzsNWZJkiRJx84m0UYOHR7iqz8Y4P4/beOVF89l+cvm093VVfZYkiRJkmrKwtgm9h04xA13riMf2cXyy+ZzxQvmlT2SJEmSpJqzMLaBRwf3ctOq9Wwd3Mc7X7OQSxbOKnskSZIkSW3AwlhDjUaDRwf3MbBpJ/cNPMZDW3Zzysk9fHD5IhaePqPs8SRJkiS1CQtjjRw6PMTqdVu5+77NbNu1H4DZfZNY9tKzeNFzZzFtcm/JE0qSJElqJxbGGmg0Gvx2YBsrf7aB3XsOcuacqVxxyTwWnj6D/mmn0OWFbSRJkiQdBxbGitu99yBf++EA6/46yHNmTeGdS8/jnHmnWhIlSZIkHXcWxgrbumMfX/z2Wh7fe5AVl5/N5UtOo7vboihJkiTpxLAwVtRDW3Zz/Z1/oKsLPvqmxZw5Z2rZI0mSJEnqMBbGClqT2/nK9//I9Cm9fGj5ImZOn1T2SJIkSZI6UO0KY0QsAG4D+oBB4JrM3FDuVP8fhw4P8+P7NnHXvQ9z5pypvG/ZBUyddHLZY0mSJEnqULUrjMDNwI2Z+c2IuBq4BXhZyTM9LXv2HeTX67dy1+qH2bZrP88/dyZve/W59E7oKXs0SZIkSR2sVoUxImYCi4FXFIduB74UEf2Zub28yf53t3zvj/xu4DGGGyP/p+KHrlrEc8/oK3ssSZIkSapXYQTmAn/LzCGAzByKiL8Xx4+qMPb1TT6O4x27+fOmc/qcaTzv3Gdx9rzp9HgV1Nro759S9gg6RmZWP2ZWP2ZWP2ZWP2ZWP3XOrG6F8WkbHNzD8HCj7DGedPmFc+jvn8L27U+wY3BP2ePoKB3JTPVhZvVjZvVjZvVjZvVjZvVTpcy6u7uO+Qm07uM0y/HyCPDsiOgBKD7PKY5LkiRJkv6PalUYM3MbsBZYURxaATxQ1/cvSpIkSVKV1fElqdcCt0XEp4CdwDUlzyNJkiRJbal2hTEz/wS8oOw5JEmSJKnd1eolqZIkSZKkE8fCKEmSJElqysIoSZIkSWrKwihJkiRJav/6uesAAATfSURBVMrCKEmSJElqqnZXSX0aegC6u7vKnqOpqs6l8ZlZ/ZhZ/ZhZ/ZhZ/ZhZ/ZhZ/VQls1Fz9BztY7oajcbxmaZ6LgXuLXsISZIkSSrZi4HVR3PHTiqMvcDFwKPAUMmzSJIkSdKJ1gPMBn4H/PNoHtBJhVGSJEmSdAy86I0kSZIkqSkLoyRJkiSpKQujJEmSJKkpC6MkSZIkqSkLoyRJkiSpKQujJEmSJKkpC6MkSZIkqamTyh6gk0XEAuA2oA8YBK7JzA3lTqWxImIjcKD4APhYZt4dEZcAtwATgY3A1Zm5rYwZO1lEXAe8ATgdOD8z1xfHx90vd698LXLbSJN9K77nzpUkIvqAbwBnAQeBDcC7MnN7q1zMrDxPkVkDWAcMF3d/c2auKx63FPgCI78jrgHelpn7TvT8nSoiVgFnMJLNHuB9mbnWc1p1tchsI21yPvMZxnLdDNyYmQuAGxn5h6NqWpaZFxYfd0dEN/BN4D1Ffr8EPlfuiB1rFfASYNOY4632y90r33i5wZh9A3DnStcAPp+ZkZnnA38BPtcqFzMrXdPMRn3/haP27EhZnAx8FViamfOBJ4APn+jBO9xbMnNRZl4EXAd8rTjuOa26xssM2uR8ZmEsSUTMBBYDtxeHbgcWR0R/eVPpGCwBDmTm6uL2zcDyEufpWJm5OjMfGX2s1X65e9XQLLen4M6VKDN3ZOYvRh36DfAcWudiZiVqkVkrrwLuH/Xs1M3AVcdhPI0jM3ePujkNGPacVm3NMnuKh9TuZ6OFsTxzgb9l5hBA8fnvxXFVz7ci4g8RcVNEnArMY9QzI5n5D6A7ImaUNqFGa7Vf7l71jd03cOcqo/jr+LuB79E6FzOriDGZHfGLiFgbEZ+NiN7i2H9kBmzGn40nXETcGhGbgU8Db8FzWuU1yeyItjifWRilp/bizFwEXAx0AV8qeR6pnblv1XcDI+/TMZv6GJvZvMx8HiMvC18IfLKswfTfMvPtmTkP+AQj7ydVxY2TWduczyyM5XkEeHZE9AAUn+cUx1UhR142l5n/BG4CXsTIX12ffGlPRDwTGM7MHaUMqbFa7Ze7V2Hj7Bu4c5VQXKzobOCqzBymdS5mVgFNMhu9Z48DtzLOnjHyTIg/G0uSmd8ALgO24DmtFo5kFhF97XQ+szCWpLgS0lpgRXFoBfBAZm4vbyqNFRHPiIhpxdddwBsZyW0NMDEiLi3uei1wRzlTaqxW++XuVVeLfQN3rnQR8RlG3nvzuuIXIGidi5mVrFlmETE9IiYWX58ELOPfe/YT4OKIOLu4fS3wnRM7deeKiMkRMXfU7aXADsBzWkW1yOxAO53PuhqNRtkzdKyIOIeRyyBPB3YychnkLHcqjRYRZwJ3Aj3Fx4PA+zPz0Yh4ISNXIjuFf18S+bGyZu1UEXE9cCUwC/gHMJiZ57XaL3evfM1yA5Yyzr4Vj3HnShIR5wHrgT8D+4vDD2fm61vlYmblGS8z4POMZNIAJgC/Aj6QmXuKx722uE8P8ADw1szce2Kn70wR8SzgLuAZwBAjxePDmfl7z2nVNF5mwC7a6HxmYZQkSZIkNeVLUiVJkiRJTVkYJUmSJElNWRglSZIkSU1ZGCVJkiRJTVkYJUmSJElNWRglSZIkSU1ZGCVJkiRJTVkYJUmSJElN/QvRikJWuFcohgAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(15, 5))\n", "plt.plot(model.PredictedDeviation)\n", @@ -1612,11 +1810,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 37, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "white_noise = np.random.normal(size=1000)\n", "with plt.style.context('bmh'):\n", @@ -1647,11 +1854,50 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 38, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def plotProcess(n_samples=1000, rho=0):\n", " x = w = np.random.normal(size=n_samples)\n", @@ -1713,10 +1959,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 39, + "metadata": {}, "outputs": [], "source": [ "def tsplot(y, lags=None, figsize=(12, 7), style='bmh'):\n", @@ -1753,11 +1997,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 40, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "tsplot(ads.Ads, lags=60)" ] @@ -1771,11 +2024,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 41, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "ads_diff = ads.Ads - ads.Ads.shift(24)\n", "tsplot(ads_diff[24:], lags=60)" @@ -1790,11 +2052,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 42, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "ads_diff = ads_diff - ads_diff.shift(1)\n", "tsplot(ads_diff[24+1:], lags=60)" @@ -1914,11 +2185,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 43, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAHwCAYAAAC7T84CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzsnXmYHFd5r9/eZh+NZqTRSLJkybbkY2OMAZuwY7AhQMIFshBCAolvCGtYAiROgEtCLly43CwXiLmYYAJODITVrMZ431fJq2T7aB9pJM2+90xPb3X/OHWqq6v3mR7Nou99Hj3q6aqurqquOnW+7feFHMdBEARBEARBEARBWDjhpd4BQRAEQRAEQRCE1YIYWIIgCIIgCIIgCHVCDCxBEARBEARBEIQ6IQaWIAiCIAiCIAhCnRADSxAEQRAEQRAEoU6IgSUIgiAIgiAIglAnxMASBEFYoSil7lBKXbPU+7EYKKWuUEqll3o/6km9j0kptV0p5SilXlavbS7FdwiCIKw2oku9A4IgCEI+SqlKDQp7tdbbgd8FlswIUUq9EbgSeBbQCJwEHgTep7WeXODmvwf8aoHbqBql1FeBdwMf0lp/5VR97wI5BmwCRua7AaXUHcCl7p8pd5vfBz6jtZ6Z5zbfDvyn1jo03/0SBEFYyYiBJQiCsPzY5Hv9EuBHwPMxBgxABkBrPXqK98tDKXUZ8GPgMxjDZA7YAbwZY2zNd7shIKq1ngVm67Cr1XxnK/DHwOeAdwErwsDSWmeA/jps6jvAx4AGjLH1b8Aa4C/qsG1BEITTDjGwBEEQlhlaa2/SrJSyRtSQ/3132R3AAa31n/v+PogxxN6NmTB/BfgU8D8wE+Yw8G9a60/6thMDPgn8Kca4Owh8WWv9tTK7+UbgMa31P/jeOwj8OrCPO4AvAJcDDvAw8DGt9ZPu8iuAa4DXAP8CXAC8SSnVA1yjtY76tnUx8HmM0TkL3A18RGvd6y7fAnwJYyS0ASeAr2qt/7HMcQC8DdgPfBb4gFLqhVrrB33fa/fxUuBfgfOAp4H3aq0fdtcJYQyTy4DNmN/gv4B/0FrPBb9QKdXu7t97tNbf8b2/HTgEXKq1vlsp9Sbg04ACksA+9zOPuuseBl6utb7H/fwngD8HzgAmgUeAN7sGaylmfdfWfyqlXoGJjhY1sJRSCvNb2cjX7Zjf4YBS6pXAf7rr2UjstVrrK9w0wy8Az3HfPwRcqbXOu2YEQRBWOlKDJQiCsLr4fSAGvAz4KPAJ4JcYg+PlwF8Bn1BKvd73ma9jJtTvAc4H/ifwBaXUO8t8z0lgh1LqN0qt4BpJ9wCD7ne/CNDAHUqpbt+qYczE+6MY42VXkW09C7gTuB+4BGPIZICblVJN7mr/D+gAXu1u551AX5ljsLwH+JZrCP2X+3eQMMa4+zAmmjgIfF8pZQ3AkPveH2HO4V8C/x1z/gvQWk9hIkfvCix6J/CMa1xtBH4AfBdjeL4Y+CIl0kKVUr8L/K27jzsxRut80ixnMddQse9oBm4CmjAGljVmb1RKNQD3AR9wV9/k/vuwe55+hkkhfb7779PAvNIQBUEQljMSwRIEQVhdHNZa/437ep9S6mPAFq31633vfRQTUfqVUuos4E+AZ2mtn7HbcKMUHwS+UeJ7/hV4KfCgUqofeAi4DbhOa21rgt4HHNFav89+SCn1IeC3MCl5X3TfDmGiWnf71gt+35XAL7TWf+9b5+3AGPA64CfANuB6rfVj7ipHSuy7h1LquZiIio0iXQvcqpT6iNZ6wrdqCPhLrfUj7uc+DTwAnANorXUWEwW0HFFKnQO8H/h7ivM1YLdSaqfWer9SKoIxyv7ZXb4JY+h8X2ttj+XpMoezDZMyeKPWOgUcBR4rs34ebhTuRcDbMUZUMf4I6AYu1loPu5/7Q8y5/kOt9X8opSagIBLbCXQCP9Na73ff3o8gCMIqRAwsQRCE1cXjgb/7KazT6Qc2uK8vwRgPuwJGTRS31qsYrgDCG900tVcCvwF8HPiUUurlWuungRcAFyulpgMfb8ZEWPw8XPqQwN3WjiLbavJt64vA19zo3B3AL7XWd1XY7nswhtuIe1wPKKX6MEaGvxbLIf/cnnD/78FE5VBKvQuTnrcdaMWcw5KZIlrrR5RSu9zP/A3wemA98B/uKk9gUi73KKVudo/px1rrYyU2+X3gQ0CvUuom4FbgJ260rBx/6hpJMSACXE8uChXkAuApa1y5xzGglNLuslLHOuYqXv5aKXUbJhp5vdZaV9g3QRCEFYekCAqCIKwuUoG/nRLv2fHf/v8S4Lm+f88mVytTEq31Ea31t7TW78ekxjmYaJPd9q2B7T4XU0/0ad9mMlrrRIWvCmNqe4LbOhdTH4XW+puYKM7VmOjPr5RS15XaoE/c4s1KqbT9hzHYgql7WVdUwmLri8Lutt6CMci+h4nQPQ+Talk01c7H1cAVbh3cn2MMKGvsZTBG12UYA/T3MBHINxTbkNb6OCY18s8w6YqfArRSamuFfbgecy53Ak1a67f4Dah6obV+F3AxcDMmtXCPUqpYOqYgCMKKRiJYgiAIpze73f/P1Fr/YiEbcqMU/ujYLuAKoK8KA6oSuzAG30GtdUkZe631SeCbwDeVUjcA31VKvb+EbPzbMPVMzyVnMAF0YerE8sQuKvAK4FGt9b/YN9zoXiX+CyMY8R7gt4HfDByPg0m/fAj4nFLqRkwaYdHfyq0juxFTE/UpYACj7PivZfZhUmt9oIp9BdgLvFcptd6XItiDMZptamPSfT8SMErRWu8B9gD/opS6GiPGUk5MRRAEYcUhBpYgCMJpjKv89u/A15VSV2JEJFoxkYZurfUXin3OrUFqwwhoHHFf/ykm8vUld7WrMKINP1VKfRbTY2kLJirzS631fTXs6ucwRsZ1SqkvAUOYVLw3A1/SWh9SSl0F3IBJ2WvCCHccA0qlyL0Hk6b2ZJHje8BdXq2BpYF3uqp/e4A3uN9f/kNax90o2z9j6udu9+3DSzC1cjdhREV2YozMonVxrihJGHOext3PtgNPVXkM1fAd4O+A7yml/hqTXvpPwHFM9A6MsiGYFNJ7MKIZGzFRwZ9jfpPNGOGTR+q4b4IgCMsCSREUBEEQ3g38X4xIw1OYtL4/xchol+JOYCsmWvQ0Rqr7xcDbtdY2ZW/AfW8Y0zNLA9/GpPGdLLLNkrg1XS/BGHK/dvfz65h6rnF3tRCmDmsPcBfGUHx9sYiXK25xCaZuqRjfA96qlOqoche/hklh/CbwKPBC8tMgy/FvGEn9rwfen8Ccv59iBCH+HXP+PlNiO2OY6NYdmN/ko8C7tda3VrkfFXHl3n8T0/fsLsx1EAdep7VOuus8jDGyv4ZJVbzKXWcnJmK3D9Pbza84KAiCsGoIOU7JTAtBEARBEBYZpdRvYeqgtmqtB5d6fwRBEISFIQaWIAiCICwBSqkWTL3a94E9Wus/W+JdEgRBEOqApAgKgiAIwtJwJXAAI7TxNxXWFQRBEFYIEsESBEEQBEEQBEGoExLBEgRBEARBEARBqBNiYAmCIKxClFKOUurtVa673V3/ZYu9X4uFUuoOpdQ1vr+/pZS6ZSn3SRAEQTg9kT5YgiAIKwSllD+nO4FpIrsL+IbW+leB1TeRky9f1gSOyzKntW465TuzzFBKnYtpEvxyYAb4IfAxrXW8wud+C9M77HyMJP6X/U2Qq922Uqod0wj5dzG9xe4CPqC1PhjY1pXAX2D6XT0F/I3W+qZa90kQBGE1IBEsQRCElcUHMMaTAt4BnAB+rpT6on8lrXW/1jqxBPs3X+xx2X/blnZ3QCnVsMTf34bpSZbG9AD7A+B1lGg07PvcJZjeWb8Cnovpx/U5pdR757Ht/8Q0LP594GWYXmM3K6Wafdv6S+AfgE+533cz5pp8Ti37JAiCsFqQCJYgCMLKYkJr3e++PgrcrZR6BviKUup6rfWd4EWF3qG1vs79uw34LPB7GGnwk8C/aa0/V+xLlFJ/i1G5e7PW+i73vQ9iohTbgWPAt4AvaK3TSqlPA2/TWqvAdv4d2Ka1vryG4wruS96xuO/dAvRpra+osF3/dv4Q+FvgPKAf0/z4UzZio5S6AziIMVr/HGNMbKxy258G3g78HeY8bwbuBt6ltT5S7T4G+CNgPfBHWusJ93v+AviFUurjWuvDJT73UeBhrfXH3b+fVkpdgDn2q6vdthvhehPwWq317e46b8Ocu7cC31JKhYC/Bv6v1vo/3G1fqZR6lbsfV9SwT4IgCKsCiWAJgiCsfL6GSQd8S7GF7iT4F8AbgQ9iUrT+BBgqsm5YKfWv7nqX+oyrTwN/BXzc/fyHgfcAf+9+9BrgHKXUpb5ttWMiI/+24CNcIEqpK4CvAv8MPAtz/K+mcHL/B0A3JmrzGvezny6RxhhkE/B+dxsvB9YAP3bPP0qplyulpiv886d6vhS43xpALjcBWXdZKV4K3Bh470Zgm1JqSw3bfimQwkS6ANBajwEPYaJZYIztzSW+z1/TV80+CYIgrAokgiUIgrDC0VpnlFIaOLvEKpcBlwIv0Frvct87hKmn8dMIfA94NvBirfVR8BriXgn8rtbaTpIPK6X+B/BlTBSoTyl1A/Au4E53nT8CZoHrqziMa5RSfmPnC1rrz1TxuWr5NPBxrfV/un8fUkp9ALhTKfUh13AAE9l7v9Y66/vsMKCr+I4W4Aqt9QEApdQ73M9dhjFSdmHS48ox63u9CRMt8tBap5RSo+6yUhR8zvf3JqCvym1vAoa11pki2/Kv499+sXWq3SdBEIRVgRhYgiAIq4MQUCrKcjEw5jOuSvFNjNjBS7XWI773LwCagR8FIjkRoEkp1a21HsJE0n6olPqga7C8C7hWa50EUErtJVdb1au1vsC3rU9ianQsoxX2tWqUUt3u9/6LUuqffItC7v87gIfd17sDxhVa66uAq6r4qiFrXLmf26eUGsacv1u11rOYxsKCIAjCKkYMLEEQhBWOUiqKEb14aIGb+iXwZxixg2/73rfp5G8B9hX5nDWGfgUMAu9QSt2FMez+2LfebwEx93UqsI0Bv3ESwCFnDFlixVYsgd3/DwO3F1nuj56UVedbCEqpl2POUTnu1lq/3n19Etga2EYM6HKXleIkhbVjPb5l1W77JLBeKRUJRLF6yF0Hdt2N5F8bPYF9rGafBEEQVgViYAmCIKx83g10AD8osXw30KmUuqRCFOvbmPS+a5VSUa31te77ezGy8GdrrW8o9WGtdVYp9XVM5EoBd2mttW95b9VHlM8gps4HAKVUI6aOqpTIQ3C/BpRSxwCltf76PPehGrqVUudYCXNXJGI9RrYcak8RvBf4klJqjdZ60n3vNRiD8d4y27gXeC3wP33vvQ4TNezzrVNp2/diDNnLMMqAKKXWAi8E/t1d5whGFOS15Kecvg64p8Z9EgRBWBWIgSUIgrCy6FBKbcRMfLdhBBXeD3zJClIU4TaMot33lFIfBZ7AGCzna62v8a+otf4vpVQK+LZSKqa1vkZrPa2U+hxGVtsBbsE8Py4Enqe1/hvfJr6BEb44FxMNqwe3AO91o2JTmHTCWiXUPwl8Qyk1hklFTGHEOl6vtX5PuQ+6tVof0FqfV+E7ZoBvuucYTI+px3BFIuaRIvgdjPT5d5RSn8REl74CfM+vIOiqSF7lpjIC/F/gPqXU/8LIrL8QI1rykVq27aY4/hT4qlLqncAEpo/VcUytHlprRyn1j5hr42mMEXkFcBHG0LZUs0+CIAirAlERFARBWFlchUmp2o+JOG0G/pvW+i9LfUBr7QC/DdyAUc3TwHWY6Eqx9X+EMdz+VSn1fve9z2Cktt8FPI6JTnwEE8Hwf/YkRrFwGtO4th78FbAH+DUmxe4ucjVTVeGKW/wB8AZMKuXDGOGL41V8fD0mIleJkxjFxB9izs8MRhikGgXCArTW0xilwwbgfne7NwHvDKyq8P2WWuuHgTdjjvVxTNTok1rrq33rVLvtd2DSKq8H7sPMG37TNRbttr6I6YP1Off7Xge8UWv9eC37JAiCsFoIOc68xn1BEARBKIpS6iHgXq31aROdsH2wtNY7lnpfBEEQhKVFUgQFQRCEuqCUWo+JUDwf+MMl3h1BEARBWBLEwBIEQRDqxRAwBnxIa31oqXdGEARBEJYCSREUBEEQBEEQBEGoEyJyIQiCIAiCIAiCUCdWbYrgrbfe2gi8AKPqlKmwuiAIgiAIgiAIQrVEgE3Aw5dffvmcf8GqNbAwxtXdS70TgiAIgiAIgiCsWl5OfmP1VW1gnQTYuXMnDQ219qMUBEEQBEEQBEEo5Pjx43R3d7N//35wbQ4/q9nAygA0NDTQ2Ni41PsiCIIgCIIgCMIqoKOjwx/AKShFEpELQRAEQRAEQRCEOiEGliAIgiAIgiAIQpVMT0+XXS4GliAIgiAIgiAIQpX09PSUXS4GliAIy4KZZIZdfZPMpbNLvSuCIAiCcNrgOM5S78KKY2hoqOzy1SxyIQjCCmD/8Aw3PDPMbQfHmE1ledGZa/iH15xNKBRa6l0ThCUhk3WIhOX6FwRh8Tk2nuBjv9jPn1y8iTecv36pd2fFUGmOIhEsQRCWhNlUho/+Yh9/8RPNL58ZYTaVJRKCB45OcoMeWerdE4Ql4d4j47zx2se5r3d8qXdFEITTgL0DccYTaXb3TS71rqwourq6yi4XA0sQhCXh0Mgse/rjNEbD/M4F3Xz9987jylduA+DqB47TN5FY4j0UhFPPnv5pUhmHe45MLPWuCIJwGhBPGoXxWUnPr4lKKYJiYAmCsCTMZcxgfv6GFt734i1s62zmVed08apzOplLZ/nCHb2ks5IXLpxeTLuTnf1DM0u8J4IgnA5YAyuREgOrFtasWVN2uRhYgiAsCcmMMZ4aIvnD0AdfsoXu1hh6aIbvPNq/FLu2aGQdhydOTjGbKuhJKAgATM2Za+PoeEKuE0EQFp24O84k0jLe1EImU/58iYElCMKSkHQjWA2R/ELRtsYoV166jRDwncf6OTQyuwR7tzjc3zvBX/3yANc9sroMR6F+TLsGlgMcWEXXviAIy5O4O+bMSgSrJuLxeNnlYmAJgrAkJNMmghWLFA5DF21u59Kz15J1YO9A+WZ+K4mj46aubDCeXOI9EZYr08m091pLmqAgCIuMV4MlBlZNbNy4sexyMbAEQVgSUiUiWJb1rQ3A6hr0x2bN5Fly3YVS2BRBMC0MBEEQFhObIigiF7XR318+E0UMLEEQloRSNViW5ph5f2YV1aGMzqSA1WU0CvXFilwA7JMIliAIi4yNYM2ls2Sl4XDVxGKxssvFwBIEYUkoVYNlaY5FgNVljFgDKyGeQqEI6azDbCpLCIhFQhyfnGN6Ll3xc4IgCPMl7nPqzMmzqWo6OjrKLhcDSxCEJaFSBKvFjWCtKgPLTREUdTihGNaYamuMcE5XMwD7RehCEIRFJJ7MPWNX0/N2sRkeHi67XAwsQRCWBBvBikXLpwiuJmPESxEUL6FQBJse2N4Y4dzuFkDSBAVBWDwcx8mLYImBVT0SwRIEYVmS8iJY5VMEZ1bJgD+bynipgZKGIRTDCly0NUQ5d71rYInQhSAIi0Qy45DO5uqupBdW9SST5dWAxcASBGFJyNVgVUoRXB0Dvo1egXgJheLYHlhtjRF2rpcIliAIi4tfVAdE4bYWZmfLp2+LgSUIwpJg+2A1niYRrJGZnFhBOut4MvWCYLE9sNobIpy5tonGaJiB6SQTCRG6EIRTxe0HRzk4cno4NuIBA0vS16tH+mAJgrAsmbM1WKdJBGtsNpX3t0SxhCBTvghWJBxi5zpX6ELSBAXhlHB8IsHnb+/lH+88utS7ckooMLDkuVQ10gdLEIRliddoOHp6yLT7UwRBpNqFQnIpglEAdorQxWmLI/2IlgTbDL53bPa0yDIIGlhSg1U9DQ0NZZeLgSUIq5DHT0zx9GB8qXejLNU2Gl4tESwr0W6RXHchiKci2GCcC1boQksE67RiIpHm7f+1l2t3n1zqXTntsI3tMw70Tcwt8d4sPhLBmj/t7e1ll4uBJQirjNlUhk/8+iB/d9OhZe0FrdRouCkaJgTMZRwy2eV7HNUSjGDNiqdQCDDl64MFOQNrv0SwTisODM8wFE+xq29yqXfltMPfE6p3LLGEe3JqKIhgiYFVNSMjI2WXi4ElCKuM/qkkqYzDRCK9rAUirMhFqQhWKBRaVVEsqcESKuFXEQQ4o6ORlliY4ZkUIwEDXVi92EmvjBGnnhnfs+bI2Opv8i0iF/Ons7Oz7HIxsARhlTEwnevNEJzULycqybTD6lIStBGsrmZTXyM1WEKQXIqguUbCoRA71pko1umiaibkJr1SD3PqmfEZHKdTBMuKSiVWgTPzVFFJpj1ary9SSv0T8HvAduBCrfUe9/1zgWuBdcAI8Cda6/2LtUwQTncGfQbW6EyKLR1NS7g3pbGNhmMlUgRhddVhWZn2zR2NjM6mxTstFDAViGCBiWI90T9N/1T5ppbC6mFaIlhLht+Z1zt++hhY61pizEzMSQSrBhKJ8tdHPSNYPwFeAfQG3r8a+IrW+lzgK8DXFnmZIJzW+Cdi/t5Ly41qIlgtqySClc46TCbShEOwsb0REE+hUIjtg+U3sDa2G6UqMbBOH6yBJfUwpx5/BOvE5BzJVW5wWANrfWsMEKO+Fir1wapbBEtrfQ+AUsp7Tym1AXg+8Br3re8CVymluoFQvZdprYfqdTyCsFJZOSmCbg1WCZl2yEWwVvpEY3w2hQOsbYrS6hqNkiIoBLE1WFZFEKCnzRhY/vtaWN3YSW8q65DOOkTDpcfI0wnHcQiFFvdc+Guwsg4cm0hwjpumuxqxoh7rWs04s9KftaeS/v7+skZW3QysEmwFjmutMwBa64xS6oT7fmgRlhUYWMePH6enp4eJiQlSqRQbN26kv7+f1tZWIpEIk5OTdHd3Mzo6iuM4dHd3MzAwQFtbGwDT09P09PQwNDREKBSiq6uLoaEh1qxZQyaTIR6Pe9uMxWJ0dHQwPDxMR0cHyWSS2dlZb3lDQwPt7e2MjIzQ2dnJ7OwsiUTCW97U1ERzczNjY2OsW7eOqakpksmkt7y5uZmGhgYmJiZYv369HJMcU9FjOjGeq9UYmkrQ29u7LI8pkTLe+oETx4muX1v0mBrCxggbGp9kMDa7Yn+n6VgHAO0xiGIe4GNTMwwODuYdUzgaI9TYQiY+seyP6XS5n6o5poePjvPNx8f42CvOpCs7Oa9jSswlmUllCQEzk2OkolEmJydZGzP73zcyTSKRkN/pNDim8XhOHvzYyQHC6bkVf0wL/Z2uPzDD3Ucm+ZfXbyc7N7NoxzSVME7JSMhIte/e30dXuHvVXnsjU6adSyxl5g3xuRS9vb0r+phO1e8Uj8fLKgmG6i3jrJQ6ArxBa71HKXUx8B9a6wt8y58C3o4xlOq6TGv9iH3v1ltv3Q4cvuCCC2hsbKzrMQrCcuYt1z3JRMIYL6/e0cmVr9y+tDtUgv/2rceZS2f56Z8+xxOzCPL5249w+8Exrrx0G6/e2XWK97B+PHB0gr+76RCXbGnn2T1tfGv3Sd56UQ/vfMHmvPU+f/sR7jo0xrVvvYANbeWbGArLh3+8s5eb94/yjudv5B3P3zSvbUwk0rzluidpb4zwo3c8x3t/JJ7ibd/dQ0dTlB+8/cJ67bKwjPnUrw/y4DEj0f7tt11Ad6uMBe/+0dMcGUvwz2/YyYUb2xbte/72Vwd45PgUqrsFPTTD2y7q4b8HxunVxHt//AyHRmf5wEu2cNV9fZzX3cKX36Qqf1BgcnKSxsZG9u7dC3DW5ZdffsS/fLFVBI8BZyilIgDu/5vd9xdjmSCc1symMp5xBYXNbZcLjuOQqqoGyyybWeH1SmOuguC6lpgv7bHwmA6PzpJx4OhpUFy9mrAKf1a4ZT5M2x5YDfnOhs6WKLFwiIlEesFiL1NzaZ7sn17QNoTFZ9pXByQpWwZbG2TTaBcLW4P1rA2tABxZ5WNxQQ2WpK5XzdjYWNnli2pgaa0HgceAt7lvvQ14VGs9tBjLFvNYBGElMDRtJvI2Sz3Y3Ha5kHFMfnskBJEy9QU2srXSC29HXEO3qzlGU5kaLDuxmkwsT8NYKCSZyXpyzla4ZT4UUxAEI9Vuo5mDC6zDuuq+Pj72i/3sHRAjaznjN7Bkwmuw42Wwb1O9sYJK57sGVu8q74VlnZfrWoyBJQZ99axbt67s8roZWEqpLyul+oAtwC1Kqb3uovcCH1RK7QM+6P7NIi4ThNOW/mmTu7+900izL1cDa859WDZEyw9BqyWCZX+HzpYYzVErPV/4ILPe04llbmA5jkMmW9/08uXOTftGePzEVMH7R8YS2MDVgiJY7m/f1lBYGt3TvnChC8dxeOS42f8jdejvs7d/mi/ec3RVtFBYbsQlglWAfQZML7aB5W5fdbcQCcHJyeSqFSRyHCcXwWoxY4zcz9UzNVX4PPBTTxXBDwEfKvL+M8ALS3ym7suE1cFnbz3M0fEEV71JVZyECzkGXCnnc7tb6B1PMDmXIZXJEiuThrcUVCPRDrkI1kqfZNgUwa6WqHfMQQMrk3U87+nUIqfBBHEch2eGZtje2VSyHs7Px365n8lEhqt/97zTQuFsYCrJP911lDWNEb7/9gsJ+5TMDg7nRGUWYmBNuSmC7Y2F598qCS5Eqv3EZNIz3EfiC3e8fP/JQe7vneDZPW0ruj5yOZJnYEmzYdJZx7u3Ft3Acg2MNU1Rzuho4uh4gmPjCXauX31KgrOpLFkHGqNhL3K+Wo3JxSCZLD8eL69ZlyBg6k/uOjzOkbEExyfnKn9A8LAe7o3tjXQ2m5D/2DKsw6qmyTCsogiWK5ff1ZyLYAUfZP5jPNURrIf7Jvnwz/bxzV0nK66bSGfZ0x/3Jh6nAwNuZHhyLuOlA1oOjORSiFLZ+qcIgk+qfQEG1lODubTAkTpEtq1BeGSVp1CdajJZJ8/5stLTo+uBv141Prd4Y2PWyTm5mmNhtrmZIMF7frUQd89ra0OYhkiIEKZ9yumWnTBfKvXBEgNLWHbcun/Ue12PicDphDWwetoa6Gw2AerlmCZYbQSraZWIw8BUAAAgAElEQVTUYI26DZ+7WmK+urJ8o9HvmZ1cxElEMQ66RoIeildcdziem+QfHj09JtdDvojPEyfz65cO+g2sBYlcuD2wGhcnRfDpgVykrR5jgk2lqke64WrAcRzuODjGiQU6BYM1RhJRyG80v5gRLPucaYmFCYdCbFtrDazVOc7Za601FiEUCuUEmOSaq4r+/v6yy8XAEpYVWcfh1oM5A2s5Ggf14odPDvLZWw/X1VtkPdw97Q1e0epyjGAl026T4dMgguU4jhfB6myO0lQqguU3sE5xBMumnh0bn6NS6w6/sbHUBlY66yx60TvAkM+ofMKnwpfJOhwcrZOBlSxsMmzZWIdmw/WOYNn9Xa3e/VrZPzzL524/wlX3LUzQOGhArHTnUj3wp4gvpoqgHUtaXCeYrWVerU4Ee7w2at4Us+nrK/d5eyppbm4uu1wMLKEkc+ksX3ugj6cHK3u168We/mkGp3MP/9Ucwfre4wPcdXi8rpLc+REsY2Atx3NYaw3WSp5kxJMZUhmHlliY5ljE9xDLPyb/xGHyFNdgWQNrOpmpaJAP+Sb5B5fYwPrKfcf4g+ue5OQipxIP+4zKJ09Oe0bo8ck5T7AFFpoi6Mq0F0sRbF9YDVY8mcmbJNbDcRVPmmMdmE7mOQdOV6wRvpA0TihmYMm59TvYFjOCZb+nxXVyeCmCqzQV2otgucfbHK29DivrOHz9wePc4ss8Ol1oaCjfn04MLKEkDx+b5Ed7hvhWFXUZ9eKW/aavQEfT8k1vqwdTc2mvzqZe9TZz6Sxjs2kiISO52tWyOOfw6FjC69kzX5KZ2iJYK3mS4U8PBEqmYcSXsAbLHxmpVFeVH8FauolHOutw+8ExUlknrw5qMfAf83gizbEJY9DZ/lf2Oq1HimAxA6urJUbU7YU1n/QdPRQn68COdc2EMFHthUTOs46TZ1St1gloLdiJ//gC7934nKQIBvFL1S9mxHommUsRBDijo4loOET/VHJFP4NK4U8RBEo6/8qxpz/OD54c5OoH+ipmP6w2JiYmyi4XA0soifXIVRthSWedBXky59JZ7jpsDKw3PWs9sPjRl/HZFFd8fy/ffax8Lm29OT6R87jXKx3M9sjpbmsgEg55E/qx2fqdw+MTCd7946f5P3f2Lmg7NoJVSd1wNUSwRrz0QPN7NEVzRqP/geSPYE2dQgMrk3Xy+itVut/96XIjM6klk5R/ZjDu1WaM1/EaL4atO7NiE7YO68CwMezOc3vmLKQPVi5FsLAGK68X1jwiJE8NGkPw2Rvb6GyO4rCwcWE2lcU/lVqtKVS1YEVKpuYyRY3XvokE3360Py/iWYxghGalK6jWg8QpqsEKRrCi4RBbOhqB1dn83UahW7wIVu0G1u6+ScBkXSzHcoTFZP369WWXi4EllGTUvVlGZlJVeY2uvGE/f/r9p+btcbu/d4KZVBbV3cJFm9u9715Mnh6c4cRkku8+NnBKajksfT4Da6EeT4s/PRCMYh3kIij14ODoLFkHdh+fIrkAz2qqxgjWzAqeZPgl2sEYldFwiKyTH/HwX39zGeeUea5HZ1OkfRPCYxPl0+2GAxLfh5YoTXD38VwPknrdQ6Wwx3zZOZ0APOnWYdnImW1KujCZ9tIRLPBJtU/Xng759IBJ837WhlbP8bKQsTU4Vi6lCMCJyTk+c+thDi1yFBPMvVzqe/xR/WJOs+88NsC1u09y56Gxst8hIheF+FMEFzeClV+DBaxqJUGvBqshP4JVS2uAXccnvdenm6KoRLCEeeNPLavkvUmks+ztjzORSNM/Nb96iFsPmBzey3d0eQIN9TQOijGdNNtPpLPcfrD8g6+e+OXn6xXBykm0uwaWPYd19O7biWYq46B9/X9K8bOnhviHmw/lTeAh5+lvrNDjrLmhuOLeSsLeR9bghVyaYLnUl1MldBGsGakYwXKvM9Vt+sIsldDFrr7cg318ET2nqYxJvQ2H4NKzjYH1hFuHZVMEz9/Q4q67EJGL0jVYkLuva63xyTqOV0f7rJ5Wb2ytp4G1lBGsW/aPcvfhcW7Qw4v+XX9/8yHe/5NnPKeJH39kpZjBb++bSufKbmetmya/kse+euGPqMSTGbKLlIoWd7+ntSH3XNrWaYQMVmOUdjpYg1Vj38nx2ZQXxYfVeY7KkUqVH0PFwFrlpDJZnuyfLpjgVsNIDQbWsfGElzIyNg+jaGwmxcN9k0RC8Mqz1+Z5WRczr9eflvXLZ4Zr/q4nTk57k6xaOD6RO58Tifo8QD0FQRvBWoQarGCxfyV++OQg9/ZOcCQwCc+JXJSPYDVGQoRDK7s3h40E22sacmmCidTSG1hWOGHHOjORqLYG6ze2rgGWxsCaTKTZN5S776qJYCXSWb6560TNkY4RLwIZ46yuJjqaoozMpHji5DSTcxnaGyNsXmPSiBYicuHJtBdREQRfL6walQT7xueYTmZY3xKjuzWWG1sX0GzYTsy63FYQS+ndt+djPgIgWcfh+48P5F1LpUiks+wbniHrFP8N/M3Bi12PNn2q0v1lx4H1reZ3Wsnp0fXC3wcr6yzeOSkWwdq+dvVHsKyB5aWvVxk1ffTEVH6q8BLW5C4F0gfrNOfnTw/zsV/s5xdP1+7dy4tgVRhc/KHh+URM7jg0RtaBS7asYW1zjKZomNaGCOmss6iKav5J7cGRWfZVEZWxzCQzfPxXB/jUTYdq/l5/imC9eh7Zh/6GQIrg2Gy6bkaqX0HOL1ddjKzjeJO44ICd9BoNlx+CQqGQN+ivVKl2ex/ZvmTgqy3zpWIEawtOVS+sfvc3fe7mdmLhEEPxVEmv+Wwqw3QyQywS4rluGu/BCgbL4HSS/9h9sq4G42Pug91GQCeqiGDt7pvku48N1FxvaZ0K3a0xQqEQz+4x6YDX7x0CjGEaC5v9SM4zgpXJmganIXL1EEF65hnB2utGr87vaSUUCtU1gnX2umYaIyFGZlKeCuKpxp6P+aj37eqb5JqHT3D1g30V1z3spkdD8XvT76wrFlG1NW+VnJV2O92t5veWFMHCFPHFShMM1mCBX0lweae/7Rue4e9vOlRTH7YCFcEaRS529Zk07Re6zrZ6pwg6jsMjxydPeduSapE+WKc51uuyt8JkuBi1pAj6PRfF0icq8dAxk+5z2Y5O771cmuDi1WFNex4rcyvc8MxI1Z8diidJZR2G46maoiuO4+SlCNZLJMBOMGwqUUM0TFudjVS/mtpTA/GykdGJRJqUuzyYcmDrtyrJtEPOm7hSPbl2YlUsgpWf+mJe26BevSKblRhwU3o3r2lks1vQXaoOa8hnbJzdZSJeveOJstf/T/cOcd2j/dy0r/p7qxK2/uql2zqA6iJYdjIxUaMhYI95vTvhfc6mNsDUjAKcs67Fi8TON0XQjkNtjRHCoeJR3Y1eDVZthoS//gpgXevCDSx/U+Qzl7hGxYtgTSdrdiTZ9KZj45UnpX5HwlSR8dSmeELhmJ7KZL3P9E8lywpdxN3t2N9JDKzCc7BYxvxMYD4AZlyMhUMMTqeWdTuCX+sR7j86wW01lDrMBA2saPWqvY7jsNutv/q9CzcA5llQz/TNuw+P87e/OsjXHzpet23Wk9bW1rLLxcBa5VjjpFYZ42Qmmzcpr2Rg+WV6R+dRD2E/f+763AW7zk1xC04ERmdS/PkPn+Ynrgd5IdhJ1xvON2owtx8cq9o75t+vWgb80dl03sS6bgaWJ3LR6L1noyb1MlKHZ8x3NMfCJNJZDpSJ+PnTCWcDRbPVyrTb74KVHMFyJ0wthTVYibwaLLOejVScqohAv88w39phJsul0phsBLO7tYHWhgg9bQ2kMk6eKmYQa2AupEmuH/+D/fIdXUB1KoL2XNfq/bYKgjZlyxpYdhqxY10zMc/Amt9k2AoktJWIXgFsmGcE6ylf/RVQlwiWvRdbGyJLWqOSyTqequVcOluz2IkVaJlIpCvebwd8qeDFPOp+oys4pvv3y8EoCpbCGtvdkiLoERz7Fy+Cla+qBxAJh9i6dvn3w7Lj7FAN42ywBqspVn0frCNjCUZn0nS1RLlok1EnnU1l8xRpF8qNrlNusdtwzJdIpPR4DWJgLRsOj84uSJWtFPYhemJyribvi62j6miKEg5V9rrlpQjW+OCOJzMMx1PEIiEv+gKUVLt65PgUR8cT3HZg4Y3trCf2vO5WnrOxLU/sIp7M8P/u7+O9P3666KTGL8BRS4TITkZt/UI9DKxkJsvITIpwKDcRBJ/QRR0MrEw2l/L3Ejdy8GSZyKjfwCqIYNkarAoiF5B72K3UicbobGGKYPEaLPN6U7sxkE+V/LlfHOXMteUlif0RLMCLYpVrOGzvjaD64Hzpm5hjcDpFR1OU553RTsj9jkpR5Ll5GljeMbv30vbO5jxD6Jx1zV6qa2qedYKVFATBpPxGwyHGa+iFNTWX5uh4glgkxDlujV09BIT8qUXbvQjWqZ8EDcdT+E95rcanXwGznJMAKkew/NdV0OAPyleXi5jZSa/9nWpRdFutFDRlXywDq0gNFiwPJcF4MsO7fvg0V913rOhyez/XYuDk7mMzfnmOvyqetVZk6OIz1hAKhbxxoF6OltGZFI+4mQonJ+eWZY+tycnJssvFwFoGPHZiivf8+Bk+/PN9de1ZBLnJnUNtxej2cz1tDWxe01jW6xZPZhiczu13rcdgJ3NbO5qIhHMRjVIpgnY/6uEp8Tw4jRF++/x1gBG7uL93gne5UbJDowkePTFV8Nm8CFYNk2ErcGE9ypOJhddI+SML/nNYTyXB8dk0GccY3RefYXKunygjdDHs65cUnBDOJ4K1EtW0km5qUCQEa5r8NViFx2QfdptcwYTJU5AimMk63rWzobXB89SWmgDmojnGEXK2O2kvN7bYyMDwPIz8RDrL958YyIuU2vTA55/RTjQc8s5rJYM0Z2DVZqgHjzkSDnGBe+82RkJs6WjyRbCced3LXopgkR5Ylkg4xIY2cz9X2wvLqgfuXNfipeOWi2AdHJnhZBUqsNYx1doQrvvEqhjfe3yAXxdJMQ1GRWsRukiks3n1Kn1lDKxM1sm7xoPRLsdx8mqwgtdiMG2+XEaIbTQsIhc57ITfpu5NL1JddjyVb3BYctf40kVSnjg5Te94gnsOjxddbudd8zGw7LhTLHW9FLb+6pItphZ3uxfJrnyOqhkjbz845jlPZlLZRa3Fny/d3d1ll4uBtQy494jJ5T84MsvHfrG/biHWTNbJK7Yt52UOYiMVXS1RznQnXaUeCvb9RneSUWuKoP289RJZSk0EbP3S6Gx6wVE/fx+Il25fy5rGCAdHZvn7mw8xPJPyDAB/c1WL3/Cr5ea3D/Kz3dqNZB16HgV7YFlslGw+yo5B7Dnobo1x4UaTJrVnIF4yclBNBKuSyAVAc9R4E1diLyx73jubY3m1NU1RK3JR2EBzsxvFrbfIxW0HRrllf37UdzieIuOY+7whGs4ZWCWcKcEI1lldZv1yBpY1FOejWnftrhNc89AJ/vLn+7wm5Ls9z6l5sK+t2cDK1GQEBY8ZcmmCZ3U1EwmHCIdCXu3cfKJYU15NU/mUk1p7YT12wjhArDMHclkJE4l0XkrjZCLNh3+2j/f9+JmKKeF2ItrWEPVNrBbHwBqcTvKNh0/w5XuPFYw1A4HzUEsa6hGfaAXkt84IcnQ8kSdgEhzv5zJO3u8eTFW0ESz7PCmnJGjP7XoRufCwKYJW+GPRUgRt491lGMF6Zsg4S8YT6aLPXDvvGoxXr7xcKoIVTOkPkkhn2TMwTQh43mZrYLlGaAUlweseOckffmdPngO2GLZtj/UX1yLecaoYHS2fRSUG1jLgEbeeYF1LjL6JOT7y830VpVyrYSKRznuA+PsVVGLUV5i/zTOwil/gVoL72e6ku1aRCztoWUPOsq6EnLA/laOY4XNsPMEPnhioSnhi2mdgNUTC/Oa5JorVFA3zvhedwbtfeAZQPL1pJM/AqiGC5Q4UWzsaPe/7QqMVnkR7e8DAsuewDhGsnJpaAz3tDfS0NRBPZkp6rIZ85yeoIphKnx4RrNEiAhdQmIrhOI6XnpKLYNXPwPrOo/387zt6+cc7e/PuTztB3ejW7W11RS6OT8wVvX88I9ud6FeXImiOY3S2NjGYo+MJr84ymXH47K1H+O5j/TzuRk1tFHWt60So1Asr4V5z6axTk9rfcEDkAuCyc7o4f0MLb7og58H00gTnIXTh1WBVNLDM7+PPGChFJut46c5WDARMJMyvMGo5MDJDMmPUDD9986GyNUn+iVl3a4yWWJiJRDove+H2g6NFI/+1YseXVMYp6LE44J4HG9mopQejTQ+0Y9DxMnVRNj3Qfk/w3EwH/g5ei/a82IbU5QxYG53pbDaGcCrjzKvNymrCGpk2qrdoKYJWRTBoYK11BX1qNLDSWYd/uPkQP3hiYMH79owbjc46hdfXbCrjOZDm0tmiKaxBrHIp5FRtreOvUorgkyenSWUcdqxvZq07lmzvqs7RcufhccZm0+wvMx89MjbLgZFZ2hoivGCLGedPLkMDq5IhKwbWEjMUT3JsYo6WWJiv/o7igp5WhuIpPvqL/RVzwithDQDrATg4Wr0Euf3supaY59Uu9VCwN9RzNrURDhnvXi3F3taY3BYwsIqltzmOk5fKUSwl5BsPn+DrD53grhKhdD9BmdIrLt7ER15+Jtf8/vn8zrM3eJLnFSNYRSbDyUyWuw6NFdSu2d/1jDWNdFTpfa9Ef6kIVh1rsIYCxf4XbjSThSf740XXz0sRDBhHuT5Yq1tFMNdDKT/1qynQaDjpesBjkZB3futVg/WdR/v51u6TgEkV9tfN9QcM8+ZYhO7WGOls4WQWYGg6P5qzqb2RxkiI4Xiq6D2Q9aVOZZ3a0oe/9sBxMg68Xq3jz1+wGYBv7jpJIp1le2eTp7JmI1jjifLbnisSLaxEOuswOpMiRE7VDff1l96oPJENYEFCF3Z/SvXAsuSk2is/Gx47McXITIpN7Q15ESwoXt/qrzHqm5jj87cfKWkQ+8fNUChU4OG/7cAon7+9l0/ffKhs7W41+Ce1QSefPQ82ol5LBMtGXS9xJ3DlUgStwIWNXAYdYnZCW2o8t4as/XzfZHEHhl+uv7Uh4qvVXHnOpVoZnUnx+duPoIcKnyd27LcRrEU3sAIpghvbG2iIhBieSRUY0+XYPzzDvb0TXLv75IIchJmsg/b1ags6TIP1lNVkQc16xmTYKysoJr5UjF3Hc/VXljPX5gSSSo0bmWxOEKlcFPJWN9PiFWev9caWk/Now7DYSIrgMscW8T1nUxtrm2N8/vU7eN7mNiYSaX75zMI609tJ9Xnd5uF6ZDRRtSdsdCbnebcyvJUMrLO6mj1vcrCotxxWmadkBMs3CRidzS/wLjaQ2P0sp3AHZvIXNLAaomFer9Z5hpWdSFaOYBUOFjftG+Wztx3h33ed8N7LZB0v1H1GRyNrGutjYNnzUBDBsp7quqQIWk++NbDMZKFUHVa+imAJkYtVriI46nNU+MlFsMwxeddhLOJdE9V4ISthjasQeP2bHj9ZaGBt9BnmZ5aJWOfSRHP1SDnPZaFHcnouk9eIslqhi4eOTfBw3yQtsTBXXLKJP7ioh09ett0zYp7vpgdC9RGsOZ/hU22K0ehMCgfobIkSDZe/Vj0DawEpgm2NpWuwwJ8iWHmycYubYvPqnV2EAtLvxbIDbETnbRf10NEUZVffFNeUkEcOjps2TbB3LMGJyTm+fK8pxJ9NZRccxfI/d4KZHXbcu8g1XGqpwbJR11ectRYwKUilPNLW+LTpUAURLBt9bm8gHDK/p/9Za6PGZ6xpZH1LjFTGKWoM+vswhUMhzxFzOqQJ3rJ/lNsPjhXt2TnjpU2a6za+SPU4pVIEI+GQNy7WoiRox7tkxuHhY+UFEcCcg/916+ECY+zYRCIvRT6Y1RN0XFXjaLC1qK0+p061NVi7A/VXdjs9bQ2ksk7JdNv+qTnvvihlJGcdh1vdyPurd3Sx0RV9Wo4RrIGB8pFJMbCWmEe8gm3jCWiKhvmdZ5ueAvtraHpbDDu529LRyOY15sKvNvXQ87w3xyqmDVn1qO2dTb7Uk+omUrOpDANTSSIhvB48li6f2pV98AXTOIIDSSbreA/ZSjVns6ksWcdMdiMlJk82LSg4MXQcJ9/AKmIgWUPqzoNj3nkbdHtnrWuJ0RyL0NFkBreggTWZSPPeHz/D96tMLfBSBAsiWK5Me5nfo39qjh89OVjR+B4OTK4vdCc1T/ZPF0xMHMfJ65kVHLA9kYsqVASb66AieNuBUf74u3t4vA4pS7Uw4nNU+PFqsNxj8svlVivaUImfPTXkGVd/fek2/syNAvkbRPsVBC1b1xaXao8nM8yksjRGQnm1Ql6aYBEp3aCRWI2BlcpkufoBM7F/+/M30emOKZee3ck//fZOXntuF7/rjpEAHe7yShLdc+naDSx/WmwlGhaUIlhZRRByv1MltbyZZIZ73NreV/uibJZizqtD7u/3om0d/N2rzyISgh/tGeL2g4V1BsHJmfUyHxiZ4XO3HWEmlfWW3XukciZBOfIjWMXH/+dsavf+rqYPj+M4HHZrRS7a3E57Y4SZVLaoY9BxnJyB5Rr2QYea/f3WNEVpb7Rp37lt2e12VsgI8aesQ67+dCVG72vFPq+LOSttyppNTZ5ahAiW4zhFGw1bts+jDsufxXF3hYya2VSGr9zfx52Hx7nzUP66Tw/mzwWDz/Pg39VEsIJOEqguHf/A8AxHxxO0N0a8lFdLJTEQv9Ou1Bj8+MlphuMpNrY3cEFPK5vXmN/8RA3pv6eKtra2ssvFwFpCso7jM7BynoBz17cAxsBaSNO2EXdQ72qJcc46s01/L49yeL17Wo0h0NPWQNoXfbFMJtKMzqZpiobZ0NbgTYaqlQDum5jDAbZ0NBV4iBuLNMq1aRwxd93gQDIYT3qGwsGR2bI5ssUGmCBrGiPEIiGmk5kCxTd/HUexegUr1Ts6m2av2+zThse3uMZkR5M5X8Earif7pzk0OssPnhiseA04juM1hrUS35ZqUgS/teskX3vwODdUiJgOB4r9z1jTSGdzlIlEuqAx7XQykzehLVQRrD6C1VJjd/li3Lx/lKF4iv99R+8p7QpfMYIVkA5va4yYlI2QWbYQEZefu57gD71sK6/e2cW53S00RkL0jiW8a7NY7d6ZJYQu/PVX/ojIWV1WSbBw4hG8rqtREvzp3iH6JubY0tHIm561Pm/Z+Rta+dgrtnkRZvClCFaKYFUwsOLJjGdkePtr02IDv18x7Jg0vxRBs+9VpwhWmEDdc2ScuXSWZ/e0ejV9froCzYaTmSxHxxOEMJOkCze2efWnN+pC9T67v7kIlrlmbt4/yr7hGTa0xfjMb54NwANHJ2uqvfPjOE6eIeJ/nXUcrxZtW2cTaxojpDJOVdkTg9Mp4skMHU1RupqjbHbPUTHPe/90kulkhrVNRvApHDLXiv+Ypnx9zIqJroz5WjWUE42K+9QZwS86sHQG1s37R+rSEqUSNoJaTKExJ3JROoI1Ek8taLxMpI3DtTESKhqt3tZZex2W36H04LHJspHIWw/kenDaJuYWW39l77fg8zw49g1V4cgK9sCCXC1Wuf389T5zLVx2TleBSJWXzVBC6MJ/zZcysGx64OU7TOTdjl/9k8svRbASYmAtIYdHZ5lIpFnfmosSgZkUr2+NMZPKls0Lr8RoPOc9P8e98Ktt2ObVYLkG09YS/XFseuC2zibCoZAXMak2guUJXAQUBC3BVBZ7PmxNQTBVxl+3NuEaf6XwvMZlJjWhUKhommBQ2bCYSIX/QX+3q4Bm988+0EtFsKzhOJFIV/zNRmfTTCTStDVEPBlnS1uDMRBnUtmSXinrbXqoQgrDUKDYPxQK8ZyNuSiWn2CkIlhDkPJk2quIYHk1WPPzWjqO40WDR2ZSfPGeY6esp0apCFZzIBXDPmxaYqamxdZyzFdJ0J+K+qqzOwFzrs/vya+bs2p0/ubUdiwK3uvB+iuLjWAdLuK1DE6WRiooR8WTGa57tB+A977ojKpUJnM1WAszsP7f/X287/pn2DuQu5aD13w5FiJyUU0fLMj1whqbLd8Ly58eWIxgBOvoWIKMY9KW7f1m64WKGSxeBCtmI1jmGsg6pub346/a7nqfG5lI5BxMtTI8k2ImlfUcMUfHE969OzqTIp11WNsUpTEa9lKJqhG6sJP5s7uaCYVCnsOr2PP2oFuMv2N9M+FQyHte+K9tr4auMVI0ZdWLYDXHvGdpsWyS3KQ3Xza7mr5Ei8HYTIp/vPMoX7ijt2gz78lEmq/c18e/P3yCm/aNsLd/el4OrGQ6652PoAR7KuOQdYwDw97rwfSykXiKd3xvL5+97XDN320p1mTYz7Z5tCPwO5QS6azXOyqI4zj89Kkh7+9HjucbY9bAeuFWk+kUnH/4s5Vg/hEs73orMbYkM1lucyParz23cGypFME6VsHASqaz3ONGvC/fYZ5bG1obiITMuVxoPWe9mZ4u3aYGxMBaUrzo1eb2ghx55Uax9g3NP03QFkKua4mxY715AAY9tMVIZx0mEmlC5OobSnndjvjSAyFX81OtVPvREgIXlmAxtvUw2ohfcCAJRtjKHW8wHaMU61sK0wRthM5+tthE2D/hu/vIOFmfQIcdCEulg/mPa3eJQdly0I1KnrOuueA6CoWKK4ZZMtncPj1+YqqkBzDrS4n0NzK+wDWw7APAYqMdpYpmaxG5sMbIfGXaB6aTTM5laG0w0aF7joxz8/7F98iCLxIcTBH0zkt+DZadYLcvUF1yMJ4klTGpqP4Jw3N8dXPprMNw3Ag4+A1zfy8svyEa7AdlsSkcxR7qdv+tQ7iSZ1UPxZlJZdm5vpnf2NpRdl2LHaMmKqoIljewjo4ncCCvz8ywrzVBJRZSg+WNRRVqsCLhnKDEo8eLpyi9VV8AACAASURBVLsOTid5/MQ0sUjIqy8Kss6mDrv3tDU4rCMO8NTBgt7xdNZhLp0lHMrd313NUda41+6fPH8TF/S0EQqFvIbk9/bOL03QOuDO7W7x0vjsPRWMvvZUmT4JuXQ023z5DNfhdaKIkuABb3w1z+T2IjWS074auo6AwZ/MZJlOml547Y0RnxhAoTEXfCYFx4lqcByHrz7Qx0d+vo9P3HiAz956mH++q5evP3icHzwxwC37R3n8xFRV2TGPufWaDrn+c35+sneInz41xH89PsA/3XWUj/xiP2/99pNlG9AXo3c84SkeBw0sG71rjoVpda+x4P17eGyWdNYpq0xXiVJNhi3b5tFQ284ZbK1SqTTBJ05O0zuWoKs5yo51zcxlHO+5P5PM0DueIBoO8RuugRWMYNlnu+o21+i8DawK2SIP9E4wNZfh7K5mdrhzVD+VeuL554/FarD6p5PMpLJsXtPAlg6zrUg45N3btaiEngp6enrKLhcDawkplh5oOde9UfYtoA4rJ1QR5Zwus71KaXOQn85ga5NKG1g2gmUeVJ0tVlShyghWCYELy7pADZGNAD13czsh3D4+vgmNNbBsiL+ccmI1KYKQMyj8SoLW2LADSjEDy57H1oYIozPGi3t80hyvHTy8SEXQwPJNRG1Dv1LY+gDb9DVIp9cLq/A3GYwnvVTHuYxT8sE4PpsmnXXocL3FlrNLpIfZB4uduAQH7LkaZNqtotN8I1j2oXv+hhb+4iVbAPjK/X2npK9GpRqsRLAGy324d1jxk3lGsPrG8w15i61VebJ/iqHpJFnHpAH7I0WdzVHaGiJMJzN5RnmxflCQm4hPFOnPYr38NmJbrLmtnwPeb9Vadr3876+PiqC9B/33W1DYpRzWwJpPmpKdVFZKEYScKMMdh8aKLr/t4CgO8JIzO0oabMEIVrExZK0viur/XYMKgmAcOR9+2Zn8ycWbeOtFuUnHS7cbA+u+IxPzihpbA2v72ma2duQ/g4K9/6xQSzVCF9bxZsevM9xtF41guevucM/NmqZCp5rfMMpFsFLu/2a9tW4vPP+zNHhO7CTfGhLVymb7eWowzvV7htg7EGdX3xR3HR7n1/tG+cGTg3z9oRP8nzt7+esbDvCFO3or/iaP+WpWi4k03Oemsr323C5edU4nG9sbyDiVsyGC5DVxDtybNj2wORbxDM/g/WvvUxvVnA+lFAQtPW0NNEbDjM6m857XWae0jP7IjLkW3+y2c3jw6ETR8eGnT5l07t86bz0vd+9vmya4b3iGrGOu1U0lxlE711OuoNlghUwByB2vf/4TC5t+fumsUzTV+Ua32Xex6BXA1g6TQnticq4g2hRM9y3m5PJqGQPjli19OLHM0gSHhobKLhcDa4lIprPeZPZ5xQysMhGsrONU9bDyKwF2tURZ2xRlOpmpmL8/Ei+cFG4rYWB5D0AvgpXvGa3EUV+KYTH8KYKZrOMpyWzvbKKrJUbWyY8sWQPMGq3lI1jV9Z7p9qTa/REs18ByH9BTifzmpVnH8Tzqr3HTdO46NJYn0Q5+Wd/8wcbvgXpqYLpsUb49Rr/32U+5XljBNJWHS0TLhktMNG2j2d6x2bxJmF3fRkMWFMFyjQ6r8FQr1kmxc30Lr97RxaVnrWU2leULd5SWofZzcGSGP/7uHq+nULXYSHA4lJusWoK1FcEIlp3ETc2zXqxvwhry+QbWed0txCIhDo0mvLTJjQFhlFAoVDSNKdgDyxING9GLrFPoaLBefjuRrSRysd+NFuxYV+gdLUXVNVgVVARtnWfveMK7/4r1wCpFLOymCM5LRdCtwaowFgG80k35vK93osDp4DgOt+x3FbhKpAdCoYHlT5mzRMIh1hT5XeMlPP0vP2stb3/exjzBoPM3tNLZHGVgOul9Ry3Y582ZnU0FTr6ggVVtfRrkJvR2/Dqjo3QN1oGAgZUTsfBHsHK/X1Cq3e+wtP8Xc2BAEZGLedRgWRW+1527js++9mw+8art/OXLtvJnL9jE7zy7m1ed00lTNFxSsc/P4ydzBtauvvxaupNTcxwanaUlFuaDL93Kx1+1nfe4dXu1CnT5Banm0lnv+QA547IpFvauuZlkJi8CZyPNDtXNPX721BB/c8OBvPunlIKgJRwKefMgv5Lg/7mjl9//zycKjB6/0NOFG9vYsa6ZmVS2IBI4OJ3kvt5xIiH47fPW82I36vvgMXO+n3azQ87f0JLLEArUuNto6Y51zYRDZnmyQi3o9FyhgRUKhUrWYQ3HkzxyfIpoOMRlRYRzwIhWnbGmkaxTOLcYnU3nZaEUG4OnSvQD9OqwllkEK5gxFEQMrCVi70CcZMbh7K5mTxjCz871NuI0kzeoOY7D3/7qAP/9B0+VzUfNOrli33XNMUKhkJcOUbmmp9DA8qcN2YHNcRwvRfCsYASrihTBZDrLyak5wqHcAy6IP0UwqMBnH6z+B6qNSlgvUDFlM0s1NVjgl2ovjGBtdvtjpLJO3oA0PZch45jBy+YS33V4nIHpJOEQbHTTqkpFsIZ8k4eMk/+gCxJMdwniV2MMYlV9znIN3FLRsqESxf7tjVHWt8SYCzQBtevbep5gBMvWqcSi1Ytc1JIm42e/z8AKhUJ88KVbWd8S4+nBmYoTDIBfPjPCUDzFXSUiBqWwD/q1vkiwpTmQimGLtm0630KVBK0n3nrmLQ3RMM9yo0O2Tmdje6HxYCez/rGiVAQL8MawYDsAOzG3QhjDM6myziEbwdpR4louRmtDhGjY1BmWix7ZqCnkIgWWTNbJe+BbD/xQDSmCDV4frNoMLH/vo1L1H342rWnkvO4W5tJZHjia7xB5etAofK1tinLxljUltmCur4grJ55MZ3MpgoHzXixNMOgMKEc4FPImjPceyRXux5MZbj84WvA7BOn1OeDOtEb/RMDAcq/fje3VRbBmUxlOTM4R9Ulvn+ETufBP3MdmU4zMpGiJhb1Jnk2F9Ndg+WvoggaWHXftPRIKRLH8BJ9JwXGiEhOJNHcdGicEvO15PfzG1g5eeU4nv3Xeev7woo2870Vb+PirtvORl28F4OoHjpc0hgamkpyYTNISC9PT1sDkXCYvo+YBN8JyyZY1nqNsp0+gq5aIZdAR6k8TtMdu+zW1xMI45N/DQ77G20NVGNg/3jPEoyem8moD42UUBC1BJcHdfZPcdnCMmVSWpwN1hlNzGVIZh7aGCM2xiDcnuTugqvnLp4fJOvCys9ayrjXGtrVNXu3iU4NxnnGd7Kq7lU5fjbt/XjjqS9+3TtCglHsQf7NwP6XSBG/eP0rWgRdv6/Cu8aLnqETDYXutt5dI84TcfdQeiGBtdu/t5RbB6uoq7cQCMbCWjEfcRm3F0gPBPAA3r2lgLuPkqdYcGp3lsRPTnJhM5hVjB5lMmJSu9saIJ4VtJy2V6rCK1Y2saTIRsEQ663l1R2fTTM1laGuIeOIWXgSrCpGLvok5so4J/5aKZPg9rUEFPls3MuAW6vsl2l98ZgfhkHlglirYrDVFcLhIBKurJeaFs/0ezXGvqDnKuetb6GlrYGw2TdYxRpM93mIT6WQmy+isiXzY6Fcpw2c2leH4RP5kIUhXmRRB62X6zXPX0RILc3Q8UbSGoZxc9XbXC+xPE1yUCNY8arD8Ahc2KrymKeqlCl67+2RZQRbHcXjwqJlI1Nro0LtGijhQgg1E7cPdTqxsimAxyeJqsBGsrUUcF7Z/mTUietoL17FtI27cN+JNlOzEpdg14KWhBs6lfWD2tDXQHAszl86W7H8ST2Y47k58S0W0i+EXBSkndFGuBisoxmG99Z7YTy01WDWqCPoNlnAFj6jlVecYp80dgajqtbtNz73XqnVl+3YZQSJzTM8MzTA1l2FNY6SgVrCziGBDMJ21Ei/dZiaW97l1WEfGZvngTzWfv72X7zzWX/JzjuN4kYJta5sK+jEGW1NsdIVa7POgFEfGTK3dmWsbvdTY1oYInc1RUhknb7J+0JdKaH8brz7SX4PlRZ6iBSIX44EIFpQWjQo+k3KiA9WNAzftGyGVdbhky5oCRVk/rzqnizect55U1uGztx4uOtm1Tr2LNrXzwjPNeOBPE7TpgbbODowjoqMpytRcxlN4rITjOJ6Bb51pfgPLprLZdElr2PtTCYdncmNzpTrPVCbrOQP9mSJeemas9DPJX4eVyTp87cFcn7igjLh1ztixw5/6Z8eIZDrLDa5K55ueZdII/bWL9x0Z9+qbz9/QSkMk7EWV7ZzB8TnTO5tjbGitLpKbe+YEsiuKCKs4juOpB5ZKD7SUErqwcw2b/l00RbBEXfxG17lxcplFsFZtiqBS6lyl1P1KqX3u/zuXep9qYXeZ+iuL9QZpn9fIn6b02InSBpY1kvyTu7OrlGovVTeyLfCA8/e/sqHSLl8NViUPVq8v/aMU67zoS8rnlTc3m50YDrgD+ZAb4epqibKmKcrWtU1kHThSIjWlapGL1sIUQW/i1RIrmpNv60HWNkUJhfKLzf3ROusNnZxLe55TvzHzAreodVffZNHzeXjUTBa2dTaVVFzzIljFUgQnbJPoJq+JZrE0wVx6WOFE00Yv/Spy/hqsECbtw+8Z9vpg1dBoeD4y7f3TSabmjByzPwrxkm0dXLKlnelkhm8+fLLk54+MJbzfvX+qdCPSYoz6RGaCBNMwcuka5ljbS0Q2qyUnplJ4b9mmrNYBGuydBvCy7R10NUfpHUvw2MnpvHSX4hGs4g3G7f6vacpN3kulCdpJ1vYy13IpcnVYxc+X4zhla7CmAukyj56YYihuatTWNkWrcgTYfU7WGMGaqjKS7ucVZ3cSDpl71RqHu/smefTENG0NEd5y4YYKW8hdlw8fMxPls4uI5OQUGnO/WbWOKctFm9toiYU5NJrge48P8MGf7vOuz3uOjJe8p0Zn0sSTGdobjfFTsgYrIHIxOJ0qm/p7cKQwHRL8aYI5oycocAF+kQuf0en7DYMy7VbwqbNERoifoHR2k6egWnnsyzoOv3Rbbbzh/PUV1jYqnTvWNXNyKsk/33W04Hew9VfP3dzGC9xoqH02TCbSPNk/TSSEJ7wAxjiwjtxq0wSHZ1JMzWXyBECmkrlzayf69jlgDQK/VLv/2TxUof7o5FTSG/v8RkglFUHIVxK8cd9IXpQmWNMbbGuypaOJszqbiCczXPPwCb76QB+fuPEgE4k056xr5oKeXN2pjfretH+Usdk0axojnpiQf04EuabWLbEwjdGwl8JdSeiiYgTLZ9TvHYhzYnKOrpYoF59ROjIOufvqqUBEz96357kGVjFH23SJFMHNy7TZ8Jo15c/FijWwgKuBr2itzwW+AnxtifenaiYTaQ6OzBKLhDxvcjG8flhuiDjrOHmFzY+VaZqaS/PLeSfswFcubQ78nvd8z4Z9KHz1/j6ue7SfB11v1vbO3IOqORahKRpmLuNUjDgcq6AgCPn9WrwIluvNsBPDQdeTaQc4W1Bva5JK5f57A0wF5a5ub2JYmCK4rjVW9IE75itsBnjF2T4Da03ueGORMC2xMFkn95C2g353W4xz1xv1rP6pZFFRBk9BsET9FRQqMfqxD/ita5vyjLkg5Yr9bQTL/7Cx8rQb3MJgyBcZqCWC5eXdz0PkIpcemD95DIVCvP/FW4iGQ9y4b6RABdHy4LFcWtNMKltTRKlYLaOlySfT7ji59DQ7eegoYrRXy2wqw1A8RTQcKpr+d96GVq9nExRPEYxFwvy2O0n76d4hppMZEumsUfIqMgHp9FLJikew2htzBm4poYsDw7XXX1lydVjFtx00euKBej57nrd2NLKts4nZVJa73Gaf1QhcgC9FsMYarGprQf2sa4lx0aY20lmHe45MkHUcvvGwiV699aIeLzJeaRuQmzQHDQ6gqOR4UIihEg2RsDcJ/8bDJ5hLZ7l8RycdTVFOTCZLKo71jptx+8y1xoG3oc2kY4/OpJmeS3sTSPscaIyG6WyOks46ZcVUcvVX+ce7ZU2h0MVuN3Ng5/rcul6KoC9jYcr3G65tym98XSyCVTJFsKDRcHnZbD+PHJ/ixGSSDW2xPKOnFA3RMJ+87CxPWfXWA7m5heM4ngP3uZvbuWhzO7FICD00w9hsioeOTZJ1jJR/UEjFnyYY5PhEIq+VCuR+j7O7mosqNHriE56BVSh04XfaVKrz9H+/P1ujkoog5OY6h0ZnuXaXcczZLJPg5H94ptDBZqNY1+8Z4vo9Q17T99+/cEPe8+lZG1q9SCCYMTvoxLbXuL037fu2R+Bg1SmC+cfbXERY5SY3evWanesK0t2DPHdzO9FwiKcG43kOQjvf27mumWg4RCrjFKR026hkUOxnk2tc9lfZSPxUkcmUnw+sSANLKbUBeD7wXfet7wLPV0p1L91eVc9Tg3Ec4Lzu1jxFtiAqoCT49ECcwekUXS1RwiHzfinxg9EiUajNaxppjIYZiqfKesZHS6TFvOKstbTEwhybmOM/dp/k+j0mPBpM5+kKSACXopKCIOT6cI3OpLxoi60ryaUIWgPL/G/z6a0iVikDq9oarI7mKNFwiMk50zzXcZy89K81TaVTBO0ExaYJQqHwgF3HTvJsKtaG1gYi4ZAX5SyWJlip/gpyBuehgILkRML0z2qOhVnfEuMS10v56ImpgjSncsX+XgTL3ZeZZIZ4MkNDxIgfBCNQjuPkarCqiGA1REKEQ6a2pVaFKOucOLeIpOyWjiZ+z/X0X3VfX9GB+0G3xsXuZS0eNOu5LhbBioRDNERCOBj1xngq35to007nU4NlDfFN7Q1FH4aN0TBqQ+589BQxsMAUXEfDIR44OuHVKqxviRUt7F1bKoL1/9l78yhJrurO/xsRua+VlZmVVdVVXb2n1K1Wt/YNkESDQQIEljESw2abc/ACZuZn43VmvMxij7F/9hkf7DEHbDAY2wxmBtvYgH+WWQwWiySkRlt0t9RdvdS+5r7H74+IF/EyMjIysyozqzLrfs7RUXe/rMp4ES/ee/fde79XG9MhtwNRC08wz3kmJhBrP//K/P3N7pc5X9U8b7J3N+h26Kf1X9JCd6xCIq3QRS46DBE0PFitjSKe+w6rG7uvvbSGr7+8gQurecR8Tl2xrBVsfn9ZC+21mkNGLEo8dBoiCKj5JYAqiPKBu6fwi/fO4E4t7OzfTEVVGbMmASRJFHSP7NmFDEpVBSG3pHuDAeOwwC48ykrQA2gUunhuMYNn5jPwOUXdowDYe7CCbglh01jkw7cYhlS7KUSwWG+86jLtbXiwWD7pg8lYy00wY1/YjZ++Sw2X/tRT8/rYvbpZxEqujLDHgZmIBx6HqHu+n7ya5sIDG8sA6AaWKVImX67ig393Dh/4W1n3VAD1HkV2yMCHCDLjknnz2L1h45CtN4xWHiy+gHpdiGALFUFA9Ub5nCLSxSo2ChUcH/Prqpnm/CCrsPqHjsfx6sMRPJCM4r23TeLXzhzEx996Pc6YRCMkUdDfD0AVJ2KMmjxY7DCdzYFsn9EqF62pgWUhrPLisjr/3zPTunSG3yXhxokAaiY1SZbvvX/Eo3+neR7myx3UX5PqGS5XlZYGdD/JZu3r+3U2o+8epgFck2W5CgCyLFeTyeSc9u91QZHXrl1DIpHA5uYmyuUyxsfHsbCwAL/fD0mSkEqlEI/Hsba2BkVREI/Hsbi4iEBAnUwymQwSiQSWl5fVmkKjo1heXkYoFEK1WkU2m9V/p9PpRDgcxsrKCsLhMEqlEvL5vN7ucrkQDAbx7fPqSeNMAJidndXbPR4PvF4v1tfXEY1GEaikIAB4eS2HCxcv4R9eUl+YW+MOzGYdkFcKeOzsy3jdyf0NfZrfVF8SZ6WAQqGg92l/yIHzayU8O7eBaWfesk/zG+qgKW2uYm4up/fpQDiMj/zQOJ66loKcduDxy5vIVxQkIxJmZ2cRiUSQz+fhE9UX84WLV+GaDNb1KZ1Oo1QqYXx8HBeWVINh1FHG7OwsYrGY5XPyO0VkyzXdyyDl1lAouFFNqRug+c08ZmdnMauVNgooReRyOQQr6u9/cSFVd5/155RSf185l8Ls7GbDc1pdXdX7FHYJWC0oOHv+EqJBtU6FWwJqpTwcVXXiWMsWMDs7C6/Xi/l19XcHJAVzc3Mol8t42w1RfPaZRRwLVLG+vq6PPY+g3q/lVA7VjUVcWlYnGXc1j0KhgEO+Cr4O4Duz6zgdyNWNvQuaARFWcshms5Zjr7axgKBLlZf97gsXcf1UDPl8Hs8uqPdnzCtgaWkJXq8XE34J89kq/vWFyzgcFPR7sphSF8HSxjKyYbHuOSG1pOa7bRZx/uVLULzqJDziFlAsFuGA2r/55VWkUUQkpm4AHQJQyOfbep88DhG5cg3nXr6Ew9OTTZ9ToVCoe59eWFT7OB0QMT8/r4+9hYUFeL1evOGgB195UcC5lRw++92XcPe4U2+vOT14fjELSQBOJnx4eiGHZy/N40Boqq05Ym5dW+AKKRSLow19cksCSlUFFy7OIqNtxvKpdWSCAnIb6mZpLVOwnSP494n1SV5Uv3ci4NDHnnneO+Ct4lmohmNhbREF55hln24Zc+E7C0X82XfVXIOIW8DKykrDc/Jpe5LFzRyWlpb0sbepLf5ipQBnWR2rs0vrmPVkGvr0wry6aYsgV9dnr9cLl8uFzc3NpnOEB1XtHcrj6tWrDXP5qkl8YzOnzhFs7M2vqhsBv1PAfqc61tlGO+is1fWp2djL59SxtrSyhsXFWsvnxPq0klE3RFK1iGKx2Pb6dDxUgyQAz8xnMKuVo3jkhlEsXLvS1vrkrtVvwEK1LDIZd12fajn1mVxd3sDiogSv14trS+pEq5Taf07TwiZ+7MYRJGNexKQc8vk8koEKvgLgWxfX8YrRYsPYe/6qOr9P+NT1JRQKIeEV8DKAb2tCARG3UDfvhZ3qIcnV9SxCxdWG55TN5fDSijo3u/KrWFzMG8/JrRpYFxY2UCzG8af/dgkA8PrDQawtXIOo9amwqd7rpc0MVlZWUIX6HksCsLIwh5qiQNQERBaXV7CkzZ1+qYYrV66oOYMjEThE1ctxeX4JSkkdW2uZvDYWSpidnYVSUjeiKxtpfWxYjb25jRy+fXkTkgDcMlpDKpVqa+zFYjGc8Bcw4Rcxny7hLx8/jzdcF8W/XVLv0ckxL+auXYOiKDg97sMTV9P46rklnF1SjZSbxz16n9i8N+ZUDVd5MaPvPZxOJ15MS/phwheevoxXjksYHx/Hs1fU5zzpF7CRUu/t3Mo6Zl1pjI+PY25JbZdqFczOzurr5eW5JRQnvDj7kpEHBQCL6WLTeS+VSuHSqrGpX0gXMTs7i0AggLW0+t0u1Br6xL9P02E3ZE2M5z2nRlFaX4AA1Vh76eIlTE1OYGFhAVeW1c8IhRRSKb++Pv0/d09o8x7g9YpYX19ExtH4nI6Hga9o13kwJOl9GnGrxvOVlU2sr0s4d1nd8npQwZUrVzDiUu//tfUsVldXm65PKW1u3lxZRHHEqc8RTkF9h1Y301haKiKby+leP1dhA5mMYrvmer1eJANVPAXgq/ICjrrSCI7GsZorwykC7moObu0ZXrw6j5Rb0X+ePYN8ag25nLdu3ou6gY0CIF9bRt5T6fq+vFWfrN6narWK1dVVNGNQDay22bdvH9xuN/x+I751ZmZG/3MkoiYL+3w+y/ZoNAoAmJ6etmyPxWIN/8Z/l9XPXCuot/3Wg3HMaCdAfDuL6wwEApgeSeHyRgFlfxzfW3gZAPDmm2bwzUsbkFcKmK944Xa7G/qUuXYFAHBgfBQej0dvP72vgPNrS3h+pYi7b7fuU6aiur6PH5rW3c2sTyMjwNSEemJTrakeBdULN6pf+/hIDhc2NuAKRZFIRBr6BKgS1ku5KgQAx6fjesiU1XOKBZaRXS8gV1aLW950bAZOScTpYzPA42exmq9iev9+LMlqFffrp+Pw+Xy48/oZ4KlncTVdwfT+/arMKtfPqugEUMFUIoYZ7dSNb2fXGgqFMDGyidWFLJzhOBxeJ4AFxPxuBAIBjEdCwOU8clXj9xfOXQYAxENeTE6qBsUMgDfdMF73nNTP+PDyZgqFmoSZmRlkZtWfPbovDo/Hg9eenMEnnn0Ozy7lMfm6I3quR2Q0ikv/8gwA4PZjU/C7HZZj78CBAzh14SK+eWkDa2IIoZD631MbDgBpHBkL6QXz7jkUxd/8YAkXc068+gZVcnd6/36sP6Z+z6ljB+BxiHXfc+TQAex7Mocrm0UgNKafTk6EffB4PAh6XVjOF+AJhDAT9entbqcEn8/X1vvkc6WRK9cQTeyD2+1q+pwYMzMzUBQFFzcWAQAnp0b1sWz+/T9zt4Df+uolfP5cDm84dRxutwMzMzN47IJaT+jGiSCORL14eiGHsitQ9z4BzeeI7Isvqc9xarzhHVX7lEG6VEJ0fBK5J8+rPzeZQCDgxrEZJ/Dd5+vG1MzMDL57ZRMTXP9Z3/k+rV9ThQNmRv2YnJy07PMrr3PgixcvYCzgwsGZ/Q3trE9vv20G3/n7c7iknT5OjPgQi8UantNEVd2IZ6sCxsZUr2C1piBfUTebidEQDk+WgEtXURBcmDF9Z7FSw3y2ClEAbjs2rc8H/DWNjIzo987cp0SkAiCFbEXA1NS+hva8owBgDkG3uskr1oS6sVe7qp0C+1x49alJ/PHZH+gn5/siAb1P5mvix150pAJcXoI/FNbfJ/O8Z9Wnp55dUr8nGrKcywHr9SkK4PbpNB6/vIm1Qg3TYTfeeMNEnffCbn2aGasCL6qGpUMUcPORKTglsa5Ph/Ju4IWLqDjcep+cvhSAPMZHw5iZSVj2if8eADh44AAOHkAdr73xID569ge4sFaAN3oYUe39ZGNvtawaF0fGgpjRvIpHEwU8fi2H78+rBsD0qF89hGN9iuXw7Wt5rOZrmLm+8Tm9nBFQqKqn/CePHtTbQ6EQamt5JhABDwAAIABJREFUAPNYK4m4uFnBs6tleBwi/t2t+/UoBb/fj4wrBzwloyw4EYvF9FCtgNuhzwEh9yY2ChU4/GFkKurzTYR9mI5E9e/cP+LFy2t5pEUfTsyo46sMCUAV8XAAE6Eors1uAFiG5PY0jA3J7cVipoSFooKvzuVRU4B7D44gOTOp94nvu91zeu+dPvy3xy7hy5fLePTOUZzbVA8Lbtk/gqkpdQwJoQI+/sQivjdvKH1OR4NANFj3+xVFQdC9gHSxinRV1L/zr74xq3/uG1eLeMft10EQBCwU1PF6fDKC9ZIAXFmE4PZhZmYCAOAOhADkEQ54MTMzjpG5qwDScAdH4Ha74QzFAGxiIujCfLqE1Xyl6bwXiUSw8INz+t9XcxVMTk3DKYmoimqfQz4PpqdHLX8+Fovh0OXLkFfyuP9wBDfNxADEMBbIYjFTgnvUmOuzz18AACT3j+trrtXvbPacEvtq+OjTGyjXFNw4Pap7TuMZ1aAqwIVIJALJXwaQxb7REKanp1DRPLTrRQXRaLTp+lT41rMAgKMHpuvWVP8F9TmJTg/GxmJYTJdQrq1j1OvAof376q61WZ8ePO3BX8nP4bnVMiampnVhtekRD0YjEYT9i1jK5+GPRDGj1e6amZlBUXs2h6fG4fP56ua9A/EgXtpcRxYezMx0f1/eqk9Wz0mSJESjUSwsWIv1DGSIIIArAPYlk0kJALT/T2r/vqup1hTImtfh+njrYprHtHCZzz6ziM1CBVNhN45EvTitCRKwSutmVi1ELgDgFq2i+FMWVdnZ9bHYcavcER5JFCxDHKOclGgz5jaLqCpqeJLHJkxS/X3GdSQCLt3A8DpVSdxyTVXRMedgRbxq/a9cuWYp3duuyAVguPlXsuU6gQvAECTgaxaZc7DsCJkU0FiRQBYCGfO7cCDiQaFSq5OVvbZZRKmqIBFwNS0oyrhRC+84yxUSZuEpLHkcMCrO80IXm4UKypoiZbNndXDUCBM018wyF8vsROCCweLi8x1ItS+kVYGLEZPAhZl7D43g9GQAqWIVH/uucRrKwhvu2B/SJZrnO5CJNY8TM3z4jzlcVc/BKvChNDn8p6+8jJ/74nnb8Fu9BpZN6O2NEwH88A1x/MRtE7Z9uH7MV5d/0ixcziqUTK9p4lLV8ezkgy+uqRvE6bCn5Xxg+f2mvBczLESQzWm5cn0dnbQeyijBJYk4PWksom2HCEosRLCzMFZ2zeE25goz92lqggDw47dNth0aBtTP77yiHk/E4rl2KnLRDLdDxG3afPPty/VhgryCIJ/jy0LrWAjgmEmgpVWIIAv7tKoRNqkJ8syni/jUU+oh45uPxxry2XhhIqC+BhZDDxPMV/R31VyOhb1XrBA60HhvWT6MWeTiSy+u4Ic/dRbv+/yL+LV/elkXv2pH3MKKVxwYwZGoF6u5Mv7u+WU8wwQuJgzjaV/IrQstAPXqgTyq0EV9Hla1puglBdwOEZfWC3h+MYtipYZrKbVUy8yIxzIHSxe5YIewpvAylut0LO6DKKilIuxCyVkRdqcWos3Wq1yb4/rR0wm8/XQC79dCKwEjR4jPk7bKweoEj0PEhx88it954EidbLmurKwXsq7Pt+dzsOxEmZqF+poFmNh6MtmklI4ViaALh0Y9yJVrODuf0XMNWR4/u8eZYpMQQYtw6YldKHThdNo/24E0sGRZXgLwNIC3a//0dgDfl2XZXjNxF3BpPY9CpYbxoKtOVagZxzQjjMU83384AkEQcHzMD6ck4KXVvGU+lVUOFgDckAjAJQm4sJq3NIA2NCnxsMdhK/NrB1tI1mxqYc22IXDB4PtgrpfFjJCFdFGXSWUGFmDE2VtJ02c7MLBY/aflbIlTWVQnAfOCC0AvMhzxtnYSm2thLVlsHlh+FC9y8pIWFnSojZpBJ8fVcfQDCwOLz4G7IRGA26EqfrGJzKyGZAVf+0IXxNDumccU092JwAVjK0qC5vpXzRAEAT979zScooCvnFvD2fkMqjVFlyS+Yzqsb9w6kYldM40TM2zDkCtV9X6xxc3vkiAKqrAGy4tgRu9moWKp+sW4aipnYIUkCvjpO6dw/2F7yV1BEHT5YKD5GDDkvI05JcUJXABAzNc8B+vCNvKvgNY5WGyz4NdEeGpK/VhiggVsM30bV0OqXZELJhzSqciFnq/ZhjCFmbtmwjg06sVdM+G28iN4+I3foSbCIlYiF90ysAAjh4evkcW+L12sws+VAAGMDRrDrIDJ/m51oJYqVPDNi2qNqNcfiza0qwpsavH6J66m4ZYEPGyhxmguNGx1UMee5VK2hFy5phfj5jGLQdQ4sRtDRdBa5OLbmshE3O/ELfuCeMN1Ufz8q/brB2mdIgoCfuxW9bDlz5+cR6pYRdzvrDOoBEGoey/ushlvZuNRXs5hs1BBIuDScwS/+OIKZtcL+sGKyyHq94jP0TKLXARNOVhsPhkPuhHxOqGgeQ2oTLGCjUIFbknAUW3ML2jrra4iaCPTDqgb/R+/dbLO8DY2/8a4sytt0i7H4j7cYBJCM+e4r5kOc/0uCX6XhGKlVmeo8lRqqqqqKBhrK8PrqF9rWaj0VKj1Xo3njv1aweTLmw2HuexdyZabGFgWAjq6EbuLpNrDYfs5dyANLI2fAvCzyWTyHICf1f6+62HSlawWQCvMyfms/ombKxj6jIUXq9npucsh6sqFViqEq7q09NajR/Viw22cspsXTCv4Pphlp9mC+txCFuWqgojXUSezyuR1XzIJXVgtZnbwtbDWTPfWSuRi3ZR4agdfmFJRFMt6Q687NgpRAL4sr+KylvzNkoPtFAQZB0e9CLgkLGXKeg2QK/ozMDbiLoeoKx195vsLep/Ve9B8oTjI1b5gaovs80bNp3oPVjsCFwy26LUqTMrDxGGOxVur0k2PePDoaTXc6Q+/dQVn5zPIlKqYCruxL+zWF9BWRUwZ1ZqCjXwFAhpPrhls87SaL0OBUUgTUDczIdNpLu91/t7VFP7eokiyoihtGVidcN+hiH6IELeQdAd4Oe+KLpHNPLqsjEHURkWQyWFvRUGw7vubHOowD5bbIVgmWKdMXohbORW2dooMA1uvg8WMwq0YWB6HiD95+Dr85msP2R4iWFFnYDWZQ0ZM3nWguwbW7dMhiAJwdj5dt6nmD+D4fu0Lu8Gf+5kFWiZsig0/dmEN5ZqCW6aCTYVdeIXXN14fs3x3fU4RkqAaPaVqzXJTyO4bqwU04nU0PB+zgZUv16BA3fCyecA8dzLY2vor9x/Abz9wBP/+FfvxumPRjscAz21TIRwf8+vz8+nJYMPvY0qziYCr6Zjh+8aUQR/XPJR3zYTx4HVRCAC+cXFD34OwQ0K9xpWFB8vDHT4BhhG2whUDj+vrtPU8zRdgZxv2Jd3Aal1ouBlMWItt/vNlVXjDKTUa1tslalIRZHsNXvV5zF8vAGaG99aZn7H5QHSr68ldmoH1+OXNOoEL9r1AvdQ+wKkIWtyzyQ7X4H6wstK4BvMMrIEly/KLsizfIcvyMe3/8k5fUzu8oAk1HG/TwDoc9eoLypGot87A0MMETYaSoiiWMu0Mpkr3pIUqXTPPVye0U2yY1elq53S43sCqf8mZgfV97R7w3iuguQcrX66hptQvZnbUhQjm6++RXmi4rg5Wo3JUM0KcB2uzUEFRq/7Ob2BmIl48kIyipkAPY9MNrDY8WKIg4AbOi1WqqGGTogA9/I3xzpvGIQnAP19Yw+x63qiBZfOsjBDBQkOIoNd0CsukWTvxYHVSD4bBS7S3wyOnEpgKu3F5o4APf12NQ79D21CMBZwQBa3WWhsb6HXNaBrxOpqOLxb+w05bzRtWvgh1oVLDcwtZCAA+eI8ad/6x71xrkHneyKu1g/h6PNvF5RDx86+awRuvj+lzjhmnpJ4+1xTjPTB7sEY8DkiC2h+zPO8F7aS73WdlJqzXwbKec4oVddPodojG6SlnYKV1A0v9PRNBN26fDuHQqNeyELMVWw4RzLMQwf6mRAfdku51a3ZI43WKcEsCipUa8uV6j003DKyQx4GT4wFUTYpjTEHQrDDrkkSMc8/D7MGKB1wQoL6nfC0sRVH0gq4PJJuH0bEICZck4K03Jiw/IwhCXShb2jTOAeNZsuLrVpEMavFiVaq9UKlxtfCM++q1qEkEGCGb21mnzQiCgB+/1QgZ5sNkGbdNhfC+O/bhl++bsTXmzCGC39YicO7aH8ZE0I1bpoIoVxX89TNqjixbp1loGC/Bzjb6eh0skweL9xSxQ71mEuW8scAiRJhUezsy7c1ga+jcZmPUx3aMXitY2sd6royaouh7KT4iaqxFLSxmnFn11TDq1ftxzVR/tF2OxX0Y9TqwlCnjKW1/1mBgcc+5VK2hWFGFe6zCxPV7vItCBIfZgzWQvLCk5V8l2jOw3A5Rj0G/n4u3B4DTE9aeqEypinJVLTzntXiBWKG4p66lG8KMWuWNtENElxFtHiLINkLhNjaBvJFoNqDYRPKsFvq2z9TOjA8WTsfo9BSWGQvL2RLWsmYPFjt1U/tbqNSQL9fgFIWW4QaAkW+zWajoC8OYRUHfd988Aa9TxHeupPD9ubQeVtWOgQUAN2qeyx/MZ3EtVURNUTeSZkNnMuTGA8kYagrw508ucDWwmnuwxoNqvavVXFmXQY6bPFhsg7a1HCzNg9VmLSxFUfTwlKMWEu1WuCRRN17Ye3C7dgrnlETE/S7UFLWQaSv0Qt827xE7KWT31xyqyo+rZxcyKNcUHIl58cbrY3jNkQiKVQW/87VLdQbf1ZSxGHZzYb9rJowP3jNtGzZs1MKq6NcNGCG0kihY1mSr1BRd4v9wFzxYVqGTBd2DZdTxytV5sOpDBAHgv73uMP7k4evaDpXW62B1aGBtx4O1HQRBwOGoFz6n2NSwFQRBDz1iz5XVEOuGgQUYuTy8XLvuwbIoQr9/pLmB5ZJERH1qmB8v1/3CUg6z6wWMeBx18tdmrtfKFzx0PG67BrJxki5WLA1O3YOljWurgza3Q8TMiAc1RT0A1Ouhcb/HyoPFlwlpJwS9E05NBnHvwRGMeBx14YAMQRDw1pNjOGFTvxMAJkMu+F0S1vJqQeLZjQL8LgkntT0LyxVj944ZWEELmfZWdbBYxEesLQ+Wlp8admOchZOaQwRtZNrt+guoRYzV72d7hK2HBzaDhVJWFXXu2LDyYLUwsP75vKoEylIHeMw5WKzwdqceLFEQ9DDBYqUGgfsdftMzBOol2q3WrojXAbdDlcjPbKE+ZC8oley9aWRg9ZHNQgXXUkW4JcHWvW7m7acTuGsmjNcn6+PGj8V98DjUulR8zHErL9TBUQ8iXocqEWs6AefrO22VUb0mTvONaCebClsPlhbqUdQ2NWYDbF/IDbckYClTtqxb0k7+FWDtwYqaPVhaiOAGFx7YziY3zNU8WrIID2REfE48op2q/s9vXsFmoQK/S2rYZDTjJCd0YZV/xfOOm8bhkgR889IGvquFd9h5sERBwAFtM2QuSmyesLeWg9WZB2s+XUKmVEXE69Bzwdrh9GRQT4D3OUXcwB2EdJKH1c5BBTuRZZ9t8GDp46KqhwferHmQ3n/3NBIBF86v5PHZs0v6z1zVY927Ex7YCRHTe2/2YAFcqC1nYF1eL6BcU/RN2VbwOiW4HSJKVcVyjLAQQY9D1DdQGUsP1taNBj1EsNZZiKAuctFnAwsAfufBI/izHz1uK5Kj52EVmIHVPQ8WYORhfe9qCv90bhV/8dS8nv9obWAZp+BW183WhHNcodsvyWoozw8dG7UU82CcOTKKP3zoGN5722TTzwDGOEkVjM0eXxyVPcsr2ul/M0OIDxO0ygnW5z3O45spVVGuNT9A3S6/8uoD+Ot33NCWQFMzVKELdY/zaU0w5LapoH5Yccd0uG5eZiGCQXf9YSXQPESQhZexuaTewGrlwfIYRojJg9VJfTeGnoOVLqKmKFjJGUZfL2B7u5VsWX8v+efF+maV71qs1PDlc6o3903HG2vm8fnO5aoa6SKgMdKlHe7cb3h4xoMuuBz1RjJf8J2vJWeFIAh6CPD8LgkTzOeta6wyyMDqIyw88Fjc35GAxL2HIvjN1x6q26gA6qk6C/t6Zt7wYrXa3AmCUbzWrCbYrMhwJ4x4nRCgGgzVJgnf5kK8drB+OEWhwfAwGxdmA0sSBb0wMe9a7kRBkF2nJKibDBYDzCY5v0uCoP1OlnvTbt8AI5wkVawYRYabGE0PnxxDzO/U+3J41Nu2p+JI1AevU8Rcqoin51SPH59/xRP1O3Vxg4tauE6rxeIAtxmSBKP/HlPSrG5gOTr3YLWrItiuwIUV77t9EqcmAnj0dKJuM9ZJHtZqGwcV7L6sNAkR1MVPihXDwNK8z36XhJ9/lSp1/jdnF3WBlCvcBqLfmIsNsxysIGc4sBNdfgO03fwr/fu5kEozRQsPVl0OFhO5aKHGaYdRaLh9D1apWkO2VIUkWCd29xqvU2oZZsbu63peVSXrRByoHRJBF45EvciXa/i9b1zGp55awGKmBEmwDl1kebvNDpZY+P1v/cslfPqpeaQKFXztZbVu1gPJRnELHlEQcN2Yv2XYeIgrNszyRupysLT3nqnZNQsVZ/mh51dylp4wvsg6W0ubqRJ2C1EQIHbB+82MR7bW8KIYkijoB8Zhj0M/lGUGc5oPETSJT/BhhPmyGqLpFAWEPQ49yqJZseE6DxanOFmq1lCuKXCIQke5wQyfyyiEu5orG2HyXQzh5GHrClNfDbmluj0li4Cx8mB9/eV1pItVHIv56goYM3gDaz5dQk1R9yOdHIgybtoX1D37/GGuMQdzqrMWHlwzhprv7ggTHB8ft20nA6uPvLDI8q+2t5HgMfKwDKGLdsKTWJjgkw0GlrW8eyc4RAEhjwM1pbmq12YHp7aJgAv3H47gkVOJhoXPvMhaxQnrEym3Me70FFYSBd3oXDGFCEqiwCXnVvQNZrsLIO+pYBNis82DxyHWxcm3Gx7IrvOE5pH5l5fUEAE7kZFHTiXqQhxbqSEd5DZDMb9LX6TNSliGyMUWPFil9rwD7BmZDe52GPE68btvOIpHT9VPniwpup3JvZ2DCtanVe20s9GDpf798noBL6/l4ZKM5weo7/6tU0HkyjV87qyay3CtywIXnWCW9Ga5KSFu42kVwsNCOTsZy1aYPS08uoElNeZglao1FLTY/3ZCepvh3EKIYIqbB7uxqe0FvJJgqarWPnSKgn4a3Q1+/NZJ3DQZxP2HI3j0VAIfvGcaH3lL0lJp99REAB6HqB8Smnn3LRN4+IY4agrw6acW8N6/eQHFSg2nJgL6Ydt2YeG7qWK1LrSJYV7XWnmwzvEeLO59EQTBCBPUxvBaD/KvegEfdioJhhIu4w3XxzAedOHMkYh+COaWBDhFAeWqor+zLLSc5ayy+5MtVY3DZL8ToiAgHmCh/I2em5qi6PPj9IhHF+xZzpb0ucrnFLccWj3J5WGZ85C7DVtXWB62+T0Z81uHCCqKgr99XhXbfuh4zLKv+oFopbrt9cTjEHGTtkfl9xo+Gw+W3UHTlHaPm5Xj6DfN6l8xhr7Q8G7iec2D1W7+VTswA+t7V1Oo1hRIosCF+TV/vDdpi9Mz8xmUqjX9dGK1CyIX7Ls3CxWs58sNv6umKB0ZWIIg4FfuP2DZFnA74HOKevy01YY6YaEspce7d3BqHPO59PwbNdTI+NmQ24F0sYpUsWq47NsM+Qm4VUnubKmKOe0am6m1AWoYy/99dhkXVvNtKeTxnBwP4Imraf1+TdtsOEIeB956YwKfelIN8Wi1WByM8AaW8VlzHkFZDxHcSg5WewZWt0/ZAejJ9e2EJ6y28Q56W3iwWJ7HNy6qp+8nxwMNm9p33zyBJ66m8YXnV/DwDWO6MuROeLD0EMEcCxGsF44AjI0BHyLIPFjt5so1w05JkM/BYnsK5jHgRQq2k7fGDKxSByqCnXq7dwI+t469V1tRWrPjtumQrlDXivGgG59/18mmBzRuh4ifunMKd+4P4/e+MavP2Q9eZ++96oQg58HSQ5sscrAYzQ7beKELvWCx6d56tPUtX1Zl69tZ33cD/Pt8ciLQEIET9TnxqUdO1P2bKiCi5m5lilW4HaIeHskO6rxOUS9hwdZ0dvgXt/FgrWTLKGpKw2yuHfU5sJar6KkS2xnXEyEXnl/KYi5dakt5dztEtWfP8rDNBnxcr4VVfx9eXM7h/EoeQbeEew/V5/QzWChmoVyr8/htlUdPJ7Cer+C1XO05a6GhxpByM28+EYcoAPccGNny9XQTl8v++ZIHq090WmC4XVRlQTdWc2X8q7YRM6vcWRH1OXEw4kGxUtM9awAaJMi3SsQimZ2RKVZRU9QNZSdejGYwb0/Y47D0SJmTWdk1AJ1twPkcJPP90QUJuKTTdhOQRU6VisnaWolc8J//jdcewvvvmsJ9TSbJZtxoSk5uFiLIePhEHPtCbiTjvpbx/gdGjU09b2AZKoJmkYut5GC1FyKYsTgN3i6GBHT7Hqx2RC7Y/WgUuVDHBHuHrE7srxvz4879IRQrNfzl04u6d61TxaduoHuwCvUiF3xMPQuZYTmjl9bzOLfMQgS75MGyyP3kc7DMIhfMi2QuKNspW1ER3Mn8q3bhQz87Da3uFe2sG6cng/jow9fjLSfiOHMk0tVNmZ4rVKgYoU11IYLtebB4oYuzWrkV8xpmLja83qVD0F4zGXLrB2N37W+/RluAU+WtKUZOJTuoEwWj1AIzjNh6M+pzNi02zIwFfm4cD6h/ZiI7W1EQZExy4Wv9ysF6STucMhvwUe0+rOUqdQc+f695r15/LAp3Ew+0l/OYXksZsvZb5UQigI+8JVkX4WIpctHG3DIWcOG9t+/b9v60WwSD1l50BhlYfaLTAsPtIgoCHr5BLYb4+WeX6hSGWg1Ccx5WtaboCeqRbdTBAnihi8bT5O0U1rSC5SuZFQQZ43rujLEx3kqiNj9Zmhc3Q6q9yskut/+c2QZrWVcRtD8ZGQu48OYT8bYk5nmOxn265yjiddieFgHqid5Hf+Q6/M+HjrX83RGvU3+mfDihx7RBMGTa2792b4cerF5sBHmZ2GZFfhntiFyYpWibiVwwmoVEvecWNWT0755fRlVRjfNmi2cvMRcbtlLmi3FiMeVqDR/+2izKNQWvPxbdVlI9YF2ziVHU8/74HCz139ItkqvbxaUXGt6KB2t3bBisMO5ruesCF73G75LwM3dN4ZfuO7ClHJJmBLn53io5n0UlMOzWfObp+cFCVr9mHnOINQsR3O4a3WtEQcDdM2EE3ZJeW7EdeJVAPneSX+vYPbqoK9aq99chCk2LDTOBCz5qgx1k6gbWFhQEGUax4T6ECGrjia2HZm+mxOWrsxI1G/kyvv6yWmj7jceblyrgc7B6FXJu5cHKdEFoqN+srq7atu/uN3SI6LTAcCe85ugoPvHEHOTlHJ5fzLYd5nfLVAiff3YZX315HVc2i3hmPo2qljC53cWIffeahQer26e2LARwsskkYJWDtZUNOO/uNxdi1lWlihXDSO0ghIO/F6KwvRw4O1ySiOvH/HhmPmMbHmj+mXY5OOrB9+cylh6svCkHq5McDmPSb8+DlbWoKbNdQm5JD0dNF6u2Ho+1NrzIZo+g3232YNWrkh1sojx6OOrDKw6M4JuXVA/2ToQHAo05WLpniPdg6SGCJfzl04u4sJpHIuDCT965b9vfzw40bHOwHKJu2LMw4ZQuJ99/D1YnodI7hVWIoH8bG9FhgC+hYKwl/Byuii4Y+bjNn+/RmA//dH5NH6PmNclrEgnqhtJvv/jQvTOo1JSO1hBeqp312WtaK9g9uqQLMBlrc9zv1IQmSnUFpa9sNBoLrMYdq1e2FQVBBvNgXd4oYCNf6ek6bl5XrAz4iZALi5kSPvh353Ai4VdFOGoK7pgO6cagFXy0yNUt1sBqhZXQkCEWs3vnQjORiH0E0d6eJftIpwWGO8HjEPW6Ep9/drktkQsAuGE8AKckYCFdwjcvbSBdrGIs4MS7bp6w/bl2MG+2eHSJ9i7FkF+nhVyeaJLbxkIIFzMl3fOgbxQ6eJnjNh4sppSWKlQ6zsECjFpY6ve4OvZMdcIpTa7dSgJ5u7zqUAQht6R/B9CYg7UVmXZfhzLtvfBgCYLA5WE1DxPklSTtDSyTB8u0uPOb7pv3BW1FEN518zhY604IXAB8KJm6AbSKqWcnryvZMv7q6QUIAH7h3v1dMYTtcrCMEEGhZx6srYhcbGWu6De8yIVhYO3e6+0HQa40R7PkfPb+OkXBdh4y5x6aD1o8phDr9TYOb3YLoiB0fFjLS7WzAzWzZ8nfYGAZ96JZsWGjnpOx7rG9wew682BtLweLXZMCdQ/Uq3XcHBlhZcD/9J1TuP9wBG5JwHOLWXxLqzP3kIU0Ow+v+ruaK8MpCrpoRrfQw7TLVdS0PVkrmfbdSCuZ9r09S/YRPf+qiwIXPA8dj+NzZ5fwb7Mb+kasVYigxyHiA3dN4QeLWZxM+HFqMoiJoKsrBUpZceB1Kw9Wvv0iw+3wmqOjOD0ZaNpfn0tCyC0hVaxiPV/BqM+5pRyseg9W8xDBTlUEgfowqrhN/lU3ePiGMdQU4IEuJn0z3nBdDA8mo3VjyJyDVd5CoeGOPVhbEDFph4mgCy+v5TGfKiHZJJdyo1BBTVHHt105BnOIoPlaeY9Ks/BAxsFRL+47HMFXX1rftljEVuE34sWKtTKfWyuSyYyat54cw40T9n3byvebKVYU/fvN4SnpLuVgubZQB2tTDyfevUsxH3pJHiwV5pVdz5dRqNQgWihQsvWtVT3EQ1FV6IKlDDWIXDgM0QGgO0q/uxldqp3zYLF7oH9Gu0fs4IQ//GTrp7nYsKUHK1BfR3M7KqIjnnrBrV6FBwIWHiyLsXBw1Itfuf8A8uUq/m12E19/eR1hjwMmGkQ0AAAgAElEQVS3TNnPt5ImVc/W6YmQu+uGoiSq6piFSg35cg1+l7SlPdlOUygUbNt376w+ZBxP+BHzuyzrenSDqM+J+w5H8M/n11BTFLgdYluTxQPXxfDAdc3jcbcKe+FXLRLOu+3BAlqr9SSCLqSKeSxmSqqBtQUPB2/4NBpYrPBkZUvKYGFuM91u4eCt4nNJePct2/dSNsO8mdA3CNsoNMw8WJ3mYHU7V0Svw2HjwTLyr+yff4MHy3StAa22SaWm6FK3dvzcK/fj3kMjuGO6/YTybuKSDOOJxe5bKfPF/U6ki1XMjHjwY10ch0YdrMY5p8DJtOseLM1YT3Up9p+FCJY68mBpRcl3sQcr5HFAgDq3sXs1KDlYvYJ5sJhwkt8lNYxzNv+3OmjzaEIXrN5gwOQdNIdYr3UpT3q3wudgsT6b50rzYVRdiKCvUaq9WKlhKVOCKNQXzOVDCIHtiVwIgoCJkFuXTu9VDSzAOChi65ydse11SjhzZBRnjow2/UzDzzhElKvq7+6VYJLfJaFQUesA+l1SV4q99xuqg7VL+PlXzeB3HjzS09CvH7nBcP1GfduTHN4u7IVnp208OxEWYxa6MEIE23+ZR71OPXG5QeSCO+VNFSoQ0JmHjj8977Y7fqfxOOtzCJg3oZcerF6dho1bSP6XqrW6eiPtKAgCjaey5k2rKAj44D3T+MDdUy1FTwB10b17ZqSnc0wr2DvN1L2svEK3TYcR9jjwS/fNdLWWUjt1sHgVQZan1448cDs4xc5DBDcHIERQ0uoaKgDmU+o4H6RT5l7AxjV71labQvZM28nF5b3ODSIXXIh1qarmf4rC7s7b2w5GDpYRIthgYHH3SBLq7zGTKOc9WHOpIhSoQhT1BXlNBtY2xzWf29QriXYGv75029jm84OntlBLsh0aIgkschl3O63qYJGBNUQcjvpwelLNfdnp8AFW72Y1V25QXNvcgdovzCvENsZb8WBJoqB7ruJ+6xDBqxtq/HXI4+hooxv2NC4QwwIv+woYHqxOJPo7ycGq1hTkyjUI6H69nglTDla+XMX7vyDjPZ99Dt+fU9U421EQBFp7sADg9cloy5j53QQ7rWcGltXG8723TeKv/90NONLlUEb2Dm3mK3pcP4OpCLodFh4sPUSwWzlYw1UHCzCujyW973UPllsS9OcNWG8Kw572PFhAvYFl9s4YHixDoTbide7awtTbJWgRItgoCFSvTMrfCxaax3uwrjZRw/M4xLrDje2ECALAvlC92EYvYWkYorB9gR4zfPh6r3J6zUIX7RQa3m14PPZ57GRgDRlvPzUOUUDHBWi7jd8lwe+SUKzUdLlmxk7UfjF7HrZaiPZn7prCe26ZaChozDZnc1rdiE5PpPl7YVcDaxBxcyewiqJsqdCwUxIgCkC5prTcwOb0xGip65sQlsg8n1IFU37/G5cxu15AVQH+4F8vI1+uduDBan4qO6iwk+RZ5sFqsvD3wsvm1ML/qoqxWDN4FUGvU4QA1Viv1pTuebCGVEUQMJ4rEwrY6waWIAh1Y9tqU3jTviBGPI62Cii348FiogNAZwq1g0ZAF7mo6iHhZsOHnyvNuU5WxYZZDazpkcYNMR8muN1xzYcfRntsYLEDvJEOD3PbwcPd7+3UwLKj0cAavBBBr9c+5Wd439I9yk37gvjUIyd2xQQ85nfiYqmKpUypbgNhhAj2z5DQpdozJdQUZcv1XO45MIJ7DjT+O9ucsb1VpyfS9QbWcHmwJFGAWxJQrCooVpUtFRoWBAE+pxpzni/XbL1fvUyWHQu4IEBdvD93dglfv7gBn1NEzO/C5Y0CPvnEvO6ha+XB4mtVOUShI4Nzt8Lkgi+vN/dg9ZKAS0K2VEW2VC+jX+AMLFEQ4OM+Z8i0d8mDVVOgKErLEO1ipYZcuQaHKOx6g4UdGLGQ7257hgeRkFvSDZ6gxf04kQjgs++4oa1Q/UNRL/wuCV6n2CCM43EaOaxMQXC3FFrtBexeZkoVFLTDsmYiF0CjgcUXGy5Xa6jUFHxJVusVHbLIgU8EXLoI2XZysIB6A6vnHizNM9rNuqoMb52B1SsPlvodmVIVpWoNxaoCSWg8eNzNrK+v2+ZhDU5PiLYZC7g6Cr/q5XUAqlHDs7EDyll8iGC+XENNUSeRbp38mHNNOjWwQiaZ9mHDw9XW0EUuHJ3de68pl6sZvRK4AFSjMOZ3oqYAH//eHADgQ6+awS/fNwNRAL7w3DKe1Ap3twrTlURBN7KskuQHEcPToXpyt6vM1ym6xHPJ2oPl0e+3+v9smTOwtnmtoiCA2cjlWmsvFp9/tdufvbkQ8jB4W7dLsIUHC2gU/GmGxyHiI28+ht9/49GGNl2FtVzTDdxOFGoHDesQwebh1Ob1ki82vJar4GPfmcNCuoQjUVVp1QwvKrWdQsMAMMnlYEV9vV3HmYesF4fpXs2g9TrFhiLG3YKF1WZLfKmD3T8X8kSj9krMO78LJ4YWZmDxAgA1RdHVYvoZFsMKCi5lSvr3d3MD7uEKmAKdL4CjXid8ThGJgGvXn2ZvBQ+Xh7UVDxbAKwnaC130ogYWD5/I/KMnx/CKgyM4EvPhkVMJKDDCUNsJEfFyBtYwwDbilVrz5P9eYhawYPAhggCXYF2sdi1EEDCKZ7cTJqiHSu+CaINWmDdxwzJetwN/KNaN4qj7wh5djImHnzuN8OPdP2a2SkAXuag2F7mwKF7Ow7xHXzm3ii++uAKHKOAX7p2xLJvBhwhu14MV86vruFMSeirTDkBXpD4Y6b4yNQsR3Bdy98zg0Q+5OANrkMIDASCdTtu2D+9bSuw4CQsDK12soqaoL5JdjaBuw5JZNwoVPQG/2xvwkNuBldzWZJddDhF/9JbkrvA89gIPdwpb2kIOFqCGfsxuFLCcLeGgTbkDtrnuRCGyE/aF3Ti7kMGpiQB+4rZJ/d/fcdM4vnVpUx9f7QjNeJwiUBgej4B5I94No6UTDA+WoSRYU/iwVHXMsRC3tXwZ5aoClyR0JTTFKQrIgwld2D9TVg9wNysIMszXSAZW/di2ChHsFryC6iAVGd4qRg5WRc/BMotcBGw8WICm4Lecw2e+r6q8vevm8aZrRr0Ha3vPURIF/JcfOoxStVYXAt4LTk0G8Ykfvd7SKN8ubC7sZdF6H5eDxebrQTOwSqWSbftw7uaIXUHcwsDqdpHhTmB5WKxORdcNLO5Ecytu+31hz9DlXzG8XKJ2eYserElNYGIuZT+p9dqD9cipBN550zj+85mDdSGmLknEz79qPwSo+TjtSOd6TSFrg4553G9Xma9TrDxYuvdKEvTTWL+2YWOy491S4dKFLjoIEdztAhcAhQhawefs9VL5jIVr5bkQwZ1WCe4lLkmEWxJQVYB1LZ2gYw+WJhSlAEjGfXjbjYmm31cncrFNDxYA3DgRwK1TrYVNusG+sKcngkFsHp3qkcAFUF/vzMib3v1zIU+rOliD1RtioDA8WIZc6k7WfUkEXXhxOYcLmoHV7VNY/kTTvCHZ6+gerEoVpUrnhYYBTiI91bzIL9B7A2sy5G5aqPn6MT/+6+sOAWivf+xk1j9gC0szzKGx/fdgafkbXA4W817xJ8psg8bq4nXr5NSQam8jRHBAJNqBxmskkYv2crC6gTF31nSxlmEtMswIuh0o5sq6EqBdHSwrMQlWbNgpCfiFV83YGiHdzMEaFl57dBQr2RJee6z94sSdwqsIpgdQoh1Q62DZGVnD/ZYSO0rCQuRiJzcVzJWue7C6/DLzSfKDsGnqJ/wprB6u1aHIBVNoYjWomrFVhchucft0uO3Pss3TsHiwzON+u8p8nWLrweIMLPa5OS1frltiHKzYcKmNWliD5cEyrtHtaFS624sEuefWS48eX2iY5Z8Oc4ggoK7NK7kylrW9g9ekIshC/muKYpnvfPv+MP7hxVU8ejqB/RF7L4zXKeHeQyMoVZSBUrDrJQdHvfjVVx/s6Xew/Ve2VNUPRQctRJBk2okdI+JzwCEK2CxUUKyoMck7UQOLwQw+Vquq+zlYxu8jA6se/hTWyMHaWojgfKsQwQE6DfOYRBcGHZckIuCSuAVz51UEC1YGluY5XEh124PVgciFfti0+zfLI30yJgaJYF2IYO/GOZs7c+WqHjI3zCGCgDF3r2n9NdfBEgQBf/CmYwAUS+/U/hEPPvG2421/33/ssTFBNMLmYFXkQn3Ogza3uFz2KR1krhM9QxQE3X3P8rB0ifYdzMFidNvDwedxDELiej/hi2UyD5azQ5EL5oGcTxdRU5pvYLOlwZmsvboHa/dfa7vwhwv9lmk3F68EGiXaAUMAZUGbl7plCHYSIriT4dKd4nVKnMT98IzV7RDql8iFdt/XcmVUagr8LqnnAgo7TdAUMu1xNvZ3X9jdsyK4RO8xRC5qXIjg7p8LeTY3N23bh/stJXYccy0sfVOxAydwZgOr2xtwFjLicYgNqkd7Hd6DVd6iB8vvkhD2OFCqKljPVZp+rpd1sLoNG4P9NkR6CQvZcUpqgel+wu4nK8UA2IcIsjo7XQsR1A2s1iGCesH1AfF2s+sclnDW7RLsl8iFtpYwm70XdY92G2aPMq2nw4cux1+q6BEHgxYiGIvFbNtppiR6CjOwWCz1ToYImhX6/F0+LWEhgoOyYeonXj2PoKpveDuVaQeACc1InrPJwxqkeO43XR/HQ8djeOXBkZ2+lK7BClOGdqBoJB/Xz7ALEWR0a6y4OlAR3Elv/lZgnrZBOLjoB8woF9Dbe+KSBPBvUXTI86+ARoPVLHJBDD5GiGBtYEMEyYNF7CgNHqwdFLlwSWLd4tR9mXa1T3vhhLFTmAcrW6qiqqibkq0kyutCFzZKgnodrAGYrPdHPPjA3dMdF6bezTDv9E4YuIYHy17kwryB65pMu9iBiuAAhQgChmdyEN6rfjDicSARcOFozAexhwcJgiDUGRh7YX0xh4qRB2v48DpFiII6P7PDpkE4FOUpl8u27dt+U5PJ5DsB/CKA4wD+gyzLH+HafAA+AeAWABUAH5Jl+Yu9aiN2H8zAWsqqA3Gn8w7Ggy6sasWAu21gHR/z42jMi9cc6Z206aDCVKBS2sbXxdUk6gTmwZpPNxe6MGTah38jshuJcB6sfsM2Zi1zsFy98WAZIhf2IYJ5zZPrlISBOZ03QgQHaxPUKyRRwMffej36IajocYh60d1hVxAE6nPaRAF9DzUmeo8gCPC7JKSLVT1Hf9DW7FZ1sLoxsz8N4FEAf2nR9iEAKVmWjwB4E4CPJ5PJQA/biF3GGBO5SNeHCO6UgcXXvPD3QKb9j95yHd50PN7V3zsMMA9WSnv+ri0maU9qHqw5Ow9WaXBUBIeRiLYB7HeRYYArXlmsQtGEUIxCw81DBLudg1Vq4cHiD5r6HUa5VZiBNWhhPL3E7RB1o7qXeLjxOuwKgkD9gYfHIQ7MO0J0BjusYWqRg7ZmLyws2LZve2aQZflZWZafB2B1ZPcIgI9qnzsP4AkAD/SwjdhlsCrpS9kSqjVF32DvVFI/L3RBG4X+wXKwUlqsdacKgozxFsWGqzUFuXINAihuf6e4fSqEmyYDeH0y2vfvVje8Aso1RTdyLHOwGkIEu5WDpYUItsjBGrT8KwC4/3AEt0+HcP/hyE5fyp6jLkRwyIsMA/UbbQoPHF56FUnQL/x+v217r9/U/QBmub9fBjDdw7YGrl27hkQigc3NTZTLZYyPj2NhYQF+vx+SJCGVSiEej2NtbQ2KoiAej2NxcRGBgOoUy2QySCQSWF5ehiAIGB0dxfLyMkKhEKrVKrLZrP47nU4nwuEwVlZWEA6HUSqVkM/n9XaXy4VgMIjV1VVEIhHk83kUCgW93ePxwOv1Yn19HdFoFOl0GqVSSW/3er1wuVzY3NxELBYbiD6JTnVDvJwpYW51EwqAgFNApVzC1R3ok6OU0cfG+uIcfLUIPac+9KmcVyfO1UwBACAqNVy9erXjPtVSWfW93shjfn6+oU+r6RwAwOsQsLy0RM9pB/pULZXwMydcGB/zYHZ2tu998kpAuQqspLKopNewnlI9msVcGoVCAcvLyyiZjgPL2RRmU0vbfk4iVMNqdX0TqZSzaZ9mU6oh5kEF2Wx2IMae1+PBL94Vx/r6MjLu3Tn2hvF9KpVKEKpcrkc+jUzGPfB9sntO5WxK764TVSwuLg58n4bxOW23Tw7FUHuVBCCzsYaCwzEwfVpdXUWp1DxdQVBs6skAQDKZfAqqUWNFQpblqva5TwJ4wpSDlQZwSJblZe3vfwzggizLv9+LNv7CHnvssQMALp44cQJut9u2j0Rvedtf/AAbhQp+6/WH8atffgnTYTf+9EfbLwLYTb4/l8Yv/eMFAMCXfuK0ZZFCovucnc/gQ/9wHkG3GnM9M+LBx956fce/p6YoeOiTz6BUVfCFd9+o19JgzKeKeM//fh6JgAuffvREty6fGCDe+7nncWWziI/9yHWYiXjx50/O4zPfX8C7bx7HO2+eAAAoioIH/+xpXfr6H378VFdCvf7X41fxf59bxvvu2Ie3nhxr+rmvnFvF//uNy3jNkQh+8b4D2/5eYrj51S9fwBNX0wCAjz58HQ6Oenf4inrLlY0C3vs3LwAAjsa8+KO3XLfDV0T0gl///17G47OqEt+Ix4H//c6TO3xFnTE7O4vx8XE899xzAHDwzJkzl/j2lh4sWZZv3sb3XwYwA2BZ+/t+AF/tYRuxC0kEXdgoVHBuWfUu7EQNLMa4loPlcYhkXPURFuLC1N22GiIoCgImgm7MbhQwny7icNRX156h/Ks9j15fRRtrelkALkSQJVinilV4nd3Lo2m3Dpahpjr8+TTE9uEFWvaEyAUfIuiguXxY4UMEB3HNjsft8+17naTwOQA/CQDJZPIogNsAfLmHbcQuJO5XjZpzK6qBtZN5B+NBF+4/HMFbTpAQRT/xmEQtOi0yzMPy6OZTja55Q0Fw8CZrojswJSo2FqxUBAFjQe+m2qFeB6uFyMVO1gMkBg8mciEJg5enshV4mXbKpR1e+HV6EMf12tqabXs3ZNrfDuB3AUQAvDmZTP4ygB/ShC9+F8Ank8nkBQBVAO+TZTmt/Wgv2ohdSCKgnrgxA2snC/EKgoBfuf/Ajn3/XsW8SLocW/ce6kqCFsWGB6kGFtEbmOGUNnmw3CYDy6dtWru5sDvbFbko7Fw9QGLwYCJBEZ+zpzW3dgsOUS1fkC/XyMAaYuo8WAMm0Q4ArVKstt0jWZb/CsBfNWnLAvjRfrURuxNWC2tFq4U1KIU1ie7RTQ+WXbFh8mAR7NlnTR4st2nMscU92EUPllFo2D5EcCOvzoXkwSLagc2fe0GinRFwSZqBRXP5sEIhggSxTca42lMAndruRTymRdK1jcKRdsWGmYHV7RpnxODADKy0NhasZNr5z3WzXpezzRDBnS64TgwWzIszugck2hns4IM8WMOLf8BDBBcXF23baeQSPcdsYNGp7d7DIQr66T6AbYkK2HmwsuTB2vOwk9CsVnOtWLXOwWKLezdzsAyRizbrYNFhE9EGzIsT2UMeLLbhJg/W8OJ3GXPyIK7ZTDa+GTS7Ez0nYfZgkYG1J/E4RZS1vJjteLDGAy4IABYzJVRqChyc4caU4wZxsia6A3v2ZpELswfLCBHsfg5WySZEUFEU8mARHXHPgTCeXcjgwev6X7x7p2DvsddBfoBhJVAXIjh8cyGNXKLnBN1S3ekxndruTfgxsJ0cLJdDRNTvRE1RC1jzZEvqxpVELvYubKE2y7S7TcIqpyeDCLklnJ4Mdu27naIWImgjcpEv11CqKnA7RDqdJ9piPOjGr7/2EJJx/05fSt9gqQSDmJtDtMeghwhmMhnbdtrpEj1HEASMBVy4vFEAQKe2exV1M6km92/HgwUAk0E3VrJlzKWKesggQHWwiEYPVqGiGjvmEMG7ZsL43DtPQuiiKls7dbDW8+S9IohW/PANY/A6JbziwMhOXwrRI+o8WAN4KJpIJGzbyYNF9IUxTapdQHdVu4jBoVseLACYCFkLXZCKIKEXGm4RIgigq8YV0F4drDVNQTC6BwrGEsRW2T/iwfvu2IcQHUQMLb46D9bgPefl5WXbdjKwiL7AhC5CHgckcfjreBCN8GpQrm3G1U8ErYUuqA4WoXuwWtTB6gXtiFysauUqRsnAIghiDzPoIYKtDujIwCL6AhO6oLCYvUu9B2t7RrauJGgqNmx4sGic7VU68WB1G6PQcPMQQcODRWOUIIi9i0sS9b3AIIb1j46O2raTgUX0hbhfNbBIon3v4nF2L0RwUgsRnEuZRS4oB2uv43MahYbL1RrKNQUCUFcmoFe0UweLPFgEQRAqt06FcGjUO5BFtFuFCNJul+gLN00GsS/kxisPUsLqXqWrHqyg4cFSFAWCIKBaU5Ar1yCAilPuZSRRgN8lIVuq6oISbofY9XwrK5gRZ2tg5SgHiyAIAgB+/TUHAXQ/H7YfhEIh23YysIi+EPU78Ym3Hd/pyyB2EF6SejuFhgE1XjvidWA9X8FCuoSJkFv3XvldEsQBnKyJ7hHQDCxmzPQjPBAwDg7s6mCxEEHyYBEEsdcZRMOKUa1WbdvpmJcgiL7AF4x0ObY3qQqCgGMxHwDg3EoOAOoMLGJvw0JEWTieWaK9V3QSIkgeLIIgiMElm83atpOBRRBEX+hmDhYAHGUG1rJqYFENLILBlARX+uzB0kMEbQoNr2lhi2RgEQRBDC7j4+O27WRgEQTRF7qZgwVwBtaKycAiD9aeh40BI0SwP2EorPxAs0LDhUoN2VIVTlEYSFligiAIQmVhYcG2nQwsgiD6gofLweqGB4uFCF5YzaOmKFQDi9AxQgRVlcm+e7CahAiu5Yz8q0HOPSAIgtjrOJ32UQhkYBEE0RfqcrC6YGBF/U6M+hzIlqqYTxXJg0XomD1Y/crBckhGiKCiNBpZpCBIEAQxHITDYdt2MrAIgugLfA6WswshggDqhC6YgeWn0Ks9j9+tCuSuaIIS7i4Y9O0gCgIcNnlYVAOLIAhiOFhZWbFtJwOLIIi+0G0PFmAYWOdX8kaRYfJg7XmCDTlY/Vvq2OGBVZggk2iP+qhCCkEQxCBDHiyCIHYFvAerW6IDvJJgpkgGFqHC8vByZVVsoq8Glp6H1Sh0QR4sgiCI4aBUKtm2k4FFEERf8Dq6K3IBGAbWhdUc0kVV/ppELgizQl+/crAAoxZWydaDRQYWQRDEIJPP523bycAiCKIv9CIHa9TnRMzvRK5c0+XaqQ4WYfZi7pYQwdUcebAIgiCGAaqDRRDErsDTgxwswPBiXd0sAqAQQaLRyHb10cBiY7tcax4iSB4sgiCIwYbqYBEEsSvwOkU4JQE+pwhJ7F4NICZ0waAQQSLgqheR8HTJY9oO9iIXahgrGVgEQRCDjcvlsm0nKSOCIPqCUxLxn159UJex7hZmA8u8uSb2HmYP1s6IXNQbWIVKDdlSFU5RaMgRIwiCIAaLYDBo2047EYIg+sZdM/ayplvhaMxb93fKwSJckgCnKOi1qPqbg6WFCJpUBNe4/CtB6J9HjSAIgug+q6urtnlYFCJIEMRAM+J1YiyghlwJUEMRib2NIAh1hnZ/VQStCw0zgQsKDyQIghh8IpGIbfu2PVjJZPKPAJwBUASQAfDvZVl+QmtLAPg0gAMA8gDeJ8vyd3rVRhDE3uRYzIelzCb8LgkieQcIqLl461rO024IEVwjBUGCIIihIZ/Pw+12N23vxqrzJQAnZVk+BeC3AXyWa/ttAN+QZfkYgPcD+ItkMin0sI0giD0IUxIkgQuCwatJ7kSIYMkUImh4sCgynyAIYtApFAq27dtedWRZ/qIsy2Xtr48DmEomk+z3vg3An2if+yZUL9etPWwjCGIPwgwsEg8gGHyI4G6og8Uk2smDRRAEMfi0qoPV7aO0DwD4B1mWa8lkMgpAkGV5hWu/DGA6mUy+3O02AN+zuqBr164hkUhgc3MT5XIZ4+PjWFhYgN/vhyRJSKVSiMfjWFtbg6IoiMfjWFxcRCAQAABkMhkkEgksLy9DEASMjo5ieXkZoVAI1WoV2WxW/51OpxPhcBgrKysIh8MolUrI5/N6u8vlQjAYxOrqKiKRCPL5PAqFgt7u8Xjg9Xqxvr6OaDSKdDqNUqmkt3u9XrhcLmxubiIWi1GfqE/UJ61Ph8MjeO0BP46FBRSLxaHo0zA+p372yctJsxcyKczmV/rSp1I+CwBIZXOYnU3rfbqysgEAUPIpLC2J9JyoT9Qn6hP1aYD7JMsyYrGYlekBABAUpbFWB08ymXwKwP4mzQlZlqva5x4F8JsAXiXL8qJmYF2WZdnP/a5/BPBxAF/vdpssy/+Hv7DHHnvsAICLJ06csI2RJAiCIIaPP/zWFXzxBfUs7pNvO47JUH/WAfa9H7h7Cg8dj+v//ov/eB5Pz2XwW68/jFunQn25FoIgCKI3LC4uYmRkBM899xwAHDxz5swlvr1l3IQsyzfLshxr8h8zrn4YwH8H8DpZlhe1n1vV2njzbj+AK71oa3knCIIgiD3DzuVgqZ6zUoPIBRUZJgiCGBa8Xq9t+7ZXnWQy+UYAvw/VuLpkav4cgJ/SPvcKAF4AT/awjSAIgiB2TKbdpasINhO5IAOLIAhi0FlfX7dt70YO1icAlAD8TTKZZP92RvM2/TJUlb/3QJVUf5csy2zV6UUbQRAEQdR5sFxS/4RmjULDhgerUKkhW6rCKQokxEIQBDEERKNR2/ZtG1iyLMdt2hYAvKZfbQRBEAQBGB4sUQAcYj8NrMZCw3wNLIHqtBEEQQw86XQao6OjTdv7FzdBEARBEH2CebA8DrGvRg0rNMzXwaLwQIIgiOGiVCrZtpOBRRAEQQwdAbcaoNFPgQvAOkTQ8GBRkWGCIIhhoFUdLDKwCIIgiKEj6lW9RWFPf40ao9AwebAIgiCGlYWFBdt2Ok4jCE5ShL8AACAASURBVIIgho6o34lfO3MQiaCrr9/rsvVgkYFFEAQxDLSSaScDiyAIghhKXnFwpO/faSVyQR4sgiCI4cLlsj+8oxBBgiAIgugSViGC5MEiCIIYLjY3N23bycAiCIIgiC7hFBtDBFdzFQDkwSIIghgWYrGYbTsZWARBEATRJQwPlmpgVWsKFjOqnG/MTwYWQRDEMEAeLIIgCILoE0YOlhoieG2ziGKlhrGAE0E3pT0TBEEMA+Vy2badDCyCIAiC6BKsDlZJ82CdW8kBAI5GfTt2TQRBEER3oTpYBEEQBNEnnGJ9iOCFVdXAOhIjA4sgCGJYaFUHiwwsgiAIgugSRh0sNUTwwkoeAHA0Zl8zhSAIghgc/H6/bTsZWARBEATRJXiRi5qiGB4sChEkCIIYGiRJsm0nA4sgCIIgugRfaHg+VUSuXEPU56QaWARBEENEKpWybScDiyAIgiC6BB8ieF4LDzwSpfBAgiCIYSIej9u2k4FFEARBEF2CF7lg4YFHSeCCIAhiqFhbW7NtJwOLIAiCILqEgwsR1CXaycAiCIIYKhRFsW0nA4sgCIIguoQoCHBoXix5mUm0U4ggQRDEMEEhggRBEATRR5jQRb5cQ9jjQIwELgiCIIaKxcVF23YysAiCIAiiizChC0CtfyUIwg5eDUEQBNFtAoGAbTsZWARBEATRRZjQBQAcpfpXBEEQew4ysAiCIAiii7AQQQA4QgIXBEEQQ0cmk7FtJwOLIAiCILqIkwsRJIELgiCI4SORSNi2k4FFEARBEF2EebCCbgnjAdcOXw1BEATRbZaXl23bycAiCIIgiC7CcrCOREnggiAIYhhpNbeTgUUQBEEQXYSFCB4hgQuCIIihZHR01Lbdsd0vSCaT/xHAIwCqAAQAvy3L8me1Nh+ATwC4BUAFwIdkWf5ir9oIgiAIYqfxOFQD6ygJXBAEQQwly8vLGB8fb9reDQ/WR2RZvlGW5ZsAPAjgY8lkMqK1fQhASpblIwDeBODjyWQy0MM2giAIgthR3nbjGN54fQx3z4R3+lIIgiCIHhAKhWzbt21gybK8yf01AEDhfu8jAD6qfe48gCcAPNDDNoIgCILYUU5NBvHBe6bhclAUPkEQxDBSrVZt27cdIggAyWTypwD8BwDTAH5CluVVrWk/gFnuo5e1z/SqrYFr164hkUhgc3MT5XIZ4+PjWFhYgN/vhyRJSKVSiMfjWFtbg6IoiMfjWFxc1Cs0ZzIZJBIJLC8vQxAEjI6OYnl5GaFQCNVqFdlsVv+dTqcT4XAYKysrCIfDKJVKyOfzervL5UIwGMTq6ioikQjy+TwKhYLe7vF44PV6sb6+jmg0inQ6jVKppLd7vV64XC5sbm4iFotRn6hP1CfqE/WJ+kR9oj5Rn6hP1Kc+92lubg6xWKyZ+QFBUZSmjQCQTCafgmrUWJGQZbnKffYkgM8AuF+W5dVkMpkGcEiW5WWt/Y8BXJBl+fd70cZf2GOPPXYAwMUTJ07A7Xbb9pEgCIIgCIIgCKIdisUiAOC5554DgINnzpy5xLe39GDJsnxzu18my/IPksnkHID7AHweqndpBgATi98P4Kvan3vRRhAEQRAEQRAE0TMWFhZ6K3KRTCaPc38+COAmAM9r//Q5AD+ptR0FcBuAL/ewjSAIgiAIgiAIomc4nU7b9m7kYP1GMpk8AaAMVar9g7Isv6C1/S6ATyaTyQta2/tkWU73sI0gCIIgCIIgCKJnhMP2KrEtc7AGlccee+wwgAtHjx6Fy+Xa6cshCIIgCIIgCGIIuHbtGuLxOM6fPw8AR86cOfMS394VFcFdygQA1nGCIAiCIAiCIIiusLGxwf44AWDPGFjfA/BKAPNQQwkJgiAIgiAIgiC6gQTVuPqeuWFoQwQJgiAIgiAIgiD6DZWZJwiCIAiCIAiC6BLDHCLYV5LJ5O8B+BEABwCclGX5WVP7rwP4Das2ojOa3etkMnkJQEH7DwB+SZblr+zAJQ4NNvfaA+APALwG6v1+XJbl9+3UdQ4DVvc6mUweAPAF7mMjAEKyLI/2/wqHB5tx/UYA/xWAoP33m7Is/5+dus5hweZ+vwHq/XYCWAPwY7IsX9yp6xwGkslkFMCnARwGUAJwHsBPyrK8nEwm7wTwUQBeAJcAvFOW5aWdutZBp8W9/gyA+6GGjwVlWc7s3JUOPs3uNYAI1DE9AaACNVTvZ2RZzu/QpeqQB6t7fAHAqwDMmhuSyeTNAO60aiO2RNN7DeCtsiyf1v4j42r7NLvXH4ZqWB2TZfkkgP/c7wsbQhrutSzLl7jxfFr7zF/u1AUOEQ33OplMClAX8Hdp9/pdAP48mUzSOrl9rO53BMCfA3hUm0M+BuB/7czlDRUKgA/LspzU7utLAP6HNo7/AsD7ZVk+BuAbAP7HDl7nMGB5r7W2PwVweseubPhodq9LAH5OluXrANwIwAfgQzt3mQbkweoSsix/EwCSyWTdvyeTSTeAPwLwdgBf6/uFDSHN7jXRfazudTKZDAB4N4ApWZYV7XOLO3KBQ0SrcZ1MJl0A3gHgdX28rKHE5l7XALDiJiMA5mVZrvXx0oaSJvf7CIBFWZbPaX//RwCfTiaTMVmWV/p8iUODLMtrqN9rfBvATwO4BUCBPQsAfwLVi/UT/by+YcLmXkOW5X8BaJ/SLZrda1mWL0Edx5BluZZMJr8L4Pp+X58VdDLXe/4LgL/QBgHRez6TTCbPJpPJP04mkyM7fTFDymEAqwB+PZlMPpFMJr+WTCZfsdMXtQd4CMA1WZaf2ukLGUa0w4K3AfjbZDI5C9Xr8u6dvaqh5hyA8WQyeZv293do/9+/Q9czdGheq58G8HdQ7yvvHV8BICaTSQo37gKme/3/s/fu8XFc5cH/d3WXZUuxZVnOzXYaxyeNDeQNhAReKBBzSaH9QWkpUFJa7uHa0gIF+jZNoQTaQtuXEkrKpVwS0hYayq/ciwMUWkICxE3t4CdOasuxY10sKbpZq5V29/1jZuXxelfa3ZnZ2X38fD/Jx9LsaPZ855yZM885Z84xYqTcuXbOdeM1GDREHliAFSPOuScBTwA+mnRazhKeKiKPA67Ee3/iIwmnRyutwM8B94rIE4A/AO5wzvUmmyz1vBL4VNKJ0Ipzrg14F/B8EdkK/DLwT36PrRExIjIFvBj4K+fcj4FNwKN471EY0fA3wCxWF9YDO9f144xz7d+//wG4U0QswDoLeBpeV+UhfwKGC4BvOueenWSitCIiD/v/LuAFtf872RSp5QjeQ9DtACLyI+AEsCPJRGnGOXc+3v3ktqTTopjLgfNE5D8A/H/naJDhJhoRkW+LyFP8hpqP4E2+8NAqf2ZUgD+xyCXAi/1hrkeArYHPNwI5f+iVEYIS59qIiVLn2jnXilc3TgJvSTB5p2EBVoyIyAdE5DwR2SYi24CjwHNE5FsJJ00dzrke51yf/3MKeAmwN9lU6cQfWvId4FkAzrkdeK3PDyaZLuX8FvBVERlPOiGKOQpc4PyXJpxzPw8MYg/8seGc2+z/2wLcBHxMROaSTVXz45y7Ce+dqxf4DY4APwG6A8O5rwe+kET6NFHmXBsxUOpc+/eOTwNZ4FWF98IbAVtoOCKccx8GXghsxmvNHxeRnUX7HAZ+yaZpD0epc403nOef8YavtQL3A28RkeNJpVMD5cq1c+7n8Iar9QOLwB+KyNeTS2nzs9I9xDn3AF55/kaCSVTDCuX6ZcA78Sa7APhjEfmXMocxKmSF8/0JvJEGHcC3gLeKSLr8kYzVcM7tBPbhveNWmKr6kIj8inPuyXhTWndxapp2m6CoRlY513cATwTOBx4B9omITVBUI+XONfAJ4Cv+Z1l/+3+IyBvrnsgiLMAyDMMwDMMwDMOICBsiaBiGYRiGYRiGEREWYBmGYRiGYRiGYUSEBViGYRiGYRiGYRgR0ZZ0ApoZ59yLgHfjrbnUBfxURH4j2VQZhmEYhmEYhpEUFmDViHPuXLy1lq4QkYf9qcEvTzhZhmEYhmEYhmEkiAVYtbMZb3rqcQB/7v17AZxzVwEfAHr9fW8Qka8657YBPwY+g7eGUAp4g4h8v75JNwzDMAzDMAwjDmya9hrxFze7A3gK8F3gB8Dn8Obh/w7wXBE57vd03QPsAs7Bm7f/t0Tks865pwO3AhfbAnWGYRiGYRiG0fxYgBUS59wu4GnAC4DLgLfjDR08HNitH/gVvAUWBegWkZz/9weBXxWR++qYbMMwDMMwDMMwYsCGCIZERPbhrSB9s3Pufrxhf/eJyC8U7+sPETQMwzAMwzAMQyk2TXuNOOfOd849KfD7BcAAcD9wiXPuGYHPrvQnwQDoAH7D3/5UoBs4ULeEG4ZhGIZhGIYRGzZEsEacc1uBjwNbgXm8YPVmEbnFOXcl8BfAeryA6n+AXwa24E1y8Wng2dgkF4ZhGIZhGIahCguw6khhFkER2Zh0WgzDMAzDMAzDiB4bImgYhmEYhmEYhhER1oNlGIZhGIZhGIYRETaLYIU45/rx1rm6GMgAB4HXiciYc+5q4Ba8CSsOA9eJyKj/d7cBzwDOBdaJyKy//cl407kX2AQMi8gV9TEyDMMwDMMwDCNqbIhg5eSBPxcRJyKPAR4CPuAvOHwr8EYR2QH8O/CBwN99Eri8+GAi8p8icnnhf+Bu4POxWxiGYRiGYRiGERvWg1UhIjIBfDew6S7g9cDjgbSI/MDf/jG8XqxX+n93J4BzruyxnXOb8GYVfF3EyTYMwzAMwzAMo45YD1YN+L1Wrwf+f7yp14cKn4nICaDFObehikO+HPiWiIxEmlDDMAzDMAzDMOqKBVi18TfALPCRiI73CuBTER3LMAzDMAzDMIyEsACrSpxzHwQuAV4sIjngCN5iw4XPNwI5f0hhJce7GtgAfC2G5BqGYRiGYRiGUUcswKoC59xNeO9cvUBEFvzNPwG6nXNP8X+/HvhCFYd9JfA5EVmKLqWGYRiGYRiGYSSBrYNVIc65ncA+4AFg3t98SER+xZ9y/Ragi1PTtI/4f3cH8ETgfOARYJ+IPMf/rBsYBq4SkQN11DEMwzAMwzAMIwYswDIMwzAMwzAMw4gIGyJoGIZhGIZhGIYRERZgGYZhGIZhGIZhRIQFWIZhGIZhGIZhGBFhAZZhGIZhGIZhGEZEWIBlGIZhGIZhGIYRERZgGYZhGGcNzrl3O+c+kXQ6DMMwDL3YNO2GYRiGGpxzs4Ff1wALQNb//XUiclv9U2UYhmGcTViAZRiGYajEOXcYeLWIfDvhpBiGYRhnEW1JJ8AwDMMw6oVz7kZgu4hc55zbBhwCXgm8B1gLvAv4CfBJYAtwq4i8KfD3rwTeDmwG7gZeKyJD9XQwDMMwGht7B8swDMM427kKuAR4MfDXwB8CzwR2Ar/unHsagHPu+cC7gRcCA8D3gduTSLBhGIbRuFiAZRiGYZztvFdE0iLyLWAOuF1ERkXkGF4Q9b/8/a4H3i8iPxORJeAm4HLn3NZkkm0YhmE0IjZE0DAMwzjbGQn8PF/i97X+z1uB/+uc+1Dg8xRwPmDDBA3DMAzAAizDMAzDqJSHgffZTISGYRjGStgQQcMwDMOojI8B73LO7QRwzvU5516UcJoMwzCMBsMCLMMwDMOoABH5EvBnwD8456aBfcAvJpsqwzAMo9GwdbAMwzAMwzAMwzAiwnqwDMMwDMMwDMMwIsICLMMwDMMwDMMwjIiwAMswDMMwDMMwDCMiLMAyDMMwDMMwDMOICAuwDMMwDMMwDMMwIsICLMMwDMMwDMMwjIiwAMswDMMwDMMwDCMiLMAyDMMwDMMwDMOICAuwDMMwDMMwDMMwIsICLMMwDMMwDMMwjIiwAMswDMMwDMMwDCMiLMAyDMMwDMMwDMOICAuwDMMwDMMwDMMwIsICLMM4y3HO5Z1z10VwnBudcw9GkSbDMIyzDefcd51zn6jybw475/5PXGnSTJR1VlT1qKGHtqQTYBj1xjl3PvA/wDiwRUSWqvz7bwNHReS3Y0hew+OcewrwfeAiETkc+OiDwEcSSZRhGEaEOOc+DfyW/2sWOAZ8HfhDERkPeez/A7xaRLYVffRCoKr6qMrv/VvgtcBbROTmGv6+3L3/rGGF+v9c4NH6p8hoVKwHyzgbeRXwFbyb4S8nnJZQOOc6qtkeJyIyKyIn6v29hmEYMfF9vAfnbcBbgF8FPlvrwZxzKedce7nPRWRCRKZrPf4q390DvAy4CXhNHN9Rb0qdy9XOcVyIyLCIpOv9vUbjYj1YxlmFc64FL8B6E3AZXmvelwKfHwY+ISJ/Gtj2CWC7iDzdb9Xc7W8vtG4+Q0S+65xzwF8CT/O3fwd4q4g8GDjW44H3AU/Ga+C4H3iziPwocMw/ALYDo8CngRsLvWzOue8CDwGPAK8GUsBmP923AhuAFwMPAlc559b63/drwHpAgPeKyB0rnKPfAV7hp2EW+K7vcdw5tw3voQPgkKfM9/xzcyNwnYhsDxyrEp8HgSHgjUAHXvD7BhGZLZdGwzCMOpARkWH/56POuV3Ae5xz3SIy75x7H16v01a8ERFfBf5ARKYAnHO/DXwCeBZe3bAT+B3gvf7nef/YfyIiNxbuhyLyav/zZwF/CDwWaAX2Am8XkbtrcHkpcBD4U+BNzrmrCvVOMK0i0hbYdgHwMPAM4DDl7/0p4PeBNwCFv/kbEfnrwLHafJeX+/ucAO4QkTf7n58L/BVwLdAJ/Ah4m4j82P/86Xh16i8B7wKeALzVOTfPmef4+cDX/fN3I3AFMAF8yz9myR5I59xFwIeAq4Fz8OraPxeRz/mff5ry9X8e+E0RubVKn2cDf+T7HAZ+X0S+Xip9RnNhPVjG2cYv4t3svg58DtjtBw2V8jt4lcw/4bVsngv8p3OuG+/m3YUXYD0NWAt8o9Cb5JzbCfw7MAlcA/wvvBtwi//584BP+enahVdhvRH446I0/DowgHejf1Zg+1vwgpgnAa/wK71/BR6HF3TtAv4W+Afn3O5VPN8GPAb4FWAL8A/+9ofxKi+AJ/r+Lyx1gCp8fg0vMHw68BK8CvQPVkmfYRhGvZnHu1+3BX5/LV5j3W/j3cM+XPQ3LcCfAb8HXIrXgPRnwFFO1SEfLPN9a4GP4t3Tn4wXIH3DOddfQ9pfB3xaRBbw7uevq/LvV7r3vwEvaPwAXoDzF8AHnHOvCvz9J/Hu/zfina9fxRuqj19X/Qve+fkl//gjwL855zYWpeNDeOfv5/HqNzjzHP/YOXcN8GXf9bHAC/B6Iu/wv68Ua4E78Z4THgP8HfD3zrln+J+XrP+LD1KlzwfxehUfhxeE/aNzbn2Z9BlNhPVgGWcbrwVu83tQHnHO3YnXE1TRS8IiMuWcywDzgZZN/IpkAHh8YZicc+4leC1SL8EbVvJOvN6al4lIzv/Tg4HDvxP4ZxF5v//7A865zXgV1XtFJONvP47Xw5PjdO4RkRsDaXo6XsU8WGhRBf7OOXc18GZgTxnH/xv49ZBz7o3AT51z54vIMefchP/ZWPAclKBSnyEReav/8wHn3D8Cz8Rr1TMMw0gc59xleAHCj0RkBiA40gE47Jx7F14D1isC9+cUXq/E9wPHmgWyq9w/EZEvBX93zr0WLzC5FritirRfjhdkfN7f9Blgj3PurYG6YUVEJLvCvf+deD1Wf+f/ftAf0fGHwCedc9vxeq5eJCJf9Pd5CLjL//kavCBkp4jc76f55Xj15xuA9wS+630iUgis8HvSSp3jG4APi8jfBLb9Ft5oicfh9QYWO/438N+BTX/jnHsm8BvAd8rV/yWoxudPROQb/j7vxAvUnwh8c4XjG02ABVjGWYM/ucXz8HqOCnwG+JBzbnnYWo3sBO4PvoMkIiPOOfE/A3g88I0SgVHwGP9YtO17eL1iFwM/87f9pMwxioeNXIk35O6YXwkV6OD0wO40/MDsXXitjOdwqqd7K96L3pVSqc9/Fe3zCPCcKr7HMAwjDp7uB0OteCMf9hDo+XHOvRD4Xbwh0L1498oOYDPefazAPbV8uT9k7T14DWWb/OOvwbsXV8PrgK8UhsaJyF3OuaPAdUDVk10UpbEXb8jfvxd99D3gd5xza/CG6IE3yqMUO4HxQjDip3HBOfcjTtWfBcoNjyw+x1cCVzvn3lRi30soEWD5ab0B793sc/HyshNvKF81VOOzN7DPiHMuCwxW+X1GA2IBlnE28Sq8ivLeooCjFe+G+iUgh9caFqTuL8yuwlyF21uAKbyKpphMiW0457YAX8Mb1vcevHHyFwDfxqts4qA4LXls+LJhGMnzI7yZBJeARwK97jjnrgK+ALwfeDve0O+r8RrtgvfKbIjJD76Cdw9+I94QvQzwA6q4Fwcmt+hxzgUbEVvwJrsoBFilGu0are6D0vVfqXNcGDb4uRL7l+t9+gu8YZC/h/e+8hzekMS+2pJaEaXqYqv/FGABlnFWEJjc4ibg9qKP382pyS5GgfOKPv9feC/IFsjgBWVB9gPXO+c2BoYIDgIO7wYN8BO8d75ayvRA7Qd+gdOnOn8a3jj/h1ZzLMGP8XqgukRkX4V/cyXQDfyuiMzD8sQcQQoVQvE5KCZqH8MwjHoyH5ykqIinACdEZHl4uXPu1yo8bqk65DT896wuA54rIt/0t12A15NVDS/FCxAvx2u8KrAB+G5gsotRoNU5NygiI/4+V5x+qDPv/SIy7feG/QJeQFjgacAhETnpnPupv+3ZwBc5k/1Av3PussCQuk7gKrx30Grhx3hD9KpZ5+oX8F4h+Cc/DS3ADrz3pwqsmnfE42M0GRZgGWcLvwhcCNwiIkeCH/gzA33dn+zi28AbnHNfwhurfT3ecIxggHUIeIZz7mK8HqIpvLHtN+C9oPp2vF6wD+INqSsMk/tzvBbR25xzH8Jr8bwCb02NH+K1hP6rPw77DrwK8UbgQ8GW0yq40/e5wzn3DuA+vJkEnwykReTjJf7mIF4l/PvOudvwxqrfULTPEF5r53P996UWyozjj9rHMAyjURBgwH//9jt4AdcbKvzbQ3izvz4J7557UkROFu0zCYwBr3HOPQT049Uh81Wm83XAl/z3i07DOXeX//mP8IbezeC9I3sT3jDuSu/978cban8Qb9bZa4DX4/W8ISIP+vXJR51zXcAP8QK8J/vv/N7pf//n/Xd+p/Dewe3Cm5ipFm4AvuWc+0u8d6Bn8IYGvgh4U6EBsQgBnu+c+2e8GXR/D6/BNRhgnVH/i8hi0XHi8DGaDOuGNM4WXov3cvKREp/diRdAvRpvSMFX8YKi7+PdGL9QtP+H8IZt/BdeBfi//Zv1s4EFvLHo38MbXnBtIZjwK7in402G8T28sde/j7eIJSLyNeCVeENS9uHNMPhR4E9qERaRPPD/4QU3fwUc8N2eR5keJBG5D28CjNfhTSH/Nrx3DIL7jOC9o/VOvAk3vlzmWJH6GIZhNAoi8hW8JTBuwpsY4SV4QwUr4V/w6pWv4tUh7yhx/BxeMHAxXuPYp4G/xrvnVoQ/ucUT8Ga9K8U/Ai92zvWJyAReb9fV/vf9UXG6Vrj3/y1eQPNuvHrjD4B3isgnA3/+CuAWvGnif4Y3YuQi/7h5vFn+CnXUPXjvsT1LalxbUUS+gxfoPRavLr8Prw6aAYoDogJvxQsiv4P3vt0xzuxxO6P+L/HdkfsYzUcqn8+vvpdhGIZhGIZhGIaxKtaDZRiGYRiGYRiGEREWYBmGYRiGYRiGYUSEBViGYRiGYRiGYRgRoXYWwT179nTiTTl9HH8SAcMwDKNpacVb/POe3bt3LySdmGqxOskwDEMdZesltQEWXkX2/aQTYRiGYUTKU/EWW202rE4yDMPQyRn1kuYA6zjAJZdcQkdHxYuen8GxY8c4//zzI0tUI6LdUbsf6HfU7gf6HcP6ZTIZDh48CFVMU91gWJ1UIdodtfuBfkftfmCOlbBSvaQ5wMoCdHR00NnZWfNB+vr6Qv19M6DdUbsf6HfU7gf6HSP0a9bhdVYnVYh2R+1+oN9Rux+YY5WcUS/ZJBeGYRiGYRiGYRgRYQHWKszOziadhNjR7qjdD/Q7avcD/Y7a/erF2XAetTtq9wP9jtr9wBzD0lBDBJ1zHwR+FdgGPEZE9pXYpxX4MHAtkAc+ICKfiDot2Vyee45Oc2CkhUtTU1x5QS+tLamov6YhGBwcTDoJsaLdD/Q7avcD/Y7N6Gd1UjI0Y1mpBu1+oN9Rux+YY1garQfrX4BfAIZW2OdlwHbgEuBJwI3OuW1RJiKby/OubzzITXce5vb7TnDTnYd51zceJJvLR/k1DcPY2FjSSYgV7X6g31G7H+h3bFI/q5MSoEnLSsVo9wP9jtr9wBzD0lABloj8QEQeXmW3FwMfF5GciIzhVYAvijId9xyd5sDoSdJLOfJAeinHgdGT3HN0OsqvaRhSKZ2toAW0+4F+R+1+oN+xGf2sTkqGZiwr1aDdD/Q7avcDcwxLQw0RrJAtnN6aeAS4sNzOx44dY3BwkKmpKRYXF9m8eTPDw8P09PTQ2trK9PQ0AwMDTExMkM/nGRgY4CcPHWdhKXfacRaWctx7aJjHbmxnbGyM3t5estksc3Nzy8dsb2+nr6+PEydO0NfXRyaTYX5+fvnzjo4O1q1bx/j4OOvXr2d+fp50Or38eVdXF93d3UxOTtLf38/MzAyZTGb58+7ubjo6OpiammLjxo1VOY2MjLB27VrAG3M6ODjI2NgYqVSKNWvWMDQ0pMppw4YNy/mUSqUYGhpS5VScT/l8nrm5OVVOwXzq6upidHRUlVNxPqXTaY4fP67KKZhP6XSa6enpmp0mJiaiqD/iwOokq5OsTrI6qemdzrY6aXx8nO7ubkZGRmp26uvrK1sxpPL5xhti4Jw7DPxSmfHu/w28UkTu8X9/B3CBiLwluN+ePXu2AYd27txZ9RSMdx2Z4qY7D5MOVGhdbS28+5ptXL2l/MlsdoCBCAAAIABJREFUVoaGhti6dWvSyYgN7X6g31G7H+h3DOu3sLDA/v37AS7avXv34ajSVQlWJ9UXuxaaH+2O2v3AHCthpXqpoYYIVsgRIHg2tgCrDeGoiisv6OXSTWvIZdLkczm62lq4dNMarrygN8qvaRh6e3V6FdDuB/odtfuBfkfFflYnRYzisgLo9wP9jtr9wBzD0oxDBL8AvMY5dwfQD7wAeGqUX9DakuL9127nic//E9oHtvLeG9+hesambLZZ1+2sDO1+oN9Rux/od1TsZ3VSxCguK4B+P9DvqN0PzDEsDdWD5Zz7sHPuKHAB8G3n3H5/+9ecc0/wd/sc8D/AQeAu4D0icijqtLS2pFg4tJfZu7/M1Vv61FZkAHNzc0knIVa0+4F+R+1+oN+xGf2sTkqGZiwr1aDdD/Q7avcDcwxLQ/Vg+WPW31Ji+3MDP2eB19czXdrZvHlz0kmIFe1+oN9Rux/od2xGP6uTkqEZy0o1aPcD/Y7a/cAcw9JQPVhGMgwPDyedhFjR7gf6HbX7gX5H7X5GdGgvK9r9QL+jdj8wx7BYgGXQ3t6edBJiRbsf6HfU7gf6HbX7GdGhvaxo9wP9jtr9wBzDYgGWseI8/hrQ7gf6HbX7gX5H7X5GdGgvK9r9QL+jdj8wx7BYgGVw4sSJpJMQK9r9QL+jdj/Q76jdz4gO7WVFux/od9TuB+YYFguwDPWtFNr9QL+jdj/Q76jdz4gO7WVFux/od9TuB+YYFguwDDKZTNJJiBXtfqDfUbsf6HfU7mdEh/ayot0P9Dtq9wNzDIsFWAbz8/NJJyFWtPuBfkftfqDfUbufER3ay4p2P9DvqN0PzDEsFmAZ6tc60O4H+h21+4F+R+1+RnRoLyva/UC/o3Y/MMewWIBlqF/rQLsf6HfU7gf6HbX7GdGhvaxo9wP9jtr9wBzDYgGWQUdHR9JJiBXtfqDfUbsf6HfU7mdEh/ayot0P9Dtq9wNzDIsFWAbr1q1LOgmxot0P9Dtq9wP9jtr9jOjQXla0+4F+R+1+YI5hsQDLYHx8POkkxIp2P9DvqN0P9Dtq9zOiQ3tZ0e4H+h21+4E5hsUCLIP169cnnYRY0e4H+h21+4F+R+1+RnRoLyva/UC/o3Y/MMewWIBlqJ+KU7sf6HfU7gf6HbX7GdGhvaxo9wP9jtr9wBzD0hbbkY2mIZ1OJ52EWNHuB/odtfuBfsdm9XPO7QA+A/QD48DLReRg0T6bgL8HLgTage8AbxGRpTonVwXNWlYqRbsf6HfU7gfmGBbrwTLUr3Wg3Q/0O2r3A/2OTez3MeBmEdkB3AzcUmKfdwM/E5HHAo8FHg+8sH5J1EUTl5WK0O4H+h21+4E5hqXhAizn3A7n3A+dcw/4/15SYp9NzrmvOufuc879zDn3Ueec9cbViPa1DrT7gX5H7X6g37EZ/fyeqSuA2/1NtwNXOOcGinbNA+uccy1AJ9ABHKtbQpXRjGWlGrT7gX5H7X5gjmFpxKCk0Fp4q3PuOrzWwmuK9im0Fj7POdcO/ACvtfCf6ptUHXR1dSWdhFjR7gf6HbX7gX7HJvW7EDgmIlkAEck65x7xt48F9nsv8M/AcaAH+IiI/EepAx47dozBwUGmpqZYXFxk8+bNDA8P09PTQ2trK9PT0wwMDDAxMUE+n2dgYICRkRHWrl27fIx0Os3Y2BipVIoNGzYwNjZGb28v2WyWubm55WO2t7fT19fHiRMn6OvrI5PJMD8/v/x5R0cH69atY3x8nPXr1zM/P086nV7+vKuri+7ubiYnJ+nv72dmZoZMJrP8eXd3Nx0dHUxNTbFx48aanWZnZxkcHFx2amlpYWhoSJVTMJ8WFxcZGhpS5VScT+l0mrm5OVVOwXzK5XKMjo6qcirOp7m5OY4fP67KqTif8vk8IyMjNTv19fWVrTxS+Xy+uuomRvzWwgeAfr8ia8Ub836JiIwF9vsrYA3wev/f7wNvClZoe/bs2QYc2rlzJ52dnTWlZ9euXQDs27evpr9vFqanp+nt7U06GbGh3Q/0O2r3A/2OYf0WFhbYv38/wEW7d+8+HFW6VsI593jgsyKyM7DtfuA6EflpYNvrgMuAtwLrgK8DfykiXyzsY3VS5di10Pxod9TuB+ZYCSvVS43Wg2WthQm0BKTTaSYnJ1U5BfNpeHiYyclJVU7F+TQzM8NFF12kyimYT+l0mnQ6rcqpOJ8OHz7MwMCAKqdgPh0+fJht27bV7DQxMRFJJVMlDwPnO+daA41+5/nbg7wZeKWI5IAp59yXgWcAX8SomkJ9pBXtfqDfUbsfmGNYGq0Hy1oLE2B2dva0gFIb2v1Av6N2P9DvGNYviR4sAOfcd4FPBIatv0pEnlG0z78C94jIe5xzHcBXgTtE5G8L+1idVDl2LTQ/2h21+4E5VsJK9VKjTXKx3FoIsEpr4W0ikhORKaDQWmjUwMzMTNJJiBXtfqDfUbsf6HdsYr/rgTc75x7Aq3uuB3DOfc059wR/n98Fnuqc+29gL95Q948nkVgNNHFZqQjtfqDfUbsfmGNYGmqIoIiMOuf2Ai8FbvX/vTf4/pXPIeBa4G6/tfCZwB11TawiMplM0kmIFe1+oN9Rux/od2xWPxE5AFxVYvtzAz8/BDyrnunSTLOWlUrR7gf6HbX7gTmGpdF6sMBaC+uO9rUOtPuBfkftfqDfUbufER3ay4p2P9DvqN0PzDEsDdWDBdZamATDw8Ns3bo16WTEhnY/0O+o3Q/0O2r3M6JDe1nR7gf6HbX7gTmGpRF7sIw6093dnXQSYkW7H+h31O4H+h21+xnRob2saPcD/Y7a/cAcw2IBlkFHR0fSSYgV7X6g31G7H+h31O5nRIf2sqLdD/Q7avcDcwyLBVgGU1NTSSchVrT7gX5H7X6g31G7nxEd2suKdj/Q76jdD8wxLBZgGWzcuDHpJMSKdj/Q76jdD/Q7avczokN7WdHuB/odtfuBOYbFAixDfSuFdj/Q76jdD/Q7avczokN7WdHuB/odtfuBOYbFAiyDxcXFpJMQK9r9QL+jdj/Q76jdz4gO7WVFux/od9TuB+YYFguwDPVrHWj3A/2O2v1Av6N2PyM6tJcV7X6g31G7H5hjWCzAMhgeHk46CbGi3Q/0O2r3A/2O2v2M6NBeVrT7gX5H7X5gjmGxAMugp6cn6STEinY/0O+o3Q/0O2r3M6JDe1nR7gf6HbX7gTmGxQIsg9bW1qSTECva/UC/o3Y/0O+o3c+IDu1lRbsf6HfU7gfmGBYLsAymp6eTTkKsaPcD/Y7a/UC/o3Y/Izq0lxXtfqDfUbsfmGNY2mI7stE0DAwMJJ2EWNHuB/odtfuBfsdm9XPO7QA+A/QD48DLReRgif1+HfgjIAXkgWeKyEg906qFZi0rlaLdD/Q7avcDcwyL9WAZTExMJJ2EWNHuB/odtfuBfscm9vsYcLOI7ABuBm4p3sE59wTgRuBZIrILeAqgfxGZmGjislIR2v1Av6N2PzDHsFiAZZDP55NOQqxo9wP9jtr9QL9jM/o55zYBVwC3+5tuB65wzhU3e74V+KCIDAOIyJSIpOuXUl00Y1mpBu1+oN9Rux+YY1hsiKChvhtYux/od9TuB/odm9TvQuCYiGQBRCTrnHvE3z4W2O8y4JBz7t+BtcAdwPtERP8TSgw0aVmpGO1+oN9Rux+YY1gaLsCy8e71Z2RkhK1btyadjNjQ7gf6HbX7gX5H5X6twGOBZwEdwDeAI8Bni3c8duwYg4ODTE1Nsbi4yObNmxkeHqanp4fW1lamp6cZGBhgYmKCfD7PwMAAIyMjrF27dvkY6XSasbExUqkUGzZsYGxsjN7eXrLZLHNzc8vHbG9vp6+vjxMnTtDX10cmk2F+fn75846ODtatW8f4+Djr169nfn6edDq9/HlXVxfd3d1MTk7S39/PzMwMmUxm+fPu7m46OjqYmppi48aNNTvNzs4yODi47JROp2lvb1flFMyn4eFh1qxZo8qpOJ9mZma46KKLVDkF8ymdTi+nW4tTcT498MADDAwMqHIqzqeFhQX6+vpqdurr6ytbKaQarQvQOXcn8CkRudU5dx3wShG5pmifJ+BVXNeIyLBzrg9YCA7J2LNnzzbg0M6dO+ns7KwpLbt27QJg3759Nf19szA+Pk5/f3/SyYgN7X6g31G7H+h3DOu3sLDA/v37AS7avXv34ajStRL+EMEHgH6/96oVr+HvEhEZC+z3FeCfROSz/u/vALaIyJsK+1idVDl2LTQ/2h21+4E5VsJK9VJDvYNl490NwzCMRkFERoG9wEv9TS8F7g0GVz6fB57tnEs559qB3cB/1S+lhmEYRiPRaEMEIx/vbsMxKhuOMTs7q8opmE+jo6PMzs6qcio1HKOrq0uVU/FwjGw2q8qpOJ+OHTtGJpNR5RTMp2PHjtHe3l6zU4IzWl0PfMY5dwMwCbwcwDn3NeAGEfkx8A/AE4D7gRzwTeCTySS3+ZmdnVXdcq7dD/Q7avcDcwxLQw0RdM49HvisiOwMbLsfuE5EfhrYdh9wGHgRp8a731IYngE2HKMa0uk0XV1dSScjNrT7gX5H7X6g3zGsXxJDBKPE6qTKsWuh+dHuqN0PzLESmmaIIPAwcL4/zh3/3/P87UGOAF8UkQURmQG+DDyxrilVxNhY8WgXXWj3A/2O2v1Av6N2PyM6tJcV7X6g31G7H5hjWBoqwLLx7smQSqWSTkKsaPcD/Y7a/UC/o3Y/Izq0lxXtfqDfUbsfmGNYGirA8rkeeLNz7gHgzf7vOOe+5s8eCN5491G88e57gf3YePea2bBhQ9JJiBXtfqDfUbsf6HfU7mdEh/ayot0P9Dtq9wNzDEujTXKBiBwAriqx/bmBn3PA7/n/GyEZGxvTvD6Nej/Q76jdD/Q7avczokN7WdHuB/odtfuBOYalEXuwjDrT29ubdBJiRbsf6HfU7gf6HbX7GdGhvaxo9wP9jtr9wBzDYgGWQTabTToJsaLdD/Q7avcD/Y7a/Yzo0F5WtPuBfkftfmCOYbEAy2Bubi7pJMSKdj/Q76jdD/Q7avczokN7WdHuB/odtfuBOYbFAiyDzZs3J52EWNHuB/odtfuBfkftfkZ0aC8r2v1Av6N2PzDHsFiAZTA8PJx0EmJFux/od9TuB/odtfsZ0aG9rGj3A/2O2v3AHMNiAZZBe3t70kmIFe1+oN9Rux/od9TuZ0SH9rKi3Q/0O2r3A3MMiwVYBn19fUknIVa0+4F+R+1+oN9Ru58RHdrLinY/0O+o3Q/MMSwWYBmcOHEi6STEinY/0O+o3Q/0O2r3M6JDe1nR7gf6HbX7gTmGxQIsQ30rhXY/0O+o3Q/0O2r3M6JDe1nR7gf6HbX7gTmGxQIsg0wmk3QSYkW7H+h31O4H+h21+xnRob2saPcD/Y7a/cAcw9IW25GNpmF+fj7pJMSKdj/Q76jdD/Q7Nqufc24H8BmgHxgHXi4iB8vs64B7gY+KyNvql0pdNGtZqRTtfqDfUbsfmGNYrAfLUL/WgXY/0O+o3Q/0Ozax38eAm0VkB3AzcEupnZxzrf5n/1LHtKmkictKRWj3A/2O2v3AHMNiAZahfq0D7X6g31G7H+h3bEY/59wm4Argdn/T7cAVzrmBEru/E/gK8ECdkqeWZiwr1aDdD/Q7avcDcwyLDRE06OjoSDoJsaLdD/Q7avcD/Y5N6nchcExEsgAiknXOPeJvHyvs5Jx7HPAc4BnAH610wGPHjjE4OMjU1BSLi4ts3ryZ4eFhenp6aG1tZXp6moGBASYmJsjn8wwMDDAyMsLatWuXj5FOpxkbGyOVSrFhwwbGxsbo7e0lm80yNze3fMz29nb6+vo4ceIEfX19ZDIZ5ufnlz/v6Ohg3bp1jI+Ps379eubn50mn08ufd3V10d3dzeTkJP39/czMzJDJZJY/7+7upqOjg6mpKTZu3Fiz0+zsLIODg8tOAENDQ6qcgvmUyWQYGhpS5VScT/Pz88zNzalyCuZTNptldHRUlVNxPs3OznL8+HFVTsX5lMvlGBkZqdlppUkyUvl8vsJ6prnYs2fPNuDQzp076ezsrOkYu3btAmDfvn3RJawBmZ2dPa3y1oZ2P9DvqN0P9DuG9VtYWGD//v0AF+3evftwVOlaCefc44HPisjOwLb7getE5Kf+7+3AD4BXiMj9zrkbgbXF72BZnVQ5di00P9odtfuBOVbCSvWSDRE0GB8fTzoJsaLdD/Q7avcD/Y5N6vcwcL7/flXhPavz/O0FzgUuBr7mnDsM/C7wGufc39U3qXpo0rJSMdr9QL+jdj8wx7A03BBBm7Gp/qxfvz7pJMSKdj/Q76jdD/Q7NqOfiIw65/YCLwVu9f+9V0TGAvscATYWfi/Xg2VUTjOWlWrQ7gf6HbX7gTmGpRF7sGzGpjqjfSpO7X6g31G7H+h3bGK/64E3O+ceAN7s/45z7mvOuSckmjKlNHFZqQjtfqDfUbsfmGNYGqoHKzBj07P8TbcDH3HODQRbDH0KMzat9f83aiSdTiedhFjR7gf6HbX7gX7HZvUTkQPAVSW2P7fM/jfGnSbtNGtZqRTtfqDfUbsfmGNYGirAwmZsSmQ2lr6+PtUzNnV0dKifsSmVSqmesWnNmjXqZ2zKZrOqZ2zKZrNMT0/X7DQxMRG6gjGaA+3r72j3A/2O2v3AHMPSULMI2oxNyTA0NMTWrVuTTkZsaPcD/Y7a/UC/Y1i/JGYRjBKrkyrHroXmR7ujdj8wx0poplkEbcamBOjq6ko6CbGi3Q/0O2r3A/2O2v2M6NBeVrT7gX5H7X5gjmFpqCGCNmNTMnR3dyedhFjR7gf6HbX7gX5H7X5GdGgvK9r9QL+jdj8wx7A0Wg8W2IxNdWdycjLpJMSKdj/Q76jdD/Q7avczokN7WdHuB/odtfuBOYaloXqwwGZsSoL+/v6kkxAr2v1Av6N2P9DvqN3PiA7tZUW7H+h31O4H5hiWRuzBMurMzMxM0kmIFe1+oN9Rux/od9TuZ0SH9rKi3Q/0O2r3A3MMiwVYBplMJukkxIp2P9DvqN0P9Dtq9zOiQ3tZ0e4H+h21+4E5hsUCLEP9Wgfa/UC/o3Y/0O+o3c+IDu1lRbsf6HfU7gfmGBYLsAyGh4eTTkKsaPcD/Y7a/UC/o3Y/Izq0lxXtfqDfsZ5+2Vyeu45Mceu9w9x1ZIpsrj7r12rPQ4jXseEmuTDqj/apOLX7gX5H7X6g31G7nxEd2suKdj/Q71gvv2wuz7u+8SAHRk+ysJSjs62FSzet4f3Xbqe1JRXrd2vPQzj7pmk36kxHR0fSSYgV7X6g31G7H+h31O5nRIf2sqLdD/Q71svvnqPTHBg9SXopRx5IL+U4MHqSe45Ox/7d2vMQ4nW0AMtgamoq6STEinY/0O+o3Q/0O2r3M6JDe1nR7gf6Hevl9+D4PAtLudO2LSzleGh8Pvbv1p6HEK+jBVgGGzduTDoJsaLdD/Q7avcD/Y7a/Yzo0F5WtPuBfsd6+W3v76az7fRH9c62Fi7uj3/4nvY8hHgd7R0sg6mpKXp6epJORmxo9wP9jtr9QL9js/o553YAnwH6gXHg5SJysGifPwJeAmSBReDdIvLNeqdVC81aVipFux/od6yX35UX9HLppjX89PAJUm0ddHe0cemmNVx5QW/s360hD7O5PPccnebB8Xm293dz5QW9p727FqejBVgGi4uLSSchVrT7gX5H7X6g37GJ/T4G3CwitzrnrgNuAa4p2udu4EMictI59zjge865c0Uk/nE8CmnislIR2v1Av2O9/FpbUrz/2u088fl/QvvAVt574zvOCBLiotnzsJIJQuJ0tCGChvq1DrT7gX5H7X6g37EZ/Zxzm4ArgNv9TbcDVzjnBoL7icg3ReSk/+t9QAqvx8uogWYsK9Wg3Q/0O9bTr7UlxcKhvcze/WWu3tJXl+AKmj8PK5kgJE5H68EyGB4eZuvWrUknIza0+4F+R+1+oN+xSf0uBI6JSBZARLLOuUf87WNl/ublwEMicrTUh8eOHWNwcJCpqSkWFxfZvHkzw8PD9PT00NrayvT0NAMDA0xMTJDP5xkYGGBkZIS1a9cuHyOdTjM2NkYqlWLDhg2MjY3R29tLNptlbm5u+Zjt7e309fVx4sQJ+vr6yGQyzM/PL3/e0dHBunXrGB8fZ/369czPz5NOp5c/7+rqoru7m8nJSfr7+5mZmSGTySx/3t3dTUdHB1NTU2zcuLFmp9nZWQYHB5ed0uk07e3tqpyC+TQ8PMyaNWtUORXn08zMDBdddJEqp2A+pdPp5XTXw6nA5ORkbE7F+SQiDAwMNG0+/eSh4yUnCNl7eJRdG1oZHx9nYWGBvr6+mp36+vrKVh6pfL4+C5bVmz179mwDDu3cuZPOzs6ajrFr1y4A9u3bF13CGpATJ06ofplRux/od9TuB/odw/otLCywf/9+gIt27959OKp0rYRz7vHAZ0VkZ2Db/cB1IvLTEvs/Dfgc8CwRkeBnVidVjl0LzY92x3r7JXHtN3se3nVkipvuPEw6EGR1tbXw7mu2cfUWLzCKs16yIYIGra2tSSchVrT7gX5H7X6g37FJ/R4GznfOtQL4/57nbz8N59yTgFuBFxQHV0Z1NGlZqRjtfqDfUbsfNL9jYYKQXCZNPpejy38HKzhBSJyOFmAZTE/Hv2Bdkmj3A/2O2v1Av2Mz+onIKLAXeKm/6aXAvSJy2vBA59yVwD8Cv1aqZ8uojmYsK9Wg3Q/0O2r3g+Z3LEwQMvn1m5m56w7efc220ya4gHgdG+4dLJsSt/4MDAysvlMTo90P9Dtq9wP9jk3sdz3wGefcDcAk3jtWOOe+BtwgIj8GPgp0A7c45wp/95si8t8JpLfpaeKyUhFh/FabdrpRsDxsfjQ4FiYIWTi0l6u3vO+Mz+N0bLgAC5sSt+5MTEywZs2apJMRG9r9QL+jdj/Q79isfiJyALiqxPbnBn6+sq6JUk6zlpVKqdWvkmmnGwXLw+bHHMPRUEMEbUrcZNA60UkB7X6g31G7H+h31O5nVE42l+euI1Pceu8wdx2ZIps7vWxoLyu1+lUy7XSjYHnY/JhjOBqtB8umxE1gust169YxNDSkyimYT21tbQwNDalyKs4ngLm5OVVOwXzq7u5mdHRUlVNxPmUyGY4fP67KKZhPmUyG6enpmp0mJiZqrljOBuYWlji5mE06GauSy+X5wPeGeHB8nsxSno62FNv7u3nn07bS4vfCpHrOYWx2IeGUxketfvc9MlNy2un7js9w8YauqJIXCZaH5cnl8uw9PsvQZJqt67u4/Ny1y2V/Nep5TrXlYSmXnnPi65tpqGnabUrcZBgaGmrG9WkqRrsf6HeMwi+fz5MHim95pe6AwX1z+fzyv7kS+/p/sLx/Pg858ss/58nj/+f/fub35oHRkRE2DQ6WTXvgq077t2ySSpqdluSqyPv/4zstp6PCv584cYKrLt1S3ZcGSGKa9iiJu04am13gkelMiBTWh/tH5rht7zCZ7KmS09Ga4mWXb+aywR4ARkZGGCxzLWigVr9Kzl2jYHlYmlw+z8fvfoQjj6bJZPN0tKbYck4Xr3niebSkygdZL3v2kwC47Vs/rDnN1dKoeZjL5zkwepJj0wuc39vJpZvW1HzuWuYneczF8dRLjdaDtTwlrt97VcmUuM+3KXHDEeyt00ij+OXzeYIjYfKs3D196mF29X0716xlPlO+9bqSh+C8H0AUvms5uCD4UJ9fTtcphwqOTVFgcUaQs/JB5lrWcHQqXYHDqZ+zuTzZfJ6lXN77OVddRBE8//VgNttKy2zjPyDXSr61PekkGA3AsemF0wIEgEw2zyMzC8tBQnd3dxJJqxu1+l26aQ1bzunigeOTpNo66GxvZcs5XVy6qbp3SKp9QK0Fy8PSHBg9uRxcgVf2jzya5sDoyYYLkhsxD2sNUMuxpis+x4YKsERk1DlXmBL3VmxKXKNJyOfzLCzlmFvMcjKTYzHrPdxn83nyubzX+1HmSb3sA3wFPS0FpqfT9C6eXGGP5mZ6LsNi62LSyTAMIyTn93bS0Zo6oxfmvHW19eqdTbSkUrzmiefx6uv/lPaBrbzyzW+uOjiK+gG1mahHYLkalTQwGOVppgC1oQIsH5sSt87Mzs7S3693jpC5ubmq/bKBoChX3JvDqR6epVye+cUcswtLZ9w068n8/Dy9vb2r79ikaPcD/Y7ptE3yalTWC6P9Wgjj15I6Ne30ZYPvrPrv6/WA2mh5GHVgWatfMzUwNFoeQvQB6skY66WGC7BsStzyBN8LyVP04J/H6y3xg4Jyj/rFf5PLQ37Neo5NLyy/W3Hq+8ofo/D5acPHir61mnc8Vts1OERu+bj5/OnDzsqQaVnHzPBsFWnJn3pXpoohYkm2jq1fv74u35MU9fRLKh+152HfObr9jMqopBdG+7WQpF+9elCidgx7X446sKzVL6phnvWgEa/DqAPUOB0bLsA6W8j5Q8oWc3kyS96Qskw2RyabJ5vNnf7Oiv+kHxxilsvn+dmId7M5L+RD4OjYGJsGNoU0alzGxidi90t62MWjU4+qzsN6+SWZj9rzcHpqCi5svArbqD+r9cJovxaS9KtXD0qUjlHcl6MKLAuB3gPDE+zYvKHqZ68ohnlGxWpBayNeh1EHqFOPTnHBhnURp9LDAqwaWfDXoYAK5tHPQyabYyGbY2EpT3opx8JSlmzZKclWptqbzWoXUQrd467r4Zf0uGDLw2hIMh+156F2PSM6tF8L9fQrrv93DHTXpQclSsco7stRBJbFz173DA/X1AAXdphnFFTyHNmI12HUAWqchhZg1cjhifkz1qOA+sw4Vs3NppKLaF2DjbGNmnr4Jf3iquVhNCSZj+rzcF08rYSGPtRfC3XyK1f/v+rKc3nt68M9oK7WcBulYxT35Sh6PpJuSI2SSlwa9TqMMkCN07EltiMrJ1/Ra2h5AAAgAElEQVTm/3qw0s2mmJUuogJTjz4ab4ITJoxfLp/n/pE5/u3gBPePzC2/f1ZMoXUsSD1fXLU8jIYk81F7Hk5PTSWdBCNGKr1XVoL2a6FefuXq/wfG5lk4tJfZu7/MZYM9NQVXH7/7EW7bO8y3Dk5w295hPn73I/7EUF45+MbPRkKXgwJR3JcLPR+TX7+Zmbvu4GWXb15uaK607Fbz7NXoVOKi/ToEbxhkXFgPVoNTqpWomq7uSlp+1vQ03suVUVKrXzVDMZN+cdXyMBqSzEftedjdrdvvbKFUnQREuzaN8muhXn5xBQTlArf7R+b4j6GpU0PoRmobQldMVPflUj0f1dTzzTQD4GpU4qL9OgRYsyY+RwuwGpiVuvcrvdlUchHlan0ZrEmo1a+a4QBJv7gadR42wnohp6WnTmU0yXxsxOswynKQyzWen1Ed5eqkp2zri2ToVKG8/c/YDD830JL4fScu6nWtxxUQlAvc7huejWUIXZz35Wrq+aQbUqOkEpdGrJOiJh/j8jo2RLCBWal7v1xXdzGFiyiXSZPP5ZYrxOBFlE6n6+aUBLX6Vdv6V2gdq3XYBdQ+zCbKPFxp+EdS1LOMRpGPtVDsGOWQq1qIuhwsLOi+zzQScZWdcnXSfx2fDd1TEixv3ztyMtL7TpzXUi3Hrtf9rJL6vxKKHc/r7Sg5ZA+IbQhdXPflaur5lYYZNhuVuGh/NgSYXziL1sEyTrHa8L5KXvKrpOVnw4YNsTk0ArX6RdX6V2kvQJipaKPMw5Va9C7dtCaRni3tZRROd0x62n+I/oXucxpwTZVKcM7tAD4D9APjwMtF5GDRPq3Ah4Fr8V7H/YCIfKLeaYV4y065OgkIfa+MawKBOM9Hrceu1/0sip6fUo4X9nVy4TldHCzq/XjcuWvZPzJX1yF0YXvZq63nG2EGwKhYzaVe5TTJETNxOloPVgMT1Qv3q7X8TExMhE5rI1OrXxStf9X0AlQyIUk5oszDcg9Rx6bTVfVoRNlqrL2MwumOYcpCVET9/sajk5NRJCsJPgbcLCI7gJuBW0rs8zJgO3AJ8CTgRufctrqlMECcZadcnfTYzWtD3ytXuu+EuY/EeT5qPXbx/SzOHrawPT+lHB+eWuApW/vO6P34+U09kfSYVUoUvexR9fI1ClGUpcIxvrr/eOyjJ5IeMRPns0Vq1TWcmpQ9e/ZsAw7t3LmTzs7aWk927doFwL59+8747MDoXMlp2qOkUPCKx8gWWsde9uwnAXDbt3646rFW2vfE+Dgb+/ujTXxM1NLSEcYvl8/z6uvfQPvAVn6ngta/4vN8/8gct+0dPqN17GWXbz6jVfbfDk7wrYNnXuzP2bGBZ25fuZUlyjwsl+Zf2HYO/3740Ypcom41DpuH1ZaZaq6tqAg6hikLUVFN2a2E6alJnvrzW2pOz8LCAvv37we4aPfu3YdrPlAVOOc2AQ8A/SKS9XuqxoFLRGQssN9Xgb8XkS/6v38EGBKRvyjsU6iTPvWdOabno6t388BCZytLba20LWVZbGtlbm0HBMt4Ps/a2Qxr5zKhv2tyfTeZ9lZvAZk8dCxmWT/pDbMJpqNzIVvVGjPpzlYe7euGlsBf5fK0ZnNkW1vO+L5Kjz3b08FsTOdjpWP3zGUqOh8rndNGGHhW7fkrLo8F73Lbw1CuzJwzNU/XQrbi48SRtloIm44oylK9y2NUeZgUvd0pXvmMHihRL9kQwQamXi/cr+1pjvUban1oD+MXdjhANet3hBmSGGUelnv5NdVSfnx9sUvUw31q9WuEoXaVEnRshNmqon6huyfG2Zpi5ELgmIhkAfwg6xF/+1hgvy3AUOD3I/4+sVLqYagtm/M+SJ2+Y9tS+IeVFLB+cr7sQ2DXQhZqfCjqXMjSsZg9w2WpteXUw1cKMu2tLHS2ln34Kn5IbVvKxnY+yh27dSlb8UPqQmert18VjvWk2vOX4sxyUO1De6WBxlJb65krxab87VWcu1JpriYd1e5b7u/DBjZRlKV6l8eo8rARsQCrwanHeN+pqSm6urpiOXaU1PrQnqRfNQ/KYR5oo3QsF9gfGD0Z6fIA1VDsV2mvVCO+T1aOoGMjzFYVVQNPIa8eGpkgk2rnygt6aW1J7jwnzYfe9MSaR1UADA0NsXXrVgDuOjLFTXcehsJoihSk2lo5t6eD8ZOLpxoV+rt5zfO2rzjyodT2KHpyqzlGoaw8cHycHef2c3Q6zb8dLBpa2pLiqqsu4JnbN5xx7EKDyvHjk6Ta2uls7+LCvk76UykeDjayRHQ+Sjbg9HfzlCvP5fN7R6BwD0wBa9q59kkX8Jd//PbTRkTseXDyzN7qFRxXIo78KudYOH/lGBkZYXBwEDjVG158Pp775At5328+s+T3BfNwY5lGsZK97G0tvOjp27hssCfU+VgtHUG/1fatJB0rnaNydWbxcUuOfKiyLNVyjGquoeLtUeZhtfld2L/UKLVKCYysOAMLsAx6mqQHq9qH9kJlfehEnouYS+QBupoH5ZUeaFcLKKLOw1KBfTUuUffABP2q6ZVa6b2O7x9+tKF6toKOSU/7H0xHmAae4ry6e/gwl25aw/uv3d4sQdbDwPnOudbAEMHz/O1BjgBbgXv834t7tCKjr69v+ecHx+fPGKq+mIPHbO7h/N4uHplZ4Lx1yTceVEpLKsVlgz1s6cmzdq13PZS7j+TyeTovupz2ga3cPzK33GBy5NE0LR1eQ0XhfaGXPm6Qlm3nRH4+CtfpgdGTpx17z4OTJe87//qzE6z/xTeSauvgtr3Dy1PcJ91bvRLlHFc7f8H7WTXvc5bKw9WmTS++j0fRELVaOoJ+1aS5HFE0SkZR79Z79MRKeVjqGo/qPhY89l1HpmJp+LMAy2BxaSnS48U1I0w1F37xg91/Hp1L5AG62gflWhdCjDoPw7rU0gNTbgHTA6MneWh0mos3pU57iKqkJ7NcmcnliGXGsjAU52Ejz1ZVaw9ieinHgdGT3HN0mqu39J2xf6MhIqPOub3AS4Fb/X/vDb5/5fMF4DXOuTvwZht8AfDUONKUyZx672V7fzedbS2kA0FWR2uK83u7uGywJ7GyHJbCtVDu4WvHQDcfv/uRM4KVizZ0lXxIHZ7N8MztG2I5H4WgMHjsUvedthaYXsie8RCez/fFFiRERSnH1Qjez6qpu6sJNGoN/iphtXQE/RolOIoi4IwzaC1FuTwESl7jUc7+WTj2TXfG0/BnAZYiag1sMgvh16gIpiGud16qeWiPa8rfWgj7oFyJSyV5GEXgW6lLtYFluamACQztueuR+RUfokpVZlG8T1YvorwOV6JcOYhjOYFSDx4LSzkeGp9vigDL53rgM865G4BJ4OUAzrmvATeIyI+BzwFXAYXp298jIofiSMz8/Kl1W668oHe50WFhKUd7Az6c10LhWij38FWux2DbOV0N0RtU6iF1bUcrE/OnN6IUgr+4goQkCd7Pqnlor2Xa9DgaE1ZLR9CvUYKjKALO4DEKQ3XjLo+l8vD+kbnQvYLlKL5/xNXwZwFWBCQ5h38wDY2+hlLYi6LcQzt4F2Pw/Ef9DlCSVOKyWh4mMdlDNYFlqXIz9GgaSLGUO70sVfMQFcX7ZPWiHmuOlCsHr7ryXD55z/GS5aOYsD2InW0tXNzfHa9ohIjIAbzgqXj7cwM/Z4HX1yM9mzdvXv65tSXF+6/dzj1Hp7nv+AzrOtpUPJwHr4VSD1/l7oktLTREb1CpB908eT6/d6TkPSeuICFJivOw0gf/eveglGO1dAT9GiU4KhwnbFkqHOOSDR20t7fXfJwwxPkMV6+Gv4YLsGxRx9oIE9hMTEwsv6wZlrgDm+KH9nLnf6Vx7Y0QEFdDJa1jq+Vh3D16Yc9pqXLjjXoq/xBV6fDDsO+TReFXCVFeh+UoVw72HJyseD2faq7x4vPc7QcAV17QG5OhfoaHh5cnuQAvyLp6Sx8Xb+jikelw045HTa3vUKx2LZS7J57f28Xu7Rsaojeo+EE3l883ROBQL4rzsNIH/ziH/VXDaukI+jVScBQlldRJcb0nFee7YPVq+Gu4AItTizre6py7Dm9Rx2uK9gku6tgP3Ouc+7aIHK5rSmmcoWhhApu29uiKQbUXRdgH13LnvzCuvfgBujB2P+mAuBpWCgYK5+/BkUW2rzCRR5yB70qNDJVS7p2FYA8WnP4QFWYCiGqGMMbdiFJpHgb3jTKQzWTzHA5cQ8HtpV5CX+kaL5W+4Hl+743vOOtnEQxLR0dH0kmoiOL3HKp5h2K1OmmlHoNGe0gtEHwIf3Bkku2D6xu+cS8MYZ4rGiUPV0pHsV+jpDlKVsvDMNf4asTZk1l87K62llga/hpqoeFmWNSxmDgXMayGRlmsrZq1HKJY96HahR4XGuQ8Qfg1NqDy8xdn+Yji2KXKQvui97eLCS/AGee5q/f1Us6l5+QCc2s6K3Isl45zJud5NMYFKlda0LEZKNRJO3fuDDVN++zsLGvXrj1j+9jsQkU9WPWapj3MQtXz8/N0d6/cmlwI5sP2ctR72nqozK+W76z3tPorUaljnIu6x3nsuPKwGmqdlrzS/Us5rjrFeuAar3b69mKqvcZrWRJici7NFVvW19zwF5imveEXGm7oRR2hvosYVkOpRRo7Fk89iNeLFOUXoiw+d0DoBe1WOv+lFg9slEXtqn1YLuWSrmJBwDjLRxTntFy5gXCLN0ZBnGWmmkUdo1gAslw56JldZLG9raLyUS6vGn3BVC2Mj4+XDLCiJuzQnzC95tPT06s+vEbRY1DOsdR2ILKhUJX4NTvaHbX7weqO9XglJK5ewcKxW+YzPCamCZcaLcCKnLCLOk5PT9Pb63UbZnN53vWNB/np4RN1WcSwWmpp0Qu7aFw1LRHFC/Gt7WiFolmVql0Ur5JFEOdOnqRnzanJMMotale84OFqRNG6W82igsWstCDg37/heWekbaXyEXlLdWChwGJy+Tyvvv4Npy22uVo5DebhakTdWljrQoiVXC+rLeoYpJYFIEuda6BkOQjbI7Ba+hYX5nnCRZsqPl4xKy3oeDaxfv362L8jiqE/Yd6hWLtuXc1pr5RyjoUJX4LbCzOaRjUUqh5+SaPdUbsfrO5Y7zWzVqLWBqF1MeZjowVYDbeo4/z8/HKAdc/RaQ6MnqzbIobVEmeLXth9S02rO72wRFvLme/YVHNxVvJyaWZhYfnhvFFmKEpi3Yy4WoOqOae1PrgF87DexFlmqsnDWt5vLHeuS5WDsOVjtfQtZBprAoZmJVgnxUUUC6eGuW7qcb2XcyxM+BLcXpjRNKopo5O8n9WLShzjXEg2birNQ82OjfI8FaZBaCHG5VEaKsBqxEUd0+n08s8Pjs+zEFjQEeJfxLCeVFNIqy3Q5WaJ29DdymwmG+riXO3BMLgwZ6PMUBTnuhk7BrrrekOv5pzW+uCWSfDhPKoyU6qiraaCqnbF+ygekqthNZdFC7AiIVgnxUUUDUBhrpt6XO/VTPhSbkbTWodCVerX1A/nqzjGOUFCPagkD7U7NsrzVJi6Ls57TUMFWD4NtahjcM2R7f3ddLa1kA4EWUmvnRMl1RTSagt0uYDil39+Iy2pVMnhSlFVLMXrCzXCbD9Rr5vx8KMnufCcNewY6D5jeEs9buiVntNaH9zqsUbUSoQtMytVtMV5WK6sV7vifTULMkfBapXtOXUY2nY2EKyT4iKqoT+1Xjf1uN7LOW4L3JcLlJvRtNa6vxK/Zn84X82x3g1AUVNJHp4Njo3wPBWmQSjOe03DBViNtqhjcM2RKy/oXW4ZTi/lIu0OTaKlqvg7j06Xn6q5uJBWW6DLBRSXDfYsX6DBdEVZsdRjfaFqiXrdjH5mGRzsiXX18yio9cGtEfOwGlaraIN5uBLVrHhfzYLMUbFSZfvo5CRcYEFWWIrXwYqDpIf+1ON6L+e4+5L1HH40fdr2wjtYD0d0Pirxa/aH89Uc454gIW4qycOzwbEc9XymDdMgNDExwfnr45k0qOECrEajq6tr+efWlhTvv3Y79xyd5kdHptjU0xFJoUmiparUd/avaY/tfZB6DCMrR6OuGxNly0/BsdFv6LU+uDVqHlZKJflSq2O5YxcWZE56fHyB9ibPw0YhWCfFRdJDf+pxva/kWK6nOKrzUYlfo9/LV2M1x0aaIKEWKsnDs8GxFPV+pg3TIBTnvcYCrFUonqKytSXF1Vv6OKer7Yz3sWoliZaqUt95Yi7Dxp4Oxk8urvqOx46B7qoLdNzDyMrREWIWyWah4NjoN/RaH9yaPQ8ryZdaHcsdu7Agc9Lj4wt0WoAVCeWmTV7T3sp5vZWf43L7Brdf0JfMddfXto6eNfUpL+UcS22P6nxU4veYzT1879AkC0unruvOthS7BntWzedqykFcx1jNcfPadu4+OsWD4/NklvJ0tKXY3t/NNRefQ0vEC5FHcT6KqSQP6+VYrV+l+6/kuNIxfnpshoen0kUTwqUZnc1w+blrl58lh2cWuPzctZGciz/evY29x2cZejTN1nO6Kj5uLhPfEiIWYK3C5ORk7DM2JdFSVeo7F3PeTf383q6K3vF41ZXn8sDYfOQPb1EHCbMzM+pnbCo4Jj20pxJq6blrtjyspUGiVseV8rwRxscXmJ2dBWqfpt3wKFcn9XS20dNZeZU+sLb0/bTc9npycnyYgU16h5NW4vfMSzrY89AkB0ZPsrCUo7OthUs3reGZl/SvuiBqFHkY9hiVOH7weTu45+g0D43Pc3F/d82Lva5GHGW60jJaD8dq/SrdfyXHlY4xdnKSzFLRM+1SntG5RT70g4eXnyVv/uExLt20hvdfuz2Sc/Kc3up794eGhmHDOaG/uxQWYK1Cf39/7N+RRK/DSq3elb7j8cDYfOLTfldC3AFyI1BwTHpoT1w0Ux6utL7OSg0StTo2S56vW9c8edjI1KNOShrtjpX4BV9JiDsAiYNKHa/e0sfVMS30GieVltGzwbGYUhPCdba1LK+1WHiWTC/lODB6knuOTid2fuK811iAtQozMzOsXRvPC3AFkuh1qOY7693DFvUD48n5k+pXXA86NlKvRVQ0ah5WMz36ag0SYRybIc9Pzs8nnYSqcM6tAf4eeDywBLxNRL5SYr/nAzcAnXhLhn9KRD4UV7rqUScljXbHSv2a+eHc8rD5qdUxOCFcsPe1JZU649WahaUcD43PJ1bG48xHC7BWoR7rcSTRAh38zgeOj7Pj3P6y35lED1uUD4xLi0sRpKix0e7YiH7leqpqnR69ER2jJLu0mHQSquVtwLSIbHfOXQJ83zm3XURmi/YbBn5ZRB5xzvUBP3HO3S0i348jUUmuCff/2jvX2MjO8gA/Y3tsj+31+Lr2ErLZzWU/0IYmJAQFCr2wtAgEpaWlaZoqUaUqAVXlVyIghVBFIoqSQG8QgQShVUD8iFpRFAlSWBIBKgnZhIjukr7skqw32ay9Y896bI9nfJk5/TGXnXU8Y3vmzJw5776P5LV9zpmz33PeM/P5Pd+tVWh31O4HwTvm8ucffj19KuV761/Qfq2gXsdqra/PvrqwacvWFaPBPTy92NbBaitaseYIBPMEuvR/XjXSTTQarXpcGMb11CLoNZRaQSOOYVjMsh1jWK2lqt7p0dvR0U9CuA7WTcBtACJy3Dl3BHg/hYXuy4jIMxU/p5xzLwKXAU1JsFpVJwWJdkftfhCsYy7v8envnyg//LrvRyd9HesDFsOt2Kz1tVrL1g1vDK77eDPjaAnWFrRizZGg2Wqtg7CM8ajGdtZyCEOSUYt616sIy2KW7bgOlt/To7ejo5+EcB2svcBUxe+ngEtrvcA59ybgRuCOasecPn2aiYkJUqkUa2trTE5OMj09TX9/P52dnSwsLDA+Pk4ymcTzPMbHx5mZmSl3Yzl9+jQHDhwgkUgQiUQYGRkhkUgwODhILpcjnU6XzxmNRonH48zOzhKPxy94Wjs1NUV3dze7du1ibm7ugu2l1/f29hKLxTh37hyjo6MsLi6yurpa3h+Lxeju7iaVSjE2Nla309LSEhMTE2WnbDZLNBrdtlMmkynvr3QaHh4mk8mQzWYDd6qM0/T0NH19fb47lThz5kzdTiVeeeWVHTltjNPi4iL79+8PJE5P/O8pXpxJXzDW58WZJb73i5d478FL6naqjFM2my2XO6h7r0Q2m92W02bv8Vr3nogwPj5+gVOJlZWVupw+cU0fz5+BeS/GUCTDjZcNsZCab+j91MhnxMrKCvF4vO44xePVuzZGPM+rujPMHD58eB/w8sGDB+lpYIrns2fPsnv362e++r+z6W1N037LH74DgG/998+2tT0Izs3PMzzUnFlU2oGt/EpJxq/PnCPS1U1PtHNbSYaGGP5qJs23Xph+XWvLLddOttV4np34tSouta5d6SndTh5IaH8fppcWeOeBS+p+/crKCseOHQPYf+jQoZONlsc59zyFJGozJoB54HIRSRSPfxg4ISJfrHK+PcBTwGdE5LGN+5tdJ22Xq6++GoCjR49ua3sQNOrY7jTLz48Y+nUfBBnDb/5imkefO0PlX7cR4Nbr93DLW/1psWiHe3Snsdrp8Zs5ttPnhB80Gsda9ZK1YG1B2Bc43Q7RLt23wVZ+QaxD5jf1xjAsi1m24z3q9/To7ejoJ12dnUEX4QJE5Lpa+51zpyh09Ss9+t0LPFnl2N3AD4EHNkuu/KSROqnZ41L8Qnu9q90PgnWsNoudn2N9LIY6aKZjR9POrIRUKhV0EZpOOp0OughNZSu/WklGWKg3hqUJTCppp4WJS7TjPVrqOnvLtZO878AIt1w72VDXynZ09JPl5eWgi7BTHqPY1a84ycUNwPc3HuScGwV+AHxJRL7e7ELVWydVjkvZdeNHuO9HJ/n090+Qy3vlxGvg7R/m6VMpcvlge7Zor3e1+0GwjqWxPr1dHUSA3iaM9bEY6qCZjrofmfrA2NjYptuH+6LkN6mEqlVLg71dZNZyrOe8qscERa0+pBrYyi+IWRL9pt4YhmUCk+36tXosnZ+T02h/H4ZpLbMiDwL/5pw7AeSA20VkEcA5dy/wmoh8BfgUcAC4wzlXGnv1zyLyjWYUqlqdtBXPvrqw6Ro0z7yS4jvHEk2dEGCn1OsYFrT7QbCOrVhD7GKMYVhawHdCM+NoCdYWpFIp+vtf/8fTxMDOmhX3jxSaplfX86zkzjdbD8W6yK7nWV3PE9RDw6V0mt7ena+AHRa28gtLklGLemMYlglMtuMXlgk7qqH9fZgOWQuWiKSBj1bZd0/Fz3cBd7WqXNXqpK04MZfZdA2aH78033aLf9brGBa0+0Hwjs1eQyxov50mO/UkR5WOrZiZMQiaGUdLsLZgbc3ftVu6uzro7jrfM/Oy4ULilfc8VtbzrOU9vGKm5RW/8MCj0PLleeB5lT8XHq16nkc+XzhPvnj8ZuS9whsl73mF13hAPkfl+8Mr/1OddmuFq0Vuvfb6QmFJMmqxlWMtwrBI7Xb8wj6WrpEYhgHtfq2i3jqp2rgUoO0W//S73m032tXPzxaKdnX0iyD9dprs1JscVTpWawEP8kGMHzQzjpZgbUEr18GKRTtp9XJrnueRGYrS09NTTNrOJ3cbsyiv4rvnecXE7/x5NnmJb4lYoTyvL1tlmaqxp38P0dJAxhoH7isluxSS1VICWkpgSwmth3dBa2Nki/+/FWhfQ2k7fmGZsKMa2mMYwnWw2pJ666Rqa9D8zv4h/mcq1VaLf2pfY6gd/fxuoWhHRz8J0m+nyU69yVGlY7UW8CAfxPjBRbEOlnOuD/gGcD2wDtwpIo9vctyHgXuAHgp/2z4iIl9oVrm0r4MViURInJ2pcAxPq812mTr7GrubGEO3u5/MWo70Wp7l1RyZ1VzLEy7tayhtxy/sY+m0xzCE62C1JfXWSdXGpQBtt/in9nq3Hf38bqFoR0c/CdJvp8lOvclRpWMrZmYMgmbGsW0SLOBOYEFErizO2PQT59yVIrK04bhp4EMi8ppzLg4855z7uYj8pBmF0t5PGvQ7Ntuvp6uDnq4OhoqfM6vredZyefKcbwUrdd2EUkNcRSfOKtmYVz72fHfRaolbNDdIfLNxgV7lj1unffn8+RY6r1RuzrfgUeqeWuEB+D5+cOPp+mIxqvbYLB785pCPpdM8/gqgp0e3X6to5POs2riUZk8IsFOsTmo9frdQtKOjnwTpt9Nkp97kqNKxWgt4kA9i/KCZcWynBOsm4DYAETnunDsCvJ/CVLllROSZip9TzrkXKaxV0pQEq7PN1m5pBtodW+23cZxdK+jLRRkeDLalptai5ee7kr5+23bOkepZJx6/8INwsyO/8MGreO7VRV5KZtg33Ms1ewaIRCBXHHt4YRnOn2Hzslw4xrGUcG5Gscds+RiP4vd86efC/1IrEY12dVLrb9rtjI3c9DVtQkeHrQriB834PGv2hAA7xeqk1uN3C0U7OvpJkH47TXbqTY4qHVsxM2MQNDOO7ZRg7QWmKn4/BVxa6wXOuTcBN1Jcq2QzTp8+zcTEBKlUirW1NSYnJ5menqa/v5/Ozk4WFhYYHx8nmUzieR7j4+PMzMwwMDBQfn0sFiORSBCJRBgZGSGRSDA4OEgulyOdTpfPGY1GicfjzM7OEo/HWV1dLZdjamqK7u5udu3aRWJ2tjyQ9LtHjvPeg5eSODtDb28vsViMc+fOMTo6yuLiIqurq+Xzx2Ixuru7SaVSjI2N1e20tLTExMRE2SmbzbKwsLBtp0wmU95fcpqbm2N4eJhMJkM2my3vD8qpMk7T09MsLCz47lTizJkzLXfaGKfFxUW6u7tDHada9142myW/vrYtpxveMMmlHSl6ezuIrGXKTlkfnDqqOE3WcFpeXmZiYoKZmRmi0SgDu3aRTCYZHBxkdXWVbDbL+PhuTszNMToQYWBggGQyydDQENlMhuzKCuO7d5M4e5bunl56entIpYnh8hsAAAyhSURBVBYYGhpiOZ1mdW2N8fExEolZent7iUa7WFhcYmR4mMXFRdbX1xkbK+zv64vR0dnJ0uISIyMjzKfm8TyPkZER5mbn6OsrtPYtLy8zOjZKMpkkEokwFB8imUzSPzBALp8js5xhdHSM2blZOru6GBgYYP7cPAMDA6ytr7GSXWF0bJS52Tmi0Sj9/X2cTp5jYaGv7nsvmUxuXYtcBCwsLDCsfDybdsd29PO7haIdHf0kSL+dJjv1JkcbHdvtQYwfNDOOkVpPnf3EOfc8hSRqMyaAeeByEUkUj38YOCEiX6xyvj3AU8BnROSxjfsPHz68D3j54MGD9PTU/2R/eXm5/EdHPVx99dUAHD16FDg/kPT5k7NEurqJdXcFPtVlo47tTrP8NsY2SCyG4Ue7Y6N+KysrHDt2DGD/oUOHTvpVrlbRLnVSGNDu2K51Ui7v+dZCYTEMP+a4NbXqpZa1YInIdbX2O+dOUejqlyhu2gs8WeXY3cAPgQc2S678JJlM1n3xN5vytB2numzEMQxo9wP9jtr9QL+jdr9WcTFcR+2O7ernZwtFuzr6hXY/MMdGaacugo9R6Op3pDjJxQ3AzRsPcs6NAj8AviQiX292oept4as25elbJgfabqrLVrViBoV2P9DvqN0P9Dtq92sVF8N11O6o3Q/0O2r3A3NslHYadfwgMOScOwE8DtwuIosAzrl7nXMfKx73KeAAcIdz7oXi1183q1Dj4+N1va6ypSrS0VFuqcp7XnlxxxJBT3VZr2NY0O4H+h21+4F+R+1+reJiuI7aHbX7gX5H7X5gjo3SNi1YIpIGPlpl3z0VP98F3NWqcs3MzNQ1R361KU87IpG2m+qyXsewoN0P9Dtq9wP9jtr9WsXFcB21O2r3A/2O2v3AHBulbRKsdqU0U9hOqTbl6VVjffzltZNtNdVlvY5hQbsf6HfU7gf6HbX7tYqL4Tpqd9TuB/odtfuBOTaKJVhNotaUpxqnujQMwzAMwzAMo73GYLUlS0tLdb2utO7A3e/Zx63X7+Hu9+wLdCr2WtTrGBa0+4F+R+1+oN9Ru1+ruBiuo3ZH7X6g31G7H5hjo1gL1hZMTEzU/dqwtFQ14hgGtPuBfkftfqDfMWx+zrk+4BvA9cA6cKeIPF7j+F7gOSAjIm9rVrnCdh3rQbujdj/Q76jdD8yxUawFawsSicTWB4Uc7Y7a/UC/o3Y/0O8YQr87gQURuRL4EPA151ytDvufB55udqFCeB13jHZH7X6g31G7H5hjo1iCtQWRSPt16fMb7Y7N8CstIj3w9g/z9KkUuXyw60VYDMOPdscQ+t0EfBVARI4DR4D3b3agc+7dwFXAo80uVAiv447R7mh1UvjR7gfm2CjWRXALRkZGgi5C09Hu6LdftUWkgxxjZzEMP9odQ+i3F5iq+P0UcOnGg5xz/cA/AX9EIcmqyenTp5mYmCCVSrG2tsbk5CTT09P09/fT2dnJwsIC4+PjJJNJPM9jfHycmZmZ8mxX2WyWbDZLIpEgEokwMjJCIpFgcHCQXC5HOp0unzMajRKPx5mdnSUej7O6ukomkynv7+7uZteuXczNzTE8PEwmkyGbzZb39/b2EovFOHfuHKOjoywuLrK6ulreH4vF6O7uJpVKMTY2VrfT0tISExMTZae+vj6mpqZUOVXGKRKJMDU15ZtTd08PD/18vlwnff7wS+wf7OL+D1xF4uxMS5w2xsnzPNLpdKjjVOve6+3t5ezZs6qcNsYpm81y5swZVU4b4xSLxZiZmanbKR6vPgQoonWl5sOHD+8DXj548CA9PT11n2dqakr9OgDaHf32e/pUivt+dPKCKfh7uzq4+z37AhtvZzEMP9odG/VbWVnh2LFjAPsPHTp0stHyOOeep5BEbcYEMA9cLiKJ4vEPAydE5IsbzvMwcEREHnHO/R7w0GZjsKxO2j7aHa1OCj/a/cAct0OteslasLZgcDC4BYBbhXZHv/2qLSL9m7lMYJWZxTD8aHdsNz8Rua7WfufcKeAyoNRJfy/w5CaHvgv4gHPuHqAXGHbO/VJEfsvP8pZot+vYDLQ7Wp0UfrT7gTk2iiVYW5DL5YIuQtPR7ui3X7VFpK8Yjfn6/+wEi2H40e4YQr/HgDuAI865q4AbgJs3HlSZSNVqwfKLEF7HHaPd0eqk8KPdD8yxUWySiy1Ip9NBF6HpaHf026+0iHRvVwcRCl0xSotIB4XFMPxodwyh34PAkHPuBPA4cLuILAI45+51zn0siEKF8DruGO2OVieFH+1+YI6NYi1YWzA5ORl0EZqOdke//UqLSD/76gK/mctwxWiMG944GOgi0hbD8KPdMWx+IpIGPlpl3z1Vtj8FNK31CsJ3HetBu6PVSeFHux+YY6NYC9YWTE9PB12EpqPdsRl+pUWkb3nrJDfujQdakYHFUAPaHbX7tYqL4Tpqd7Q6Kfxo9wNzbBRLsLYgGo0GXYSmo91Rux/od9TuB/odtfu1iovhOmp31O4H+h21+4E5NoolWFtQa457LWh31O4H+h21+4F+R+1+reJiuI7aHbX7gX5H7X5gjo2ieQxWJ8Dq6mpDJ5mZmeGSSy7xpUDtinZH7X6g31G7H+h3bNSv4rO805cCtR6rk7aJdkftfqDfUbsfmON2qFUvaU6w9gAcP3684RPNz883fI52R7ujdj/Q76jdD/Q7+uS3B/iNHydqMVYn7QDtjtr9QL+jdj8wxx3wunpJc4L1LPBu4AygfzJ/wzAM3XRSqMSeDbogdWJ1kmEYhi6q1ksRz/NaXxzDMAzDMAzDMAyF2CQXhmEYhmEYhmEYPmEJlmEYhmEYhmEYhk9oHoPVEM65A8C/A6PAHHCriDQ+OjlAnHMPAX8K7APeIiJHi9tVuDrnRoFHgSuAVeA4cIeIJJxzNwJfBWLASeCvRORsUGVtBOfcd4D9QB5YAv5ORF7QEscSzrnPAf9A8V5VFsOTQLb4BfBJEXlCi6Nzrhf4R+C9FBx/JiK3a7tHW4nGa2d1Uvjf62B1EjpieBKrk3y9R60FqzpfAb4sIgeAL1O4wcLOd4DfAaY2bNfi6gEPiIgTkbdQmNHlfudcB/BN4G+Ljj8G7g+wnI1ym4hcIyJvBR4CHilu1xJHnHPXATdSvFcVxhDgz0Tk2uLXE8ocH6BQiR0ovhc/W9yu5h4NAI3Xzuqk8L/XweokDTEEq5N8vUctwdoE59xu4Drg28VN3wauc86NB1eqxhGRn4rIK5XbNLmKSFJEnqrY9DRwGXA9kBWRnxa3fwX48xYXzzdEJFXxaxzIa4qjc66Hwgfdxys2q4phFVQ4OucGgFuBz4qIByAiM5ru0Vaj9dpZnRTu93oJq5OAkMewCiocg6qTLMHanEuB0yKSAyh+f624XRsqXYtPXj4OfBfYS8UTUhGZBTqccyMBFa9hnHNfc86dAj4P3IauON4LfFNETlZsUxdD4FvOuV865x52zg2hx/EKCl0tPuecO+Kce8o59y503aOt5mK6dipdrU4KdRytTiLUjoHUSZZgGVr5Vwp9wb8UdEGagYj8jYjsBe4GHgy6PH7hnHsH8Dbg4aDL0mTeLSLXADcAEXTdp53A5cAvRORtwCeB/wQGAi2VYQSL1UkhxOokFQRSJ1mCtTmvAJc45zoBit/fUNyuDXWuxYHTVwE3iUgeOEWhW0Zp/xiQF5FkQEX0DRF5FPh94FV0xPF3gTcDLxcH3b4ReAK4EkUxLHWLEpEVChX3b6PnPj0FrFPsdiEizwCzQAYd92gQqPucroE6V6uTQh1Hq5OKhNgxkDrJEqxNKM6Q8gJwc3HTzRQy30RwpWoO2lydc/dR6Df8x8UPCoDngFixSRjgY8BjQZSvUZxzA865Syt+/xCQBFTEUUTuF5E3iMg+EdlHoZJ+H4Unolpi2O+cixd/jgB/QSF2Ku7TYjeSJ4E/gPKMcLuBX6PgHg0CbZ/TtdDmanVSuONodVL4HYOqkyKe5/lxHnU4595EYerGYeAchakbJdhSNYZz7l+AjwCTFLL3ORE5qMXVOXcQOErhTZMpbn5ZRP7EOfdOCrPD9HJ+qtGZQAraAM65CeC/gH4gR6Eiu1NEntcSx0qKTww/KIUpcbXE8HLgPyh0W+gEfgV8QkTOKHN8hMLUt2vA34vI9zTeo61C47WzOknFe93qpPDH0OqkJtyjlmAZhmEYhmEYhmH4hHURNAzDMAzDMAzD8AlLsAzDMAzDMAzDMHzCEizDMAzDMAzDMAyfsATLMAzDMAzDMAzDJyzBMgzDMAzDMAzD8AlLsAzDMAzDMAzDMHzCEizDMAzDMAzDMAyf+H9k5zb/+3XJOQAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "tsplot(ads_diff[24+1:], lags=60)" ] @@ -1944,11 +2224,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 44, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "36" + ] + }, + "execution_count": 44, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "# 设置初始值\n", "ps = range(2, 5)\n", @@ -1974,10 +2263,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 45, + "metadata": {}, "outputs": [], "source": [ "def optimizeSARIMA(parameters_list, d, D, s):\n", @@ -2018,24 +2305,113 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 46, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "f5598067c61043148894ac0a6007c1dd", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "HBox(children=(IntProgress(value=0, max=36), HTML(value='')))" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n" + ] + } + ], "source": [ "result_table = optimizeSARIMA(parameters_list, d, D, s)" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 47, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
parametersaic
0(2, 3, 1, 1)3888.642174
1(3, 2, 1, 1)3888.763568
2(4, 2, 1, 1)3890.279740
3(3, 3, 1, 1)3890.513196
4(2, 4, 1, 1)3892.302849
\n", + "
" + ], + "text/plain": [ + " parameters aic\n", + "0 (2, 3, 1, 1) 3888.642174\n", + "1 (3, 2, 1, 1) 3888.763568\n", + "2 (4, 2, 1, 1) 3890.279740\n", + "3 (3, 3, 1, 1) 3890.513196\n", + "4 (2, 4, 1, 1) 3892.302849" + ] + }, + "execution_count": 47, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "result_table.head()" ] @@ -2049,11 +2425,46 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 48, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + " Statespace Model Results \n", + "==========================================================================================\n", + "Dep. Variable: Ads No. Observations: 216\n", + "Model: SARIMAX(2, 1, 3)x(1, 1, 1, 24) Log Likelihood -1936.321\n", + "Date: Tue, 21 May 2019 AIC 3888.642\n", + "Time: 06:21:13 BIC 3914.660\n", + "Sample: 09-13-2017 HQIC 3899.181\n", + " - 09-21-2017 \n", + "Covariance Type: opg \n", + "==============================================================================\n", + " coef std err z P>|z| [0.025 0.975]\n", + "------------------------------------------------------------------------------\n", + "ar.L1 0.7913 0.270 2.928 0.003 0.262 1.321\n", + "ar.L2 -0.5503 0.306 -1.799 0.072 -1.150 0.049\n", + "ma.L1 -0.7316 0.262 -2.793 0.005 -1.245 -0.218\n", + "ma.L2 0.5651 0.282 2.005 0.045 0.013 1.118\n", + "ma.L3 -0.1811 0.092 -1.964 0.049 -0.362 -0.000\n", + "ar.S.L24 0.3312 0.076 4.351 0.000 0.182 0.480\n", + "ma.S.L24 -0.7635 0.104 -7.361 0.000 -0.967 -0.560\n", + "sigma2 4.574e+07 5.61e-09 8.15e+15 0.000 4.57e+07 4.57e+07\n", + "===================================================================================\n", + "Ljung-Box (Q): 43.70 Jarque-Bera (JB): 10.56\n", + "Prob(Q): 0.32 Prob(JB): 0.01\n", + "Heteroskedasticity (H): 0.65 Skew: -0.28\n", + "Prob(H) (two-sided): 0.09 Kurtosis: 4.00\n", + "===================================================================================\n", + "\n", + "Warnings:\n", + "[1] Covariance matrix calculated using the outer product of gradients (complex-step).\n", + "[2] Covariance matrix is singular or near-singular, with condition number 6.28e+31. Standard errors may be unstable.\n" + ] + } + ], "source": [ "# 设置参数\n", "p, q, P, Q = result_table.parameters[0]\n", @@ -2072,11 +2483,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 49, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "tsplot(best_model.resid[24+1:], lags=60)" ] @@ -2097,10 +2517,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 50, + "metadata": {}, "outputs": [], "source": [ "def plotSARIMA(series, model, n_steps):\n", @@ -2143,11 +2561,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 51, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotSARIMA(ads, best_model, 50)" ] @@ -2234,10 +2661,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 52, + "metadata": {}, "outputs": [], "source": [ "data = pd.DataFrame(ads.Ads.copy())\n", @@ -2253,10 +2678,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 53, + "metadata": {}, "outputs": [], "source": [ "for i in range(6, 25):\n", @@ -2272,11 +2695,260 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 54, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
ylag_6lag_7lag_8lag_9lag_10lag_11lag_12lag_13lag_14lag_15lag_16lag_17lag_18lag_19lag_20lag_21lag_22lag_23lag_24
Time
2017-09-21 17:00:00151790132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0123945.0142425.0146215.0139515.0
2017-09-21 18:00:00155665146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0123945.0142425.0146215.0
2017-09-21 19:00:00155890141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0123945.0142425.0
2017-09-21 20:00:00123395142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0123945.0
2017-09-21 21:00:00103080146020.0142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0
2017-09-21 22:00:0095155152120.0146020.0142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0
2017-09-21 23:00:0080285151790.0152120.0146020.0142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.0
\n", + "
" + ], + "text/plain": [ + " y lag_6 ... lag_23 lag_24\n", + "Time ... \n", + "2017-09-21 17:00:00 151790 132335.0 ... 146215.0 139515.0\n", + "2017-09-21 18:00:00 155665 146630.0 ... 142425.0 146215.0\n", + "2017-09-21 19:00:00 155890 141995.0 ... 123945.0 142425.0\n", + "2017-09-21 20:00:00 123395 142815.0 ... 101360.0 123945.0\n", + "2017-09-21 21:00:00 103080 146020.0 ... 88170.0 101360.0\n", + "2017-09-21 22:00:00 95155 152120.0 ... 76050.0 88170.0\n", + "2017-09-21 23:00:00 80285 151790.0 ... 70335.0 76050.0\n", + "\n", + "[7 rows x 20 columns]" + ] + }, + "execution_count": 54, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data.tail(7)" ] @@ -2290,10 +2962,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 55, + "metadata": {}, "outputs": [], "source": [ "from sklearn.linear_model import LinearRegression\n", @@ -2312,10 +2982,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 56, + "metadata": {}, "outputs": [], "source": [ "def timeseries_train_test_split(X, y, test_size):\n", @@ -2342,10 +3010,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 57, + "metadata": {}, "outputs": [], "source": [ "y = data.dropna().y\n", @@ -2365,11 +3031,21 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 58, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "LinearRegression(copy_X=True, fit_intercept=True, n_jobs=None,\n", + " normalize=False)" + ] + }, + "execution_count": 58, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "lr = LinearRegression()\n", "lr.fit(X_train, y_train)" @@ -2384,10 +3060,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 59, + "metadata": {}, "outputs": [], "source": [ "def plotModelResults(model, X_train=X_train, X_test=X_test, plot_intervals=False, plot_anomalies=False):\n", @@ -2436,10 +3110,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 60, + "metadata": {}, "outputs": [], "source": [ "def plotCoefficients(model):\n", @@ -2466,12 +3138,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 61, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotModelResults(lr, plot_intervals=True)\n", "plotCoefficients(lr)" @@ -2493,12 +3185,235 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 62, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
ylag_6lag_7lag_8lag_9lag_10lag_11lag_12lag_13lag_14lag_15lag_16lag_17lag_18lag_19lag_20lag_21lag_22lag_23lag_24hourweekdayis_weekend
Time
2017-09-21 19:00:00155890141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0123945.0142425.01930
2017-09-21 20:00:00123395142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.0123945.02030
2017-09-21 21:00:00103080146020.0142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.0101360.02130
2017-09-21 22:00:0095155152120.0146020.0142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.088170.02230
2017-09-21 23:00:0080285151790.0152120.0146020.0142815.0141995.0146630.0132335.0114380.0105635.098860.097290.0106495.0113950.0121910.094945.080195.072150.070335.076050.02330
\n", + "
" + ], + "text/plain": [ + " y lag_6 ... weekday is_weekend\n", + "Time ... \n", + "2017-09-21 19:00:00 155890 141995.0 ... 3 0\n", + "2017-09-21 20:00:00 123395 142815.0 ... 3 0\n", + "2017-09-21 21:00:00 103080 146020.0 ... 3 0\n", + "2017-09-21 22:00:00 95155 152120.0 ... 3 0\n", + "2017-09-21 23:00:00 80285 151790.0 ... 3 0\n", + "\n", + "[5 rows x 23 columns]" + ] + }, + "execution_count": 62, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "data.index = pd.to_datetime(data.index)\n", "data[\"hour\"] = data.index.hour\n", @@ -2516,11 +3431,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 63, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(16, 5))\n", "plt.title(\"Encoded features\")\n", @@ -2539,10 +3463,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 64, + "metadata": {}, "outputs": [], "source": [ "from sklearn.preprocessing import StandardScaler\n", @@ -2558,11 +3480,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 65, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABDAAAAEYCAYAAACqUwbqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xd4Hfd54PvvzOkHB733Sv4IEuykKKpSoiRLco0dO+6JY2/i3M313t1N1s86TmKnbbLPbrzJTfbaazuOe4lsybYk25LVSEpiETsJckg0ovd6gNNn7h9zCIIkSIIkgAOA7+d5+ACc+jtzBufMvPP+3p9mWRZCCCGEEEIIIYQQS5me6gYIIYQQQgghhBBC3IgEMIQQQgghhBBCCLHkSQBDCCGEEEIIIYQQS54EMIQQQgghhBBCCLHkSQBDCCGEEEIIIYQQS54EMIQQQgghhBBCCLHkSQBDCCGEWEKUUq8qpT41z9v8glLqO/O5TSGEEEKIxeZMdQOEEEKIhaSUagNKgBLDMAZnTD8KbAKqDcNoS0njliCl1BeAOsMwPprqtiwkpZQFrDIMoynVbUkFpdQu4GVgasbkf28YxjdvsN7HgW8C/84wjK8lp30B+BMgMmPRDYZhtCilMoEfATuA54CPG4aRSK73f4BfGobxk3l5UUIIIVY8CWAIIYS4E7QCHwL+XwCl1HrAn9IWiRtSSjkNw4inuh2pNNsxuNnjcp3luw3DKLuJ7WQDnwNOzzL7h9cIev0+cBR4F3bA5DeAp5RSO7GDihK8EEIIMWcSwBBCCHEn+DbwcZIBDOC3gW8Bf3VxAaWUB/hr4AOAB3ga+I+GYYSSN27fxn6K7AReBz5tGEZnct1Xgb3Aw8AG4E3gwzMzPmbs57rbSqpVSh0E1gCvAJ8wDGNYKeUFvgY8ATiA88A7DMPoU0qVAF8G7gOGgb8zDOOrs+x/F/CdmTeuySyVTyXb8zlAU0q9B2g2DGNj8in63wNPAibwDeDPLz5Jv2L7XwAagERy+fPJ9h9Pzi9Jvg8PAEHgS4Zh/OMV64axb3j/k1LqG8BngU8CBcA54D2GYXQopdYkt7UVGAD+1DCMHyW39a/AJFCV3Fdj8j1pVkrtSTb3eDIT45PAC9d7X5RS1diZB5uBA4ABZF68aVdK3Z08RmuBC8B/MAzj1SuPzy0eg7JZpn0b+Dvs8xXsLIfPGoYRufgeJ/fxH4EXgY/N1pab9N+Af5yxz7moBp5JtmsvUKOUcgBfAj48D20SQghxB5EaGEIIIe4E+4EMpVR98ubpg9g3eDP9LbAau1tJHVAK/Flyno59014JVAAh4J+uWP/DwCewb7LdwB9doy1z2dbHgd8FioE49k0j2IGXTKAcyAU+nVwf4AdAJ3Z3md8E/kYp9fA12jArwzB+CfwN9tP0gGEYG5Oz/jXZjjrsG/jHsAMe1/Ju4N+AHOB7wDNKKZdSSgd+DhzHPr67gf9HKfW2K9Z9CsgCvgv8J+zsmSeBjORxmVJKpWHfmH8P+5h/EPjfSqm1M7b1QeCLQDbQhB2gwjCMB5LzNyZf5w+58fvyPeAg9nH/AjMCAkqpUuzuEX+VfM1/BPxYKZV/5YG5xWMw27Q/Ae7GPl83AncBn5+xjaJkWyqB37uyHUkFSqk+pVSrUupLyWM6K6XUXcA27CDZbN6plBpWSp1WSv3BjOmngEeUUj7gfuzsjc8AvzAMo+Va+xNCCCFmIxkYQggh7hQXszBeA84AXRdnKKU07Ju8DYZhDCen/Q32Tet/NQxjCPjxjOX/GjszYqZvGIZxLjn/R9hPyq8yx2192zCMU8n5fwocU0r9NhDDvoGuMwzjBHA4uUw5cC/wdsMwwsnlv5Z8vS/P6ehcg1KqEDt4kGUYRgiYVEp9Cft4feUaqx02DOOp5Pp/D/xn7JvtKJBvGMZfJJdrUUp9FTvQ8KvktDcNw3gm+XsoWdD0vxiGYSSnXczk+C2gzTCMbySnH1VK/Rh4P3bQAuBpwzAOJpf/LnaGxKyu974opSqA7cBuwzCiwD6l1M9mrP5R4HnDMJ5P/v9FpdRbyeN2ZU2J7bdwDGab9hHg/zYMoz/Zxi9ivx9/mlzGxM6SmVmXYqaz2MGPs9hBjm8mj8/vX7lgMuj3v4E/NAzDTLZnph8B/wfow85g+bFSatQwjO8DX8cOwB0Ansd+//4SeEgp9WXsjJU9hmF8/sqNCiGEEFeSAIYQQog7xbeBPdgp7d+6Yl4+dk2MwzNuzjTsbhoopfzYKe+PYz/NB0hXSjlmdKPonbG9KSAwWyPmuK2OGatcAFxAXvI1lAM/UEplYWeR/Al21sWwYRgTV6y3bdYjcXMqk/vvmXFs9CvaeKXpeckb3ouZIRZQopQanbGsA7v7zVXrJpUDzddo144rtuXEPkYXzek9geu/L1w6vjMLXnYk23axLe9XSr1zxnwXVwemLi57s8dgtmkl2O/xRReS0y4aSAazZmUYRi+Xjk+rUuq/AM8ySwAD+L+AE4Zh7L/Gthpn/PcNpdQ/YGcBfT/ZhukMEKXUv2F3U/oI9nn0IPCCUurxZAaQEEIIcU0SwBBCCHFHMAzjglKqFfup+CevmD2I3WVgnWEYXVetbGcQKGCHYRi9SqlN2IUJtVtoyly2VT7j9wrszIvBZIDji8AXlVJV2E+0Dez6DTlKqfQZQYwKZmSZzDDJjAKmyRv0mV0drCuW78AeXSLvJgpHTrc/2WWiDOjG7obSahjGquusO9v+a7G7Ilw5/TXDMB6dY5tu5HrvSw/28fXPCGLMfI86sLNm/t0c9tPBzR+D2aZ1YwdDLhbUrEhOu942rsfi2l2LdwMPKqWeTP4/B9islNpkGMYfXmNbV/1tKKUeBzTDMH6plPr/gLcMw7CS2SobAAlgCCGEuC4JYAghhLiTfBLINgxjUik1/R2YzBL4KvAlpdQfGobRn6xr0GAYxq+AdOwAx6hSKgf489tow1y29VGl1LeANuAvgKcMw0gopR7CDrY0AuPYgQ0zWdDyDeC/KaX+CLuWxyexn3Jf6RzgVUq9HTvw8TnsoqUX9QGPKqV0wzBMwzB6lFIvAP8z2Z0liJ3FUmYYxmvXeI1blVLvBX6GXe8ggl2HxAQmlFKfxe5WEAXqAZ9hGIeusa2vAX+plGrErmOxHjsw8yzwt0qpj2HX/wC7S0TQMIwz19jWTH1ATXKbcJ33JRn8egv4glLq89hFQ9+JXcsC7EyYQ8k6Fr/Gzr64G2i6ojgr2HU0bvYYzOb7wOeVUoewAwZ/xtV1Xa4peS61AO3YAaa/BX56jcV/B/DO+P9PsOtxfD25rXdjZzeNYneR+Qz2eTVzf97kPt6RnNQK7EoWW72XS3VehBBCiGuSIp5CCCHuGIZhNBuG8dY1Zn8W+2Z2v1JqHPtG9GKfif8F+LCDB/u5vSfFc9nWt7ELZ/Zi3zh+Jjm9CPvGcRy7jsdrXOoy8SHsETe6sUdQ+XPDMH595YYNwxjD7hLwNexAwCR28c+L/i35c0gpdST5+8exC5M2AiPJNhRf5zX+FPit5LIfA95rGEYsmUHyDuxAQ2vyGHwNuzDptfw9do2FF5Kv++vYN/sT2MVEP5h8zb3Yo3J4rrGdK30B+KZSalQp9QFu/L58BNgJDGEX6/whdmAGwzA6sItsfg57NJQO4I+Z5TrrFo/BbP4KeAs4AZwEjjBjVJ052Ay8gf3+v5HcxsXzDKXUL5RSn0u2edQwjN6L/7CDLuPJcwns96AJmMDunvV3hmFcWfvjc8B3ZwR0voLdLWoA+/x7+ibaLoQQ4g6lWdbNZhgKIYQQQswuOQxo3cXhRVcqpdQPgbOGYdxONo4QQgghboJ0IRFCCCGEuAGl1HZgGDtr4jHsjIu/TWmjhBBCiDuMBDCEEEIIIW6sCLv2Qy52l4c/MAzjaGqbJIQQQtxZpAuJEEIIIYQQQgghlrw7KQPDg10ZuwdIpLgtQgghhBBCCCHEncyBXRT8EMnC2DdywwCGUup/AO/Drmy+3jCMU8np7wD+Enucbw34omEYP0nOWw18EzvNcgj4uGEY5xdq3hxtB/bexPJCCCGEEEIIIYRYWPcD++ay4FwyMJ4B/oEZN/9KKQ172Lb7DcM4pZTaALyulHrGMAwT+DLwz4ZhfEcp9VHsobIeTq6+EPPmogdgZGQS01w+3WZycwMMDQVT3Qxxh5LzT6SKnHsileT8E6kk559IFTn3xGLTdY3s7DRI3qvPxQ0DGIZh7ANQSl05y+TSmOVZQI9hGKZSqgDYAjyanPd94J+UUvnYmRrzOs8wjIE5vtYEgGlayyqAASy79oqVRc4/kSpy7olUkvNPpJKcfyJV5NwTKTLnEg+3VAPDMAxLKfUB4KdKqUkgHXgyObsc6DIMI5FcNqGU6k5O1xZg3lwDGIAdWVxu8vPTU90EcQeT80+kipx7IpXk/BOpJOefSBU598RSd0sBDKWUE/ivwLsNw3hdKXUv8COl1Np5bd0CGBoKLqvIYn5+OgMDE6luhrhDyfknUkXOPZFKcv6JVJLzT6SKnHtisem6dtMJBvot7msTUGIYxusAyZ+TQD3QAZQqpRwAyZ8lyekLMU8IIYQQQgghhBAr3K0GMDqBMpUsjKGUqgcKgWbDMPqBY8CHkst+CDhqGMbAQsy7xfYLIYQQQgghhBBiGZnLMKr/CLwXKAJ+rZQaMgxjnVLqD4CnlFJmctHfNQxjOPn7p4FvKqX+DBgBPj5jkwsxTwghhBBCCCGEECuYZlnLpx7EbaoCWqUGhhBzJ+efSBU590QqyfknUknOP5Eqcu6JxTajBkY10DandRayQUIIIYQQQgghhBDz4ZZGIRFCCCGEmG+WaRIyzhLt78cRCOBIT8ddWIQzMzPVTRNCCCHEEiABDCGEEEKknGVZTBx4k2h/P67sHOJDQ0Q6O9DdbpyZmSQmJpg4chhnRjqO9Izkv3R0nw9N01LdfCGEEEIsAglgCCGEECLlNE3DVViEp6wcT3kFAGYsCtjBCctMoLtcRPv7Mdvbp9fL2LETd1ER8fFxYoMDONLTcWZlo7tcqXgZQgghhFhAEsAQQgghREpYlkWkrRXd58ddVISvpvay+brLPf27MzOLjHvuBcCMREgEJ0iMj+PMzgYgNjjI5MkT9rLp6WTuehhNl1JfQgghxEoiAQwhhBBCLDorHid44jiRjnY8ZWW4i4rmvK7u8aB7PLhy86aneaur8ZSUEOnsYPL0KWKDg7gLChai6UIIIYRIEXk0IYQQQohFlQgGGdu7h2hnB/419QS2bLvtbWqahu714q2uQXe7iXRcmIeWCiGEEGIpkQwMsSRZlgWWJem/QgixwiSmJhnb8ypoGuk77sZdOPfMi7nQHA78a9ehe33zul0hhBBCpJ4EMMSSEx8bZfLkCdzFJfhq64hPjJOYCOIuLpZK80IIsczpPj/e2jo8ZeU40tIWZB/eyqoF2a4QQgghUksCGGLJMKNRps6eIdLWiuZyo1d6AAi3tBBua8WVm4t/bQOunJwUt1QIIcTNMCMRJk8cx7dmDc70DPxqzYLvMxEMEu3vx1dTs+D7EkIIIcTikACGWBKiPd0Ejx/DikbxVFXjX1OP7rarz6et34AzM5Mp4yxje1/DU1qKf81aHIFAilsthBDiRuKjI0wcOogZDuMuKcWZnrEo+4329TJ56iSuvDycGYuzTyGEEEIsLAlgiJSyLAtN09DcbhyBQDJYkXXZMpqu462qxl1aRri5iVDTeXR/Gmlr16Wo1UIIIeYi3H6ByRPH0d0eMu57AFdyyNPF4CkrZ6rxNJGOdpzrGhZtv0IIIYRYOBLAEClhRiJMnWlEczpIa9iAKzePjHvvv26NC93lwr+mHm9VFTjsUzc60E9idAxvTQ2aw7FIrRdCCHEjkY4OgkeP4M4vILB1G7rHs6j71z0eXIWFRDo78NevlaLQQgghxAogAQyxqCzTJHKhjamzZ7Dicbw1tZeyMOZYoHNmZflYXy+h5mbCbS3416zFXVYmhT6FECIFLNPEnJrCjEZw5eTiLikhLbYRb1VVyoIH3vIKxnt6iPX34S4qTkkbhBBCCDF/JIAhFk18bIzg0cPEx8Zw5eXb3UVus19yWsMGXIVFTDWeZuLIWzhbmkhrWI8rN2+eWi2EEOIiy7KwIuHpQHK4/QLRnm4SwSDm1BSWaaK7PeQ88SSaw5HyApquwiIcPj+JycmUtkMIIRaDZZqSbSZWPAlgiEWjORxY8QTp2+7CXVIyb5kS7vwCXA/kE+3sZOpMI4mJCQlgCCHEPIgNDxPr6yURDNr/JoNYiQS5b38nmtOJOTmJORXCmZGJo6QUPS0NRyAwnVmXapquk/XIo3JBL4RYkWIDA0T7+0iMjxEfH8eKRnHl55N+193yuSdWLAlgiAVjmSbh1hbioyOkb92OIxAga/cjC3JRq2kanvJy3CUlkNx+uK0NMxrBv1rN+/6EEGKlsSyL+OAgoaZzBDZvQff6iI8MJwsn+3EEArjy8y8bAcpfvxZ//doUtvrGLl7EW/E4mlMue4QQC8OyLMxQCE3X0dzueQkgWJaFOTVlBygmJkiMj5EYHyfzgV1oTifRgX7CrS040tNxFxSguVyYkcj0vqeMszj8abiKitBdrttujxBLgXyTi3lnWRaxvl4mG0+TmJjAXVA4feG40E/kZhbyjI8MEensxFNSKkOuCiHENViWRWxggNC5s8SGhtC9XhKTk+heH97KKrzVNcv+SV7w2FHioyNk7Xo41U0RQqwwZiRCpLODSPsF4uPjAKQ1rMdXW0diYoLxA/vRXC40pxPd5UJzOfFUVuHKycUMh4n29aI5XfYymkZ8YgJPSTG610ekrZXgiePT+3KkpeHIyMCMxXA4nfhXK/xr6mf9jLZMk0hHB4nJIJrDgbugEHdpKe7CoiUXzDUjEeIjI7iLilLdFLEMLK2zVyx7iclJgkePEBsaxJGeTsaOu3EVFqUkldhfv45IVxdTxlnSt25b9P0LIcRSZ5km42/sIzY0hMPnI239RryVldPB4KV2kXurnJmZhC+0ER8dxZmVdeMVhBBiDqx4nJFfv4AVj+PKziGtYT1oOq7cXHsBXceZnYUVi2HF43Y3vFgcV6FdVDgxMUHw2NGrtuvweXEX+3DlFxDYuBlHRgaO9PSrsiiu9xmt6TpZux8hPjJMtKuLSHcXkZ5uO3NutcIyTTDNlHzOW5ZFYmyMaH8fsb5e4iMjWJZFztueQPd6iXR14Ujz48xavKG3xfKxMq5MRMpdLBqkuV1YsSiBjZvwVFSm9KndWEzDLKkg2tlGfPVqnOm3VzBUCCFWgosXjs6sLDRdx5mdg6e0zP7MXqHDUbtLy9BOnyLS0S4BDCHELUsEg4TbL2AGg6TftQPN6SSwYSOOzKxZC9M70tJI37r9mttz5uaS/ejbsOJxrFgMTBM9EED3eu31A4HbyiLWNA1XTi6unFz8DeuJDw+h+9MAiPb22ENdFxXhKS3DlV9wy/uZCzMWRdN0NKeTSPuF6cCNMzsb32plP/D0eLAsi6nGUySmpnDl5uGrrbXnLfNMQDF/JIAhbosZiRA6bxAbGiLz/gfRXW4ydz2csuJt8YTJsfODvHa8m9Otw+T5df64xkHo7FnSt9+VkjYJIcRSYHfv62Pq3FniIyNkPbQbZ0YGaesaUt20Bae73biLiol0duBfu27FBmqEEPPPisft7IX2C8SGhtB0HVdBIVYigeZw4CmvuOVta7qOw++fx9ZeZ1+adlmRe0daGp7SMqI93UQ6O9FdLvRVVVh16+yuLOPjdkDF60XzeG762t6yLBITE8T6+oj29RIfGSZtw0a8lVW4CwtJ37IVV37BdLBmpsxdDxFpbyfc0sz4wQM40tJIW78Rd2HhbR8HsfxJAEPcEiseJ9zaQuj8Oax4HE9Fpf1BruspCV70j4bYe7ybvSd6GJ+MTk8fnDLpz61gVWXxordJCCGWAsuyiPX2MHXOID46isPvJ7Bp8x1XG8hTXkGkq5NoXy+ektJUN0cIsYRZlgWWhabrRDo7CB4/hiM9nbS1DXjKy6aHkl7OnJlZBDZtxtqw0R7NpLuT2Ng4zuR1fMg4S6S7C7ADLbrHiyMzk4wddwMQ7enGSiTQfT50jxfd54PkfYAVjzP68kskQlPJfWXiq1uFMzsHAN3ru27gR3e58dXW4a2uIdrbQ7i5Cc1l37YmQiGwTBzJTBJx55EAxgoW7e0hMTmJMzMTR2bWvFUfTgSDjL+xj0QohLuoCP/adSnpnnFltsVFxbl+dm0qpW9kipePdHE86GNdwcKmxQkhxHywLItoZyeRni50twdvdTXOzCzMaDRZWNOL7vHcVCqtFY0ycfgtdK+PwOYteMrK78hUXFd+PoHNW3Dl56e6KUKIJcoMh4h0dBLuuICvugZvdQ3u0lIyMzJwZucsieGh55um67gLC3EXFpKfn87AwAQAvjVrcJeWYYbDmOEQZjh82XfH1PlzxEdGLtuWp7hkumuNu6QYR3oGroJCHL5bC/houo6npPSyoHPIOEukox13cTHemjpcOTm3tG2xfEkAYwUxo1HiQ4O4i0sAiLS3E+npnp7vCARwFxaS1rABYDr1bc7bD4fQvT50vx9nbi6ByipceYt/IThbtoXTobN9TQEPbiphVVkmmqbR1DlmBzCaB/mtB6sInz+Hu7hEPuiEEEtSfHycyZPHiQ0O4vD5iVsmntIyAGKDA0wcOgjYacCa243u9RLYvAVnZhbx8XHiQ4N2qq/Xhzk1Ray/l7RNW9A9HjLvux9HRuYdGbi4SNN1vBWVqW6GEGKJsSyLkHGWWH8/8VG7mKQrNxfdZ3ft0F1u9JzcFLdy8TnTM677gDJj5z12cCM0I8AxI8Bz8X5jvvlWKzSXi8iFNiJdXbiyc/CtWjV9/yNWPglgLHNmOEy0t4doTzexwUEs0yT7kcfsvmIbN5G2YSPxsTHiY6P2h3LCnF539JWXQNNwZmXhzMzCmZU1a6ZGfGyUqcbTxMfGyNr9KLrLdd2CRAthOtviWBen2y5Fe0vy0nhwYwk7G4oI+C5vd01JBgGfi4HRMH0jIbwd7cRHR8m8975FbbsQQtyIZZpM7H8TKxEnsHEznsrKyy4EXTm5ZOzYedmTMDMSRnPan3uxgX4mT528bJuO9HSsSATN65VK7kmWZRG50IbmcOIpL091c4QQi8yyLMxgkOhAP1Ykgr9+LZqmERscBM2+OfaUluFIT091U5c83eVGd7lhkbOwHX4/aesa8Ks1hJN1MqID/RLAuINIAGMZsiwLTdOI9vYwcfAAlmXhSAvgranFXVyCniwGpHs8ALi93quK3liWhaeikvjoCPGhYSKdnQB4q6oJbNyEZZqEW1tIjI8R6ehAc7nsiOciP73rHw2x51g3+05eyrZwOS9lW9SVZl4znU/XNRpqcth/uo8TbWM8uGo1k6dOEhsYkBRiIUTKWZZFrL8PV34Bmq4T2LYNR1pg+rN7Jt3rxV1UdM1teatr8JSWJgMcYdC06e2KSzRNI9LZgRmN4i4rW5Hp4EKIq8UGB4h0dhLr779UlyE9HZ9ag6brZNxzr3xeLjOa04mvpgZvVRVWIgFAbGgILDMlGeJi8dwwgKGU+h/A+4AqYL1hGKeS073Al4BHgDDwpmEYv5ectxr4JpALDAEfNwzj/ELNuxMkJiaI9HQT7enBU16Br6YGZ3YOvtUKd3EJjoyMm7oQ0zQN/2o1/X8zEiE+Ojp94WxOTjJ56iSaw4G3tg7fqtXobve8v65r6Rue4ocvN3GsaXB6WkleGg9uKmHnuquzLa5lQ22uHcBoHuRt2zYSbm5i6mwjGXkPyIWrEOKaZg73thASwSCTp04Q7esjsGkz3soqXLeRoqzpOprXtyIKyy00T3kFwWNHiY8M39YxF0IsTVYiQXxkmNjAAN7aOnS3m/jICNHuLlx5+fhWr8aVX4Aj7VIRSAleLF+arqPpOpZlMXn8KFYiQdZDuxfs+1uk3lze2WeAfwD2XjH9v2MHLlYbhmEppWY+4v8y8M+GYXxHKfVR4CvAwws4b0WyLIuxk6cYPdNkD2WEPVay7rEDCbrHg39N/bzsS/d4LsvScKSnk/P4k9PzFksoEufZN9p44VAHCdOac7bFtTRU56JpcL5zjFDMwrdaETx+jFh/H+7Caz/NFELc2cLNzYSam3AXFuEuKcVdUDAvF0NWIkHo/DlCTefRdJ20hvW3NQSfuHnuklK0kyeItLdLAEOIFcSMRpk8dpRof9/0yHiuvHz0/Hy81TV4a+skULGCaZpG2sZNjO3by5Rx9o4YIvxOdcOrMcMw9gEodelpvVIqAHwcKDMMw0ou15ecVwBsAR5NLv594J+UUvmANt/zDMMYuPmXvTxomka4rx/N7SZt/QbcRcWLNlY0LG7gwrQs9p/u5d9eaWYs2VXkvg3FvO/BWjLTbj3zI+BzUVeayfnOMRrbhtm6upL4+JgMvSSEuIoZi5IYH8eVm4eroMCuMdTTQ6Sr066oXlxCYPOW28remjh8yM6kKysjbV2DZEykgO5y4S4pJdrdhdWwXp7SCbFChM6fI9rbg6eqGndBAc7cvOm6bvJ3fmdw5ebhrawi3NKMp6wMZ2ZWqpskFsCt/jXXYnfj+HOl1ENAEPh8MthRDnQZhpEAMAwjoZTqTk7XFmDeig1gABQ89CD68FSqm7GgWnvG+d6vz9HcZWeZ1JZk8OFHV1NdPD9FgTbU5nK+c4zjzYNsW1NAYMOmedmuEGLlMCMRxve/gTk5SdYjj+HKycWVk4u1YSOxoUGi3d1gmtPBi6kzjTgyMnEXFt7wwjgxNYXmctrj2q9S9rB8+TK0cyp5KyowJ4OY4TCOQCDVzRFCzAPd7cZTVU1gw8ZUN0WkkH/tOqK9PQSPHyPzvgck62YFutUAhgOoAY4ahvHHSqkdwM+VUnXz17SFkZu7/C5U8vNXZiXk0YkI33q+kV8faseyIDvdw++8Yy27tpSj6/NXn2LX9kp+/FoLp1tHyM0NoOsasfFxgk3NZG3aKB9sN7BSzz+x9C3WuRefmmLg4EG8ZpS8Rx/EV3JFt4LCTFhbO/1fMxYjOtRLoqsYjGlCAAAgAElEQVSNyDkHvpJifOXl+EqKLxvFyUokmDh3nrGTpwjU1pC9dQvI39PSkJ8O9TXXX0TeK5FCcv7dgvytqW7BirASzr30B3cSnwqRnp8u1/kr0K0GMNqBOHZXDgzDOKCUGgRWJ+eVKqUcyUwJB1ACdGBnUsz3vJsyNBTENK1bfNmLLz8/nYGBiVQ3Y17FEyYvH+nip/taCUXiOHSNR+8q5533VOHzOBkaCs7r/vwOyMnwMDwe4a1T3VQXZxDp6mbiyEkm8chQetexEs8/sTws1rmXmJxk/M3XsaJR0nfcTdCVTnAO+3XdswtteIhIdzdDbV2YZ5sJbNyEt6oaKx4nNjjIVOMp4hMTuIuLieSVyN/SEmRGIqBpVxWpls8+kUpy/t2c+MQ4iYkg7uJiKdB+m1bMuefLBl82kaHJVLdE3ICuazedYHBLISnDMAaBV0jWpEiOEFIANBmG0Q8cAz6UXPxD2JkaAwsx71baL1LndOswf/4vB/nBS+cJReI01OTwF5+8iw88VIfPszD9EzVNY0NtHgDHk6OauEtKcGZmMmWcxTLNBdmvEGLpi7RfwIrFSN95L67cvDmvd7E4XGDDRrIffRuZ990/PQZ9pLOD8QNvYiUSZOzYScZdd0vdnSXIjEQYefFXhFtbUt0UIcRtmGpsZPLYEaxYLNVNEUtMpKuL4PFjqW6GmGdzGUb1H4H3AkXAr5VSQ4ZhrAM+DfyLUup/AjHgY4ZhjCZX+zTwTaXUnwEj2AU/WcB5YonrHw3xw5fOc/S8HUAoyPLxwUdWsbE2d1Gi5Rtqc3n1aBcnmod4z/019jCya+oZP7CfSEc73sqqBW+DEGLpsCwLTdPwranHU1l5WwEGTdcvC344s3MIbN6Cp6RUCsctYbrHgzM7h0hHO77VSp7cCrEMxYaGiPb24K9fe1UmlRDm1CThtlbchYW4i4pT3RwxT+YyCslngM/MMr0F2HWNdc4COxZrnli6ItEEz+2/wC8PtBNPmHhcDt5xTyWPba/A5Vy8Pmn1ldm4nDptvROMBSNkBjy4CotwZecQMgw8ZeVoDseitUcIkTqxoSEmTxwjfYedGTHf2RHOzEycmZnzuk2xMLwVlUwceYv40CCuvPxUN0cIcRMsy2Kq8RS614uvpvbGK4g7jre2jkhnB5Mnjl82Ko1Y3uTRkFgQpmVxoLGPp15tZmQiAsDOdYX85q46stMXb3jWizwuB2sqsjnZMsSJliHu31BiZ2HUryXa14tlmhLAEOIOEO3vZ+LgfnSfD7u8kriTuYvtwqvh9nYJYAixzMR6e4gNDxPYuFmy3e4QlmWRMC3iCZN44uLPS7+neV2X3Wdouk7axk2M7d1DyDhLWsP6FLZezBf5axfzyrIsjp0f5Cd7W+gasAvnVBam8+FHV7GqLLVjMW+ozbUDGM12AAPAlZ+PK18uWoW4E0S6uwkePoQjPZ2Mu+9B93pT3SRxDaZlomsLn6WnOZ24S0qJdHVixTfKTZAQy4mm4S4owFNRkeqWiNs0MBrilSNdnG0fIZYMSCQSJrGESSJhJX/a069H1zS++Mm7KM27lFnpysnFW1VNuKUZb1W1DJ29Asg3tZgXlmVxum2Yp/e00NpjVy/OyfDwrnuruW998bwOi3qrNtbm8t0X7UKi8YSJ03Hp4jg60I8VjeIpLUthC4UQCyXa10vw8CGcmVmk371T+kovUSPhUb5x+vu0jLWR78ulOFBEcVohJWmFFKcVUeDPw6nP76WLb9VqfHWrJHghxDLjLiqWugbLmGVZnLkwwq/f6uR40yBzHSPSoWs4HTpOh4bDoeNK/pwKxwmGYhw5N3BZAAPAv3Yt7oIC9DQpqL0SyLe1uG3nO0f5yWstGB12DdcMv4u331PFrk0luJxLp1tGXpaP0rw0ugYnOd8xSn1VzvS8cFMT8dFRXAWF0j9OiBXImZODt6oaf/1auVFdos4On+cbp79HMGZn7/WHBukPDXJ84NT0MrqmU+DPTwY0CilJswMceb5cHPqtfd845IJWiGXFiscJt7fjrayU7r/LUCSa4I3Tvbx0uJPuQfvz3unQ2L6mkPs2FJPuc+FwXAxS2IGKi787HBr6NQouHzb6+eenT3GqZYh33lN12Tzd5Z4eKcyKx+U6YJmTd0/csgu9E/xkTwsnW4YASPM6eXxHBY9sLcfjXppfKBtqc+kanOR489BlAQx/fT2jr71KuKUZv1qTwhYKIeZTpKMjWefATdr6DalujpiFZVm8eOFVftbySyws6nNW89H69zMZm6In2Ev3ZB/dk730TPYxFBqmd7KP3sm+y7bh1J0U+vOnAxqrs2upzqyccxsSwSBTjafxr10n6cVCLHHh1lYmG0/hzMy4qeGvRWr1j4Z4+XAne0/0EIrEAcgMuHl4cykPbiolI+32MiPrK3PQNY3mrnGmwnH83qtvcyPdXUweP07mg7tw+P23tT+ROhLAEDeta3CSZ/a2cNgYAMDjdvDYtnLedlc5fu/Szl7YUJvLLw60c6J5iA/uXjU93ZmVjbu4mHBzE97qGkkvF2KZsyyL0NkzTJ0zSFvXgK9u1Y1XEosuFA/x7cYfcXzwNACPV+3m7dWPoms6WZ5MSgOXp4dHE1F6J/unAxrdk730BPsYiYzSFeyhK9gD2Jkaf7rjP1Pgn1uNI83pINrbgyM9HX/92vl9kUKIeWNGo4SazuEuKJTgxTJgWRaNbSP8+q0OTjQPTXcTqSvN5JFtZWxZnX9Zl+7b4fc6qS3N4HznGGcujLBVXf3578zKwkrEmTx5gvS7dsjw2cuUBDDEnPWPhvjp3lb2n+7FAlxOnYe3lPLE3ZVk+JfHDX9dWSZ+j5Pe4Sn6R6YoyL4UffWresZ6XyHc3CQXsEIsY5ZlMXXqJKGWZryVVXhleL0lqTvYy1dPfov+0CA+p5ffXvtB1udd/7PX7XBTkVFGRcbl9YpC8TC9yYDG/p7DtIy1sb/nMO+qfXxObdG9PlwFBUQ62vFJFp4QS1ao6TxWLIZ/rVynLWXhaJw3TtndRHqGpgC7m8iO+kJ2byujqihjQfbbUJ3D+c4xTrUOzRrAcPjT8Kt6JhtPEe3pwVNSsiDtEAtLAhjihobHwzz7Rht7T/SQMC0cusYDG0t4xz1VKRkS9XY4dJ2GmhwOnunnePMQj267FMBwZmba2Rc+XwpbKIS4XZGOdkItzfhq6/Cva5AnLEvQW71H+e7Zp4iaMUoDxXyq4WMU+G/9aarP6aU6s5LqzEoKfPn8r6Nf5kDvYd5R89icRzPxVFQyceggsYEBKMy85bYIIRZGIhQi3NKMu7QMZ2ZqR7Zbidr7JvjFoQ5CoRgel47L6cDt1HG7dNxOB+7Lptk/XVf8bncT6WLfyW5CkQQA2ekedm0u5cGNJbfdTeRGGmpyeXpvK6dahrEsa9bvf29tLZGuDqZOncCVny+175YhCWCIaxqfivL8mxd4+UgX8YSJpsG9DUW8675q8rMW9iZ/IhrkF22/JpKI8kTVbvJ8ufO27Q21uRw808+JpkEe3VZ+2TzpIy/E8hdubcWZmSnBiyUoYSZ4uuk5XuncB8D2wi18eM17cTvm76K2LquaPF8ug6Ehzg6fZ22umtN67sIidLebSEc7NNTNW3uEEPPDisVwZmXjX1Of6qasKPGEybNvtPHsGxcwrbmOBXJjq8oy2b11fruJ3EhlUToBn4uh8TC9w1MU515dpFnTddI2bmZ83x5iA/14SkoXpW1i/kgAQ8zq6LkBvvGLswRDMQC2rSngPfdVU5K3sNXaTctkb9d+ft7yK0LxEACHeo/yQNlOHq/aTcB1+/tvqMlFA4yOUcLROF735X8GlmkS6WjHlV8gBX6EWIYy7t6JGQ5L8GKJGYuM8/VT36F5rA2H5uB9q97JA6U75/190jSNu4u28Wzrr9jf89acAxiaw4G3tk7OGyGWKGdGBpn33Z/qZqwo7X0TfP25M3T0BwF49K4K/G4H0XiCWMwkGk8QjZvJ302iseT/k9OjsQSxuJmcZuJy6nY3ka1lVBalL/rr0TWNddU5HGjs41TL8KwBDABXdjZZjzyGQ7KulyUJYIjLRKIJfvDyeV471g1AfWU2H3ioblE+hJpH2/jRuWfoDCb3nbOaDHc6B3uP8ErHPvb3HOZtlQ+xq+xeXI5bT/fK8LupKcmguXucxrYRtqy+vI+cGQ4zeeI4nopKAhs33dZrEkIsLsuy0D0edM/y6t620jWNtvL1U99hPDpBpjuDT63/GDU3MUrIzbq7eCvPtb7A8cHTTMWm8LvmFoz2r55bsEMIsbjCF9pwFxXLZ/s8iSdMnt9/gZ+/3kbCtMjP8vK7T9Zz39YKBgYmbmmbpmWBBbqe2iBww8UARuswj24vv+ZyF4MXsZERnJmZaPriZImI2ycBDDGtrXecr/yskb7hKZwOjd/cVccj28quOd7yfBmLjPNM8/Mc7D0CQI43m/eteicb89ahaRoPld/PM03PcXbkPM80P8+erjd5V83jbC3cOOe+zVfaUJtLc/c4J5oHrwpgOPx+PJVVRC604atbhSNtYbNOhBDzIzY8zOSpE6Rv2SZDYS4RlmXxaufr/KTpWUzLZFVWDb/b8BEy3AsbFM/2ZqGy6zg7cp63+o7xQNk9c17XMk1CXd1YroBkYwixBMSGhwkeO4q/PiJBxnnQNRDka8+d4UKvHah4aEsp799Ve1VG8s3SNQ2WwEdmQ3UOAEb7CLF4ApfTcc1l46OjjO15VUYrW2YkgCEwTYtfHmzn6T0tJEyL0rw0fu9d6ygvWNgbgISZ4LXO13mu9UXCiQhO3cmjFbt4rHLXZf2hy9NL+MNNn6Jx+BzPND1H92Qv/9r4fV7u2MNv1L2D1dk3P8LAhto8nt7bag/pNEuRH//q1UTaLxA6d5bA5q23/VqFEAsv3HQec3IS3etNdVMEEI5H+N7ZpzjcfxyA3RUP8O6aJ3Do176YnE93F2/j7Mh53ux566YCGJHODsLnTuPctB1X3tyGYRVCLAzLsphqPI3u9eKTEaVuS8I0+dXBDp7Z20I8YZGb4eETT9aztion1U2bV5kBDxUFAdr7g5zrGGNd9bVfnyMzE3dRMVNnz+AuKcHhl4eWy4EEMO5ww+NhvvrzRoyOUQB2by3j/btqcbsW9gLz3EgTPzz3U3on+wBYn1fPb6561zWLdWqaxrpcRX3OKvb3HObZll/RPtHFPxz9Cg259byn7kmK0wrnvP+KwgBZATejwSjtfcGrusjoXh/eqmrCrS34Vq+RLAwhlrhEMEi0twffqtVoTvlqS7W+qQG+evJb9Ez24XG4+Wj9B9hSsLhFkjfmN+Bzemmf6KQ72EtJoGhO63lKSoleaCLU3CwBDCFSLNbfR2xokMCGjfLZfht6hib5+nNnaOkeB+DBTSV84KE6fJ6VeUzX1eTQ3h/kZMvQdQMYmqaRtn4Do6+8xOSJ46TvmP+6TGL+rcyzVszJwTN9fOuXBlOROBlpbn73yXo21M7faB+zGQmP8pOmZznSfwKAPF8u71/1Lhry5lZRWtd07inZztbCjbzcvocX21/l1NAZTg+d5Z6Su3h79WNkem6cmqxpGhtqc9lzvIcTzYOz1vjw1dURGx7CjEYlgCHEEhdqbgJdx1tdk+qm3JFC8RD9U4P0Tw3SO9XPqx37CCciFPoL+L31H6corWDR2+R2uNhasJF93Qd4s+cQ71v1zjmtpzmdpK+qY+LAERITEzjSF78QnRDC7s411diIIy2Ap7Iq1c1ZlkzT4oVDHfxkTwvxhEl2uodPPLGGhpqFvd5PtYbqXH6xv53TrcM3XNbh9+NfU8/kqZNEu7vxlMqoJEudBDDuQKFInO+9eI7XT/UCsLE2l088Wb+gYzPHzDivtO/lF22/JmrGcOkuHq/aze7y+2+pIKfH4eaJ6ke4t3QHz7W+yBvdB3m9+wCH+o7yaMWD7K54EM8NhuXbUJuXDGAM8c57q6+ar3t9ZD2w66bbJoRYXGY4TKSjHU9ZuXQfWUDRRIzB0BD9UwN2sCI0OP37RCx41fKb89fz0fr343Wm7j25u3g7+7oPcKj3KO+pfXLO3VcCq+rQ3jpOqLmJwKbNC9xKIcRsrEQcR3oAd3GJFFi8AcuyGI2MMR6doCxQgkN30Dc8xdefP0NT5xgA960v5oO76/B7b70Q/nKxqiwTj8tB1+Akw+NhcjKu/z3kra4h2tODFY8tUgvF7ZAAxhL27BttHDjTR0VBOmsqslhTmU1+1u0N99PUNcZXf36agdEwbqfObz1cx67NpQuaLnV6yOCpcz+lPzQI2Be17131DnK82be97Qx3Oh9S7+Whsvt4pvl5Tg428lzri+zr2s/bax5jZ/H2axb6XFuVjdOh0dI9zvhUlAz/7AEPMxYlPjyCu3DuXVSEEItHc7lIa1gv6f7zJBQP0zzaSn9okIFkVkXf1ACjkTEsrFnXcekuCvx55PvyKPDnUZVRzoZkIeZUqsoop8hfQO9UP6eGzrIxf92c1nN4vXjKyomPjmCZptw8CZECustN+ra7Ut2MJScUD9Mz2UtXsJfuYI/9c7KXUDwEgN/pJ3diGy2NacQTFpkBN7/9+Bo21eWluOWLx+nQqa/M5ljTIKdah3lgY8l1l9d0nYx770v5d5aYGwlgLGGjwQhdA5N0DUzy5mk7WyI3w8uayizWVGSzpiKb3My5PdlKmCbPvmEPl2RaFhUFAX7vXesoyVu4rhGDoWF+fP7nnBg8DUChv4APrH43a3Lmv8pvUVoBn97wO5wfaebppue5MNHB987+mAM9h/kPm39/1qduXrcTVZ7F6bYRTrUMcU9D8azbnmpsJNLRTvYjj8nTXSGWIM3hwFt1dRaVuDlTsRCvdO7jlY590xfCM+maTr43lwJ/HgX+/OmARaE/n0xPxi2PCnWleMIkEkuQNg9PCTVN4+7ibTzT/DwHet6acwADIK1hPTgcckErRApEe3vQ/Wk4MzIWbZ/nOkb5wUvn2VCby2PbK/B7b+42KRidpGm0BYfuwOf04XN68Tq89k+n56Y/IxNmgoHQIF3BHrqDvXRN2j+HwiOzLp/m8uOMZtJ/spyhCT9g4cnvZ8tdXgJ5E5hWzrx9Ti8HDTU5cw5ggP19YVkW0Z5u3AWFUnNlCZN3Zgn7yKOrec9Dq3jzWBdn20cw2kcZGg/z+sleXj9pBzTys7yoimzqK7JZU5lNdvrV42P3j4b46s9P09w1jgY8vqOC37i/BpdzYT7EJmNT/KrtZV7rfJ24lcDjcPNk9aPsKrsXp76wp9yq7Fr+aNu/50jfcZ5q+jnNY20c7DvKzuJtsy6/oTaP020jnGi+dgDDV1tH5EIboeYm0tY1LGTzhRA3KdLRgRWP4amqlhvNWzQVm+Lljn282rmPUDwMQGV6ORUZZRT4cqeDFbnenAUfQeRC7wRf/ukpBkbDbFqVx8NbSqmvzL6t9/auoq38rOWXnBw6w0Q0SLp7biNsXbx4teJx0DQ0x+KMniLEnc6MRQkePYozK4uMnXMfQeh2hKNxvvrzRobGw7T1TvDS4U7edlcFj2wru+7woqZlcmb4HG92H+LEYCMJK3HNZb0OD16nHdC4PLhxaZqOTu9UP93BHnqm+omb8au249QcFKUVUhoopiRQRLG/EGsqk5PnJthzvAczlsDjsUiva2IyrZn9A7B/4A0y3RlsKdjAlsKNVGdULJnvzGgixvnRFk4PnWU8OsH2wk2sz1t728GWi8OpNrYOkzBNHHPIpIuPDDNx6CBpDevx1dbd1v7FwpEAxhKmaRrVJRkEXDqPbi/HNC06+oPTwQyjY5SB0TADoz3sO9EDQGG2D1WRPZ2lcbp1mO++eI5wNEF2uodPvb2e+gUaLilmxnmt83V+1fYyU8mnd9sLt/CeuifI8mQuyD5no2s624o2Y2LxzcYf8IvWF9leuGnW4MmGuly+/9J5TrVc+8PNEQjgLi0l3NaKr24VuufqIJEQYvFZpsnU2UZ0n0+Kd96CYGySV9r38mrn64QTEQBWZ9XyZPUjrLqF4alvh2VZvHS4kx+90kQ8YXdTOXJugCPnBijO9fPwljLuaSi6pYr5mZ501uYoTg2d4VDvER6ueGDO6yamphh77RX89Wsly0eIRRJuasKMRvDXr120fT6zt5Wh8TCl+WmkeV2c6xjlJ3taeOFQB0/eXclDW0rxzBihr39qkP09b3Gg9zCjEbvGhIbG6qxanA4n4XiYUPJfOB4mnIhM/7u4/FzkerMpCRRRmmYHK0oCxRT48uwaFyNTHDjdx3cb++gd7p5e5676Aj7y6GoCvofpCHZxpO8Eh/uPMxwesbPsOveR7cliS+EGthZspCK9bNGDGUOhYU4PneX00FmMkWZi5qXaE0f7T5Dny2VX2b3sLN52y3WUCrL9FGT56B8N0dozQV3pje9FXDm5uPLyCDWdx1tZJVkYS5S8K8uIrmtUFqVTWZTO2+6qwDQtLvRNYLSPcrZ9hHMdo/SNhOgbCbHnePdl625V+fz242sI+Oa/cI9pmRzuO87PW345ndamsut4T92TVKSXzfv+5mpb4SZ+1fYyvVP9vNF9iAfKdl61TGG2n8IcP33DUzR1jqEqZq/L4VuliHR2Em5twb9mbiOmCCEWVrS7i8TUFGnrF3d4zuUuGJ3kpY49vNb5OpFEFIA12at4ovoR6rIW/yZ9MhzjX547w9Hzdp2khzaX8viOCt481curx7roGZriuy+e46nXmrlnXREPbSmlLH9uWRQX7SzexqmhM7zZ8xYPld8/54t13edDT0sj1NyEp7JqyTyxFGKlMsMh+++ttAxnVtai7LO1Z5wX3+pA0+BTb19LRWGAMxdGeHpvC81d4/zolSZ+dbCdx3aUkV4ywKH+t2gabZ1eP9+Xy87i7ewo3nrNB3amZRKORwgnLg9sXPZ7IkzcjFPgz6c0UERxWhG+K27ex4IRXj7Szf7TfbT2jE9Pz/C72F5fyN3rCqktudSGivQyKtLLeHftE7SNd3Ck/zhH+k8wEhnlpfY9vNS+hzxvDlsKN7K1YCN5eavn+eja4mac5tG26aBF71T/ZfPL00tZl7sGn9PLns43GQwN8dT5n/Fc6wvcU3IXu8ruvaXaeQ01Obx8pItTLUNzCmAA+NUaxl7fR7j9Ar6axQ3mi7nRLGv2glwrUBXQOjQUxDSXz2vOz09nYGBiTssmTJMLvXaGxtkLI5zvHEPX4YO7V3Hf+uIFufA6N9LE003P0T7RBUBJWhHvqXs7a3NWL4kLvSP9J/j6qe+Q6c7gCzs/i3uWEU9+8NJ5XjjUwRM7Knj/Q9dOF5s4eAB0nfRt2xeyyUvKzZx/QsynG517lmUx9torWKZJ1kO7l8TnzVI3EQ3yUvseXut6g2gycFGfs5onqx+hJrMqJW1q6hzjKz87xdB4BJ/HySeeWMO2NZeGXI0nTI6dH+TlI52cbR+dnr66PIvdW8vYvCoPp2MOacFmnD95/a8Jxib57LbPUJFx/eD6zPMv0tXJxFuHyLhrB+7iG/ejFuJ23cnfvcETx4hcuEDWQ7txBG4uUHkrEqbJX/7rW7T3B3nbXeX81sOX6rRZlsXJ5iF++JpBz4Cdpaa5QzhLWvAV9LGlaD07i7dTl7WwXRhDkThHzg2wv7GPxrZhLt66edwOtqzKZ+e6QuqrsufURQLsYErL2AWO9B/naP9JxqOXzrWCtFxyPblkejLI8mQm/136Pc3ln3PXjtHIWDJgYXB2+Nx0wBzA6/BSn7OKdblrWJuryPRcqnViWiYnBk7zcsdemsfaADu7elN+Aw+X3091ZuWc9g9w7Pwg//jjE9SUZPD5j8/enXw2Y6/vJREMkv3IY9J9cIHpukZubgCgGmibyzqSgbGCOHSdmpIMakoyePLuSuIJE13T0PX5/1DtDvbyTPPznB46C0CmO4N31ryNHcVbl1SBoE35DZQHSugIdrOv681ZU4c31ObywqEOTjQPXTeAEdi6TT7EhFgiYoMDxMfGCGzaLMGLG5iIBnmx/VX2dr5JNJmmuzZH8UT1I9TcxIXgfDIti1/sv8DTe1oxLYvq4gw+/e51V4205XTobFtTwLY1BXQNBHn5aBdvnOrlXMco5zpGyQq4eXBTKQ9sLJm1BtT0dnQn2ws380rnPt7seeuGAYyZ3MUlOPx+Qs1NEsAQYoHpLjfemtpFCV4AvHCog/b+IHmZXt5z36WuiOPRCQ72HuHNwUOMVPXjziog1lmHFcog1raOjOEtVOdWU5NZtCDfQfGEycnmId5s7ON40yCxuAmAQ9dYX5vL3ev+f/beOziOLL/z/GRWlrfw3psCARD0rkk2fXujGc2c3OzOSrNypzuFdi82Tne3safdjdjT6U53knbltTcxWmlkRhp1z7RvNr23IAGQMIT3BVveZ94fSaCJBkDCGzI/EWyw62W9fChmvXz5e9/f95fFtvL0GWktC0UURMpdJZS7SvhGxTs8muzktuce9Z4GPMExPMGxed+rE3SPgxtfBjWeDHYoisyD8VaaxprpDwzOeG+uNZuatCpq0tyUOovn9VQSBZHtmVvZnrmVbl8vZ3ovcsdzf/pPiaOQ44Uvsy295pm+TFVFLnSiQOegj0A4vmAluqWyisC9epKhIJJ97YxkNRaGpsDY4CwlCi8rMl2+XupHGvBGfeTbcimw51Foz8OityxrPJNRLx92fMbVwVsoKJh0Rk4VHeN4wSEMurnLkK43jaMP+eP738Wmt/LvD/wmJmnmIjeRlPn1379IJJbkd371AOnOp5eqTYaCiAbjC5EX9yLvAmmsL8+69uJjY4QftWHfvee5CiwG4kF+1P4JTWPNWPUWnAYHDqMdl8GBw+jAaXTgNDhwGu04DPanGiN7o35O95zjYv+16fzi2rQqXi85SbGjcK1+pdnjCsb4iw8e0NQ5DsBrewv5+vT22RYAACAASURBVJHSBSkpQN2NvNI4xJk7fQyOhQB1Ub+jMoMTO/OoLHDN+UDR5x/g/7j5e1gkM//p4L9FP4cib4qvXn/h9kcEGxtwHTuxplURNF5MXsR7r6Ioax6M9kyG+Xd/cZ1YQuZf/XfbcBc5aB5v5ergLRrHHiIratDArrexN2cn+7N3098n8t7Fjum5JzPFzLsHS9hXnbWsDUNZUQiE4/SPBLn+YJjbLR6CkS8NPCsLXOyvyWK3O3NV0sFBrXoSMQToHBpgMuplMurDG/UyEfXijfqYjHqnPe4WgkHU436ssqhJcy8pBWSKicgk5/uucHng+vQYUk0pHMl/iYO5ezFL86/df+f7d2jumeRX3q1h75asBZ1PURRQFK2E9hqwFAWGFsDY4Cz0JpaUk7RNdnBvpJF7I414Y3O/J92USqFDzYdbTFAjnIhwuuc8X/RcIC7HEQWRw3n7eb345IId3dcLRVH43dt/SKevh7dLX+O14uOzjvnDf2rgdssI33qlkuM759+ZSwaDTJ45jaW65oVwJ34RF1EaG4MX7dqTFZmrgzd5v/1jgvHQgt9n01txGh04DHZ1F+xxoGMkNMqlgWvEHzvYb03fwuvFJylyFKzWr7AgHnSN8+c/foA3GMNm1vMv39pCXVn6kvpSFIXm7gnO3Onnbtso8uP1TF6GlTcPFLG/OnvWe377xu/RGxjgF2p+jl1Z2+bt+6vXnxyPk/T70aeujgm2xsZBjsUQJGldH1xeuPkvHsN/4zoWdxX69Iw1OaeiKPw/f1dPU9cERUUCqVWPaPd2Ts+ZoiBSk1bFgZw91KZVzdjpl2WFGw+Hef9SJ8MT6sN0TpqFdw+VsLsqE/FxIEaWFfzhOL5gbPqPNxjDF4rhDag/p173h+LTc9gUBZk29ldnsa86i1TH0owsF8uzrr1YMjZnYGPqtZgcpzKljJq0KspdpehXuPpgNBnj+uAtzvZewhNWfZOMOgMHcvZwrOAQ6ea0We/5+Fo3PzjXzqGtOfzCm4vzsVMSCZLBAJJzbfxYXkS0AMbTKeY5C2DEk3GaJ9qoH2mkYeQBwcSXi94Uo4vtmbVkWzLpDwzS7e+jPzA4ZzmmpwU1knKSywPX+bDzcwLxIADbM7byTtlrZFnW5iazErSMP+IP6v8Ms2TmPxz4TSz6mZHai/cH+O5HzdSVpfEb35x/UQvgu3KZhN9HyolTz70K40VbRGlsHJ527UUH+tGnpT83FYF6/QP8XcsP6fT1AKoJ8rtlryMIAt6oD1/Uz2TMhy/qwxvz4Y368Eb9+GJ+FGbfz6Zu64IA29JreL3kJAX2vLX8lWaRlGXev9TFh1e6UAB3gYtfeqfmqWkfi2HcF+Fc/QAX7g3gC6p51r/5czupLJi56DzXe5kftL1PdaqbX9v+nXn70+a+FxM5GmXyzGlEoxHbzt1rZiL5VV6k60+RZfzXrxEfHcG+/wCGjMxnv2kZeKM+msfbOHe/h5a7TtDFMNVdQtCr80a+LZfdWdvZm70Lp9H+1L6SsszVxmF+dLmTUa9agjorxYxe0uELxfCHYizmMctqkkixG9lWns7+6izyFmlWvBJslmtPVmSaxpo503uJ1olHgFoFZmdmHT+35ZsYn1CF9wz7+a3v3sRlM/C7v3ZwUUof341rJCcncZ049VypPTcSmgfGC0AkEeXBeAv1ngYaxx7OMMTJsmSwPWOr6vtgz5v1BU3KSQaDw/T4++n199Hj76c/MMBoZJzRyDh3PPenj003pVLgyKc/MIAnpEY4S51FfK38zXUze1sO7tRyKl1ltE6280XvBd4ufXVGe12pGrF92D1BNJ58ak6hubLysTtxD+ZSrXSjhsZakgwFCdy+ham0DGtN7XoP56kkkjLhaIJQNEE4miAcSRCKJtW/RxP4wmGaPB30TnpQkqlISi4uKY1B2cDvX+5GVbCqIQpFMaEoRmQlQ31NAQUFRVaQZxynAAKCoOCw6hlxWvhxxwQpthApdiOpDiMum5FUuxGX3bjgtI3lMO6L8Gc/aqK1z4sAvHOwmHcOlqyoP1Oqw8TXXy7lnYPF/N0Xj/jiTh8/vtLF//RT22cctzt7O//06AMejrcyGfUuqsS3oigEG+4h6KQNf+1pLI1Qy0OURAJZFPFePI993wEMmav7QP0ioygKwcb7xDzD2LbvWJXgRSwZo22yk+bxVprH2xgIDqHE9UQaDgPgKO1mV0EdW1IrcKdWLEpVrBNFDtXlsL8mi0sNg3xwpWtakTGFzazHYTXgsKg/nVYjDuvU3w2P29SfazEfPy+IgsjW9Gq2plfT5x/gTO9Fbg/Xc9tzD6fRwU9WvD19bEGmDafVwGQgRv9IkPzMhf8bm0pK8V25TKS7W1vzbyAWFMBwu93/N/CTqCqGrS0tLY1faf/fgd96ss3tdu8H/hQwo0ZTvtXS0uJZrbbnmVA8RMPoQ+6ONPBwvHWGiqLAlsu2jK3TaounRRV1oo58ey759lxAraSRlJMMhTx0+/rmDGoAZJrTebfsdbZl1G5qs7y3y17ld2//EWd7L3I0/+CMm5TTZqQ4207XkJ+H3RNsL59f0iylpaNPSyPyqA1TcbGWH6ehsYZEOtoBdVExH72eAJ9c7yYvw0ZVYQpF2bYFu7ODWp/+7kgDla6yOYPBc5GUZboG/TR1jfOgc5yuYT+xuLyAsxkANW0tBnhIAgvPMZ6NOlZFEfAGEngDPsA379EOi54Uu4kUu3HGn1S7kVSniVS7Cb209Dmuvm2U//rhA4KRBE6bgV9+u4aqoqXnQT8LSSfy7uESLjUO0tQ5TvuAd0ZJQZveytb0au6ONHB98DavzpFSOB+CIKAkkkR7ezFXVCIaNqbvk8bSUBIJYoODmIpLMFe6Cbe1TqcMrYc/w4tApKOdSGcn5vIKTEXFK9KnrMj0BQZoHm/j4XgbHZOdJJTkdLtB1CN59hFJGCjNt/K/fu0XEZe5jpN0Ike353GwNofOQR9GvQ6H1YDdoteCEmtAvj2Xf179UxzJf4n/69Z/4WzvJfZk76DQrt5bBUGgtiSVy41DNHaOLyqAoU/PeLzmb8VUVKSpMDYIC1VgvAf8PnDxqw1ut3snsB/ofuI1Efgr4F+0tLRccrvd/xb4beAXVqNtSb/5JqDD28WfNp2l0dMybSQEqhJiW0Yt2zNq58z1Wgw6UUeeLYc8Ww5fDWr0+PrQixI7Muue6fK7GSh1FlOTVkXTWDOfd5/j6xVvzWivK0uja8jP/faxpwYwBEHAXOHGf+MaiYlx9GlLy9/W0NBYHHIsRqS7G0NuHjrL3N49iqLwl5800z7gA4YBMBl0VBa4qCpMoarIRWGmfd7d//7AIP+5/s/xxwIApJlS2ZG5lZ2ZdRTa86cfYhRFwTMRpqlrnKbOcZp7JglHZ6boiYKA2ajDbJSwGCXMRglRSjIU6cMnTyLo4qRZ7ezL20auM236GLNRwmTQIYoCAuqcIwjqT3XY6v+LT7yu/nz8d1T1x0QgxoQvwkQgyoQ/yoQv+uXf/VEmA1F8oTi+UJzu4bklwwLgsBlId5hIc5pIm+On2Th7KZFIyvzDuXY+u9kLwNbSNL7z1hYcltV/6LeZ9ZzYmc9H17r58eWuWWmB+3N2c3ekgWuDt3il6NiiHkzNZeVEe3uIdndhrqhc6aFrrCOCJOE6fhJQEPUGrLVbATWw4b18CVNJCcaCQi2QsUIoikJicgJjbh6W6poV6bPb18uf3v8e3tiXQVsBgUJ7PlWpFWxJrSQy4eD3rjUg6UR+8Y2tyw5ePIleEmelrWmsHUWOAo4VHOJM70X+pvkf+Te7/8fpyog1pWoAo6FjjNf2LdzEWhAEzO4qfFcuE+3pfurmicbasaAARktLyyUAt9s943W3220E/hD4GeDcE027gMjU+4A/QVVM/MIqtT2XfNJ1hqaxZkRBxJ1SzvaMWuoyahYleV0KM4Mazxdvlb5C01gzF/qvcLzw8IzPclt5Oj+63MX99lEUpfKpixR9Ziauk6+gMz+9YomGhsbKEenqREkkMJfPb6Db1uelfcCH1SSxy51Jc88Enokw99vHuN+uloazGCU1oFGUQlWhi/xMG6Ig0Ont4Y/u/VdCiTB5thz8sQBjkXFO95zndM95nLoMcpO1yL4MegaijPuiM86dlWKmuiSVmuJUKgtcWE3S9DwSTcb4uPM0X/ReQFZkUvVW3i17g/2rVHpaL+nIdJnJdM0/RyVlGV8wzrg/wqQ/yvhUYMMfZcwXYdwXYdwfxRtQDefUoNBsrCZpVmDj+oNhuob86ESBrx8p5dW9hdPGdmvBK3sLOH1bLY/dNeSjOPvLyiFbUitxGux4wqN0eLspcxUvuF/J6cSQmUm4ox1TaZm2G/eckAyFEE0mRP3s6g5KIoEg6QjcvUN8aAjrtu3Pjf/OeiIIAradu0GWVyQoJCsyf9PyQ7wxHylGF1tSK6hKrcSdUo7NYAUgGk/y7/7uOgDvHiomK3V5lfk0Nh5vlrzCXU8DPf5+zvdd4VjBIQBqilMRgLa+SaKxJEbDwudufXoG+tRU4mNjWgBjg7BcD4z/APxVS0tL11eCG4U8ochoaWkZdbvdotvtTl2NtpaWlvGFDvixScim4Ff3/xwdEz3UZFZiN26ecW9kMjK2sG9wB9f77nJh+BLf2fXT021paTZcdiPjviihJBTnPN28CdQFsRyPz7noeV7IyHjW56ChsTp89dob71QwlxeRWT5/JY0//lETAG8dLuVbr6lu4yMTYRraR2lsH+X+o1GGx0PUPxql/pHq72O36CnMN9ERv41s1bGnfBv/6qXvICfgs/uNnLvfQVdPhKGAlSEEplIyDEaF6lIXB2uL2FmZReYci2FFUbjZf4/v3v17xkITCAicLDvMz259F5vRuhIf07KYXadjJsmkzJg3gmcihGcizMjjn56JECMTIUYmwgQjCYKRAD2ewIz3ZqZa+Dff2kVV0dpX7sgA3niphPfOt/PZrT7+t5/fN6P9SOkBftT8GfUT9eyv2Dp3H/PMfbY92xk5dx5LaAJbaclKD11jjVFkmaFblxEsVjKOHJ7jCDuZea/hb2nFe7+BxM3LpO7bgzk3d1XH9bzeexOhEOPXb5C6Zw+SbeXmwMs9N+n195NidvIHb/x7jNJstdf3PnzAyGSEomw733qzRkvvmIfNfe3Z+cU9P8PvXPpjPuj8lONV+0i3pJIBlBe4aOudZMgXZfcCy6lOkf7WKwh6vabA2iAsOYDhdrsPALuB31y54aw+m6kKiYCR/QU7GRnxE2HjOwJvFk7mHuNGXz2n2y9xMOMAaeYvF9c1xSlcbhji3M1u3jxQ/My+gg33iY94cB478VxOapvFjVrj+WPOa6+kCmR53muyfyTAzQfD6CWRA1WZM47bWuRia5GLnzlezqg3THP3JM09EzT3TDDui9LUGgcqgUrudej5n29dpnvITzwho94qbeh0Aq60ODFLPzFrP4LFR4sAw0NOOuWt7Mioo8RZOK2oGAmN8fdt7/FgrAVQPYt+yv11SpyFhH0y4U0yrwtAlsNIlsMIRTPl0Yqi4AvFGfNGGPNF1J/eCCajjtf3FWIx6ddtDjmyNZsPL3dyrXGI240DFGZ9uSjf5qzjR3zGlZ7bvFX4xgzHenj63KfoLCgFpQQEE2Ftftz0RLq7CPR7sO/Z+/RrNT0PaZeVwJ3bhK/dwXHItmr3/ef13ivH4/guX0QOhUgWTCA5F+IT9GwScoK/rn8fgNcLT+KbiAIzFXI9w35+ePYRAvCtVyqZGA+uyLmfN56Ha6/IUML2jFrqRxr5k6vf55frvg2o1a/aeie5dLePovSlqG+iyJEIgsGg+d+tIE9UIVkwy1FgHAG2AJ2P1Rf5wKdut/vngR6gaOpAt9udDsgtLS3jbrd7xduW8TtovIDk2rLZnbWdm8N3+bjrC7615ZvTbdvK0rncMMT99rEFBTCk1FTCHe3EBgcw5q5vmUINjecVRVGQg0F0NttTFw2fXFfLkB6qy8Fhnd9rId1p5lCdmUN1Odwaque7d0+T8LlIS7iJTNiYDMTwh7wAFGbZqC5W00Iq8p0Y9DpkRabL18NdTwN3PQ1MRCc523uJs72XcBmdbM+oxagz8kXvBRJyArNk4u3S1zict39V0kXWE0EQcD520y/NdTz7DWuI02bkyLZcTt/u44MrXfz3X/tSaZFtzaTEUUinr4d6TwP7cnYtuF9BELC4q1ZjyBprjByPE2p+iD4tDUPOsxUVktOF8+WjKPE4giAgR6MkQyH0KatnTPu8oMgygTu3SPr92PfuR3KuXDr05YEbjIbHyLJksD9n96x2WVb43ifNyIrCiV35M4x9NZ5Pvln5Ls3jbdwfbaJ+pJHtGbVsLU3lgytdNHYu7dExGQgwee4M1to6TMXFKztgjUWx5ABGS0vLb6OaaALgdru7gLdaWloaHxtumt1u96HHnhW/Avzg8aG3V6FNQ2NRvFFyituee1wfus2poqNkWTIAqC5ORScKPOr3EgjHsZmfnhpiyMlFZ7MRbm3BkJP7XKowNDTWm/jQIP6bN3AcPDSvae64L8K1B8MIAry6Z/4Ukye5MnCT7zf/AxgVXtu1m58oU8sreybCDE+EKM5xzGk6KQoipc5iSp3FfK38Tbp9vdz1NHDHc5+J6CTn+i5PH7s3eydfK38Th2EzS3I3L6/vL+JcfT+3W0boHwmQl/HlLs/+nN10+nq4NnhrUQGMKeLj48Q9w1iqtqzkkDXWkMijNuRIBPuefQu+fws63bT3SejhA6K9PZgr3ZgrKrVd2acQamokNjSErW4bhqzFyfefRiQR5ePO0wC8U/b6nKbzX9zuo3PQT4rdyNdf1jwMXgRcRidvl73GD1rf5wet7+NOKac014HZKDE8HmJkMkzGUzyi5kK0WpEcTsKtLRgLC7Xv+zqyoE/e7Xb/gdvt7kNVWZx2u91NTzu+paVFBv4Z8Mdut7sNVa3xm6vVpqGxWDIt6ezP3o2syHzU+fn06xaTREW+E0WBxs6xZ/YjiCLmikoSXi/x4eHVHLKGxgtLuP0RotmMlDK/l8JnN3tJygq73ZlkpjxbGnqm9yJ/3fwDFBTeLn2Vnyh743E1D4GsVAt1ZekLqpghCiIlziK+XvEW//Gl/4V/s/t/4GThEXZk1vEbO36Zb1f/tBa8WEdS7EYO1+WiAB9c7Z7RtitrG3pRT+tkO6Phxe/IJcZGCbU0k/B6V2i0GmuJoijERjwY8/Ony6UuFkt1DYbcXELND/FdukgyEHj2m15AlESC+PgY5rLyFTdBPNN7AX88QImjkG3ps6uZjHkj/PBCB6CmjsxVNUnj+eTlvAMUOQqYjHr5ccen6ESR6mJVLbUUFcZURZJkOES0p2elh6uxCBZaheTXgV9/xjHFX/n/K8Cczlir0aahsVheLznBjaHb3B6+xytFx6arrtSVpdPcM8n99jH2Vz/L4g6M+QWEW5qJdLZjyH728RoaGgsnPj5GfGwM69a6eXc7gpE45+8NAPD6/qeXR1MUhU+6vuCDzs8A+EbFO9Mu5ctFEASKHYUUOxZeok1j9XljfxEX7g1w4+Ew7xwsJidNNQ40S2a2Z9Ryc/gu1wdv8WbpK4vq11hUTKi1hXD7I+w7F6/g0FhfBEHAeehllGTi2QfPg2gwYN+1B0N2DsF79UyeP4t9zz4MmZkrONLNjyBJOA8ehhXesfbHApzuOQ/Au2Wvz1LRKIrCf/ushWg8yW53BjsqMlb0/BobG1EQ+Vn3T/J/3voDLvRdYV/2TmpLUrndMkJjxxjHdiw+9VufmYmUkkK4TVNhrCfap67xwpJqSuFg3n4UFD7s+Gz69W3laQA0dowvyPBVEEXse/Zh271n1caqofGiEn7UhmgwYCosmveYc3f7icaSbClKmVEu86soisI/tX/IB52fISDwrapvrljwQmPjkuY0cXBrDooCH35FhTGVL39t6DaysjhDwanrMtbfRzIcXrHxaqw+yWAQOR5DEEVE/bOVVs/CmJeP89gJDFnZK+rtsNlJeCfx3775uBSttOIPe590fUE0GaMmrYqKlLJZ7TebPdxvH8NslPjZU5Urem6NzUG+PZfjBYdRUPh+8z+ypVg1on7YPUEiuXgT2SkPJDkcJj42utLD1VggWgBD44Xm1aLj6EU990ab6Pb1ApCdaiHDZSIQjtMx4FtQP5LLhag3oCibo8KNhsZmQI5GiXs8mIpLEKS5BYPxRJLPb/UB6k77vH0pMn/T8kO+6LmAKIj8Qu3PcSBXCzq+KLxxoAhRELjWNIxnIjT9emVKGammFMYjE7RNdCy6X1NpGSgKkc7Fv1djfVAUhcDdO/guXVzRe7bObMa+ew+i0Ygiy/iuXSHa2/PCrgvkSBj/9WskxsZQEvEV7380PM7F/msICLxb9vqs9mAkzvdPtwHwzaNluGzGFR+DxubgjZJTpJpS6AsMcN93i5w0C5FYkvb+paX/6TOzcB0/iSFDU1qtF1oAQ+OFxmm0czT/IAAfPFZhCIJAXZlqFHivfeHR1WQggPfcGS0iq6GxQohGIyknX8FUVj7vMZcbh/AFYxRm2qZzW79KUk7yvQd/y+WB6+hFiV/e+m12Ztat1rA1loGiKIQ72vFdv0qwsYFITzfJ4PLLHWa6zByozUJWlBkqDFEQ2Zetpn9cHby16H51VivG4mJEw/J38TXWhtjgIPGxUUwlpatmvK3E4yiJBP47t/HfuI4cebEUOkoige/6deR4HPu+/YimxZklLoQPOj4lqSTZk71jOgX4SX5wth1fMEZFvpOXtz+7wozG84tRZ+Cn3V8D4MOOzygvUNMIl1qNRBAEdDbVEFpJLD0FTWPpaAEMjReek0VHMOmMPBhv4dFkJwDbytQ0kvvtzzbynEI0mZCjUcKtrasyTo21Q0kmCTbeJ6YZs64biqxKO0WTad6HQ1lW+PRx6dTX9hfO+TAST8b588b/xq3heow6A7+27TvUpmtVIzYiiqIQuH2TYMN9kn4/ka5OAnfvTH8Pk8GgGth40ES0t4fE5OSiFo9vHShGEOBK4xCj3i8fKKfSSOpHGggnFv+gaavbjrm8YtHv01h7FFkm9KAJyW7H+JS0tOUiGo04XjqEtXYr8REPk2fPEO3tfSHUGIqiELhzm6R3EvuuPUhO14qfo9c/wK3heiRBx1sls71rWnomuHBvAJ0o8O3XqhC1CnEvPDVpVezMrCMmxxmSGgA1VXw5hB4+YPLc2en1isbaoQUwNF54bHorxwsOA/Djjk9QFAV3oQujQUevJ8Do5MIWtIIkYS6rIOYZJj4xsZpD1lhllGSSaH8/vmtXCDY1ajendcDb2IT30sWnfvZ3WkcYngiT7jSxp2q2lDOSiPLH979Lw+gDLJKZX9/xS3PmSWtsDNRdLTvWmlpcJ06R+sZbpJw4hTFPNVqTYzHkUJhI+yP8d24zef4s4x998GWAIxAg2t9Hwuud87rJSrWwrzqLpKzw0bUvHeTTzalUuEqJy3HuDN9f0tgVWSY2OKDNFRucSGcHyWAAS83WVTffE0QRc1k5riPH0NlshFqb4QW4PuRQiPj4GJaa2lUzNv9R+8coKBzOP0CaeWYFmXgiyfc+aQHgzQNF5KZbV2UMGpuPb1S8g1ky0ct9dDroHvbjC8aW3J+UkkoyGCDa17uCo9RYCFoAQ0MDOF54GItk5tFkJ80TbeglHVtLVRXGnbaFp4RMSYnDrS2rNVSNNUA0GEg5cQpTcQnhR234Ll8kGQo9+40aK4KSSBBoe4RoMMz7kKEoCh9fV1MBXt1biO4rx4XiYf5L/V/QMvEIu8HGb+z8Fa06yAYlOtA/nXpnqdqCubxCLWkriuhsNkSjmruuT0nBdew4qW++jev4Cex79mKudKNzqMatMc8w/ls3mTx3Zl5/g7cOFCMAl+4PMO6LTL9+IEf1Q1lKGglAfMSD78Z1YoMDS3q/xtqQmJzEkJmFIStrzc6ps9txHDyM48BBBJ0OJZEgOjDw3KkxlEQCRZbRWa24jp1Q/WFWgdaJRzwYb8GkM/Ja0YlZ7R9e7WZoPEROmoU3DxSvyhg0NidOo0OtViPK6OzqRmPTEtNIAPRZWUiuFMKtLVrweo3RAhgaGqjl9E4VHgXgxx2foigKOytVH4w7LZ4F9yPq9ZhKy4gNDZLwLs0cSGP9UBSF4IMmkn4/giRh27Yd++49JP1+fNeuPHcLzo2IHI/hu3YVOR7H9BRZfkvPJJ2DfmxmPYfqZuY/+2MBfv/un9Lp6ybF6OJf7/zVOXOkNdYXRZYJNjXiv3mDcPujBb9PEEUkuwNjbh6Wqi3ozGp+vamoGNfR45grKolPjJMYn50CmJtuZXdVJomkwsfXv1RhbM/ciklnpNPXzVBw4XP+FPrMLHQ2G+H2R9o8sYGx79qNfc/eNT+vIIroLBYAIl2d+G9eJ3DzBnI0uuZjWQ0Sfh/eC+cItzQDagrNaviLKIrCe48+BuBk4VFshpnqiv7R4LTHzbdfq0IvaY85GjM5mLuPEkcRimMIgMbOhaeKfxW1IombZDCoqTDWGO2braHxmCMFB7HrbXT7emkce8i2snQknUBbn3dREjNTaSm2HTvR2e2rOFqN1SDW30e4rZXYyMj0a8a8fJwvH8W2bQeCIKDIshZpXyXkSATf5UskJsZJO7AffWrqvMdOPXye2JWPUa+b0faPbR/QFxgg05zOv971q2RaMlZ13BqLR45E8F29TPhRG6aSEuy7ll8RRtDpkJxOLJVuBEki2tMz53Fvv1QMwPn6ASYD6gOkUWeYNna9tgQVhiAImMvKSUxMzBk40VhfkqHgtBnsfBWN1gpTaRnW6lpinmEmz3xBtL9/XcezXKK9PXjPn0OOxZDS01f1XHdHGuj292I32DheeHhW+w/Pt5OUFV7elktlwcp7b2hsfkRBDSqdpwAAIABJREFU5GerfhLJqSov7rWPIC8j6KzPykZyuYi0t2vB6zVEC2BobFjWeiIw6gy8WnwcUFUYRoPIlqJUFKD+0cLTSES9AVNh0arn12qsLHIsRrCxASklBVNx8Yw2nc2GPk1NKQq3tuC9dGFFKiNofAVBAFHEvu8A1qL50z16PQEaOsYwSCLHd+bNaBsLT3DbU48oiPza9n9JqmnuyiQa60cyFGLy/FkSExPYd+7CVrcdQad79hsXiCBJWNxV6DPmDlzlZ9rYWZlBIinzyRMqjH2PzTxvDN0mKScXfV5jfgGiwUj40cLVJBprQ7CxAe+F8xuiYoAgipgrKnAeOYpoteC/dYPQY+XCZkJJJAjcvYP/zm2klBRcR4+talnJpJzkxx2fAPBmySmMupnmzt1Dfu62jWKQRL52uGTVxqGx+cm1ZXPKvRvBECYUkekaXLpiWhAEbNt34njp4KpVNdKYjfaEpbHhSIaC+G9cJ9qjygCTwSDxsbXZ0TqUuw+X0Ul/YJC7nobpNJLbLSPPeOdsIp0dBBuXZginsfaEHjShxOPYtm1/avBJ53AiBwJ4z58lOqDlu68ECb8PJZlENBpxHj6CIfPpi+BPHntfHN6Wi90ycxF7tu8isiKzM7OOdPP8Cg6N9UM0mzHk5OI8/DLGgtXxJTGXV2DML5i3fUqFce5u/7TCrsxZTKY5HW/Mz72hh4s+pyBJmEpKSAb8G+JBWUMlPjpCbHAQU1nZuqsvnkSyO3AeehlrdQ3GvHxANZDeLCSDqmmupdKN48DBVSmV+iRXBm/iCY2SaU7npZzZaUDvX1KryB3dkYfTZlzVsWhsft4oOYkl1Q/Aj+rvLKsvyelENBpRFEVTYawRWgBDY8OgJJOEWpqZPPMFMc/w9I083NaK99IF/DeukwwEVnUMep2e14tVU6gPOz+jriwVAXjYPU4osrgFqRyJEG5vnzan09i4xMfHiXR3YSopfWbJN2NuLs4jxxBtNvw3rxNsuL+pFp0bjfjoCL6LFwg9aAJ45g7GqDfM9QceREHg1T0zH1BD8RCXB24Aan60xsZBSSQI3K8nGQqqO1Z121alvOKTyNHovPL8omw728rSiCVkPr2pqjAEQZhWYZzrurqkc5rLK3AdO7GhHpRfZBRFIdjUiM5swbxKppLLQVVjVKKz2R6XEb6F/9ZNEhtY4ZeYVM0PJaeLlJOnsGypXnXFaTQZ4+POzwF4u+w1dOJMxVbXkI/6R6r64vX9q1ceV+P5waDTc6K2CoCmzglGw8vbKJWjUXwXLxDTvDDWBC2AobEhiI+MMHn2C0LNDzFkZ+M6fnJ6sWGt3YqlaguxEQ+TZ78gcP/eqhpfHcjZQ7opleHQCC2BJirynSSSCvc7FheIMFdUojNb1AdczTNhQyM5HFiqtmCp2rKg43VWK85DL2MuKyPS1UnS71/lET6fRAcG8F29gmgyPdWw80k+u9mLrCjs3ZJJumvmjt+F/mvEkjGqUioosOeuxpA1lkAyEMB78QLRri7io2sX0I10d+G/dWPewPfbB1WZ+Znb/QTCcQD2Ze9EQOBW/338scUHzAVJQhBF5HhMmxc2ALG+XhKTk1iqqzdFUEnnchEbHGDgxx/iu3qFaH//hgmQK8kkgfq7TJ4/N126eLVVF1Oc7b2EN+an0J7Pjoyts9rfu6iqL47vysdpNcxq19CYi1dqa0BQSAac/HXTe8tSTwgGA4qcJNTSsmG+s88zWgBDY0OgyEkEUcTx0kHsu/dOu3XDl/nMKSdOYSwsItrdRbht9cqU6kQdb5ScAuCjztPsmKpG0rq4hbcgSVhqakl4vUS7u1Z6mBorhKIo09fYYha4gihira3DdfwkkkvdSU54J1drmM8dka4uArduILlcOA69PF1J4mkEwnEu3FPTdl7bNzP1IJ6Mc67vEgAni46s/IA1lkRsaBDvhXPIkTD2fQcwFa7d7qixoBBBEIj2zm3mWZrroLYklWg8yWc31V2zFJOL2vQqEnKCC31XlnReRVHwX7uK/+Z1bSG7ziRDIfSpqRgep2hsZARBwFLpxnXiJI7qLST9fvy3bhDp7ADW3hfsSdQg5Hki3V2YKyrn9ZdZDQLxIJ93nwPgJ8remKXS6xjwcb99DKNeN+u+oKHxNCwmPSU5dlBEHnZPcHu4fsl9CYKApbqWZDBAuK11BUepMRdaAENjXVASCUIPHxBqVvOMDVnZOI8ef6oBlGgyYdu2HefRY5gr3MBj6X9P94rf2Pdk7yDLksFYZBwxRd1paGgfI55Y3GLUkJuLPj2DUPND5Hh8RceosXySwSDe82dJTC498KCzqmXc4iMjTJ47S+BevZb//gzkaJTQg0b0mZlq7rRhYTtmZ+/0EYvL1JSkUpg1s8rPjeE7+GMB8m25VKUsTM2hsbpE+/vxXb+GaLXiPHIUQ1bWmp5fZzajz8gk2ts7rwrunccqjC9u9xKKqHP0iQI1AHah/yqx5OLnbUEQMFdWkfD7N6U54/OExV2F4+DhTWWup7NYcdVtxXXyFI79L017ucT6+/BeOE+kq2tN1xPRgX41CBkO49h3AGt1zZqalH/adYZIMsKW1ErcqeWz2qe8L47vysNh0dQXGotjW5kajEt60/mHth8TioeW3JchMxNjfj7htlZNgbfKaAEMjTVFURSiA/1MnvmCUGsLciQyHXxY6A1RsjsQjapBU7Snm8DdO3jPnyU24lmxcYqCyKtFakWSK2PnKMyyEY0naeqcWFQ/giBgravDvnsvol6/YuPTWD6KohBsuEcyGERY4AP005DS0jCXVxDp6sR78YJ285qDKYMr0WjEcfhl7Hv3L1j1EosnOX27D4A3vrLLJisyX/RcAOBk4ZFN9bDyvPFk6oQ+MxOLuwrnoZfRWazrMh5jYSHJcGheL6LyfCdbilIIR5OcvqVeX+WuEspSigjEg1wfur2k8xqysjAVFRN51EZ8YnH3DY3lI0fC0+bfm7UimCCKGLKyEE0m9QVRh5JMELh3l4lPPyZw9zbxsbHVV2YkZXR2O84jxzBkZ6/uub7CWHhiWgn1btnrs9rb+700dIxhNOh4ba+mvtBYPLUlaoU50ZeNLxbgvfaPltWftWYrgk5H+FHbSgxPYx4256yusSlJBgL4r17Bf/MGgl6P89BhbNt3LOthw7ptO/Zdu1HicXxXLuO7eoWEd+nlkJ5kd9Z20kypeEKj5OSqyos7rYuvRiLZHdNyS80LY+MQGxggNjyMpWrLjJSlpSKIItaaWhz7DyBHIkxeOEdseGgFRvp8oMgygTu3iDy+qUt2x6IeLC43DOIPxSnKtlNVNLM0asPoQ4ZDI6QYXezMrFvRcWvM5sl5LDrQrwaRL11k/NOPGf/oQ7xX1FQeUa/HUrVlRUukLhZDdg6iwUBifHzeY945WAyo/irhaAJBEHi76iQAZ3ovICtLm7ctNTWIJhPB+jtaKskaE3r4EN+VS8iRyHoPZcUw5ubiPHoc5+EjGPMLiA4MELx3d7p9JZV/yWBwusqWsaAAx8HDK3KfXCwfdn5GQkmyO2s7Bfa8We3vPVZfnNyVP6silYbGQijOtmMz64lHDIhRO5cHbtDj61tyf6LJhOOlg1jrtq3gKDW+ysZ3NNJ4blBkmYTXi3XrNkzFxSuyKyIIAsb8Agw5uUQ6Owi3thAf8SA5ncvuWyfqeKXoKH/T8kMG9HeBKuofjZKUZXRLGHuotYW4x4Pj4CFth3idkeMxgo33kVwuTCWlK9q3mg51DP+N68jh52fxvByURAL/zRvEPMNI1Yv/biZlmU9uqD4Gr+8rnPX9Od1zHoAThS/PcqfXWB4Jn4/4iIdkMIgcDJAMBJAjEVLfeAtBpyMxPkbMM4zOasOQlY3OakW0qhUVNsI8J+h0uE6eQtTP/3DjLkyhssBFa+8kZ+708eaBYvbl7yDVlIInNErj6EPqMmoWfW5Rb8C6bTuRjnaURGJdAzkvEgnvJNHeHkxl5V+qF54TBEFAn5qKPjUVa+1WkqEQgiCgJBJMfP4pUloapsIiRIsVFAXRYkbUG1ASicdmtqpaQ1HU/+hsNkSDATn2pXIqGQwQamwAnQ5DZua0Me1a0x8Y5MbQHXSCjrdLX53V/qjPS1PnOCaDjldfYPVFfGKCxOgoCZ+XpM+HHIuiz8hUNwg3qfpoLRFFgeriFG489FAi7qGDM3zU9Tm/UvfzS+5TcqmbLEoigaLIT73/aCwNLYChsWooikKsv4/E5ATW2jokh4OUU6+sihO4oNNhLq/AWFg0vUiM9vehxOOYikuW3O++nN183PUFI5EuXM4aJr1xWnu9bPnKDvBCEE0m4mOj6sJqDY3sNGYT7epGiUax7du/Kjd4ndmM8/DL030ricSmcMBfDeRoFP/1ayQmJ7Bt34GpqHjRfdxuGWFkMkKGy8Ru90yfnA5vFx3eLiySmQM5e1Zo1C8uCa+XcPsjrLVbEQ0G4iMego0NiHo9os2GlJqKzmpDkWUEnQ5LdS3W2o2teplaPCqyPO/3/e2Dxfzu39bz6Y1eTuzKRyfqOFZwiH9s+zGney4sKYABakBTn5m1IYI5LwKKohBqakLQGzBXVq73cFYVQZKQHA5AvbaNhUVEe3vwDQ5OH2PfuRtjQQGJyQm8ly/N6sOxbz+G7BwS4+P4rn9ZOlhKScG+e8+63rd+1P4JCgqH8vaRbk6b1f7eJdXc9OTuAmzmjZOiqySTIIor+p1XEgkSPh9Jn4+k30fC58Wx7wCCJBEb6Cf8qA2d2YzO4UDnsKNEItNzXaSzA9FqQ5+ergU05qG2JI0bDz0o3gwMWXoaRh/S4++j0L50818lmWTy3Fn0aanYduxawdFqgBbA0Fgl4uPjhB40Eh8bQ0pJmX6AW+2b4ZNmgLHBQWJDg+izshdU4WAu9KLEqcKj/KDtfUTXIHgzudM6sqQAhrGgkGhXF6EHTRhycrSI7DpiKitDSk2ZjpKvBlMLhfjYKP6bN7Dv2Ys+LX3VzrcRUWQZ35VLJINB7Hv2YshZfGlTRVH4+LqqvnhtbyGi+BX1RbeqvjicdwCTZFz+oF9QEt5Jwi0tRAcHEPV6EgUFGDIyMRYUYswvQDAY5lyQb5YFcbCxgcTkBM5DL8/ZXl2UQlmeg/Z+H+fuDvDP8lJ4KWcPH3V+Tru3ky5fD8WOpe3yCoJAMhQi/KgNa+3WTfOZbUbiQ4PERjxYt257oe6xosGAtaYWy5Zq4qOjKPE4CF/uBOvsDhx794EgAI+/xwJITrWClpSSguPAQRDU77SUkrqu1+mjyU4axx5i1Bl4vfjkrPbW3kkedE1gNup4dW/BOoxwJkoiQWxwkEhPN/HREbUiRW0d5tJSksEggbu3H6+B9Qh6PYIkYczLR3K5kKNREhPjarteD4JI0u9Hn56OaDQS6e4iUP9lqpAgSUh2B3Ishk6SMJdXYK6onNMMW5FlQi3NyNEootGIIScXY14eUmrahpuHlGSShM+HPmX11mXzUVuaCsCjPj8nth3g3MAFPuo8za/U/Ysl9ynodBjz8gi1tmDML1zTyj0vAloAQ2NFSYbDhBobiA70P64asgNjYeG6TJSW6mpigwOEW1uwbdu+5H5eyt3LJ11f4LW2A2oA42dPViw6uj5l6Om9cJ5wSwvW2tm1zDVWF0WWUeJxRKNxzYIJOqsNwWDAd+0qjgMvoU+dvZP0vCKIIqaycnQWC/r0pd28H3ZP0D3kx27Rc3Brzoy24aCH+6MPkESJI/kHV2LILxyKLBO4dXM6cGFxV2EqLZteDC+0QsxGR1XAjZHw+5DsjlntgiDwzsES/t+/v8cn17v55ituTJKJQ7n7+bznHF/0XOA7td9a8vmTPp+6E2owYKnaspxfReMpyPE4+rQ0TMXF6z2UdUEQRQyZs6u5TT28zodoNM75vvVAURTee6QaKZ4oPILdYJt1zHsXVfXFqd0FWE3rq75Q03c+Q45F0VmtWCrdIAjT5dVRFBBE5GgMJRBEScRR4nEklwvJ5SLp8+G7fm1Wv1PqGMmVgmVLNZLdjs7hRLRYZqw/p0zt50IQRVJOvUrcM0y0v59obw+Rrk4sW6qxVLpVPyNBWDeFWDIUIu7xEPMMER8ZgWSSlFdfRzQaiXk86GzWNTGAdtmM5GfY6BsJUCLt4LJ4lYbRB/T6++f0Xlko5opKov19BO7X4zp6XEsjXEG0AIbGijCV7yyIIvHxMSzuKszlFesqP9RZrBiLioh2d2Mur5gud7lYDDo9Jwpf5p9iHyEZ40z4oWvIT0nO7EXws5BcKRgLi4h0daoR86fceDRWnimfFOeRY2tmSCaaTDhfOoj38iX8165iP3BwXXYY1pKE14scCWPIyl52utSU+uLkrnwM+pk3/y96L6CgsC97J06jfa63a8xDMhRCZ7GowWVJmhW4eN4w5hcQeviAaE8PUk3tnMfUlqRSnG2na8jPp9e6eWlLJkcLDvJF7wXuehoYDY+Tbk5d0vkN2dkY8wsIt7ViyMmZ3vnWWFlMhUUYC2b75GhsHu6PNtHp68amt3Ki4PCs9paeCZp7JjEbJV7Zs/bqCzkaJdrXSzIQwLZtO4IkYa6sRHI4kdLTZ117OpsN58FDs/qZqh4jpaTgOnIUOR5HiSdATqKz2dHZ1Xua5HQuy9dN0Okw5ORiyMlVlSLDw9PBlbjHQ/B+PYbcPAy5eUirvDZRZBkUBUGnI9rbg/+OWuVJZ7ZgLCjAkJmFIElqlbj6O8iRCIacXFU1m5K6qt/rraWp9I0E6OgJc7hgP2d6L/JR52l+ue7bS+5TkCSsddvxXb1MuK1VC16vIBtLP6Sx6VASCUKtLfivXpkuj5hy6lXVeX4D5PxPRcLDrc3L6udw3n5seguKU3Xlvt2y+Gok02PaUo3ryDEteLHGJEMhQs0PkVJTEZ+RUuQPxfij9xo5e7d/RUrUiSYzjpcOIRgM+K9efmym9nySDIfxX79KsLFh2VV3eob9NHWOY9TrOLZzZi6qN+rn+tAdBAROFMydFqAxm/jEBL7rV5n84vPp69C+cxeWqi3PbfAC1ECiPjOLaF/vvNfllAoD4Idn24gnZFxGJ7uztqOgcK53tofAYrBurUMwGAjcvaNVpFph5EiYaG/PhjGP1VgaSTnJj9o/AeD1kpOYpNkmrO9dVCuPvLqnAMsaqS8UWSY2PIT/5g0mPvuEYGMDSa93urqQuawcfUbGoq69qWMFSUJypagpe7m5GPMLkFyuVdmtV1NX8qY39ASDHp3TRaSzA+/F80ye/oyJO3en1z3JUIhkOLys+UqORIj0dOO/dYOJTz4i9ri6jZSWhrWmFtfxE7hOvYKtbjuG7BwEnQ5BEHAcPoKpvIL4iAfvxQt4L56ftxz2SlBboganGzvHOFl4FL0ocX+0iV7/wLL6NWRmYszPJzExsfolj18g1v8JU2NTosgy0b5ews0PSYbDGLJzUBJxBL1hQ+XViSazujBfphO5STJxrOAQ74/fIukp4k7rCN84Wra0MRmN8Dh4MZWXqLH6BBvvg6KoDxHPWGR8fquPW80ebjV76B7y861XKpF0y7uudWY1iBHp6kRch3J0a4Ecj+G/dhUlkVANxpY5F0ypL17eljvLpO1832UScoJt6TVkWTeG9HkjEx8fJ9zSTMwzjGgwYHZXIbxgc4+psBDf0CBxjwdDdvacx2wrTyM/w0rfSJA7rSPsq87iRMHL3Bi6w+XBG7xRchKLfmnfX9FgwFa3Dd+N60Ta2zFXVCzn19F4gmBTE7HBAVxp6etS7lNjZTjdc56hkId0UyqHcvfNan/YPUFL7yQWo8TJ3auvvpgKiEW7uwjcv4doNGIqKcVYWDRtoLqZ0aemod+XhhyPqb5xA/2EenoxFJQDEHrQSLS/X1VYG42IZjOS3YFtx05A9fhCVhBNJkSzecbGpZJI4L18icTkBKAGkQ25eYiPgyc6ixVz+fxzoM5sxlpdg6XSTbS3h3BHO8hqAECORkEUVtTnpjzfhUEv0jcSJBnVczjvAGd6L/Jx12l+aes/X1bftm074HFgRmNl0AIYzzHx8THkUAid06nm4a9QYCEZDOK/cZ2Ez4uUkoJz1+4NbU74tAlyMRzJP8jn3ReJ6WIMjcPAaJDc9KXn5gUfNBHr68N1/MSGUKs8z8QGB4gNDmKtrn1mPqUsK1xpVF3cRUHgwr0BhsdD/NrXty7b6VxnsWCtVqsZJMNhNQ/2OVgEwWMvhZs3SQb82PcdWHYp46GxIDcfetCJwiyZcCQR5WK/6ph/sujIss6zEYmNeIj196t56fn5SHYHSiKBHIsiGk2L3pmTo1F8ly8iSHqs1TUYi0sQ9RvHtX+t0GdlY9lSje4p16YgCBzdkcdffdbK+fp+9lVnkW/PpSqlguaJNi4NXOeVomNLHoMhJxfbth0Y8hZvaKsxN/HxMaJ9vVgq3VrwYhNzx3OfH3Wo6otvVL6DJM5cFymKMu198eq+Qiym1Vk3KYkE0YF+oj09GAsLMRUWYcjNw240YcjO3lCbdCuFqDdgKizCVFhEerqN0VFVnWcqKUOfnoEcDiNHIsgRdd0yRaipifjE+BP96DHk5qklXCUJnc2KIScHQ1YWOodzSQ/wgiSpQaMnKgqG21qIdHdjLCjEXFqGzjbbJ2Wx6CWRurJ0bjV7OFc/wMl9R7nYf5V7I430+QfIty99zp5a4yfDYZIBP4YMbdNluWhPTc8RiiyTmJyYNgkMt7USGxoCHkvUnC70GRlY3FXq8YuUWk5VEhFNJkSjEfvuvRhyczdFRFFJJIh0dqLPzFzyg5VFb+ZowQF+/GiE5Gget1tHlhXAMGRlE25rVfPitlQvuR+NZxMfG0VyODGVPVs186B7nHFflHSniV95t5b//MP7tPRO8h+/d5Nf/8Y28pbxbz6FoigEbt8iGfDjOHhoTlPBzUa0p4fYiAfbjp0rYgb3/vl2ZEXhQHU2ac6ZCqqrgzcJJcKUOospdRYv+1wbhWQ4TKipgWh/P6Jej5JMIqWlg91BfMSD78Z1AESDUZ2HTUYstVuR7A6SgQAJnw/RpLbJ4TCx4WGs1TXqfL3vAPrU1Bc6WCqIoppW+Az2V2fzg3PtNPdMMjQeIjvVwonCl2meaONc72WOFxye9XC1GKYMJqdk2c/jA9FaoSgKwYYGRJMJc8XKlE290NJMQVoKJelZK9KfxrPp8vXwlw/+FoCfKHuDremz10QPuido6/NiNUmc3LX08pZzoSgK0a5OYh4P8dERlEQCne3LjT/RaMSY+2IEHZ9c0+vT0tCnzW88btu1GzkcQg6rwQ05EoEn5jP7rpUrbf7kuIwFhSjxONHuLqJdneizsjGXly97M/XU7nw1gHG3nzcPFHEobz9ney/xcddpfnGZKgyAYP1dEpMTuI6f1NTXy0T3W7/1W+s9hrXCBfxGOBxjM6UgWa1GQqHYvO1KIkF8eIhQWyvB+rtEOjsxFhUj6vVIKamYCguRUlLRmUzIkTDIMsZc1VHXe+GcakTk86I8lmMJev2sgEQyFCTY0EDoQROmomI1h66gEMnh2BTBC1A/p8CtGyRDIYz5S7/x5dlyONd7kfhYNt5QiBM7l1ZWD9Td+GQwSLS7C2Ne/obMP3/W9bdZMGRmYcjPR1zAw9sPL3TQPxrk1J4CDtRks29LFi29kwyMhrjWNERBpp2s1OXt8gmCgD4llWhfL9HeXgzZORvy338x6JxODGnpK7LI84di/Mn7TSRlhV96uxqH9cvPJikn+f+avk8kGeGble+S/ZykjyiyjPfcWRJeL5aqLdj37MPsrlKNNgUBdCKSw4HkSlE9XEQBORbDmJuHaDAQ7eslcPcO0Z5uIh3tRHt6kIMBtQSqJKGzWrUHZdQHldjgIHIwOO+unV4S8YcTdPR7kXQCtSVppJvTqB9pZDQyRqY5fVm7caBWy/BduoASj29oBeNGZ6qqgq1u+4oYEJ5tbeAv/2mIi/f7iZoG2JJdsC7rnOfl3rsQxsIT/EH9nxFORHgpZw/vlr0x6zNXFIW/+OAh4/4o7xwsprp4aWa6U8jRKLHhYeIjHjWwKwgE7t9DDocw5ORird2Kpbp22UrCzchirj3RYEBnsSI5nejT0jBkZa1JNRvRZFLNPYuKEEQdscFBSCaWVKr9SVLtRho6xvFMhEl1mDhU7uZC/xUGgkNsz6jFYVieWbjO6STS2YEciWBc5lifJwRBwGL5/9l77+i4rvNu9znnTG+YQe+NAAYgCPYmkaIkqlqSJdmWrNiW7NiOYztOYn9ZiZPcfLkr61tJ7nedfM6V5cR2XGS5yLLkItmWrC5KJMRO9DLovQ8wvc+c+8eAIGUR4AAYkAA5z1pYEmfOObNnZs8+e7/7fX8/FcDjgCORcxLaQrBarf8OfAQoBepsNlur1WrNAH4MbAJCQDfweZstrm5otVr3A98BtMAA8KjNZptaq+euR0KTk7hPn0SORhFVqniaVl7+wkLovEjPpdTOZVlGmZ5BxDFHYGBgQYhIU1qGYdv2+CRvZISIy0mgP56ypylfmebDekBUqdBUVOLraCc8N7diFwiDSs/Nmyv5fU+E8WmYcfrJTFtaEHIp9LW1hCbG8ba1YNp3w4qvk+LSRFyuuNe90ZRQyrw3EOZc1wwCcKAuXiNvMar5u0/s5PsvdnCmc4rHf9HEI7dWcMee1U1sJaMR040HcNUfw/XuMUw3HkxKGuSVJjg6isJsRtLrk+Zz/uLxQULhKHXlGRRmv/czOTfVzFzQQY4ui7rMja/oHXHMIaWZEUQR/bZtcfX5ix2T5vuYpNMjlSye/aMuKkaZkTGf5hsAQUCdX3BdZ1xcCkEQ8Hd3gRxbVAcD4O4bSnnt1BD1LRN8+FA5SoXE4eJD/KTjWV4fepu9uTtX9fsXlUokgxG/rTNulXiNlJJdaUS1GnVhIapVbEycJxqL8pvTrUAOckQTsvpBAAAgAElEQVTFy68FsU09w1/cei9m9fW3kL0S+CN+vt38JO6QhypLBX9k/fAlf1dtA7P0jDoxaJUc3rmy7zricMTLSaeniDocceF5jQZNWTmCKGK68cakaiqkWHtEjRZdzWa0lVUL65iI2wXRKArz8uf5giBw194ivv1CG6+eHubm7fs4kL+PIyP1vNT/Op+re2xV7VWYTGgrKvF12dAUFSdtznQ9kuh2zPPAIWDwosdk4Gs2m81qs9nqgF7gfwNYrVYR+AnwJZvNVgW8s5bPXQ/EgkECQ4O4Th4nOBwXtlOkmVAXF2O68QCWuz6AYceuBQXfyyEIAvq6raTddDPp99yH+dbbMOzYibowXmse83pxnztDoLcHVX4B5sO3o99cu6Enw5qyckSVGn9n+6quc2fZIRRmOwBHWvtWdS1Ro0VXVU1kdpao37+qa6V4L3IshqfhHO7jxxNW0D7VPkkkGqO6xPKewJRaKfHFB2p54GAZsgzPvNnDD3/fSSS6OicBhdGE6YYDyNEo3rbWVV3rahCanMRz7gy+zo6kXbNzcI7XTg8jigIP3lT2nudkWeb1obcBuK34EKKwdhkFcjS6porhsWAQT8NZHG8fWRjTVTm5K7Z7FpVKFGnmuHVtSSma4pINPV6vJeqiYiJOJxHn4hs9lUVmirMNePzhBdep3TnbSVMZGfNO0Dnbvep26Ou2IiiVKVeSVaDKycW4a09SsiSOjZ7ENRkPJBXmKUCW6G/M4X8+/3POTTWv+vop3ks0FuX7rT9lzDtBji6bz215FEl8//xVlmVemHceuXtfMVr15cc1WZaJuF34e3uIzWs2hCYn8Pd0I4giWms1aTcdwnLHXRfKRFLBiw2LoFAgqtXIsoz71Ek8586ueEzdZc0iw6RmctZHc6+dO0puQSEqaJxuYdQzvuq2aiurkPQGPM2NC0GXFMsnodmfzWY7ZrPZhv/gsVmbzXbkoodOACXz/78LCNhstvOeY98GPrqGz12TyLKMu7sHZ/0x5l59GU/DOaIu18KPUtRo47ZDWdmrSg0WxHhqsqa4ZKHWTdTpMN96G+bDt2PcueuaEMYSlUq0lZULNY4rJU1toqY8nkZW3z58maMvj2bTJsyHb0e6jLVniuURGBgg4phDV1ub8O/jWEv85nRwa977nhMEgQcOlvGFB2pRKkSONo/z78804l5lmq8iLQ3TjQcXVL03ChGHA/eZU0hGI/qt25JyTV8gwvdfbEcGPnpbFWV5792V7pzrZsQzhlFlYG/O2n5egb5eHK+9gre1mbDdnrRghhyLEejvw/HGawRHRtBVWRfK+lJcGdSFhQiiSHBoaNFjBEHg5h3x7+XtxriNnlJUcHPhAQDeGH5n1e0Q1Wr0ddvimZC9Pau+3vVE1OPBZ+tEjkSScj1/xM8LLceRQ1r0OpF/+uRN3HOgABDw9VfwrRfP8GTrM/gjqY2GZCDLMs92v0DHbBcGpZ4/2/bpRd19Wvpm6R1zYdQpObxz6bFSjkTwNDfieO0VHG++gbe1hchc3AVDU1aO5e57SDt4CJ21GmV6Rqqs7hpDEAT0W+qIuN34e1YWZJZEccHh5tVTQ5jVaRyYd8T5ff/rq2+jQoF+2zaU6enIsVQAY6UkZXtmPjvii8Bv5h8q5qJsDZvNNmO1WkWr1Zq+Fs/ZbLYLEriXISNjY6VoTzScQK8E7e5t6AoLUVrMV64eM+faS5mMWbYyG/VjzDajzlh5LdsX7ryNL52tx2lXMhd1UZW7+gWIHIsRdjpRJaGON5lkZa2u5u9qEPX7GR/uJWNTMVnbqhP6zQyOu+gfd6PTKLjzxjI0qksPj/dmGakqy+Cff3CKrmEH//qTc/zjZ/dRkruKFPD5z1iORplraMS0uQbFOg4aRrxeJusbMFqM5NxxOwpdcoJv/+fps9hdQSqLzDxyx/uta7/TVg/AvdbD5Oeurgb6UsQiESIeDyqzGX8kH0/ET2BinMjECLJWi664CPOO7asag2fqjyMPDWEpysWyexfKVOnAVUGylhOYnCIzXbdo1uJ9hzbx3Fs92IYdBGJQlGPkQdPtvDL0Fh2zXfiUTkrMqyxdyKpmxmMn4pklMyOlU5Io0+2NSNPTZOzakpTg/0+bXsczFS+3PbyrlJxsE1/88G6sxdk8/vMGopOl1NdP0O/8Bn9x4yfZnJ0cwdCl2Ij33kT5ne0Njo2eQCkq+NtDX8SaWXrJ42RZ5sWfngPgocNVFBUsPT9ytrQSmBrDWFKIJjcXTW4uCsPqhbevNzZ038syonZO4x8dwFxnRWla/nv50OEqflM/QOeQA1cwysd23Ef92EkaplvwK10Um1c5588ywuaNW5a/HkhWfukTgAf4ZpKut2bY7R5isY2j4pl96y3YnUHCgDMKzFsbpVgF1q24YsC0e8WX0KIlIyuCfUrFd187wlfvvn/VzfK2tRLo74tnY6yTxWtWlpHpVXxOVwtPw1mCLh/mXTcs2IFdjt+8Hd8B3VudjdvpZ6l3bdYo+IfHdvHEL5sZmHDz14+/w+fvr2VbxerE+CIuF662LmZ6Bkk7cBBRsz6zctznzhJ2ejEdPMScNwLe1feRUx2THDk7gkoh8sd3W1FI4nv63rB7lObJDlSSil3mnUnvl7FwGPepk0RdTsy33YGoMkDNNlQVmwlPThAcGyMwPkOkKN6f/L09KNLSUCSwixcLhRBEEUGhIGzJQdSlQUEhjqCwqnEoxcoJpWXjG5pgcnASyfj+CW5WlhGvO8DemhzeaRrj+be6+aPb4pbc+3N38/ZIPb9oeplPbn5k1W2JlVcjSgpm7N5VX+t6IDQ5iau7H/3mLcx6IuBZ3W/I7p/ld51vEJ09CEBdiWVhfKkrsfBXH93OE79qJjiXy1iDmn/y/Ce3b7qB+8rvQrkKN5ql2Kj33kRonm7jxy2/BODRmo+SLmcv+l6bemboHnZg0inZW5V52c/Ea3cTM2chW7fiB/z+GPivzc9xrbgW+l6suAJfzyCDbx7DdOOBFW063LQ1j1dPD/PzVzv53AdrOZC/l7dH3uXpht/w2S2PJqWdEYeDwNBgvJxwg5girAWiKCw7wWDVof55gc9K4BGbzXa+4GiIC+UkWK3WTCA2nymxFs9ds2x0Z4L1Sizgx9/dtaq08ENb4vX53QN+7P65VbdJUxa/nq9942khrCdkWUZQqdFWVCYsihmJxjjRFrccPnCJ8pFLYTGq+dtP7GRvTTaBUJRv/KKZl08OrapPKUwmjPtuIBYI4Hq3fska/auJYes2TDceTJrw4Jw7yI9fsQHwyOEK8jLev2N2XvviQP7eRVONV0osFMJ9/F0is3b0W7a+Z9wVlUrUhUUY9+7DuCeeRhoLh/F1dsTL+157BU9zI+Hp6ffV3MqyTGBoEMebr8eFIwFlVlbcGeQ6nqysB5TZ2Zhvu/2SwYuLuXl7XCm+vmWccCSe7nu46CACAmcmG3EEnatui6hUIYgisXCI0OTkqq93LSPHYnjbWpAMhoRssRPhhd7fE/YYkENaLEY15QXvHdc2l6bzD4/uxmJUE/NYCHTs49WuU/zbmSeSUhN/PTHkHuHJtqeRkbmv7C5252xf9FhZlnn+WFz74gP7S1CrLq/vpq/dgmHX7qS1N8XGRNRo0dVuiTsTrXBOdvvuQgQBTnVMMecOckfxLSgEiYapFsY8E0lpZ8TpINDft6CDlSJxVhXAsFqt/0pcm+JBm80WvOips4DWarUenP/3F4Dn1vC5FCmWRWhqCm97G+GJlU8+DtWWATJRZwYv9x5ZdZsknR5tRSXB0VHC0yvX6LjeEQQBfe0WdDXv95FfjJZeOy5fmLwMHeV5iS/K1UqJz99fy4MHy5CBZ9/q4cmXOglHVi7Ip8zIwLRvP7FAAMeRt/B12VZ8rWQiy/KCIJqgUKAwv9/daCXEZJkfvNiONxChrjyDW3a8PzXT7p/j3FQzoiBya+FNSXndhdcPBHDVHyPidGDcvQd1UdGix54POohKJel3fQDj7r0o0zMIDg3hfPcYgYEBIL7IijgcuOqP4mk4h6TXo0qCvWyK5CEIAoIgxMVal9BRKMszUZJjxBuIcGZezDNTm8H27DqicpQjw/VJa5OvrQ336ZNE3Rt793MtCfT3EXW70dfWJaXcps85yNmpJuTZ+O9zT3U24iWCi4XZBv7hsV0UZhmQA3rCHTcyPOHla6e/wRtD7xCTUyKsl2Mu4ODbTT8kFAuzL3cXd5ceXvL4xp4ZBifcpOlVl7wvXEzU5yVsj4urp4LDKQA0JaVx84EVjhOZaVp2W7OJxmTeODuCRWPmxvx9yMi8PPBGUtqontce9LW1EgsGL39CigUS+latVus3rFbrCFAIvG61WtusVmst8PdAPvCu1WpttFqtvwaYz8R4DPiW1WrtBm4G/m6tnkuRYrmoC4uQDAZ8nR0r3jFP06soydODLFLfOZSUnThtRSWSToe3tTmlSr8CQhPjhKaX76x8sXjncic/giBw/8EyvvjgFlQKkWMt4/z7Mw24ViHuqczMwnz7nei31KHKyQHiqYa+jnaiPt+Kr7sa/J0deFtbCI2NJvW6b5wdoW1gDoNWyafvubReyVvDR4nJMXZlbyNDm1yNGH9vD1GvB+O+G5blIS8oFKgLCjDu2Uv63fdg3L0X9XyQIjgyjOPtt4i6PRh27MR08NAl7axTXF1ioRBzr75MoL9/yeNu3hH/Xt9uuND3bys6BMCxsZMEIoGktEdXXYMgSXgaU64ki6HMyERbWYVyflxcDbIs86vu3yLLIDmLAdhTk73o8ekmDX//6E42l1qIhZWEbTcQnE3nVz2/44mG7zIXWJ8Zc+uBQCTIt5qfxBlyUWEu42PVH1nyXivLMi/MZ1/cs78EtXLp7AtfRzuu4/XEQqsT1U5x7RGanlqxU9qde+MbGkcaRgmEItxZEs/CODfVzLh39dlygiCg37YdORLZkE50V5OEivdsNttfAn95iacWHX1sNtu7QN2Vei5FiuUgiCI6azXus2cIjY2iLliZENv+mnwGx3sIz2byxtA7fKTyg6trl0KBfksd3vY2Yn7/ii0Vr0di4TCepkYkrQ5lZlbCgQinN0RTjx1RELixNnfFr7+nOpsss4YnftlC94iTf37qDF95eBv5mSu0xVSp0G6qWPh3eNaOv7sLf083qpxc1GVly3qfqyEw0B/3LS8pRV1ccvkTEmR0xssvjvQC8Km7qzEb1O87xhf2UT9+CoDbi29O2mufR1ezGXVhEYq0lYsWnw9mnEfSG9BvrkVdXIKofv97SrE+EFUqJIOB4PAgmoqKRX9L+2py+PmbPXSNOBmd8VKQqacsrZhNaaX0Ogc4Pn6GW4sOXvLcZbVHo0G/ZWvcwryvF21F5aqvea2hMJuTlv11bqqZftcQ2kAec36BDJP6shl4WrWCrzy8jad+30l96wThnl2oy3roood/OfV1Hqn6EHtydySlfdcKMTnGk21PM+oZJ1ubyefqPnlZ7ZCG7hmGJj2kGVQLZVyLEXE4FhydUmXXKf6Q8PQ0/u4ulJmZKDOzlnXupvw0KgrS6Bl1Ut8ywW27Crkhfy9HR4/z+/7X+cyWT6y6fQqjCW1FZXyOVVy87DZer6TkrlNct6gKClGYTPEsjBXudu2sig80UUcW7wyfwh1avciqMjcP8623pYIXy8TfZSMWCKBbphjS8dYJYrLM1k0ZpF1iAb0cSnNN/M9P7qYsz8iMM8Djv2jCF0iOzZ+2fBPm2+9As6mCsN2O6916XEffSZq952KEJibwNjehyslBv3Vb0gImkWiM7/62jXAkxsG6PHZZL33Tfmf0BKFoiJr0KgqNySnDiLhcOOuPEQsG4zbSqwheXAplRgbayqpU8GIDoC4uIeJ2L1gtXgqtWsH+zfEd/7cbL8rCKI5nYbw1fJRokuzwVIWFqPLy8HW0E569piW+lkXE4cDTcDZpadbhaJgXel8CID+8C4A91TkJjW8KSeQz99Zw/4FSZBncfRWYZ27AFw7ww/af8YPWn+ILX51MufXIr7p/R6u9A71Cxxe3fRqDcum5Teyi7It795egumz2RRuiSoWmomLJ41Jcn+iqrEh6PZ6mRuTo8sfpO/fEszBeOz1MLCZzV8mtSPNZGBNJyMIA0FZWod9Sh8KSfHe1a5VUACPFdYsgCOiqa1CYLcjRlS0ys8xairMNEFMQdJh4c/hoUtoliCJyJJIS9kmQqMdDoK8XTXEJymXY0MqyTP18+ciBusTEOy+Hxajmqx/fSXGOgWlHgCd/v/IypT9E0unRb67FcuddGHfuQlVYGK/jl2V8nR1EXK6kvM555FgMb2sLUpoZ4+69SbV4fOFYP0OTHjLTNHzs9kvvNIejYY6MHAOSl30RnpvDVX+UqMeNnEo3vu5R5RcgSBLBocElj7tlezzD5njrBKFwfBJcl7mZbG0m9sAcjdPJSf8VBAHD9p2o8guQkmRPvNGRZRlva3Nc4FRMTgD1yEg99sAcebpcRkfi49pS5SN/iCAIPHhTOX/8gWpEQWC8L42CmXtRCmrOTjXxzye/TuvMytLWryXeHnmXt0aOIQkSn6v7JNm6y+8un7NNMzzlwWJUXzb7IjQ9RWhqCm2VFVGZyr5I8X4EhQL91u1EPZ4FMe3lsLMqi8w0DVMOP409M1g0Zm7I34OMzO+TpIUhKBRoN1UgSFKqfDBBUgGMFNc1qrx8jLt2r+rGt3N+5zg6m8M7I+8mbeclMNCP+9xZQqsQGr1e8LY2I0jSsoQ7AQYm3IzOeDFolWyryEhae9RKiS8+uAWNSuKsbZo3zyVXN0KQJNRFxWjL4yr8MY8Hf083jrfewHnsKMHR0aTcBAVRxHTjjZj27UdQJM8usGvYwUsnBhEE+JP7NqNVX/rapybO4Q55KDTkY7WsfnctPDON691jCEolaQcPXdaBIsW1j6hUosrLJzQ2uqSYZ0mukdLc82KecZ0dURA5XBwXlX1jKHnZUKJKFb8vabTIsdh1P6ENjY0SttvR1WxOyiLVHfLw8sCbAOwz3IHDEyIzTUNp7vLHg0Pb8vnKw1tRqyR6+6NkjtxHia4MZ8jFt5qf5Mftz+IL+1fd5o1I60wHz3W9AMAnqh+i0lJ+2XNisswL9fPZFzeUoFQsnX0hB4Mo0tLQlJatvsEprllU2dmoCwvxd3cR9SwvU1oUBe6Yz8J49VR8U/F8FsbZySYmvMvXXVuMiGMOx5uvp4T8EyAVwEiRgriVUWhyZbZI58tIBGce/kiIIyPJUaXXlG9CkZaGp6kxpU68BLIso8rJRbe5FlGjWda5R5vjwaEbanNRSMkdDnMsOj59Tw0Az7zRTf94crMjLkYyGrHccRf6zVuI+X24z5xi7pWXiQXiE+fA0CDOo2/jPHYUZ/3RePnJ8Xpi4TAAweFh3KdP4T5zCvfZ07jPncXX0Y4sy0g6/bI/16XwByN873ftyHJcnK2q6NL17DE5xuvDcevUO4pvXnXpSnh6GteJ40haLaYDN6VKtFIsoK2swrj/BpCWXiyd3w0+0ji28Ni+3F3olToG3cP0OgeS2i45GsV94jh+W2dSr7uRkCMRfG2tKMxm1EXFSbnmS/2vEYgG2JxhZWosXua1pzp7xWPMlvIM/u7jO0kzqOgb9eJq2cFdefeiFBWcmDjDv5z6Om326+s7HPWM84O2nyIj84HS29iXtyuh8145NcTotJd0k5qbtl6+ZFBdWETazbciXOa3myKFvrYOfd1WxBXc+w/W5aFVK+gacdI/7iJdY+GGvN1JdSQBEPUGEEXcZ09fNcH2jUIqgJEiBeBtacHT2LDkDtxiFGTqybZoiYQlYm4Lbw0fS4oqvSCKGHbsRA6F8LY0r/p61yqCIKApK1/2DkwoHOVke7x+8aat7y0fmfJN829nvsnzPS8Ria1cw2JPdTaHdxYQjcl86/lWfIHwiq91OUS1Gm1lJebb7sC07waU2dkgxId4QRQRJAUIAsggRyPI4QvvKxYKEnW7iLhcROYcROwzhMbH1sTO8WevdzPjDFCcY+CBg4t/Z2dGm5nyzZCusbAje+uqX1cyGlHl5saDF9pUan6KCyhMJpTpGZddwO7bnINGJdEz4mR0Or6Lp5JUHCq4EYDXh95OarsESULU6fB12QhNrCzAvtHx93QT9fvRb9malBK2Ce8kx8ZOIiDwQNk9C9k0yykfuRQluUb+4bFd5GfqGbP7ePN1iY8X/illpmIcQSf/1fQDftLxHP7ItZ+N4Qy6+FbTkwSjIXbnbOfesjsTOu/F4wM891Zc1PnhWypQKhb/vuVYjODYKLIsp2xTUySEqNGgKS2Ll90uM6tNq1YsBLBfmc/CuLPkMJIgcWaykckkZWGISiWmPfsgFsN9+tSKNDuuF6R/+qd/utptuFKYga/4/SHWWPMuqej1anyrsGNMkRiSTkegvw9RrUaZvjwRHUEQmHMH6Rl1Ytbq8OkH0Cm0bDKXrrpdokYDgkCgrxfJZEJhXFohPdms9/4XGOgn4nAipaUtexJz2jbFyfZJSnKN3H/gwkJalmW+1/pj+pwD9DkH6JjtotpSiU65skVvTUk6Lb12xu0+Jmb9q9rpSwRBEJAMBtT5+QtlHwpTGuqiYjTFxWiKS9CUlKIpKV3YtVKmp6MpK0dbVo62fBPaTRVoysqTLkJ51jbNL9/uRakQ+atHtl/SdeQ8P257Frt/jnvL7qR8Fb+l0NQUolaLqFSizi9IailMimuHqM+Lr70dyWREVKouOfYpJBG7K8jAhBtRFNi6KV52lqfP4chIPZPeKXZlb8OgSl52jzIzi/D0FMGhQVT5Bdedy4KoN6DQ61HnF1z+4AT4ccezTPqmOViwn8xoJW+cGyXLrOGhmzetelzWaZTs35xD/7ib0WkvjTYH91gPUpmfSY+jnyH3CKcmGsjX55KlW7pkcb3fexcjEAnwn03fY9I3TXlaCZ/b8kkk8TJlILLM80f7ef5YPwLwxx+ovqwmVXCgH0/DOZQZGalsuiSzUfteooQmJnCfPIG6oHBZ84G8DB1vnB1hbMbHgbo8MgxG5oJOhtwj+CIBtmdvSUr7RLUayWDA39uDHAigzM295oN0giCg06kAHgcS8qNOZWCkSEF8kqjKzsbf1bWQVr8czpeRhGYzkeV4PXQompwbgLaiEk1ZGQpTcp0SNjqxgB9vWyuhyYkVDe718+UjB/9gonRi4izdjj4MSj0WtZlB1zD/z+nHaVqhSJ9SIfLFB2vRqiXOdU3z+pmRFV1no+P0BHnq5Xga9UO3bKJgCXvZ7rk+bPY+dAotN+TtWfFr+vt6cR2vJ9DXu+JrpLh+CAz0ExweXvKYW+Z34Y63ThCcF/M0qgzsy92JjJwUIeeLERQKjLv3AuA+fXJFWYIbFVmWkbRaNGWX105IhM7ZblrtnWgkNfeV3cmpzvnsiwTdRxJBp1HyPz66jdt2FRKJyjz5ko2Z7ny+uusvKTEV4Qg6+WbT93i68xf4k5CpuZ4Y9Yzz/575BkPuUTI16fxp3adQSsolz5Flmefe6uW37w4gCgJ/8sHNHNq2dOmIHIng67KhzMhIWU6mWDaiXkfM78Pb2rKs89JNGvZUZxOTZd44G5/H3VVyK6IgcmaygUlf8nQrVHn56KqsxIIBuM41kBYjFcBIkWIebfVmYqEggf6+ZZ9blm/CbFDh9kbJoRJ32EP92KmktEsQRQxbtyMZDMiyvOa2mRsFX0c7xGLoa5cf9bY7A7QPzKGQBPbN2yMCeEJeft3zOwA+UvlB/n7vV6jL3Iw/4ue/W37Ec10vEF5BSUm2RcenPxDXw3j2rR76xtZOD2M9IssyP3ipE48/zOZSC7ftKlz02H7nEN9t/REAhwpvRKNYfhaILMv4umx4W5pR5+UnbQGU4tpF0ulRZmYRHB5acowtzjFSlmfEF4xwpvNC2vDhoril6smJs0mx035P2/R6DDt3I4fDxALX1qJ3Mc4L7kb9ySm5iMkxfjU/tt9Vchi9Qs/ZhQDG6spH/hCFJPKJO6r41N1WJFHg1dPD/PylCb64+U95YNMHUAgS9WOn+JeTX6dztjupr301kGWZ+rGT/NuZJ5jyzZCvz+XPt38Oo8qw5HkxWebp17p5+dQQkijwhQdquaE297Kv5+/rjVumb6695nemUyQfhdGEtqKS4MgwoenllX6cF/N8u2kUfzBChjad/bnJ18IA0FbXYNy7P6XvsgipAEaKFPMoLRbU87aUy0UUBHbMZ2Fkh+L1+q8NHlnRYncxYuEw7tMnCY1enzv4FxOenSUwNIRmUwWSYelJ0qWobx1HJp45Y9Be2CH6dc+LeMM+rJYK9uTsQK/U8fm6T/GRivuQBIkjI/V8/ex/Mu2zL/s1d1dnc/uuwgU9DO8a6mGsN440jtHSZ0evUfDZezcjLvIba7fb+EbDd/CGfezM28JdJYdX9HqhsTF8He2oi4ox7N6TmgCkSAhNcQlRr5eIfWbJ426et1Q90njBXShXn82WjBrCsQjvjB5PettUubmYD9++ovFuoyHHYnhbWoj5fIjKpXfwE+XE+BlGPeOkayzcWnQQ27ADly9MtkVLcc7afKY3by/gr/9oOwatktb+Wf71Jw3UGfbyt3u+TLGxkLmggycav8vPOn+ZFN2sq0EgEuSp9p/zdOcvCcci3Ji3l7/Z/ReXLZGJxWR+9HInb5wbQSEJfOlDdexOIJAUCwYJ9HSjystDmZ4857AU1xfaKiuS3oC3qWlZWW1leSaqisz4g9EFEfi7Sg8jCiKnJxqYSmIWhiAICKJI1O/HderEgih7ijipAEaKFBdh2LkbbWXVis49X0YyMixTYMjDGXJxYvxM0tomSBJyIIi3pfm6HshkWcbb2oyo0azou4rJMvUt7y8f6Zrr5cTEGRSCxCPWDy0EsgRB4HDxIf5q1xfJ0FgYco/yv08/zoJj8hgAACAASURBVLmp5QurPnxrBWV5RuyuAD94seO6yKaZmPXx8zfju4yP3WXFYrx0RsWZiQa+3fxDQrEw+3J38dcHv4DqMunHi+Hv7UYyGjFs35EU4b8U1weqvDxEpZLg8NCSx+2ryUGrlugddTEydSHb4vbieBbGOyPvEoomP0ApSFJ8cd/WSsSRUJnwhiQ4NEjE5URXuyUpmjWBSJDf9r0CwAPld6OUlJy+KPtiLXfxrcUW/u9P7aYwy8DkrI9//tFZZqeU/PWuL/HB8ruRBIljYyf5l1P/seGyMcY8E3ztzBOcnjyHSlTyyZpH+ETNQ5cdt6OxGN97sZ13msZRKUS+/NA2tldmJvSaMb8fQaNZtmV6ihQXI0gS+m3biHo9y3YgvGtvPAvj9TPDRGMxMrXp7M/dNZ+F8WbS2yqHQoSnpnCfOX3dW2pfTGpmlyLFRQiCgCzLhCYmlm1dai0yo9coGJ/1sc98MwCvDLyZtInsgitJNIqnqfG6WPxeCkEQ0FmrMWzbvqLdue5hB9OOABajms2lccHWcCzCM7ZfAfFoeo7u/XW1paZi/m7PV9ietYVANMD3W3/CM7ZfE17G96tUiHzhgS3o1Aoaumd47fTS9fYbnUg0xnd/20YoHGN/bQ57a3Iuedxbw8d4sv1nROUotxUd4tGah1FcRvhtKYw7d2PYlgpepFgegkKBuqQUQbV02ZJaJbF/PtX97YssVSvM5RQbC/CEvZyaOLsmbZTDYUKjo7hPnyQWuraE9s4HZ7wtzSgzM1HlXd5GMxFeHzqCK+Sm1FTMrpztRGMxztrWpnzkUmSatfxfj+1kZ1UW/mCE/3iuiTfOjHJXya383Z4vU2wsYDYwxxON3+Xntl8TiKx/2/Tj42f42pknmPRNkavP4at7/jIhq9RINMa3X2jjRNskapXE//joNmrLEhdOV5jNmG+97YoLmqe49lBlZWO+5VbUBYuXtF6KbRWZZFu0zDgDNHTFs/UWsjAmG5jyLZ3Bt1wUaWkYduwkbLcvW7fjWiblQrLOudbVgNcjMZ8P17F3AFBlJz65EUWBsRkvw1MeKrPy8GtGmfLPIIkSVZZNSWmbqFYjSBKBvj4knQ5Fmjkp112M9dr/JIMByWBc0bkvHO1neMrDbbsKFyZOrw68ScN0Czm6LD5V+zEk4dILX6WkZGf2VvQqPV2zPQy4hmi1d2K1bEKvTEwJXa9Rkpuh41THFB2Dc9SWppNu0qzovax3flPfz8n2KdJNar780FaUivcGJWRZ5nf9r/KbvpcBeHDTPdxXfieCIKyq74kqFZJOt+r2p7j+UGVno8rOvmz/sxjUHGkcY2LWx+27C1FIYtzSWaGhcbqFKf80N+XvR1xkLFkpgkKBwpJOoL+PqMuJqmBlZY/rFX9XF8qsrHiAOgnZF3MBB0+2/YyYHOOzWz5BusZC5+AcRxrGyEnX8eFD5Vfk81NIIrurs5FlsA07aO2fZdYV5IbqEg4U7EUSFPQ6BxhwDXF2sol0nRmTlLbovehqEYqGeLrzl7w08BoxOca+3F18fuunMKsvLzIejkT5r1+30tA9g1Yt8VePbKeqKPE5TGhiHFGjTZUErjHrdd63Foia+Nwr6vEgKJUJjQWCICCKAs29dmbdAQ5ty0en1DEbmGPYPUowEmRbVm1S26kwmZCjUQJ9vYhXYO5/pVmJC0kqgLHOuZ4GkvWCqFIR83kJDg2hKS5GUCS+yy8Apzqm8AejPHLjDk5MnGHANcSenB0rtuH8QxQWC5GZGcJTkwue1mvFeut/vo52wjPTKDIzV/S+/cEIP/h9B9GYzGfurcGgVTLlm+bJ9vgE90+2PEq2bulUVkEQKDUVU5tRjW2uhwnfFCfGz5ChsZBvWNr67Tx5GXp8gQg9o07aB2a5cUseKuW1NSnrHXXygxfjriN//uGt5P+B60hMjvGM7de8NXwUAYFHqx/m5qIDC8+vpO9FnA48TQ0o0tMRldeX3WSK5CHLMoqAh6C8+G8yzaCmpc/OtMNPtkVLSU48oJqjy+L0RAPTfjtKUUmFuWzRa6wUSatFVKnw9/UiiCLKjMTS79cjUbcbb1MjyoyMuNVxYRHqvPyk2R0/1/UCQ+4RdmRv5bb5Ep+XTgwyOOHm1h0FC1l4VwJBEKgpsZCXoaO5107/hJvOwTm2V2axJbuSrVm19DuHmPRNcWLkHEdHj+MMuTCr0y4riHklmPBO8c3G79Ex14VSVPBx60e4r/zOhLLlguEoT/yymZb+WfQaBX/9sR2U5yfurBZxOnG9ewwEIeU8ssast3nfWhNxOHC+/RaiWoPCnFhgID9Dz5GGUSbn/NSWxTeh8g25vDN6nFHvODuy65L+m1VmZhKZnSVin0FdXHJNBa5TAYylSQUwUiSMZEoj0N+HHI2gyrm8KvZ5MkwaXj0zjN0V5IG9m3HH5hjxjDEbdLArZ1tS2ibM38A1pWVJEzhbjPXU/6JuN55zZ5H0BtR5iQUK/pDjbROctU1TVZjG3ftKkGWZJ9ueZso/w77cXRyen+AmQpraxP683cz47Yx4xmicbsURcFKdXnlZ33uAmhILrf2zjNl9jM142bc5eVZ+V5tAKMLXn23C4w9z194ibtlR8J7nw9EwT7Y9zenJBpSigj/Z8hi7c7e/55iV9D1vWyvhqSm0lVWpXboUKyY4PITn1EmwZCJpFw88CwI0ds/g8oYWrB9FQSRPn8OpiXP0OvrZlrVlTRafktlMzOslODSIpqQ0aQv+K4UcieC3deI5d5ZYwI8iIwtJr0/qGDjoGubZrudRCBKf3/opdEod0ViMJ1/qJBSJ8egdVZj0Vz7QWZBloK48g+ZeO2MzXk51TFJdbKEoPYMb8/ZgVBnxRNxM+2YZcA1xdPQ47XYbyDLZukwU4pX/rk9NnOPbLT/EEXSSo8viz7d/js0Z1oTO9QcjPP5cMx1DDkw6JV/92E6Kc5aXQelpakAOBjHsSokyrzXrad53JRDUasIz04RGhlEXFSc0liokEX8wQveIE38wwp6aHHRKHc6Qi0HXMKOecfbl7UrqeCYIAqqcHNQlpUnJTltPpAIYS5MKYKRIGFGlIub3x7MwSkoSzsKQJJHBCTfjdh+ZZg231dRxbOwkY55xytNKyNImRzVbVCoRFArkWIyoy7mQBpds1lP/8zScRQ4G47ZSKxy8f/p6F7OuIPcfLKMkx8jpyQbeGH4HvULHF7Z+GpW0vMmsUlSwI6sOk9pE51w3g+5hWmbaqbKUY7jMokUUBWpLLdS3TDAy7UWjUlBRmPiO1HolJst8/8UOuoadFGbp+cIDW5DECzdxfyTAt5qfpH3Whlah4c+2fZaajPeLsS6370V9PrzNjWhKS1EnqXY+xfWJqNMjjw0T9PhQ5xcselxuuo43z40y7fCzozKTNENcOyNTm4Ez6GTQPcKQe4T9ubuTX0oiCPFyl/wCJH1i5WvrheDYGO6TJwhNTqApKsK4dz+KtOSOfbIs82T708wGHNxafBO7cuIB0o6BOd5uHCMvQ8eDN61tBuNSmA1q9tfm0jPqYGzGx/HWCXLSdRRmGSk1FfHA1tsp15YjCCJTvhlmAnZa7B0cGT7GtM+OQanHok5b8/aHomF+3vVrftv3ClE5yu6c7Xxh6x+TrrEkdL4vEOY/nm2ie9SJ2aDiqx/fSUHW8gJ6YfsMvvZ2dNWbl1XWm2JlrKd535VAEASU82V5Mb9/yTH/YvIy9LxxdoQxu5cbt+Si1yjZlFbCiYkzTPimSNeYKTImdq2E26pQxMWcIxECvb0oLJZrYuMrFcBYmlQAI8WykIwmQhPjKDMyl1VPH43JnOuaJhKJcduOMiRBjC9uXSMcyN+b1Imsr60Fb0sz6sLCNUmZXy/9LzQxgc/WiW5zLaqslU1gJmZ9PPdWL2qlxGfurSEUC8y7XoT4aNWDbDKXrui6giBQYiqkLqOGLseFkhKL2kyhcemFtE6jJC/zgh7G5mtAD+PXR/t4q2EMzbxAm/ki1xFXyM03G75Lv2sIk8rIl3d8nlJT0SWvs9y+57d1EnHMYdi1Z80zk1Jc2wiShFYp4OzqQV1UtOjYqpBE5twB+sfdCKLAtk0XSjkqzOWcnmhgwjeFWlKveHxZsp2iiKiO/76CY2NIOt2GEK71d9mQIxGMe/ahLd+0JtkjzTNtvDb0Nnqljj/Z8hjKeWeMl04MMDjp4fDOAmpKrlz5yKXQqCRuqM1lzh1gYMLNmc4pZFmmqtiMwaBBGdVQl1nDrUUHyNFl4Y34mJ7P+Ds+fpqzU02EomGydBmopaWFZ1fCpG+a/2z6Hm12GwpRwSNVD3L/vItLInj8Yf79mUb6J9xkmNT87cd3kpu+vGCbLMt4zpxBEMCwc9eG6N8bnfUy77uSiGo1yDKB/j4UlvSE7Kq1agVTc36GpzwICNSVZ6CUlJjVaTROt9Dr6OeGvD2ol7kxlgihiQk8TQ0Qi10TQb1UAGNpUgGMFMtCVKnQlJUj6ZZ3w80wqXnl1DAzzgCHdxZQmVHCuakmpnzTaBUaytNKk9ZGyWAgODhAZM6Buqgo6ZHY9dD/ZFnGc/okglqNYcfOFb/HV04N0T3iXHDDeK77N/Q4+6kwl/Fw5f2r/uxMaiP7c3cxF3Aw7BmlaaaNQCRATXrVktfOy9ATCMX1MNo2uB5Gfcs4P3+zB1EQ+IuP1FFReKGedMZv5/9r+A4TvimytBl8ZecXyNUvfuNdTt+LhUN4zp1FlZePpqRk1e8jRYq0vExm2zoBGVX2pd1zANKNGt5qGGVy1sftu4pQSPEFllJUkKvP5vRkAz3OfnZk1WFQrU2mRMThwPXuMeRwaFkljxcjx2JEnU6ibvdCRkdwZJhYIBjf9VtFkOF8uYigUiJqNCizsuL31jXKHInEIvx3y1P4In4+VHEvlZby+OPRePlIOBLj0TuvTvnIHyKJAjsqM9GpFbQNzGIbcjAy7WV/XR6hYGT+GIlCYz435O1hT8521JKaab+d2cAcnXPdvDV8jBH3KGpJTYYmPSmbJGcnG/lW85PMBZ1kaTP48+2foy6zJuH7pNMb4t9+1sDwlIdss5a//fhOsszL1wGTw2FC42NoyjehTL+6AafrhfUw77saKCzphCcmELXahPtaZpqGI41jjM54ObyjEKUiXkLY7xpi3DuJM+hie3Zd8ttqNBILBQn09SIZjShMG9uVJxXAWJpUACPFshEEATkaJeJ0LlkLfTFKhUTPiJOpOT956TrK8tLI0mZyerKBfucg+/N2o1EkZ7dEVKoQVKq4MrFKjdKSWFpnoqyH/icIAoqMTFTZOSt2lojFZL7/YjuBUJRP3FGFQ57g2a7nkQSJP9v26aTVqCtEBduytmDRmOmw2+h1DhCMhqhOr1xy4lddbKF9YJaxGR+jM172bkA9DNvQHP/1fCuyDI/eWcW+zRcWUiPuMR5v+G/mgg6KjAV8ecfnsWiWThlfTt+TY7G4A0RxyZqVU6W4vjCaDbimZglPzywplmzSq2jttzM1FyDLrKUk90Jtf5Yuk7mAgyH3CMPuEfbn7V6T37Wo0Swo1Et6fcLlGBHHHIGhIfxdXfham/H39RJ1OtCUlSPLMq53jxEYHMDf001weIiI3Q6Q8GRZlmXCkxPxcpGJCSSdHmVGBoIkren4dmSknjOTjeTosni0+uGFBX3bwCzvNI1TkKnngZvK1+z1l4sgCGwqSKM830RTj53hKQ9N3dPsrMxCqXhvMEKv1FOdXsmthQcpNhYSioWZ9s8w4ZvizGQj746dxhP2xgPp7jGG3aPx/ueK/3fQPcyga4RB1zADrmEGXEMMOIfpdw3R7xqk3znIsdGT/LY/XjKyI3srX9z2GTK0ic8t5txBvvZ0A+N2H3kZOr768Z0rziwUJAl1UTGKNPOGuyduVNbDvO9qIIgi6pISlBmJl3qnGdR0DTuYmPVh0CqpKIyXdZWnlVA/dpJhz1hSy8cvRpmVTcQ+Q2CgH1VO7oae+6QCGEuTCmCkWBHelmb87W2oS0oTFo8KhqM09dqJyTL7a3PJ1mUy4h5jzDuBK+Rhe/aWpLVPSksj6pgjODiAOr8AUZW8XaWr3f/OL0xFtTrhANKlaOmb5UjjGNkWLR+5uYzvtPwQd9jDXSW3LtRGJwtBECgyFlBozOfcVDN9zgEichSrpWLRCVhcDyOdd1vHGZn2olZKVBZuHJusiVkf/+eZRoLhGHfuKeKDBy44L3TP9fHNxu/hjfioMm/iS9s/i155+UDUcvqeIEkoMzM39A08xfpCr1cT0hjQbtp02XFfEgUaumdwekPcvP29ZWMV5nJOT8ZLSTQKdVIz8C4mrlBvJzAwcMnJbCwcJjwzQ3BsFEV6BoIg4G1rI9DXG//95OSi3VSBtrIqrrEkCKhLSlFl56AwmkAQiLic8UB5VhZyJILj9dcIz8wQ9biRIxGQ4pkagiAQ9XrxNJzFZ+tE0mox7t6Duqh4Td77xXjDPr7X8mPCsQiP1XyUXP2F7JkX3x1kaMrDbTsLsRYnN9ifDHIsOnZWZdLcGw9i2Ibn2FOd/b4gBsTFYnP02ezO2c6B/L0YVHrmAg5mg3P0OgdonmmnZeGvgxZ7B632DlrtnbRd9Ndut9E+G//rmO2iY7aLEc8YCkHi4aoHeHDTPQmXjACM2738+zMNTM35Kcwy8NWP7cBsWNmGTdg+A4Kw0B9TXBmu9rzvanK+n4XtdkSNJqF+Z9QpOdE+yfisl8M7CxFFAZ1Sh4iIba6HPucgB/L3JSTuvty2qrKzCQ4PE56ZQV2ycZ1JUgGMpUkFMFKsCFGrjdvVSVLC9l0Wo5rXTo8wOeujuthMZpqWUlMRx8ZOMuIZxWqpSFgE63Kcz1CI2O0os7OTuoi72v3P03CO8MQ4yty8VQ3Mv3ynj7EZL3fuLWaYJs5MNpKpzeDTtZ9I+k3lPDm6LPL1OTROt9Lj6AegyrJp0eN1GgX5mXpOtk/SOeigusRCRtr6X5B7/GG+9rMGHJ4Q2ysy+fQ9F9KMm6bb+E7LU4RiIbZn1fG5usdQJ5h9lGjfC02ME3G5kAzGDXvzTrH+0OvV+INRBFFEjsVAlhftXzmLiHkCKCUlOboszkw20uvoZ0f2VgzK5JdOxCezOQSHhwhNjKMpKSXqduHv6cLX0YGvtYXgyDCRWTvq4hJEpRKFOQ1dlRVtRSWq3FwUprT36McIkoSkj2dNqPML0JZvWrCwlkMhoh4PMbeL0Pg4wZERAr09SGo1CouFQF8vwdER9DWbMezYiaS/Mjagv+55kR5nP1ZLBR8sv2vhO1soH4nGePROK0bd1S8fuRRGnYodlZk09toZmfLSPeJgT3X2QmnSpdAo1Gwyl3Fz4Y1UWSpQSSpy9dkUGvIpMhZQZCyg2FhAkbGQYlMhJcZCik1FlJiKKDUVU5oW/2+ZqZiytBIqzGU8VPUAW7M2L2tMPd42wTd+0YLLF6Yk18jffGzHij9nORLBWX+UiN2OpnjtA18pLnC1531Xm7DdjvPYO0g6XUK2qlkWLac7p5h2BMjP1FM4L1JbaiqmabqNSd80AmBNr0h6WwWFEkVGJprCogU9pI1IKoCxNKkARooVIWo0RFxOQmNjqEvLEsrC0KgURGIyXcMOOgbnOFCXh1lrIBqL0uPoY8Q9xoH8vUlbcIlKJeriEiTNyrMULsXV7H/h2Vm8Lc2ocnJXLNwJ8QX2Uy93Isvwodvy+Gn3M8TkGJ/Z8oklNRiSQa4+hxxdJo3TrXQ7+pAEkQrz4qnLuek6guEo3SNxPYzd1mx0mvVrlxWOxHj8uSaGpjwUZxv48sNbUSriv493x07zVPvPiMkxDubv47HNH12W/V8ifU+WZdynTxKZm4tbSaYCGCmSxPn+F/X5cL79FqJavWhphkIScXiC9I+7QBDYVpH5nuezdVnY/bMMuUcZdo+xP8n2eucRFAoUlnQUFguKtLS4e0NnB5Jeh7qoGG2VFcPWbQsTXVGpWra2xfl2CwoF6vx8NGXlaDdVxAMgaWZUWVnxz8psiWdw5Fy5crhRzzg/7fwFAgKf3/rHmNQXynla++0cbR6nMEvP/QfLlrjK1UenUXLrnhKONY0yOuOle8R52SAGxL+bDK2FLZnVbMvaMv9Xy9aFv83UZZ7/q2FLZg1bMqupzbjwtznDSk16FWnqxG1Og+EoP37Fxq+P9hONyeytyeZLH6pDr1m5mHKgt4fQ+DjGnbtWXDqaYmVc7+sOUaslPDlJeGoSTVn5ZccvQRCQJIGmHjt2Z4BD2/LjmcOCSKExj+Pjp+l3Da2dpbZWi6hSIcsykblZJO3G+72sJICRkvNNkSIBdFVWYqEQwYH+hM+5/0Ap5fkmZl1BfvSyDVmWubPkFixqMyOeMY6NnkxqGwVBQI5E8LY0E3W7k3rtK00sGMTb3Iio0aCtfL/F5nI42T5JJCpTW5bOK+MvEo6F2Z2znZr01V03UXblbOeTmx9BQOC3fa/w2uCRJY//8KFyKgrSmHMH+eq33uVff3yW358cZHLOd0XamyiyLPPUy510jcTt8f7yoa1oVPHF0Dsjx/lp53PIyHyg9Hb+yPrhpNtIAoQnxom63Wg3LV6ekyLFahC1WgSFAn9PD/ISux+3zJeOnGibIBCKvO/5hyo/SJrKSJ9zgCMj9WvW3vPZEgCq3DzSP3AvaQduQlddgyo7e00cPwSFAmV6BtryciSjceGx1ZT9LRdZlvlF92+Rkbmp4AbyDe8VMz3dMQXAnuqNodifl6mfL79Q0TXs4PFfNBEMR692s97H2IyXf/7RGY42j6OQRD51t5XP31+LVr3yfhYLhfB3d6HKzUWZkXn5E1KkSCKCIKCzVhP1+QgODyV0zo21uRi0SgYm3HSPOBceL08r5WDBfqJylGdsvyImx9aq2QQHB3EefYfQxPiavcZ6IhXASJEiARRmC6rsHMIzM4mfI4n86Qc3o1ZJnO6c4t3WCVSSiocqPwjAb/texhPyJrWdciRCcGQYd8PZeNrzBiQ8O4vjyJtE3W4MW7etesJ9rDk+mBeUhGm329AqtHy44oPJaGrC7M3dySdqHkZA4Pnel3hz6J1Fj1VIIl98cAs7q7KQJJGeUSfPvdXL33/nBP/4vZP86p0+BiZcSy6mrgS/e3cg3qeVIl9+aNuCSFvzdBvPdj0PwEOV93Nf+Z1rFlzw9/Yg6XSoEvRtT5FiuQiCgGZTBRGXk/D09KLHFWQZqChMIxCKcmp+sXwxOqWOj1V/BIDf9L7MlC/xe8lKESQpYd2mjU7TTBtdcz3oFTruK7/zPc+FIzHOdcc/790bJIAB8dKkv/nYDtL0KjqHHDzxy2ZC6yiIUd8yzv966jSj015y0nX846d2c/P2glWP9/7uLuRIBF315iS1NEWK5aHMyUFhtsT7YgJzaZVS4vDO+DzklVPvDXo8UP4BjCoDvc4Bjo+dXpP2AqiLilAXFCBewcDx1SRVQrLOud5TudYTytwc1MXLE8nRa5WY9WoaumdoH5xjb3U25RkF9DkHGfdNEogEqMtM3k1aUCgQdboLwmyr3L24Kv0vGiU8M41p736UWYlpjizG0KSbF471o1VLzOW8STAW5KHK+5fUolgrioz5pKlNtMx00DHbhV6po9R06dperVrB3poc7thTSEmOEUkSsLuCzLqDdA07eLtxjGMt40w7AigVIukmNeIVzEA42T7JT17rQgD+7ENbqC6J67kMuIb4VvMPicpR7im7gztLbl3xa1yu74Vn7fg6O9FV16BMT77Cd4rrm4v7n2QwEhwaJObzLilEKQpxMU+HJ8jN298fVMvRZTHtszPsGWXEPca+NSolud4IR8N8p/kp/BE/H77INvU8LX126lvGKco2vEdgeD1zvv8ZdSq2bsrgTOcUI9NeBibd7LZmIYlXb/8xGIry1MudvHBsgGhMZn9tDn/5ka1J02wKjY+hMJnQlG6M7+paI7XuiAeuRY2G0OgoqpychLTl8jL1vHF2mPEZH9lmLUXZ8XIRpaTEok6jYbqFHucA+/N2o5aSr1chiGJcyH8DipmnNDCWJhXASLEqzlu/xULx70NIcAJRlG1gzO5jeNJD37iLA3V5lKXFBT2H3KNsyaghTZ08D2fJaCTmdhMcHECRZkYyxAfRWCAQjyQLQsKT5ivV/6I+H4HeHhQZmYhqdVzPIwlR5BdPDNI35iKvOMictpMyUwmPWB+8aouGYmMhRqVhQf3dpDJSYipc9HiFJJKfqWeXNZu79hZRVWRGo5ZwuP9/9t47vK6ryvv/nHN7V+9dsq6L3O3YcY+dHidOIMEQUggQCPOjDTC/mXl5Z2BmeBleYIYyAxMIgYQ4BJKQThISx3Hcu2RblnRl9d7L7fWc949rK8WS1a6s4vN5Hj2y79lnn3Xv3dpn73XW+q4A/a4g9e1ODpV3sOdkC+090WieBKt+1FzpyVDTOsh/v3AWSZb55NYiNiyJhs53e3v5eemv8Uf8rE1fxceLbp/U5zza2Is4XUQ8bswlS8b8t6igMFY+OP4uCnn6GxvQZmSOKJaWlmDk3dJWugf8LCtKGrb6wrz4Ao50nKDD24VZYyLPpggUTpa3m/ZS1l1OhimNe+d//JJ0tVcPNtDS7eb6lVkUZ8+O6k4fHH8Wo5bFBYkcv+DEaOp0s9Kegkq88vex1m43//HsaSoa+tGoRR64yc5dGwuGtI9igTYtDU1amuLcmyaUfUcU0WTCkF8wZg0WvVaFDFQ1DXCquhtRgOLsaPnfdFMqDc5m2j0dDAacLE9ZPLXGzzIUB8blURwYCpMm4vEwsGf3kEjZWBAEgYV58Rw510l7b1THYPW8bPxhP3XORtrcHaxNXxWzm7UgCGiSkgg0NxFxOdHn5gEwuP89POfK8VU78NXW4K+vI+Jyok2PbkDdZ04TbGsj1NtDuK+P8OAgBpOegDS1m8NAWxuuo4cJ9fUOtY/u/wAAIABJREFUlYGNxWcRjkg8/pdKgmGJYOYxVLoQX1r6UEydRRMh15qNUW2gos9BeW8l8bo4si2jp0CIokBKvIGlhUncsDqbxYWJWAxaXN4Q/a4AzV1ujlV28fbxZurbnYQjEslxhmFL8E2U7gEfP/5jKf5ghC3LM7lrU1Tgyh308PPSX9EfGGR+/Dw+u+jTiJN0Kow296nM5qhwp+K8UJgCPjr+VBYrmsTEoRKkw6G6IOZZ1+YEYFnRpRFwWpWGZEMiJ7tOUzNQx8qUZWMqK6wwPAOBQR4v30VElnho0b0kGz/8mYfCEZ54s4pwROaBm+yYDaMLS14MGZ/ODfRHx5/VpKWkIBqJ0dzlpqXLzUp7MuIVdGIcONPOf79wlgF3kPREI9/cuYzFhSP/PYwHORLBfeoEKpNpzOUrFaYGZd8RRRCEIee15PUiakevqGPPiceoV3Ouro/KpgEG3AFKChJRiSIFtjwOth2lxd1GgTWXZKMSOXqRiTgwRk0ut9vtPwY+DuQBix0OR/mF14uBJ4FEoBd4wOFwnJ+OYwoKVwrRaERtseI7Xx1NJxnj5smk1/Dw9oX86JlSXjvcwKL8BG7Jv57jnaXUOxs51nGKtemrYmenTkfc1m3I/sDQa4bi+Uh+H3I4jBwKIYfDQ9EZABHnIJLXixwOI4VCADgjfiguiZldH0QOh/FUlOOvr0cdF49l5aoP2TNZTtf04PaF0Jp8YBxkW/YWMs3pMet/MlyXvYGIHOHFmr/wh6rnUQkia9JXjvl8URAozLBRmGHj7i2FtPd6OFXdzanqHurbnZSe76H0fA9Pv13N+pJ0tq7MJD1xcqUbvf4QP33uNC5viEX5CXz6hnkIgkAwEuJXZ5+gy9dDpjmdzy++f8pK014k1NONOi5+SgQJFRSGQ9Rq0aaNPn9sXpbJ7hMtHDnXyR3r84m3XBqFsTS5hFWpyzjRWcbTVc/x1eVfmBKR26uBl2peJyiFWJZcMmyZwvL6PnyBCDmpZlITRncUSaEgg+/uQTQaMS9ficoU+5K3EyU7xcy3PrmMHz1TSllND4++fI5Hdiya0og7AH8wzK63qjlU3gHAupI07ruxeEi0ebLIsoy79BSB1la0GZmobbMjSkbh6sB96gThgUHitm4b05r/hlXZJFr1/PqVc+w73U6fM8CX7iwhyZDArfnX83LtG/zR8QLfXvNNtKqJV+q52hnLrPcSsAlo/MjrjwK/cDgcxcAvgF9N4zEFhSuCIAgYiu1RdeKW5nGdOz83nlvW5iLL8NirFchhNXcW3grAS7Wv4wv7YmqrqNEOKcID6DIzMRQWYbTPx1SyGPOy5RiK5g0dt23YRPyNN5Nw63YSb99Bwk23ELd8KQBhp5OB9/YSaG2NmTio69hR/PX1GIrmYdu4KabOC3hfvFNObCDREM8t+dfHtP/Jcn3OZu4ouBkZmacqn+VER+mE+0pPNHHbtXn804Or+PHfrOO+G4uZd0FQ8J1TLXz7saP86JlSTjq6iUzg+wtHJH75UjntvV4yk0x8aUcJKlFEkiWerPgjdYONxOls/M3Sz2JQT23+peT34zxyGG9VxZReR0Hho8iyjLeqEt/56hHbZCaZWFaURCAU4bevV44otnvPvB1YNGbOD9RxoPXIVJk8p6kbbOB4ZylqUc1dRduHbXO8anzVR3zV1Uh+PxGnk4G9ewj1jCzcOh3kpFr41ieXY9SpOVXdza9fOTehOX2stHS7+bcnT0QFm9Uin711AZ/fvjBmzgsAX1UlgdYWTAsXDVXQUVCYKegys4h43ONa868oTubv7l2OxaihvL6P//v0KfpdAbZlbyLDlEaPv483G96ZQqvnPqM6MBwOxwGHw/Ghb81ut6cAK4BnLrz0DLDCbrcnX+lj43/LCgqTQ5OaitpmG7M68Qe5c2M+eWkWep1+dr3l4Jq0FRTYcnEF3bxev3uKLB4/gigi6vWoL+T+ycEAcjiE68QxBvbsxl9fhxy+tFTgaMiyPLSg1xfNw7r2WkyLSmKeBtDvCnC2rhcECVViGzuL70SnGj3870pzU95Wbsu/ARmZJyv/xKmuM5PuM8GqZ+uKLP7xvpV896HVbF6WgVYjUtnYzy9ePMvfP3qY1w414PSMLURUlmV2vVVNRUM/VqOGr929BKM+unh9seYvlHWfRa/S8/8t/RxxOtuk7R8Nf30dSBL6vILRGysoxBBBEIi4XENVEkbigZvtmPRqztX3sbe0ddg2Zq2Jnfa7AHix9nV6fH1TYvNcRZIlnqt+BYg6g5MMCZe0CYYilF6oPjIWB4YcDhNoakSXnY1ty1a0aWmobFM/p42X3DQL3/zkMgw6NScc3Tz2akXMnRiyLLPvdBv/9uQJ2nu9ZCSZ+KcHV7FhSWyjGAPNTXirHehz89B/4IGKgsJMQZOWHl3zV49vzV+YYePb968kNd5AU5eb//PUCdp7fUPVqN5u2kubu2OqzJ7zCGMtxWe32xuA7Q6Ho9xut68Efu9wOBZ94HgFcB8gXMljDofj1Bjfax5QP8a2CgqXxdvUTM/BQ6RsvQ596vjKsrV2u/naf+4lEIzwzXtXkFsA//DWvyMIAj+66dtk2zKmyOrJIUsSvtY2nJVVBHt7UZtMpG+/dczOBykYpO/4CTRxNmyLFo1+wiT40a4T7CttRYzvYPN1It9Y//CUXm+y/PHsK7xQ8QYqQeQb67/A6sylMe3f7QvxzvEmXj9YT9sFsU+1SmTDsgy2r8+nOCd+xJzjF/fW8NtXz6FVi3z/b9Zjz41uFF6v3sMTpc+hElV8e9OXKUmdH1Obh0MKhWh75TV0KSkkb1w/5ddTUPgogZ5eOt/eTfyK5VjsxSO2O3C6lf/7+xPotCp+/o0tZCQPH2H2k0O/4XDzSUpS7PzTlq8puf9jZE/dIR49/hQJhjh+eut30asvTdU5fLad7z9xjKIsGz/52y1j6jfs9SEIfEhEWo5E6N5/EEtxEYaMmXN/djT28U+/OowvEGbLiiy+/qkVMRH29AXC/PL50+w91QLA9atz+OJdi9HrYpuyJ8sy3e/tBylC8uZNV03JX4XZh7elhZ79B0lcuwZTft64znV6gnzvt0epbOjDqFfzvx68hmPOt3m7dj/2xAL+Zds3lRTC98kHGsbS8KpLIO7tdSNJs0fFMznZQne3a7rNUPgIst6GZvV6XKIB1zi/Hy3wqW3zeOKNKn7559N896FrWJ+5hgOtR/jV0Wf46rKHZ8wi9pLxp7chLrsGdV8vEbebnl4Psizjr6lBm5mByjh8vnCorw/3yeNIfj/G+QsJTuGYPlPby77SVhAjmPPquT33yzP+b2hr6hZcbh9vN+3lPw8+xhcWP0BJ0oKYXmPdghTWzk+mor6PPadaOV3Tw96TLew92UJumoVtK7K4ZkEKWs37i8hT1d387tVzAHxu+0ISjBq6u12UdZ3lyfLnAbhv/j2kipkx/4yHm/t8tTV4+l2IJStm/HeqMLsZ+d6rJag3037yDL641BEduPYMK2sXpnKkopMfPnWcf/j0imFLX+7IvY2zHVWUdzl48fRuNmaujfE7mXv4wn6eLnsRgDvyb8HVH8TFpRFlu482ALC8KGnU+UIKBhE0mvfvve7320e8Xlxd/fTW7Eaflx+NGpxi/Z2xrP0SjBr+9p6l/MezZew91UIwGOazty4Yt7Cn1x+mrcdDS4+b1i4PZ+p66B7wo9WI3H+jnfWL03E5fUzJjLtgKXIkQk+fdyp6V5gAyr7jUmStlYBaT8e5aqzm8Ytvfv3uxTz2WiUnqrr4zmOHuffGpVi0pTh663j59DtsuMrnfVEUSEwcXxr5RF0+zUCm3W5XAVz4nXHh9St9TEHhiiMIAuoLoaUT0YTYuCSdFcXJ+AIRHnu1glvzbsSkNlLdX0Np99lYmxtTBEFAk5g0VN0k4nbhrapg4J3duE6eIDw4ONRWlmV856txHtwPgHX9Bgzzpi5M1B8M8/u/VgGgzjzPHQs3XZG0hskiCAI7Cm9ha/ZGInKEx87+nopeR8yvIwoCJQWJfPXuJfzgkWu5ZU0OJr2axg4Xv329km/+4iDPvltD94CPhg4nv37lHDLw8c0FQyHYdYMNPFHxDDIytxfcxDVpK2Ju50iE+/vQJCaiSbg0XFxB4UphKCwi4vUSbG+/bLtP31hMnFlLbauTN482DdvGojXzieI7AXix5jV6ff0xt3eu8UbDblwhNwW2PFalLhu2TSAU4XRNLwCrRkkfkWUZ15HDuE+eGPa4ymjEtmkzhqJ5BBobGHjvXUL9M+N7Ksqy8bf3LEWnUXGovIMn3qxCGiGyOhSWaOp0cbi8g+f21vDT507zd788yJd/uo/v7zrJ79908M6pFroH/GQmmfjnB1ezfnHsha+lQADXyRNIgUA0XVWjCBkqzGwEQcCy5losq9dM6HyNWsUjOxZx8zU5RCSZp96sIc9zA7IML9W+wWBAcRiNlwm5kB0OR5fdbi8DPgXsuvC71OFwdANc6WMKCtOFt7KCUHc31o2bxhU1IQgCn7llPnVtg9S0DvLe8W5uL7yJPzpe5IXzr7Eocf6M1GwYDrXFSty2G/DX1eJvbCDQ0ow2NRXT0uXIwSDeqkq06emYli5D1Ezte3pxXz19zgCCcZCsAh+bM9dN6fViiSAIfKxoOxE5wnsth/j12Se5s/A21qavRD8FwpjJcQbuua6IHRvyOVbZxTunWmjscPHm0Sb+erQJrVZFMCyxfnEat67NBaDL282jZ54gJIVZn3ENN+Vujbldl8O8cjVyOHRFr6mg8FE0qWno8wtQmS9focKk1/DZ2xbwn386zUv761lckEhOquWSditSlnCq6zRl3eX8oep5vrzs8zMmCm+m0entZm/zQQQE7pl3x4if09naXgKhCPnpFpLjDMO2uUiwrZVQfx/mvLwR2wgqFaZFJWhTUnGXnsJzpgzbpi0z4nsqzo7j6/cs4SfPnubAmXZEQeCWNTm0dLtp7fZEf/d46OzzDevcUKtEMpKMZCaZyUoxkZlkZkFufExLcF9EjkRwHT9GeKAffX4Bou7S1B8FhZnIxbQyORwGURy3dpsoCHxiaxGJNj1/2F3NsVIfiVnr8aQd4oWaV3lo0b1TYfacZSxlVH8OfAxIA3bb7fbeC1oUjwBP2u32fwb6gQc+cNqVPqagMC2IJhOhagehri60qanjOtds0PD57Qv58R/LeOVgA3+ft4xscwbN7jbeanyX2wtumiKrY4/KaMRUshhDsR1/Qz3B1lZErRbBYMC2aTMqq23KF3p1bU52n2gGJLT55/iEfeeUl/OMNYIgcM+8HUSkCAfajvLc+Zd5te5Nrklbyaasa0k3jW+MjQWtRsWGJemsX5xGXbuTPSdbOV7VSSAYYX5OHA/ePB9BEHAF3fzi9G/xhLwsSpzPzuK7rtjiXZZl5GAQUadDmGInmILCaAiiiHnJ2HRqSvITuW5FJu+eauU3r1XwTw+uvmRjKAgCO+13cb6/jqr+8xxqP8b6jIk96ZvrvHD+VSJyhHXpq8mxZo3Y7v3qI5efM+VIBG/FOdQ2G7qs7FGvr0lOxnbddciBIIIgIIVCyIFAzKtojRd7Tjxfu3sJP33+DPtOt7HvdNslbQQB0hKMZCabyEo2k5lkIjPZREq8Ydj0plgjyzLuslJCvT1YVq1WIukUZh0Rt5vB/fswlSxGlz36fDEc21ZmkWDV8auXz9HbYkHlWsVx+RRr0hwsTLTH2OK5y5hFPOcAeUC9ooGhEEtkSWJg99uIBj3WDeOLwrjIs3tqePNYE0k2PQ/dncZ/n30UtaDif6/5FsnG8efaxZKJjj9Zlq/ok6lwROJfnzhOS7cHdVodq1Zq+cLi2evjlGWZ0u6z7G0+SO3g+9rDxXGFbMpax5KkhVPqnHF6gziaBlhckIBeqyYYCfLT0l/R6Gwm25LJ15c/MqxoXiz54NgLdnfhOnoE67r1aBKm929C4epgLHNfxOUi1NONPv/yFXECwQjf+d0xuvp93LI2h3u2FA3b7kRHKb+reAa9Ssf/XvNN4vVxE7Z/LnKut4pfnv4tepWe71z7d1i1l0azQPTz/tp/7ScYkvjhl64lyTZyBIbvfDWeinPY1m1Akzz+wnbuM2UEmpqiG5rcvJjd9yZ67z1X38ejL5ej06rITDJfcFZEoyrSE40f0ji60ngdVXirKjEuWIixWNmozVSUfcfIyLLM4N53kWWJuC1bJ1VBr67Nyc+fP43TG0IwOElbUst3Nn0F7SyJvo4lH9DAGLOIp+q73/3uFJo0o4gDvu7zBZlNPhuTSYfXO7ZyhwpXHkEQEEQRf0MD2sQkVKbLhxQPR3F2HGdqemjv80JIT262imZ3K73+PlalLp8Cq8fORMfflQ6rfeNoI0cruhB0XozF5Tyy9EGMmsuHDc9kBEEg3ZTKtRmrWZZcgizLdHi76fL1cKrrDIfbTxCMBEkxJk+JI0GnUZGZZEKtEpFkicfLn6a6v4YEfTxfW/5FzFpjzK/5UT449jxnypDDYUwLF8W85K6CwnCMZe7z19XiraxAl5mFqB150alWieSlWzlwtp2alkEW5MaTaLs0LSzdlEaLu51WTzvtnk5WpS6bESkKM4GwFObXZ5/EE/Jye+FNLEgYuQJM6fkejlZ0Uphh5eY1uSO2k2UZz+ky1AmJE95Qq6w2IoOD+OpqiQwOoElKjonA50TvvSnxBm5dm8uNq3O4dlEai/ITyE6xEGfWoVJN39wph8N4zpxGm5YWFUFVxvWMRdl3jIwgCAg6Lf76etQWC2rrxDXW4i06VthTOFvbi9ulwtMdh8fQyNL04R3ccxlBEDAatQA/AwbGco7iwJjhKBPJzEdltRJoakTyuNFl54z/fFGgODuOA2faaehwsbFwMXWBM7R5Osi1ZJFiHP9ToVgxG8ZfZ5+X/3m5HEkGbVEZN89fw/KUxdNtVsywai0sTlrI5qxrsWqt9Pr76PX3UT1Qy96Wg7R7OrFoLcTr4mK+KJRlmefPv8KxjlMY1Aa+vvwLJBmuTNjvxbEXHhzAc+4cxmI7mqTp+1tQuLoYy9ynsljw19UiSxLatLTLtk2w6glHJKpbBnE097NxSTpq1aWpJPPiCjjcfpx2TydaUUNhXP6k38tc4N3mA5zoLCPFmMQDC3Zetuzgy/vraOv1ctPqbAozR95gCIKALjsHbUrKhJ0OokaDNisbUaMh0NhIoKkRdXw8KuPknLyz4d47HgRRRJeZhS4jQ3FCz3Dm2tiLNSqzhVB7G6HeHvSTjLoy6TWsXZRGeWMXg4MCDQ0S8QmQm3x1pVdNxIGhzCIKCpNEUKmwrFyFadnEqzFkJJnYuS1aneP5d5rYlLINgOeqXyYQUW4kIyHLMk++WUU4IqNKbCUxJcyNuddNt1lTgkFt4LrsDfzTmm/xlWUPszRpEZIscbLrND859T/8+/GfcqD1SEzHyzvN+3iv5RBqQcUXFz9I2hRocIyGr6YGQa1GdxmBPQWF6UDU69Fl5xBobkIKBEZtv2NDPtkpZroH/Dy7p2bYNjadlQcW7gTglbo3qeo7H1ObZyPOoIvX63cD8PGi21GLIzsbfIEwZ2pHrz4iBQLIkoSgViPqJyeSLAgChsIibJu3oLJYEA1TH6E2W4i43XjKzyBLUlTDSDW7dKkUFD6KIAgY7PMvpBD2TLo/s0HD/75vDamZQYhoePKVBpq7nDGwdG6jODAUFGKAJil5SKF4omxZlsGyoiR8gTAVJy2kG9Po8ffxcu3rMbJy7nHgTDtVTQMI6hCanCruKrx11lRvmSiCIDA/YR5fWPIg/7ruH7gpdytmjYlWdzvPOF7g2we/x/PVr9Dp6SIiRZioztHJztO8WPMXAO5fuJN58ZfP858KpECAYFsr+ty8Ka9go6AwEfSFhciRCP6G+lHbqlUin9++ELVKYG9Z29BG+6MsTlrIzXnbkJH53bk/0O8f0wOpOcurtX/FH/GzKHE+JUkLLtv2pf31BMMS83PiSLCO7Jhwl55kcP++Cc+Pw6G2WLGt34jKaIwKVpaeItjZGbP+ZxtSMIjz2BECzc1Ift90m6OgEDO06RnYNm5Gm3L5Es1jRaNW8e2dm9CndCHLIj9/5VhM56a5yOQT9RQUFACIeDy4y0oxLVqEOi5+3OcLgsBnbp3Pdx4/xvmWQbZlXk+n8AfeaznE0qQS7AlXX17c5Rj0BHn23ehTTHVOBUVJmaxMXTbNVl1ZEvTx3FF4M7fkX09p1xn2tRyi3tnEuy0HeLflwFA7AQFBEFAJIoIgIiIiCgKiIA79CESPixfa9Pqim6s7C29l1TR9rqJOFxXK0mim5foKCqOhtljRZWSCJI2pfXaKmbs2FvDc3lp+90Yl//a5NZgNl47v2/JvoGGwiar+8zxe/jRfX/HFy0YezFWanC0cbj+OKIh8fN7tl23b2OFi98lmBAF2bp03YrtgVxfBzs4p1WKQg0HC/X34mxrR5+ZhXFSCeBXNY7Ik4Tp+DMnjwbpuPSrj+PXBFBRmKoIgDFXRkSUpJmlRZq2RL96ygp89XUtvj44/Hj7Bp9atnnS/cxUlAkNBIUYIWi2RwQF81dUT7sNq1PK526JPmPYe72WNJZpKsqvqOfxhf0zsnCs8s7sajz+MytaDOrGDe4p3xGwxKksS3moHof7+mPQ31WhENdekreBbq77M36/+KuvSV6NX6YfyxGVkJFkiJIUJRoL4I368YR/ukAdn0MVAYJD+wAA9/j66fD10ersIyxG2ZK3n+pzN0/KeLj59UFkskw7xVlCYSsyrVmNcsHDM7W+6JoeiLBuD7iC73nIM20YURB5adC/xujjqnY28cCEa6mpClmWeO/8KMjLXZW0g9TJ6UJIs89RbDmQ5WqYwN234CiWyJOE9V47KZBq1esxkEHU6bJuvwzCvmEBTI4Pv7iHY1TVl15tJXBRHDfV0Y162HE1i0nSbpKAwJfjOn2dw/3sxi5ZYml7M2lXR9c7uQ73U915aDlkhytXnzldQmCJEjQZ9QSFeRxUGpxO11TqhfkoKErlhVTZvn2im/KSZzKVZtHpbeKHmL9w7/+Mxtnp2UlbTw7HKLkSVhDrvHBsyryHbkhmz/uVQCF+1A29lBaaSxegLCmeNanqOJYtPL7iHTy+4B4guJi86MCRZRpIj0d9IF16TkOXo8YgsIcsSEjIaUXPFBDuHw1VZhauhDfPKVYrom8KMRhAEZFkm3N+POj5+1LlCFAU+f9sCvvPb4xyr7GL5vE7WLLxUX8asNfG5kvv4yan/4b2WgxRYc1iVNr2Vqa4kJzvLqBtswKIxc0v+tsu23VfWRl2bkzizlrs2juyYCDQ3EXYOYll1zZTrMQgqFaaFi9CmpeMuO4Wn7BSabTfMeR0IyeMh2N6G0T5/QsLmCgqzBdFoIDwwQLCtDV1mbNagn9+0mYrqv+Ls0/HTv+zjB/feiUGtPMT5KMqqUEEhhujzCxDUanznh3+qNlbu3lJAZpKJrn4fKf2bUAsqDrYdpaJ3cv3OBXyBME/9Nfo5qDIdmExwe8HNMb2GqNMRf+PNaNPT8ZSfxXXsyJhE+mYiwoVUEbWoRqvSoFfrMWoMmDUmrFoLcTob8fo4Eg0JpBiTSDWlkG5KnVbnhRyJ4HJUI4fDivNCYVYQ6upkcP97hMaoeZASb2Tntmha4K63HPS7hp9f8m053H0hdeLpqudpc3fExuAZTiAS5MUL+k93FN6CQT2yxtSgJ8jze2sB+NT1xRh0Iz+bC3a0o0lIQJuREVuDL4MmIYG4TVuwXLsOQaVCliRCvcPrn8xmZCnqDFeZzdHoE/v86TZJQWFK0aZnoLZY8FVXxSwKQxRFvnrHWhBkXG3J/PLQi0jy2FIUryaUlaGCQgwRdTr0efkEW1uJuN0T7kejVvHZ2xYgCgKHT/ex2nQDEF3AekNXtxjWC/vq6HcF0JjdqFIb2Z5/I2Zt7PJrfbU1RHw+RK0Wy+o1mBYvIdTVhfPQAUVU6QogRyK4Th4n4vdjKBo5j11BYSahSU5BZTDiqx171ZDNSzNYXJCIxx/md69Xjji/bMy8ltWpKwhKIX5T/hS+qyCd8O3GdxkIDJJjyWRt+srLtn12Tw3eQJiS/ARW2S9fatlyzVos16y94hF1glqN2hKNyvTX1zF4YB/u02VIodAVtWOqiHi9OA/ux18b1aVSmUyzJmpRQWGiCKKIodhO2Okk2Ba7dI+CtHg2L08BBCrK9LxZ927M+p4rKA4MBYUYYyiah3HBIgSdblL95KdbuWVtDjJQfspAjimXgcAgfz7/amwMnYXUtg6y52QLggBi3mkyzKlszFwbs/6DHe14ys8SbGkBLpTLKijEtnETxoWLhkLFFUfG1CCFgjiPHCLY3k78iuVoki+/GVFQmCkIooi+oIBQTw/hgbFp5wiCwEO3zsekV1Ne38fesuEXwIIg8Kn5HyPDlEant5unK5+b03NQr6+P3U3vAXBP8Y4hLZ/hqGrs5/C5DtQqkU/fWDziplny+5ECgWhE2iTvzZNFn5uHoWgegcYGBvfuIdTdPa32TJZgZweD7+0l7HQiTrIam4LCbEObkYnKYsF33hHTeXnnlgWYTSKy18bLxyo511sVs77nAooDQ0Ehxog6HYZ582KiOH7H+nwyk010DfhI6t+ARlRzpOMEZ3sqYmDp7CIckXjizSpkQJveiGh0cfe8O1CJscknlsNhPGfOoLZa0RcWfuiYOi4ebWoaEH165jp6eNamlMxk5HAEyefHsnIVFnvxdJujoDAudLl5iBoNvpqaMZ8TZ9Zx/012AP605zyd/d7h+1Zp+fzi+9Gr9JR2n+Xd5v0xsXmmIckSf655jZAUZnXqcgpseSO2DUcknroggrp9XS6p8cYR23rOlTOwdw9yOBxrk8eNoFZjWlSCdf1GEEQGDx3AVzP2yJ2ZgiwzmGLEAAAgAElEQVRJeCsrcB45jKjXE7f5OnSZWdNtloLCFUUQRczLlkd1dWIYdaTXqnnwxqg4dKhlHo+XPk+Xtydm/c92FAeGgsIUEWhtwVs9Oc0KjVrkcxdSSQ6V9bLGfBMAf6j6M+6QJxZmzhreONpEa7cHvTGMkOFgWXIJ8xNil2LgdVQR8XkxLVl2Wd0FQVQR6ulhYO8eQr3KzSQWRHw+ZElCZTAQt3Ubuqzs6TZJQWHciBoNutw8Qr0949ooX7MglWsWpBAMSfzmtQokafineKnGZO5f+AkAXqx9nZqB+pjYPVNocrXwHyd/yenucrSihh2Ft1y2/ZtHm2jv9ZKaYOSWNbkjtgsP9BNoaUafk4ugnjna9ZrEROK2XIehsGgo2mw2RdaEBwfxna9Gn5uHbeMmVGbzdJukoDAtaBISp2T8ryhOpqQgASIaXPW5PHb29wQiwZhfZzaiODAUFKaIcF8fvqpKwgMDk+onL83KrddGU0lOn9SSb87HGXTxXPXLsTF0FtDR5+XVgw0ASDmn0KhF7iraHrP+w4MD+Otq0efmoUlMvGxbfV50sSao1TgPHsBbHduwwauN8MAAg/v24q2MRhUpop0KsxlDcTHx224Y90b5vhvt2MxaaludvHG0ccR2y5JLuD5nM5Is8Xj5LgYDrsmaPO14Q17+5HiJHx7/LxqcTdi0Fj5Xch/x+rgRz+ke8PHqoQYA7r+xGI16+HlDlmU85eVRfaoZqKkjqNWYShajtkXfq6esFM/ZM0jBmbtJiXiiD0808fHYtmzFvGz5jHIMKShMB1IggPPoEQIx1MIQBIH7bihGrRKI9GbS3B6Y8ymEY0VZKSooTBGG+fMRtFo8Z09PerK5fd2FVJJ+H/F969GKGk50llHadTZG1s5cJFnmyTeqCEckrOl9qKx93JCzOaZVMkS9AV1uHsaFi8bUXm2Lw7ZpC9rMzJg4qa5WQj3dOA8dQBBE9DkjP0FVUJgtiBotglqNHIkQ8Y1dcNls0PDZWxcA8NL+epo6R3ZM3FFwM/PiCnAGXfz23C4iUmTSdk8HkixxuP0E/3LkR+xrPYQgCGzL3sQ/r/07SpIWjHieLMs8/XY1obDE2oWpLMwb+V4QbG8n1NuDcf6CmKR1TiWyLEermNXV0vrSK7hOnSTU0z1jNiuyJOGtqmRgz+4h3Y6JlotXUJhrCBoNEbcLn6MSWYpd1ZCUeCPbr80DINy4iBMdZ3ineV/M+p+tKA4MBYUpQtRoMS5YSKivj2BL86T6+nAqSQ9rrdGyoX90vIArOPFqJ7OB/afbcDQPoNdDML2UOJ2NG3Kvi+k1RJ0O85KliFrt2M/RaDCvWIVt0xY08fFAVIldYWwE2tqGcqetGzehslim2yQFhZggyzLOI4dxHTs6roXs4oJEtizPJCLJ/Oa1CoKh4R0TKlHFQ4s+jU1roWagnpfr3oiV6VeMFlcbPzn1KLsqn8Ud8lAUl88/rv46H5u3Hb1af9lzT1V3c6a2F4NOzc6tRZdtG+7rRW21opsFDlJBEDAtXkLc5usw5ecR6mhn8OCBocoe04nk9+M8fAivowpdVjbqC/c8BQWFKIIoYlywkLDTib++LqZ937I2h5R4A5LPTLgzj5dqXsfRN/3zwnSi+u53vzvdNlwp4oCv+3xBZogze0yYTDq83pkbSqhweVQ2G6GuToLtbehy8xBUExecjDPrCEsy1c0DuPp05OSF6fB10uPrY0XKkikpWTbd42/AHeC//nyWcETCUFCFbOzj3vkfJ9uSGZP+Jb8P1/FjqOLiJqRMLwgCoj662A719jK4by/IMuqERKWE3GWQAgGchw6gttqwXrself7SDct0jz2Fq5vJjD9BEBBUKvx1tYhq9ahpaR/EnhPH8aou2nu9uP1hlhYlDdtOr9aRa83hWMcp6gYbyDSlkWZKnZC9VxJf2MdLNa/zdNXz9AcGsGjNfMr+MT5edDtW3ehOTH8wzM+eP4M/GGHn1iIWXCb6AkCbkoo2KxtxFqU4iHo9SfPykVKzUFssaFLTEDWaaJWsc+cQ1CpE45UrUxrq7sZ55BCSx4N52XKM8xcoqX5zGOXeO3FUZguRwQECTU3osrJjFvWlEkXSEo0cPteJ4ElESGyhYrCclalLMahnf+UfQRAwGrUAPwPGFNKszEAKClOIIAiYlyzFVLI4Jjmit6/LG0olsfWuRafSUtZ9lpOdZTGwdubxh7er8QXCJKeFCdkaKIrLZ0XK0pj17ykvJ9Tbi3CZMn1jRW2zocvKwuuownn4IJJ/7OHjVxuiTof12nVY162f9pKGCgpTgS4zE11GJt6qSsIu55jP02vVfGlHCWqVwN7SVo5Vdo7Ytigun7uKbgPgqcpn6fTO3HKcsixzrOMU/3LkR+xtOQjAdVkb+M7av+OatBVj3oy/fKCefleAvDQL1y0f2ZEtBYNEXNE0nJmeOjISglqNLjsHlTFaXUUOhQgP9OM8eoT+t/+Kp+IcEffUR2CGXS4EjQbbpi1Kqp+CwmW4GEWFLONzVMa075L8RFbNTyESEdB3rMYd8vDY2d8TjIRiep3ZghKBMcNRPKGzH1FvQG21IghCNMd1Ek9NVKJAQYaV/afbaerwsnXhfBr8Dqr7a1mTthK9Orabwekcf6Xnu3lpfz1ajUi4YD+iOswXFj+ITRebnNtgZyfeynMY7fPRZWRMuj9BFNGmZyAajQQaGwg0NaFOSEBlGLm039WELMt4z51F8vpQx8WhMhgv+xRPmfsUppNYjD9NYiKBpiZCvT3osnPGPPfHmXUY9RrO1vVSXt/HKnsKZsPwm/A8aw7t3i5a3G2c769jTfpK1OMsLS3JEu2eTsq6z7KneT8v1LzG3uYD1AzU0+3rIRAJYVDr0anGnmL3QdrcHTx+bhfvNh8gGAlSYMvlkSWfYW3GKjTi2J0LzV1ufvuXKhDgq3cvId4ycqqJt/Ic7tNlM67yyFgZbvypbTb0BYVo4uKQAkGCLc0EOzvR5xfEZH3xQaRAgHB/PyqTCXVcHPqcXFSG2f+kV2F0lHvv5BA1WtTx8ehycicVdT0cRZk23jvdhsepxhYXoUduYjDgZEnSwlkd9TuRCIzZN6srKMxSfHV1hHu6Ma+eXK3oaFWSXF471MCJYyL21XYcgw6ecfyZLy7+zKyexC4y4A6w661qAJILu+jTelmfuZZsy+QdDQByOIzn7GlUFguGGCvT63NyUcfH4zp6lHBvH5qEsYePz1VkScJdepJASwuGwsvnrCsozBVEvR7TkiX4as4jB4MIw6RKjcTWFZk4mvo54ejmf14q59sPrESjvnQxLAgC982/mzZ3B22eDv5Q9TyfWfipy94HIlIk6vAYqKN2oIHawXo8oUv1e3r9/ZR1lw/9P05nI9uSSbYlk5wLv21a64jX8oX9vF7/NntbDiLJEmaNibuKbuOatBWI44x6k2SZ3/+1CkmW2bYii7y0kR3ZEbcbf309uuycoRS/ucJFR7k2PQPJ7yPi9UadF5EIA+++gyY5BV1O7lDUhqBWI6hUyJHI+5VNZBmIPskTtbqo6Gw4jOT3AxDxuHGXlYIsE3/9jVEH0Cx0AikoTBfa5BQguvZBkmLmRI236LhzQz5/2lOD1FyCen4bRzpOkGvNYlPWuphcY7agzEgKClcMmUB7G9r29kk/8b9jfR5l57tp6fZg774Gg7GRsz2VHO04ydr0VTGyd3po6nTx8z+fod8VIC1ZQ6/lBEa1gdvzb4rZNfz19UQ8HmzrN8TcQw6gtlixbd4yFLosS9JVmzMsh8O4jh8j2NWJaeGiGVnKUEFhqtBmZKJNzxj3378gCHzmlgU0drpo6nLzxz013H+jfdi2erWehxffzw9P/BcnOssotOV9aDEbjIRocDZRO1BPzUA9dc5GgpEPP2G1aa0UxeVTFJdPYVw+oiDS5Gyh2d1Kk7OVFncrA4FBBgKDnO2pGDrPojVfcGhkDTk24nVxnOw6zQvnX2Uw6EJAYFPmOm4vuBGjZmIRaQfOtFPb6sRm0nLXpoLLtvVUlIMoYpw/ciWTuYCoNyDqo1ERcjiMOiGRQHMT/ob6oTaWFavQZWcT7u9j8OCBS/qwrlmLNi2dUE8PzqOHh15Xmc1YVq2eldErCgozATkSYXD/e2gSk6JpJTFi28osDp5tp6Xbw8rALVToXuK586+QZcmgwJYXs+vMdISZUp7pCpAH1Pf2upGk2fOek5MtdHfP/jrvCtFN7OB7e5HDIeKu2zbphUFjh4t/e/IEsiyz/WYju/tewKDW8+1rvkG8Pi4mNl/p8VdW08OvXjlHIBihMMOKN2cvA1IX9xTvYEvW+phdR45ECHZ2xiR1ZDTCAwO4ThzHsno1altsvpfZgixJOA/sJzzQj2npMvS5eWM+V5n7FKaTWI8/KRjEX1+HYV7xuJwZDR1Ovv/UScIRmUd2LOKaBSMLdZ7sLOO35/6ASlDxieId9Pj6qB2sp9HZQkT+cEWTFEPSkLOiKC6fRH3CZaM2JFmi29dLs7OFJncrza42ml2t+MKXav3oVFoCFxwkedYcdtrvJMeSNeb3/FGc3iDf/vURPP4wX7xjEWsWjvwZBLu7cB46iHHBQozFwzt8ZgMTHX9SKEioowMpFAZAm5KCymxG8vsIdlzQUxGAC9+1JikZldFIxOcj3NMTPS6KaFJSZq12iMLkUO69scN95jSBhnqsGzcPVauLBdXNA/zg6VOoVQLrtrk5PrAfq9bCP6z+WszSrK8koiiQmGgGyAcaxnKOooExw1Fy0eYOgiCgspjx1dUiiCKapORJ9Rdn1hGRZBzNAwz0qCksEGn3tdPu6WR16vKYpJJcqfEnyzJvn2jht69XEo7IrF2USvHKPs4OnCbDlMan59897pDjka5DJIKgVqO+QmU75UiEQFNUE0OTmnpViVYKgoAcDKHPz0eXlT2uc5W5T2E6ifX4C3a04zlzGlGrRZNw+coZHyTOrMOgU3O2ri+qhzF/ZD2MDHMavpCPOmcj5b2V1A020B8YBCDLnMHylCVcn7OZe+bt4Ka8rSxJXkSWJQOjxjjq/UIQBMwaExnmdBYkFLM2fSU35GxhTfpKCuPySTYkolVp8YV9+MJ+TBojnyjewSeKdxCns439gxqGXW85qG1zsjAvnnu2FF7W1mBnJ5LPi2X5ylkd9TbR8SeoVKhtNjTx8Wji44dKgwtqDeq4uPd/bNGfi04KUaNBbbNFf6zWKYlMVJgdKPfe2KFOSCDQ3ES4pzuqiRGjFO9Em57eQT8NHS6M4RSSMr20ezupdzZOKEVvulE0MBQUZjiapGR0WVn4as6jzy+Y9Gb29vV5lA6lkqzCaKynsq+aQ23HWJ+5JkZWTy3hiMQf3q5mb1kbAHduzGf+ggj/c+YdAO6edweqcYrSjUSgqRGfw4F1w8ahHOGpRmUyYV23gcGD+3EeOoBt/UZUV8h5Ml1EPB6kgB9NQiKGeUrKiIKCNiMTbVoL3soKtKlpqMzmMZ+7bWUWjqYBTlZ38+hL5/hf969Eox5+gXpn0a24Qm76/QMUxuVTaMujwJaHURN7AUZBEEgyJJJkSGRFSjREWpZlBoNOjGojWtXkn+BXNw9w8GwHapXI/TfaR90AGAoK0OflzWrnhYKCwtxA1GgxLV6C6/ixaAReDDXA7r6ukNLz3VQ2DvCZklvo0e2ibrCRP59/lZ32u2J2nZmKMsMrKFxhjAtLYlY+Uq0S+dxtCxEFgf1lXWy0Rkvq/bnmVXp9fZPuf6rx+kP87LnT7C1rQ60Sefj2BYjp5/l52WMEpRCrUpdhT4jNhC8FAngrziEajYhXWE1dZTZjW7cBBIHBQweIeD1X9PpXEikQwHnkEO5Tp6ICVgoKCtEIhqVLEUQRd9n4/jYEQeChW+eTZNPT2Oni2T01I7ZVi2oeWnQv31j5N+wovIWSpAVT4ry4nK1xOltMnBfhiMRTf3UAcOvaHFITRnY6S4EAwa6uqA2K80JBQWGGoE3PQJuWRrCtlVjKNliNWj6+pRCAF99r5gH7p1ELKg60HSUkhWN2nZmKMssrKFxhVAbDUGUKOTz5SSY3zcJt10Zrsx86EmFx/BICkSC7Kp9DkmfuBrKr38v/eeok5xr6sRo1/M3d8zjsf5E3GnYDcHPuVh5YsDNm1/OcK0cOhzEtXTotlVpUFgvWdevRpqQg6uaWMv5F5EgE1/GjSD4f5uUrlI2EgsIHEPUGTIuXEOrt/ZDQ4lgw6jV86c4SVKLAO6daOFHVNUVWzhzeOt5Ma4+HlHjD0D1uJLyOSlxHD89p57CCgsLsQxAEzMtXYl2/MeZrz01LM8hPtzLoDlJ6OsTXVjzC50vuRyPO/QQLZXWpoDBNeKsdDOzbixyJjN54FG5fn0dWspmuAR/6ruWYNSaqB2p5uvJ53mnax6G2Y5zqOkNlbzX1g410eDoZCAziDwdi6hEeK9XNA3zv9ydp7/WSmWzi49utPN38a2oHG7BpLXxl2cPcXnhzzFJHQt3dBJqbMBTNQ22ZPoEjtcWKeflKBJUKKRicU4ttWZZxl54k3NeHeflKNIlK+VgFhY+izcrGuGAh2vTxCwjnp1v5xHXRiLTfvVFJ18ClAppzhZ5BH68cjDp57ruxeNgSshcJDw4SaGhAl5uHymi6UiYqKCgojAlRq0UQRaRQkPBAf+z6FQQeuMmOIMDuEy2o/QksTV4Us/5nMpN20djt9u3Av3FB1xj4F4fD8YLdbi8GngQSgV7gAYfDcf7COTE/NlEikTD9/d2EwzNTsKarS0RSwrDnJLJJg5SXia+tAVEz/j9FtVpLfHwyKpX6QirJAr73+xPsK+3kY7du542eP3Gk48So/QgI6NV69CodBrUeg1qP/sLvpZnzKbGUoFVpJ/IWh+VQeTtPvFFFOCJTkh9P6qIG/tgQLd9Wkjif+xZ8Aot27PnhYyHQ0ozKZMYwrzim/U4UWZaHIhWs6zZcMT2OqSTQ2EigtRVTyWJ0mZnTbY6CwoxEEISh6hgXncfjeSp3/aosqpr6KT3fw6MvlfOP942shzGb+cPb5wmGJK5ZkEJJ/sjOUFmW8ZSfQdBo53zZVAUFhdmN+8QJws5B4rZeH7MqP7lpFrauyOKdky3sequaf7hvBeI0RBlfaSblwLDb7QLwFLDR4XCU2+32JcBBu93+EvAo8AuHw7HLbrffB/wK2Hrh1Kk4NiH6+7vR642YTGnTElY+Gmq1SDisODDmKhG3GzkcRmW1jivcXpZlPB4n/f3dJCWlA++nkrxysIH9hwI8fOdDtHib8Uf8+MJ+/OEA/rAfX8SPPxz98YX9BKXQBeV4H/2BD1/nRGcZJo2RzVnr2Zy1DrNm4k+3JFnmpf11vHaoEYBrlyTQlfAuh7raUQkq7iy6leuyNkzJ36Fp2XLkgH/G1LQXBAHjwhJchw/iPHQQ6/oNqK6wLkes0eXkIGjUaDMU54WCwmhIoRCuY0fRpmdgKCgY83mCIPDZ2xbwL787TkOHi+fereHeG2aGYzZWlFZ3U1bTg16rYufWy4sAB9vbCPX0YF6ydKjihoKCgsJMxDB/Ac797+GrqsS0eEnM+r1rYwHHq7qoaR3k4Jl2Ni4df4TfbCMWq3kJuFgjKw5oB5KAFcANF15/Bvhvu92eTDRKI6bHHA5H90SND4eDM9Z5oTD3EQ0GIi4Xks+HyjR254AgCJhMVtzuD1cb2r4uj1PVPbR0u6k4q+LeG24cta9AKESfx0Ov20O/28eAx4fTG2DA66Mj2ESPUMdfgrvZ3biXazOuYVv2RhINYy8DCBAMRXj8L5Ucr+pCEODa1VrOic8R9IZIMSTxUMm95FiyxtXnWIh4PQiiiKg3IOhnloNAEx+PZe06nIcPDlUnEfWzTxsj2N2F2mJF1OvRZcb+O1RQmIsIajWCKOKtKEebmjqu+d+k1/DIjhL+fddJdp9swZ4Tz0r75MpyzxT6nH6e3l0NwMc2FRBvGUXsWpaj1b1y86beOAUFBYVJoImPR5eXj7++Dm1WNpr4+Jj0a9Sr+eTWIn79agXP7a1l/ZL0OR+FIUw2/91ut28D/gR4AAtwKxACfu9wOBZ9oF0FcB9RR0RMjzkcjlNjMDUPuEQ169y5CjIyLi8OpaAwlUS8PiJ+P5o427hFD9vaGlm0aOGHXqttGeCbP9tHRJJ55K7FaDQqnJ7ghZ/AB/4d/fH4QqNeR1RJYBhEMA2iNrtYWZTFzlXbKEjIHvXcfqef7/3uKNVNAxh0auyrenCEjgCwKXcNn1v5SQya2G/cZVmma8+7hN0eMrbfOmPr2ge6u+nauw99SgrJmzdOtznjItDTS9eedzFkZpK0/trpNkdBYVYR9njoeOOvaOLjSNl63bgfpLz0Xi2Pv1KOSa/mp9/YQlri7NZ/aGh38t3HDtM76Kc4J44ffmUTKnH0z0SWZeUhlIKCwqxACoVo/8sbiDodaTfdEDOxc1mW+ekfS+nu9/GvX7wWtWpWphbmAw1jaTjZFBI18I/ADofDcdBut68HngXun0y/U0lvrxtJet9pI0nSjE7RUFJI5j6yRougUhORgHHqnUiSRHe360OvWXWqoVSSR188O2ofggBmgwazQYPFoMFs1GI2aDDp1bgDEaoaeuke8IM7HtzxRDrhUC0c2n0Ui+0gC7NTWJGXQ36GlUSr/kMLyeYuNz97/jR9zgBxFjW64lIcoVa0Ki2fLL6LNekrcQ+EcDO6E2W8BJqbcNW3YF66jJ4+b8z7jx16VItXEjEaL/kuZzIRt5vB/fsQNBq0ufNibntysmVWfR4Kc4srNf7kvHn0lZUSPH4Gff7YU0kA1i1I5mRFEmU1PXz/d0f5x/tWztZFK5WN/fz3C2fwBSIUZ9n4yscW09frHrF9xOcj1NmBLid3TlY7UuY/helCGXtTj5xvx9vYQFd7f0xT3+67Pppy1983uwTiRVEgMXF82neTTSFZBmQ4HI6DABecGB7AD2Ta7XaVw+GI2O12FZABNBONpIj1MYVRePzxX+Hz+fjyl7/OSy89TyAQYOfOT4/Yvr29jWPHjrBjx8eGXvvWt77K3/7t/0+mEiYeUwRBAJUqKugmSTGJFNi+Lo9BT5BBdzDqnDBecE4M/VuL2Rj9v1GvHjHU7OKNzO0L0dDhpL7dRXVLLzVt/QT8Glx9Go72uTh6+hwAFqOG/HQreWkWLEYtz79XSyAYITEJfDm7Caj8ZFsy+eyie0kxTl3IsxQM4ikvR5OQMCtCiy9W7JAlCd/5avT5BTM6n1sKBHAeiQqvWtdei6gbJcxbQUFhWHQ5uQTbWvHX16HLzRvXZvx9PYxj1Le7eO7dWj51/eU1I2YiRys6+c1rFUQkmVXzU3h4+4LLVh0B8FaUE2xvR5OSOidEkBUUFK4etOnpaNPTlcixSTBZB0YLkGW32+0Oh8Nht9sXAKnAeaAM+BSw68Lv0otaFXa7PebHrjbC4TDqCQoS3nnn3aO2aW9v45VXXvyQA+PHP/75hK6nMDYkvx85GEBlGZ+g53CoVSIP3jw/RpZFIzRK8hMvqMHnAdDa38+b505S2tCC32VActtweeFMbS9nanuHzo1Pc+HJOowgSmzN3sgdhbdMeY1qn6MKORTEtGTdrLpBRJxOfNUOgh0dWNetQ9TMTCeGp/wskv9CBRVzbCvGKChcTQiCgHn5SlCpJjTvmw1RPYwfPH2Kt080Mz8njuXFs0MPQ5Zl/nqsmWffrQHghlXZ7NxWNGrudqi3l0BLC0b7fMV5oaCgMOu4uC6NeDwEWpoxFNtn1Vp1JjCpXYTD4eiw2+1fAp632+0XY98/63A4+ux2+yPAk3a7/Z+BfuCBD5w6FcfmBBs2rOKhhx5m//73CAT8fOlLX2HTpus+dOzw4YOsWXMtDz/8JXbteoL33ttDJBIhKSmFv//7b5OYmITb7eYHP/hX6upqSUhIJDU1lfj46FPeD0ZjADz11O94++03EQQRg8HAL3/5G/7zP39Ie3srn/nMvWRlZfG97/2Qu+++nR/+8CcUFBTR0tLMj370fQYG+lGp/l97dx4fVXk2fPx3zmzJTCYLIRACJGE9wRAiuwjKqlK1Pra1Pq1VoRapVn2s9nm0i3WrtlWfV22rrb4uRUX0tW51w10EWmTfl8MSIBASlkC2mclMzpzz/jFDBAmQkGUmmev7+fAhOWfOfa4Zbs7MXOe+r9vG7Nk3cc455zbGOXv2z1i4cAHV1dXcdNN/MWnS1Ni8oJ2I6nQSDgYx6+s7xYey3hkZ/GTCNELjQnxVvoJPdi/kUHUA05eGI9Adj9mLQNJuAllb8To9XDPkSoZ2b/9l7izLwjIMXPn52NPS2/18bcmeno539Fhqly+ldskSUkaNjsu+4BlaRFJuHo5uLSvmKoQ40dHivVY4jFFT0+LCbgN6p/G9iQN47YvtPPf+Zu7tkUL39PgqWvxNpmnx6mfb+HTlXgD+c8pALhqTe9rjLNPEt2EdtuRkkgd2vtEmQghxVKiiHP+Wzdi8XlyygluLtPo2qK7rLwMvN7F9CzD2JMe0+b628te1z7Oxcku7tF2YWcDPiq877eNUVWXOnHmUlu7ihht+QlFRMRkZkS8KLpeLZ599EYCPPvqAsrIynn56Dqqq8tZbr/PEE49zzz0P8Pe/P4Pb7WHevDeoqqriuut+xJQpF5xwrvnz32Px4oU89dTzuN0eqqurUFWV22+/gyef/BPPPfdSkzHed99d/Md/fIdLL72cnTtLuPnm65k793Uyoh+8PB4Pzz77IuvWreHuu38lCYxmUGw2FJcLK1iP6XSixsmSn6fjtDk5v8+5jM8Zy5qD6/lk9wL21G2gjg0AaOkDmFH4A9JdaadpqW1E7miOoLUFimPFmZ2Nd9Roalcsp+rTj3EXDiV5wMBYh4VlWYT27sXZuzeqy4Wa1Tnu8grRWfjWryO0r4y0SVNanGPKg9gAACAASURBVLi8aExftu6pYs32Qzz1zkZ++aMRcVsPo8EI83/f3cRK/SB2m8JPLjmLsWf1bNaxwT2lGFVVeEeOiptlsYUQ4kwk9etPcE8pvvXrcGRlxe2o23gUn+9uCe7SS/8DgNzcfDStgI0bvy7E+K1vXdr48+LFC1mxYhnXXXc1M2dexZtvvkZFxT4AVq9e0dhOeno6EydOafJc//rXIi6//Hu43ZHq5WnNuGPt9/vYvn0rF198GQD9+vVn4EDtuDinTr0IgMLCIg4dOkgwGGz2809kqssFiooZCHS6L+A21cbInmdz5+hbueXs6xmeVcTlAy7mluHXd1jyouHQQYzqyNKynXk4nrNXDulTp5E0cBD2aFIwXFtLoGQHZkMoJjHVl+ygdtUKgrt3xeT8QnR1yYMGY1kWvrWrW3z9P1oPo1uqi5J9Nbzy6TbCLSwK3RHqAg38n1fXsFI/SLLLzu1Xnt3s5AWAze0hKTcXp9TiEkJ0coqq4ikejhUM4t+8OdbhdCqSvv6G5oyQiKXk5K/vyliWxYwZ1zUmKuKJM1qA0BYtSBkOh2MZTqehqCpqchJmoD6yIkmcLv15KoqiUNBtEAXdOnZ4r2UY1K1eheJwkDax5UsSxhub24PnrMZVowlVlOPbtBH/5k24+vQlqV9/7KmpHRJLsKwM34b1uHJ648rv1yHnFCLR2DyR//N169YSLN1NUgsLEB+th/HQy6v4YnUZJftqmPmtAvKyve0TcAtVVtfz6GtrKK/0k+F1cduVxfTJalkNHUdWFg4Z/SWE6CIcGRkk9esfKeTcN7fFUwgTlYzAiEPvv/8OAHv2lLJ1q05hYVGTj5sw4Xzeeut1ampqAAiFQmzbthWAESNG88EH7wJQXV3FwoVfNNnG+PHn8fbbb+D3+xofC+DxpODzNb2EmdvtYeDAwcyf/x4Au3btZMeOrSeNU7SM4nBi83rbZDWSRBLYsZ2w34+nsKjTJy+akjxoMOkTJ+HKySG4p5SqLz6j5qt/t/tInYbKSupWr8SRmUnKiJFd8rUVIl648vvh6J6Fb/06jOh7e0sM7J3GrVcMIzPVxe79tdz/wnJe/Wwb9SGjHaJtvtL9tTzw0grKK/30zvLwm2tGtih5Ea6txbdpI2ZD2y+5LYQQsZRcMISkgYOwpXhiHUqnISMw4lA4HObHP76K+vp67rzzN431L75p+vRLqK6u4pZbZgNgmibf+c73GTRoMDNnzuIPf7iPq676Ht26ZXL22cNP2sbBgweYPfvH2O12kpOTefLJZxgwYCC5uXlcc82V5OXl88ADDx933D33PMAjj/ye116bh81m46677m+sfyFaR1EUUJTGYpSqwxHrkOJe2O8nsG0rrpzeXfrunD09g5ThI3GfNZRgaSlW2ECJ9pXgrp04e/VCTWq74n2WaVK3eiVqcjLe0WMlqSZEO1MUBe/IkZHRZGe4GtXQ/pn8btZY3l60k09W7OHj5XtYqR/g6gs1igd2b+OIT2/TrsM88eZ66kNhCnLTufm7RbiTWva+5tu4HuPw4bioBySEEG1JdTiOG3ErTk/pbPPsWyEf2FlZWYdpfv2cKyp2k52dF7OgvmnChFF8/PFC3NECXna7imHE3zxW0f7M+nrC9QFsnpSTJjHau/9mZXk5eLC23dpvK7UrlhOqKCd9ylRs7sTLYIdrazny+acoqoqzV6/I9JJumW0yWsKorkax27F5OvZ17Sx9T3RN8dL/jn5GO9P/y7sqapgzfwul+yMjKkcX9OCqaYNIS3G1WYynsmRjBc+/v5mwaTFmSA9+cslZOOwtS8yEKiqoWboEz9CihElgxEv/E4lH+l7sGDU11K1ZRcqwYuzpiXNTWFUVMjNTAPoBu5pzjIzAECJOKS4XSiiEGfCj2LxnfDeuq7MsC1tKCsmDtYRMXgDYvF4ypl5A/a6dBEt3Eywrw+b1knbuBNSkJIJlZQTL9qAoKiiAooCikjKsGMVuJ1S+j9DBA9H9kRFAalISSf0HYE/rmAKsQojjWeEwdatXYc/IOOMv7vnZqfx2xig+XbGXtxaVsHzLATbsPMz3Jw/g/OIc1HaaEmZZFvOXlvL6gh0ATB+TyxWTB7T4fJZp4tu4HpvXS1K//u0RqhBCxAXV5cKqD1K7bBlpEydFCvuLJkkCI84sXrwi1iGIOKEoCqrbjVlXi1lf3+Jl9RKFoii4C4bEOoyYs6Wk4BlahLtgCMF9ZYT27m3cZzU0YPr8YJlYAKYV+dkyUYhk/UNl+8CKjPayTBPFZsfRPUsSGELEiqqCaeLftBF7ejqOzDOb/mFTVS4ak8vIwVnM/WQr63ZU8uKHOv/eUMGM6QX07t62iV/TtJj36VY+X1WGAvxg6iAuGN33jNqqL9lBuK6O1HPGSRJfCNGlqS4X3jFjqF68iNoVy0kdd65c905CppDE2RSSb5IpJCIcCGAG65ucSpLoU0iCZXtRbDYcPbOluGQXE+99T3Rt8dL/zIYQ1Qu/xDIM0idORk1KalV7lmWxfMsB5n26jRpfCJuqcPE5eVx6bh4O+5nXuPHXG+zeX8vuilrW7TjEltIq7DaV2d8+i1EFPc64XaO2hlBZWcIlqeOl/4nEI30v9upLd1O3ehXJAwfhKRwa63DanUwhEaILUpOSwAzLF/RvMEMhfOvWYUv14uiZHetwhBCizakOJ95RY6he9GXkjty541t1R05RFMYM6Ulhv268vmAHX67Zx7v/3sWyLQeYcZFGQd7p510fm6zYVVHDropaDhwJHPcYt8vOLd8rQstt3TxuuzcVe0HHLBcthBDxICk3D6PqCEZlJVY4LAXUmyAJDCHinKIo2DzNX24uUfi3bMZqCOEZOkySO0KILsuelkZK8XB8G9YR9tVh97b+C70nycGM6QWMK8zmhQ+3UF7p5+FXVjOhqBdXThlISnJktN83kxW7K2rZ/41kBYDdptK3h4f87FTysr0U9c8kw3vm87eNqiMEtm/DU1Qs88CFEAnHM3QYWJYkL05CEhhCdBKWZWEGAigOR8IvrWpUVxPcvQtXfr7UaBBCdHmuvn1x9OyJ6nS2abuD+6Zz74/HMH/pbt779y4Wry9n7Y5DFORmULq/ecmK/GwvOd092G1tM1fbsix869cR9vsjdUCEECLBHB1pZ4ZC+Ddvwj3krDa//ndmksAQohOxDAOroQHFm7irkliWhW/DehS7HbeWWPOihRCJS3U6sSyL+u3bcWZnY/N626Rdh13lsvH9GF3Qgxc/1NH3VLF8ywHg62RFXnYq+e2QrGhKaO9eGg4fJmX4iIRP1gshElu4ro5g6W7MgB/v2HEy4jhKEhidXG1tLe+88yY/+tGMVrVTXr6PWbOu4f33P2ujyERbUxQFm9tNuK4OMxDA5knMJUMhcjdSUXJlaLEQIqFYwSCB7dsI7i0l7byJKPa2+xjXK9PDHVcNZ822Q9QGGjokWXEsyzSpL9mBX9+CPT0DV9/cDjmvEELEK0e3bniGFlG3bi0BfUvCFTQ+mcS8hduF1NXVMm/ei7EOQ3QQxW5HcbkwG0KYoVCsw4kJRVFIys2TD7dCiISjJiWRMnIU4dpa6taupq1XklMUheGDszi/OIfcnt4OS14cFdy7B0f37nhHj5E7jUIIAbjy+5GUm4df30KofF+sw4kLMgLjGx7/x1rW7ahsl7aHDcjk598vPu3j7rvvLkpLd9PQEKJv31zuvPO3pKam8t57/+Qf/3gVAIfDwcMPP8ajjz5EXV0dM2deRVJSEk899TxXXPFtHn74Mfr3Hwhw3O9PPPE4a9asoqGhgfT0dH71q7vJzu7VLs9XtA81KQnLaMCsD5A4qyBHBHZsByCp/wD5cCuESEjOHj1ILhiCf/Mm7BmZJPfvH+uQzphZH8Cv643zu1PHT0B1yDxvIYQ4SlEUPMOKMWpq8G/ehKNndsJOIz9KEhhx6NZb/5v09HQAnn32b7z88guMHTuOl176O3/967NkZnbH7/djs9m4/fY7mTXrGubMmdestq++eiY33/xzAN59923+9rc/c999f2i35yLaXmQqSWT6iOKvinE0HSfs9+PfvAlndjaKMjDW4QghRMwkDxqMceQw/k0bcPXu3emm01mmSf3OEgL6FqxwGGfPbJzZ2ZK8EEKIJig2W2RkmqomfPICJIFxguaMkGhvH374Hh9//CGG0UB9fT19+uRimibTp19CZmZ3ANxu9xm1/dVX/+LNN/9BIOAnHA63ZdiiAx1dVsmyIOz3NSY0ujL/pg0AuM8aGuNIhBAithRFIWX4SMK1tZ0uedFQWYlv3VqMmmqcPXriKRqGLUWWChdCiFOxRb/7WaZJqGwvzj59E3Y0siQw4szatat5++03+NvfnicjI4PPPvuIt956o0Vt2Gw2TPPruQWhaK2Eiopy/vKXR3nmmRfJyenN+vVrue++u9o0ftGxrIYGqr/8kvTJU1CTkmIdTrtpOHSQYFkZbq2g8QIuhBCJTHU6UTMzgUhSwJ6R0SnuzAW2b8NqaMA7eizOXr0S9gO4EEKciVB5ObWrVuIOBHAP1mIdTkzE/ztdgqmtrcXjSSEtLY1QKMS77/4TgHHjxvPhh+9z+HCkPoff7ycYDOLxeKivr8cwjMY2evfuy5YtGwFYsWJZ4zE+nw+73UFmZiamafL22y1LjIj4o9jtWGGDunVr2ryYW7w4umyqze0meeCgWIcjhBBxxaiqonrxQgL6lla1Ew4EMKq+npYY2r8fo7oKyzRb1a5lmgRKSgjX1QGQUnw26VOm4srJkeSFEEK0kDMnB1fvPgS2bCa0f3+sw4kJGYERZ84551w+/ng+P/zhd0lLS2f48BFs3LiBESNGcc01M/n5z3+Goqg4nQ4eeugxunXL5MILv8WMGT/A603lqaee5/rrb+DBB+/l9ddfY+TIUfTsmQ3AgAEDmTx5GldffSVpaemMGzeetWtXx/gZi9ZQVAV3wRB8GzcQ2runS67MoSgKnqJhWGGzTZcMFEKIrsCenk5SXj7+rTr2jAyczSzMHfb5aKg8hFF5iIbKSsI+H/a0NNInTcGyLOpWrcQMBVFsNmypqdjT0nFmZ+OMfqZojobD0eki1dVYWgHugiFderSgEEK0N0VRSDl7ONW1tdStWkHa+ZOwebr+VPJjKV31rm0T8oGdlZV1x02vqKjYTXZ2XsyCOh27XcUwWnf3Q3RdFRW76dmjLzX/Xky4poa0yVOxJSe3WftZWV4OHqxts/ZayrIsuUOXoGLd90Ri62z9zwqHqV68ENPnI23i5BM+zFqmSbi2BqOqiqS8fABqVywjWFaG6nThyMzEnpkZ+Ts9A8uyMOvqMKqrMKqrMaqqCFdX4crLx1M4NHq+RdjT0rCnpWFLS8eemtqYZDaDQfybNlJfuhtbcjLuwiKcMuKi2Tpb/xNdh/S9ziNcV0f1wgXYUryknnd+p72+qqpCZmYKQD9gV3OOkduZQnRyiqqScvYIar5aghnwt2kCI9b8G9cDCu7CoZ32wiyEEO1NsdnwjhpD9cIF1C5fStp5EzEDAULl+yKjLA4fxmxoAMDRoye25GSSBxeQrBVgS/GecH1VFAWb14vN68XVpy8QSSgTLf5thkKoTgeh8n3U794VOUZV8RSfTVJuHoHt2wju3UPywEG4tQIZPSeEEG3MlpJCyugxqA5Hwn1GlncUIboAW0oK6VOmdooCbs1lVFdTX1KCK79fwl2YhRCipWweDykjRmIGAqCqGEeO4Nu0EbvXi7N3HxzdIqMsjia57ampLWpfURSIJiJsycmkjhsfGakR8GNUVROursKelgZA8uDBuHJzsXtbdg4hhBDN58zq0fhzuK4uYVZ0kgSGEF2EoqqRYmnbt+Hq06dTL61qGQa+9WtRHE7cBUNiHY4QQnQKx9ancPbqRbfpF7frMquKomBzeyLvNzk5jdtVhxPV4Wy38wohhPhafelufGvXkDruXBzds2IdTrvrOrdrhRCY9fUEtm3Ft6bzrkpiVFdTtXABxuHDeIYORXXKh2AhhGgpxW5v1+SFEEKI+ODslYM9o1usw+gwksAQoguxud14CocSOniA4K6dsQ7nDFlgmnjHndslV1URQgghhBCiragOB6njJyTE6AtogykkmqYlAY8B04B6YImu67M1TRsMvABkApXAtbqub4se0+b7hBARrrx8QuX78G3aiC0tDUe3TCBShR5Fict6EmYoRGhfGUn5/bCnpZM+ZVqXquchhBBCCCFEe4nHz/ftpS2+ITxMJHExWNf1IuC30e1PAU/quj4YeBJ4+phj2mOfaGPl5fu45JKp7XqODz54l7vuuuOk+9euXdPk/gcfvJc33vh/7Rlas9x882z+9a9F7XqOCRNG4ff7m/14RVHwFA9HURT8GzY0bq/+cgGH33uHw/M/4MinH1O14HN8G9Y17vdv2Yx/8yYC27ZSv7OE4J49GD5fmz6XpjRUHqJ6wef41q8jXFcXeQ6SvBBCCCGEEEJ8Q6tGYGialgJcC/TRdd0C0HV9v6ZpPYARwAXRh74CPKFpWhagtPU+XdcPtuZ5iIhwOIzNZot1GMdZtGgB5503KdZhAGAYBvZOshScze0mbfLUSDX6qKT8fMKBAJbRgNVgYBkGiu3r5xPcV4bp80VGakS56iphyNntEqNlmgS26gS26qhuD2nnnZ8w1ZOFEEIIIYQQLdfab2MDiEzluEfTtMlAHXAXEADKdF0PA+i6HtY0bR/Ql0gioq33dYkERnn5PmbNuob33//smN+v5f33P23cN336paxYsRTLsvjFL35JcfHwU+4DWLJkMS+++DzBYAiHw8Ett9zO0KFFrFq1gj/96X/RtCFs3apz/fU3Mn78eSfE9Ze/PNZku/Pnv8crr7yEoijk5PThjjt+TUZGNz744F0++eRDvN5USkp24PWm8MADD5OZ2Z2GhgYee+xhVq1aQVpaOoMGaad8TZYsWcy11153ysf4/X4ef/wRNm/eCMD06Zfwox/NoLR0F7/+9R3MnfsahmFwySVTmTHjJ1x11bV89tknLFq0gHvvfZBDhw7x+OMPs39/BcFgkGnTLmo85xVXfJupUy9k1arl9O8/kF/96u4Tzr9ixTLmzHmGmpoapky5gJ/+9CYA9u7dwyOP/J6qqiPYbDZmz76Jc845F4iMqpg9+2csXLiA6upqbrrpv5g0KTLa5csvP+fpp5/E6XQxadKUUz73U7ElJzculweQ1K//KR+fMWVapPBnOIxlGJjBIOk90qgKRpZm8m1YR/LAQdgzu7fJMLXa5csIVZTj6tMXz7BiVIej1W0KIYQQQgghuq7WJjBsQH9gta7r/6Np2ljgXeD7rY6snWRmHn+H98ABFbv9+OHqVYtOnBLg6t2b5P79sQyD6iVLTtiflJtLUl4eZjBIzbJlJ+7v14+kPn1OGZvNpgJKYzyR38FuV7HZVKqrq9E0jdtu+wUrV67g3nt/wxtvvHPKfQcO7OeFF57jT396Eo8nhZKSHdx22y38858fYLOp7NxZwi9/+RuKioqbjOdk7e7ZU8rTTz/BnDkv0717Fk8//Vcef/wRHnzwIVRVYcuWTcyd+//o2TOb3//+d7z55mvceOPNvPnmW1RUlPPqq69jGAY33DCLXr1yTvg3ACgp2UH37t3p1i39hH2KoqCqkdfqxRefAyzmzfsHfr+PWbNmMmjQYM49dzx+v4+qqkrKy/fRv/8AVq1azrXXzmT16uWMGTMWu13lwQfv4brrZjF8+EgaGhq4+eafUlg4lLFjzwEgEPDz97/PbfLfTFEUdu/eyTPPzCEUCnH99TMpLi5mwoTzuf/+33L55d/lsssuZ+fOEm64YRavvvoGGRkZAHi9KcyZMzc6TeZOpk27gMrKSh566EGeeebv5OXl89JLcxr7QFOvkaqqZGV5T9mvWisLCBh1GA0BjDXLUTO7kVpQQHKf3q2a6uEZVoBVOAhPv/y2ClV0Me3dt4U4Fel/Ipak/4lYkb4n4l1rExilgEFkOge6ri/VNO0QkREYvTVNs0VHStiAHGAPkZEUbb2v2Sor6zDNr5eXNE0TwzCPe0zYNL95GOFw5HGWYTa534juN0+y/+jxpxIOm4DV+LjI72AYJuGwicPhYNq06RiGSXHxCFwuFyUlO/F4PCfdt27dGvbu3ctPfzrr61gNgwMHDhIOm/Tp05chQ4qajO1U51yzZiXnnDOe9PRMDMPk29/+DjNnXhV5DUyLoqJiMjN7YBgmZ51VyPLlSzEMkxUrljN9+iWADbvdxoUXfot169Y0ef4FC75g/PiJTe6zLAvTjLxWy5Yt5dZb/5tw2MLlcjN16oUsXfoVY8aMY8SIUSxdupTy8n1cdtl3efnlFwkEgixbtpSrrppBba2PVatWcOTIkca2/X4fJSUljBw5BoALL7z4pP92lmVFn4+K05nElCkXsHz5MoYNG862bTrTp1+KYZj07ZvPwIGDWbt2LRMmnA/A5MkXYhgmBQWFHDx4EJ8vwPr16xg8WKN371wMw+TSS7/Dk0/+GcNouv+YpsnBg7VNd6g2kJXljbRvT8E5biLBvXuo2b6dIx9/gT01lbSJk5udxLDCYfybNmJLSYmMBkmOJKb87Ri/6Lwa+54QMSD9T8SS9D8RK9L3REdTVeWEAQan06oEhq7rhzRN+4JIXYqPo6uE9AC2AmuAHwJzo3+vPlqrQtO0Nt/XltKamEZxlGK3n3K/6nKdcv+p2Gy245IroVDojNo5lmVZjB07jt/+9v4T9u3atZPkZHerz9EUp9PZ+LOq2giHwy1uY9GiL7n//j+0Ko6RI0ezcuVy9u0r4+67f8eaNav49NOPsCzIyemN3+9DURSeffbFk9a3cLuTm9zeWkdfo6N1R87kNepIit1OUn4/XLl5hCrKMX3+xuRFcE8pjuxsVIezyWPDtbXUrlyOUV1N8qDBHRm2EEIIIYQQootoi1L/NwC/1jRtPfAqcI2u61XR7bdomrYVuCX6+7HHtPW+Tq9bt0wMw2Dv3sigkk8++fC4/Q0NDY3b1q5dTTAYJC8v/5T7xow5h6VLl1BSsqOxnaO1IprjZO2OGDGKJUv+RWXlIQDeffdtRo8ec9r2Ro4cxYcffoBhGASD9Sc8x6MOHTpIQ0MDvXrlnLbNUaPG8P77/8SyLPx+H5999jGjR4+Nnm80S5cuoba2lh49ejJq1Biee+5pRo0aDYDb7aG4eDhz585pbG///orG59UcH300H8MwCAQCfP75p4wYMRq328PAgYOZP/89IJIs2rFjK4WFRadsq7CwiG3bdPbsKQUir2u8UVQVV05vkgcNAsCoraF21UqOfPwRvo0bCB9TONSyLOpLd1P15ReYgXpSx47Dc1ZhrEIXQgghhBBCdGKtXlJB1/USYFIT27cAY09yTJvv6wrsdju33voLbrvtJtLT0xk3bsJx+9PS0ti2bSvz5r2IZVnce++DOKKFD0+2r2/fXO6++3f88Y+/IxgMYhgNFBUVM2RI875Enqzd/v0HcsMNN3PbbTdFi3j25n/+59enbe+yy77L9u3bufrq75OWlk5BQSFHjlSe8LhFi75snGpxOjNnzuKxxx7m2mv/E4CLLrq4sVhmjx49cbvdDBsWWUlj5MjR7N9fwYgRoxqPv/vu3/HnPz/aeLzb7eFXv7qbzMzuzTp/Xl4eN954XWMRz6OFUO+55wEeeeT3vPbaPGw2G3fddX9j/YuTycjoxh13/IY777wNl8vFxIlnXsSzo9i9qaRPmkxg+zbqS3ZQX7IDV58+uIcUYgbrqVu9Ckf3LLwjR6Imtc9oFiGEEEIIIUTXp1iWdfpHdQ35wM5v1sCoqNhNdnZezII6HbtdxTDME1YoOdap9nVWt99+C7Nn/4yCgiGxDiWutXf/belcyLDfR/2OHYTK95E+ZRqK3U7DwYPYMzNbVfBTJB6ZhytiSfqfiCXpfyJWpO+JjnZMDYx+wK7mHNPqERhCtIdHH/1LrEMQZ8Dm9uApGoa7cGhjwsKRlRXjqIQQQgghhBBdgdwS7SR69co56QiLU+0TIhZktIUQQgghhBCircm3DCGEEEIIIYQQQsQ9SWAQWSlBiM5G+q0QQgghhBAikSR8AsNud+Lz1ciXQdGpWJaFz1eD3e6MdShCCCGEEEII0SESvohnRkYWR44cpK6uKtahNElVVUzTjHUYIg7Z7U4yMqRAphBCCCGEECIxJHwCw2az0717r1iHcVKynJEQQgghhBBCCCFTSIQQQgghhBBCCNEJSAJDCCGEEEIIIYQQcS+RppDYAFRViXUcLdYZYxZdh/Q/ESvS90QsSf8TsST9T8SK9D3RkY7pb7bmHqMk0OobE4BFsQ5CCCGEEEIIIYQQjc4DFjfngYmUwHABo4FyIBzjWIQQQgghhBBCiERmA3oBy4Fgcw5IpASGEEIIIYQQQgghOikp4imEEEIIIYQQQoi4JwkMIYQQQgghhBBCxD1JYAghhBBCCCGEECLuSQJDCCGEEEIIIYQQcU8SGEIIIYQQQgghhIh7ksAQQgghhBBCCCFE3JMEhhBCCCGEEEIIIeKeJDCEEEIIIYQQQggR9+yxDkA0TdO0wcALQCZQCVyr6/q22EYluipN0/4X+B6QDxTpur4hul36oWhXmqZlAi8BA4AQsA34qa7rBzVNOwd4GkgGdgFX67p+IFaxiq5J07S3gX6ACdQBt+i6vkauf6KjaJp2D3Av0fdfufaJjqBp2i6gPvoH4E5d1z+S/ifinYzAiF9PAU/quj4YeJLIhUSI9vI2cD6w+xvbpR+K9mYBD+u6rum6XgTsAP6oaZoKzAVuiva/hcAfYxin6Lpm6LperOv6cOB/geej2+X6J9qdpmkjgHOIvv/KtU90sCt0XT87+ucj6X+iM5AERhzSNK0HMAJ4JbrpFWCEpmlZsYtKdGW6ri/WdX3PsdukUrL9OQAAAqVJREFUH4qOoOv6YV3XFxyz6SsgDxgJ1Ou6vji6/Sngyg4OTyQAXderj/k1DTDl+ic6gqZpLiLJsRuP2SzXPhFL0v9E3JMERnzqC5Tpuh4GiP69L7pdiI4i/VB0qOidnxuBd4BcjhkRpOv6IUDVNK1bjMITXZimac9qmlYKPAjMQK5/omPcD8zVdX3XMdvk2ic60suapq3TNO2vmqalI/1PdAKSwBBCCBEv/kKkBsETsQ5EJBZd12fpup4L/Bp4JNbxiK5P07RxwCjgr7GORSSs83RdLwZGAwry3is6CUlgxKc9QG9N02wA0b9zotuF6CjSD0WHiRaSHQT8p67rJlBKZCrJ0f3dAVPX9cMxClEkAF3XXwImA3uR659oXxOBIcDOaDHFPsBHwEDk2ic6wNGpw7quB4kk0sYj772iE5AERhyKVvpdA/wwuumHwGpd1w/GLiqRaKQfio6iadrvicy7vTz6QQpgJZCsadqE6O83AP+IRXyi69I0LUXTtL7H/P5t4DAg1z/RrnRd/6Ou6zm6rufrup5PJGl2EZERQHLtE+1K0zSPpmlp0Z8V4AdErnny3ivinmJZVqxjEE3QNK2AyPJtGcARIsu36bGNSnRVmqb9GfgukA0cAip1XS+Ufijam6ZphcAGYCsQiG7eqev6dzRNO5fIyg9JfL2U2/6YBCq6JE3TegL/BDxAmEjy4r91XV8l1z/RkaKjMC6NLqMq1z7RrjRN6w+8AdiifzYB/6Xrern0PxHvJIEhhBBCCCGEEEKIuCdTSIQQQgghhBBCCBH3JIEhhBBCCCGEEEKIuCcJDCGEEEIIIYQQQsQ9SWAIIYQQQgghhBAi7kkCQwghhBBCCCGEEHFPEhhCCCGEEEIIIYSIe5LAEEIIIYQQQgghRNz7/0bsI4bIf38/AAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "import sys\n", "y = data.dropna().y\n", @@ -2612,10 +3553,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 66, + "metadata": {}, "outputs": [], "source": [ "def code_mean(data, cat_feature, real_feature):\n", @@ -2635,11 +3574,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 67, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "average_hour = code_mean(data, 'hour', \"y\")\n", "plt.figure(figsize=(7, 5))\n", @@ -2657,10 +3605,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 68, + "metadata": {}, "outputs": [], "source": [ "def prepareData(series, lag_start, lag_end, test_size, target_encoding=False):\n", @@ -2713,11 +3659,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 69, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "X_train, X_test, y_train, y_test = prepareData(ads.Ads, lag_start=6, lag_end=25,\n", " test_size=0.3, target_encoding=True)\n", @@ -2742,10 +3707,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 70, + "metadata": {}, "outputs": [], "source": [ "X_train, X_test, y_train, y_test =\\\n", @@ -2793,11 +3756,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 71, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 71, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plt.figure(figsize=(10, 8))\n", "sns.heatmap(X_train.corr())" @@ -2812,11 +3794,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 72, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from sklearn.linear_model import LassoCV, RidgeCV\n", "\n", @@ -2846,11 +3847,30 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 73, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "lasso = LassoCV(cv=tscv)\n", "lasso.fit(X_train_scaled, y_train)\n", @@ -2885,12 +3905,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 74, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABDAAAAEYCAYAAACqUwbqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xd8XHeZ6P/POdNHM+q9y5J9LBe5JLbjOKRBEtIgwMLCQmDZUPfCD9jy29/eZe/CLdsu27gsF3ZpgRBKQocASSAJ6e6WZUvHqlbvffrMOb8/zliRbcmWZcmj8rxfL78knTbPzByPdJ7zfJ+vYpomQgghhBBCCCGEECuZmuoAhBBCCCGEEEIIIS5HEhhCCCGEEEIIIYRY8SSBIYQQQgghhBBCiBVPEhhCCCGEEEIIIYRY8SSBIYQQQgghhBBCiBVPEhhCCCGEEEIIIYRY8SSBIYQQQqwgmqY9q2naB5b4mJ/RNO2RpTymEEIIIcS1Zk91AEIIIcRy0jStAygGinVdH561/BiwE6jSdb0jJcGtQJqmfQao0XX9PamOZTlpmmYCG3Vdb0l1LKmgadptwOeBMiAB/A74mK7rPXNsWw6cvmBxGvBnuq7/U3KbPODfgHsBA3hC1/V3J9f9OfAXwADwTl3XTyaXHwD+XNf1B5b+GQohhFiLpAJDCCHEetAOvOvcD5qmbQe8qQtHLISmaev+Rstcr8GVvi7zbH8auEvX9UysBF8z8H/n2l/X9U5d133n/gHbsZIUP5i12Q+BfqAcyAc+l3zsIuAhYEPy+H83K6Z/Aj55Jc9FCCHE+rbu/zAQQgixLnwLeC/wf5I/vw/4JvA/z22gaZoL+F/AOwAX8CPgU7quhzRNy0oeYx/W784XgY/out6d3PdZ4HngdqAOeBn4g9kVH7Me55LHSqrWNO0gsBl4Bni/ruujmqa5ga8AdwM2rIvO+3RdH9A0rRj4EnATMAr8g67r/znH498KPKLreumsZR3AB5Lx/FdA0TTtAaBV1/UdmqZlAP8M3IN14fp14G90XU/McfzPANuw7urfk4zx/bqun0iuL06+DzcD08C/6Lr++Qv2DQNvAv5E07SvY929fwjrwvgM8ICu612apm1OHus6YAj4a13Xv5881jeAAFCZfKzTyfekVdO03yXDPZGsxHgIePJS74umaVXAw8Au4FVABzLOVapomnZD8jXaApwFPqHr+rMXvj6LfA1K51j2LeAfsM5XgO8Df6HreuTce5x8jE8BTwEPzo5B1/WBC8JKADVzxTuH9wK/O1e5pGnanViVHLfOOieOJb+WA8d0XZ/UNO1p4I+Tyz8J/FSqn4QQQlwJqcAQQgixHrwCpGuaVqtpmg14J9YF3mx/D2zCGlZSA5QA/y25TsW6aK/AuiALAV+4YP8/AN6PdZHtBP5snlgWcqz3An8EFAFxrFJ/sBIvGVgXiznAR5L7A3wX6Ma6m/57wN9qmnb7PDHMSdf1XwF/C3wvebd9R3LVN5Jx1GBdwN+JlfCYz5uBx4Bs4FHgx5qmOTRNU4GfASewXt/XA5/UNO2uC/Z9HMgEvg38CVb1zD1AevJ1CWqaloZ1Yf4o1mv+TuCLmqZtmXWsdwKfBbKAFqwEFbqu35xcvyP5PL/H5d+XR4GDWK/7Z5iVENA0rQT4BVZCLBvrvf9BcljFeRb5Gsy17K+AG7DO1x3AXuDTs45RmIylAvjQhXEkYynXNG08+Vz/DPjHuba7YB8F6/x8eNbiG7ASOg9rmjaiadohTdNuSa5rAbZrmpYJvAE4pWlaGdZ787nLPZ4QQggxm1RgCCGEWC/OVWE8BzQCM2P9kxdlHwLqdF0fTS77W6yL1r/UdX2EWeXymqb9L6zKiNm+ruv6meT672PdKb/IAo/1LV3XG5Lr/xo4rmna+4AY1gV0ja7r9cCR5DZlwAHgXl3Xw8ntv5J8vr9d0KszD03TCrCSB5m6roeAgKZp/4L1en15nt2O6Lr+eHL/fwb+FOsiNwrk6br+35PbtWma9p9YF7O/Ti57Wdf1Hye/DyUbmv6/uq7ryWXnKjl+H+jQdf3ryeXHNE37AfB2rKQFwI90XT+Y3P7bWBUSc7rU+5LsAbEHeL2u61HgBU3Tfjpr9/dg9Xx4IvnzU5qmHU6+brMv9Eke50pfg7mWvRv4uK7rg8kYP4v1fvx1chsDq0omconn3AlkapqWDXwQaJpv21luAgqwkinnlPJaUuv9wNuAn2iaVqPr+nDytfwtVg+Mj2D1yvgL4C2apv0xMA78lwuqkIQQQoiLSAJDCCHEevEtrEaFVVjDR2bLw+qJcSR5sQigYA3TQNM0L/AvwBux7uYD+DVNs80qme+fdbwg4JsriAUeq2vWLmcBB5CbfA5lwHeTd7QfwboTXwyM6ro+dcF+18/5SlyZiuTj9816bdQLYrzQzDpd1w1N085VhphAcfKu/zk2rOE3F+2bVAa0zhPXvguOZcd6jc5Z0HsCl35feO31DV4QZ9msWN6uadr9s9Y7uDgxdW7bK30N5lpWjPUen3M2ueycoWQy67KSw5MexhpSU6LrevwSm78P+IGu69OzloWwkklfTf78XU3T/gorqfYTXde/A3wHQNO0e4EI1hCTE8BWrGTf57CSOEIIIcS8JIEhhBBiXdB1/aymae1Yd8UfumD1MNZF2Na5ZmHAqiDQgH26rvdrmrYT6wJMWUQoCzlW2azvy7EqL4aTCY7PAp/VNK0SeAKrdP9JIFvTNP+sJEY5s6pMZgkwq4Fp8gJ99lAH84Ltu7AuOHMvc2E720z8ySETpUAv1jCUdl3XN15i37kevxpomGP5c7qu37HAmC7nUu9LH9br652VxJj9HnVhVc18cAGP08WVvwZzLevFSoacSv5cnlx2qWNcih1rKE46Vg+Vi2ia5sGqcHnLBavqgfsvWHbR4yf3/1usHi4bga5kb4xDWL1XhBBCiEuSBIYQQoj15CEgS9f1wOyZGZJVAv8J/IumaR/TdX0w2ddgm67rvwb8WAmO8WS5/d9cRQwLOdZ7NE37JtAB/HfgcV3XE8mpL4exGlJOYiU2jGRDy5eAv9M07c+wenk8BLx7jmOfAdzJO+FPYl04umatHwDu0DRN1XXd0HW9T9O0J4F/Sg5nmcaqYinVdf25eZ7jdZqmvRX4KfD/YCVAXsEa1jCladpfYPX1iAK1gEfX9UPzHOsrwP/QNO00yX4KWImZnwN/r2nag1j9P8DqBzGt63rjPMeabQBrZoxz06jO+74kk1+Hgc9omvZprKah92P1sgCrEuZQso/F01jVFzcALXMMizi4iNdgLt8BPp28+Dex+rVc2NdlXsn35xRWk9UcrOE1x84NoZrHW4AxLq4s+RHwueQwp0eS25ViNUKd7dPAN3Rd7002T9WSQ5RuA9oWGrsQQoj1S5p4CiGEWDd0XW/Vdf3wPKv/Auti9hVN0yaxLkTPjZn4V8CDlTx4BfjVVYSxkGN9C6txZj/gxkoCgNWY8XGs5EUjVj+Pc0Mm3oU140Yv1gXl3+i6/vSFB9Z1fQJrJoivYCUCAljNP895LPl1RNO0o8nv34vVmPQ01gXs41gNRufzE+D3k9s+CLxV1/VYsoLkPqxEQ3vyNfgKVmPS+fwz1gwbTyaf91exLvansPouvDP5nPuxZuVwzXOcC30Gq+nkuKZp7+Dy78u7gf3ACFazzu9hJWbQdb0Lq8nmf8WaDaUL+HPm+Dtrka/BXP4ncBir+uEkcJRZs+osQAnWc5xK7m8wq7JC07QvaZr2pQv2eR9Wpcl51RXJpMebsBqBTgD/H/Dm2bPwaNaMMXeSbEir63ofVuPcU1jn919eQexCCCHWKcU0r7TCUAghhBBibslpQGvOTS+6Vmma9j2gSdf1q6nGEUIIIcQVkCEkQgghhBCXoWnaHqzeEO1YlQRvxqogEEIIIcQ1IgkMIYQQQojLKwR+iNUvohv4qK7rx1IbkhBCCLG+yBASIYQQQgghhBBCrHjrqQLDBezBmgotkeJYhBBCCCGEEEKI9cyG1RT8EMnG2JeznhIYe4DnUx2EEEIIIYQQQgghZrwOeGEhG66nBEYfwNhYAMNYPcNmcnJ8jIxMpzoMsU7J+SdSRc49kUpy/olUkvNPpIqce+JaU1WFrKw0SF6rL8RlExiapn0OeBvW3PLbdV1vSC6/D/gfgJL891ld13+YXLcJeBir0dUI8F5d15uXa90CJQAMw1xVCQxg1cUr1hY5/0SqyLknUknOP5FKcv6JVJFzT6TIgls8qAvY5sfAzcDZcws0TVOAbwEP6rq+E3gQeFjTtHPH+xLw77qubwL+HfjyrOMtxzohhBBCCCGEEEKsYZetwNB1/QUATdMuXGUAGcnvM4E+XdcNTdPygd3AHcl13wG+oGlaHlalxpKu03V9aOFPVwghhBBCCCGEEKvRQiowLqLrugm8A/iJpmlnsao03ptcXQb06LqeSG6bAHqTy5djnRBCCCGEEEIIIda4RTXx1DTNDvwl8GZd11/UNO0A8H1N07YsaXTLICfHl+oQrlhenj/VIYh1TM4/kSpy7olUkvNPpJKcfyJV5NwTK91iZyHZCRTruv4iQDKJEQBqsXpllGiaZtN1PaFpmg0oBrqwhoIs9borMjIyvaqa0+Tl+Rkamkp1GGKdkvNPpIqceyKV5PwTqSTnn0gVOffEtaaqyhUXGCxqCAnQDZRqycYYmqbVAgVAq67rg8Bx4F3Jbd8FHNN1fWg51i0yfiGEEEIIIYQQQqwiC5lG9fPAW4FC4GlN00Z0Xd+qadpHgcc1TTOSm/6Rruujye8/gjUryX8DxnitP8ZyrRNCCCGEEEIIIcQappjm6hlOcZUqgXYZQrJ6BJsaiY+O4igswlVcjOp2pzqkdWc9n38iteTcE6kk559IJTn/RKrIuSeutVlDSKqAjoXss9geGEIsGdMwiI+PEe3vJzbQT/r+G1HdHmz+dCK9PURPniDYUI89OwdXaSnuyqpUhyyEEEIIIYQQ4hqTBIZImUQgQFBvIjYwgBGNoKgq9uwcjGgM1e3BVVKCq6SE+OQk0d4e619f70wCI9LbgyM7G9XtSfEzEUIIIYQQQgix3CSBIa6ZRCBAdKAfW1oazoJCUFViAwM48vNxFhbiyM9HdTgv2s+eno49PR3v5lrMeBwAIxJh+vAha312Ns7iEpxFxdg8kswQQohUCne0E2ppIW17Hc6CglSHI4QQQog1RBIYYlnFRkesoSH9fcSnrDF17soqnAWF2Dwesu56I4q68MlwFLt1yqouFxm33U60t5dobw+Bk/UETtbjv+56XKVly/JchBBCXJ6zpITg6VNMvvIS7vIKvNu2zZmcFkIIIQDMRIJQawvxsVF8u69HdThSHZJYwSSBIZZV4GQ9iclJHDm5pFVUWokL32tz/V5J8uJCdn86di0dr7aZxNQUkd4e7Nk5AES6ugh3tOHZtFnuAAohxDIzTZNwexuusnJUh5Osu+4mdEYn1NJMdHAA385dVuWdEEIIMUtsZJjp48dITE+jKAqB+uP4dl+PoiipDk2sUJLAEEvOiERQbDYUux3f7utQ3e5lv/tm8/vxaptfW6CqGOEw08eOkHXnlVV5CCGEWDjTNAmeaiDU2gKAZ0M1is2Gt3YLzqIipo8dJTE9DZJLFkIIcQEzngAT0vcfID4+Rkhvwtg0jc3vT3VoYoWSBIZYUolgkMmXX8SenoF/z17s/vSUxOEqKUGx25h85WWifX24SkpSEocQQqxlpmkSPH2KUGsLng3VuKs2nLfenplFxi23zfwc7esFwFlUvGwxGdEoGIZMvS2EECuQaRiE29swEwm8mzScBQU48l6Poqo4cnNxFhVJ8kJckiQwxJJJTE0x+fJLmPEY7g3VqQ4HR14+No+XSGeHJDCEEGKJmaZJsPE0oZZm3FVVeLdtn7Pkd3YFXLi9nejQIK7SUtK21aG6XEsTSyJBdKCfSHcX0b4+bB4PmW+4U6rvhBBiBYmNjhA4cYL45ATOoiJM00RRlJnPakVVZ25+RgcGcOTmothsqQxZrECSwBBLIj4+zuQrLwGQfuAm7BmZKY7I+hB0lZcTPtuBEYtJQyAhhFhCZjRKtLsLd2UVadt3LGi8sv+G/YSazxA6oxMbGiKtbgeu4qtLMIfPdhA81WB9zrvdOAsK8GzaLMkLIYRYIYxIhGDjacJnO7B5PPj37MNZVDTv7434hHVd4amuIW3b9mscrVjpJIEhrpppGEwdPoSi2ki/8cB5TTpTzV1dg2eTJn/ICiHEEjJN05oN6uZbUVyuBTdbU1QVr7YZZ2ER08ePMXXoIOrrbsaRbMC8EInpaSLdXbhKy7D5fKgeL46CQlxl5dbdOvm8F0KIFcWIRIh0d+Gp2YhX2zwzq+B87BmZuKs2EGptwZGXJ02gxXkkgSGumqKq+PfsQXE4sXm9qQ7nPOeqLkzTBJCOxkIIcZWCehNGJELa9rpF95mwZ2SQ8bqbifb3zyQvElNTqD7fnJ/TRiRCpKeHaHcXsbFRFEVB9Xiw+Xw48/Nx5ueft318cpLQGd2KcYmGqQghhFi4+MQ40YEBvJs07OnpZN1x1xV9Hqdt3UZ8ZITpY0fJvPU2VLdnGaMVq4ncphCLFunpIdjUCFiZ0pWWvDgnMT3N+NNPEhsYSHUoQgixqgXP6NbnfiJ+1cdSVBVXsdXMMzE9zfhzzzB16FWMcPi87cxEgvHfPEXg5AnMRIK0rdvIvOMu3BWV8x/cNIj0dBPp6bnqOIUQQiycEYsRaKhn4nfPEW5rxYhEAK44mazYbPiuvx4zHmf66NGZm5FCSAWGWJTw2Q4CJ45jz87GTGxa0Q12VK8XM5Eg3NmBs1BK0IQQYjFCzWcINp7GVVZO2o5dS1rRpnq9eLVagnoj48/8Bkd+AWY0Qvr+Ayg2G2nb67ClZ2DPyFjQ8ewZmdgzMoh0deLZsOHyOwghhLgqpmkS7e0l0FCPGYngqqjEW7sF1elc9DHt/nTS6qweS1JFLc6RBIa4YqGWZgKnGnAWFOC/fu+KTl5A8i5fWbmVBQ6HpARNCCGuUKilmcDpU7hKS/Ht3LXkfSYUVcWzcSPOwkKmTxwj2t+Hs7AI0zBmPsOvlKusnEDDSeKTk9jTUzOltxBCrBdGKETgxDHUtDTS9t6AIytrSY7rLq+Y+f7c7wSxvkkCQ1yRYFMjQb0JV0kJvt3Xr5oPEXdFJaGWZsKdnXg3aakORwghVhWbz4+7PFl5sYyf+za/n4ybbp6ZWu9quErLCJ4+RaSrE/vWbUsUoRBCiNlMwwBFweb1knHLbagez7L8noh0dxFqPkP6Ta9DdSy+qkOsfqvj6lOsGDafD3dl1apKXoAVtyM3l0hnp4yhE0KIBUpMTwPgLCzEt+u6a/a5vxSlwqrLhauiYtGNRoUQQlyaGY8z9erLhJrPAGBLS1u23xOq10tieprAiRPyt/w6t3quQMWiLMV/cNMwiI2OAtYdLd+OnasqeXGOV9tM2ja5CyeEEAsRamtj/JnfEBseSnUoi+ar24mnuibVYQghxJpjRKNMvvwisaGha5IodmTn4NE2Ww2auzqX/fHEyiVDSNao2NAQgdOnSExOoLrdqF4vqsdrTSnncJAIBDCNBDaP95JzMZuJBFOHDxEbHCDz9W/A5k27hs9iaTly81IdghBCrArh9jYCJ0/gKirGnpzmdLUyDYPE5AT2zKUZjy2EEOudEQ4z+fJLJKan8F2/B1dxyTV5XE/NRmJDgwTqT+DIysbm91+TxxUriyQw1hAzHsc0TVSHAzMew4zHcFdtwIhEMEIh4qMjMw03Qy3NhDvaAVCdTlSPB5s3Dd+evSiKQnx8DDNhEGxqJDY8hK9ux6pOXpxjhEOE29txb6i+4umchBBitTFNEzMeQ7HZUVQVIxwiPj6BGYthxKzfE2Yshqe6GtXtIdLbS6jlDPGxMZxFRfiu37MqK+5mCzY1Em5tIeuuu6+qG74QQgjr5ubESy9gBIP49+3HmZ9/zR5bUVV8u69n4tlniA4O4JEExrokCYw1wIhECLe1Eu5ox11Zhbd2C47CIjILi+YdR+yu2oAjJwcjFCIRCmKEQpiJ+Mz2waZGogMDKKqKf/f1uMrKruVTWjZGJErwjI7idEpZsRBiTTDjcQAUu53Y2BjB06cwggHMWGwmsZ1x40048vKIjYwwdfjQefsrNhvO4hJUtwfFpqI6nHhqNuKt3bLqkxcAruISQs1niPZ0466SKVWFEOJqKDYbnpqNVn+5FFTo2TweMm9/vdyIXMckgbGKJaanCbW2WOPADANHYSGOgkLg8g3Q7Onpl5xWLm1bHe6qaVS3G3tG5pLGvdwi0QSvNg7w4sk+cjLcfPC+LTOvhz0jA3tWFpGzHbg3VMuc0kKIVcWMx4kO9JOYnCQ+OUliapJEIIBv127c5RUoqoqZiGPPzkF1OlEcDhSHAzXNC1hD6TJvuRXF7phZNztJ4SwoxJn8PbJW2DIysKdnEOnqkgSGEEIsUnx8DCMSxVlQcN7UpqlwLnkRGx3BjCeuaRWISD1JYKxiwdOniA4O4Cotw1Nds6TjwGw+Hzafb8mOdy10Dkzx3PFeXj7VTziaAKC5e4J79lVQmv/ac3FXVDJ9/BjxsdGUZI6FEOJyEsEgiWSCIj45gSMvH3d5hdXx/fAhFFXFlpaGPTMTV1n5TKLZnpFB5s23zntc1eVad3etFEXBVVZG4FQD8alJ7P75k/dCCLFamYaBEQig+nxLfoMuNjzE5KuvYPN4cOTlrYjqPNM0CZw8iREMknnbbahuT6pDEteIJDBWCdM0ifX3EWptxbdzFzafD+/WbaTV7VjXU8RFYgkONg7w3PFe2nonZ5bXlGZgmiatPZOcaB0+L4HhKikl0HCSyNmzksAQQqwoZiLB1KuvEB0anFlm83iwp1sJCtXtJvPW27H5fDM9jcTluUrLCDaeJtrXJwkMIcSaNHXwFaIDA9jSfLjKy3GVlmHzeq/6uNH+PqYOH8Lm9eLff2BFJC/ASk77d1/H+HPPMHXkCOn7b1wxsYnlJQmMFc5MJAh3dBBqayExNYXN68UIhawKibTV31RzsbqHpnnuWC8vneonFLHGf3tcdm7cVsgtO4spzfNxuGmQL/Y0cLJ1hHv3V87sq9jtuMrKIZFIUfRCiJXONM1rOsTMiEatIR82G6ovjbS8rdizc7Cl+1Ed5zeetGdkXLO41grV7Sbj5luwpctrJ4RY/RLBIJHuLqI9PaTfeADV5cJdXYMjv4BoXy/BxtOEmhpxVVbhq9ux6MeJdHUxffwotowM0vftX3EVfDa/n7S6HUwfO0qopRnvJi3VIYlrQBIYK5hpGPT94pdMD45iz8zEf/0enEXF6za7GI0lONQ0yHPHe2npmZhZvqE4nVt2FrO3tgCX47U7klsqs7GpCi09kwTCMdLcjpl1advrpP+FEOIipmEQOFmP6vHg3aRhGgYoyrJ9XhiRCKFmnXBHBxm33Irdn46vbueyPNZ6t9r6OQkhxGxmPE6kt4dIVxfxkWFM08SRk4sRjaC6XDjz8iEvH8+GahKBAJGuTmxpVgWyEYsRPH0KV1kZ9qzsBf9Oi42NYM/Owb93H6rDcfkdUsBVVk5scJCQ3oSzoEA+69cBSWCsYIqq4q/djFmj4MjNW7cX3L3DAZ493sPLDf0Ewla1hdtpY/9Wq9qivGDu3h9et52NpRk0dY5zqn2UvbUFM+vOvZaJQGBdV7IIIV5jhMNMHT5IbGQE/559AET7+gg2ncZdWYWrvPyiaojFMuNxQm2thFuaMeNxXOUVK/aPw7Uk2NSIEY1e1R1JIcTKFtSbwDTBNDGTXx05OTgLizATCQINJ2fWg4lpmDiLinAVl2AaBqEzOjZ/OrZ0P7Y0X0pvHJqGgRmLobpcGOEw08eOYkvz4dE2W0NE5vkb1paWhndz7czPiYlxIl2dhDvasfl8uMrKcZWVY/Nc3DfCNE3MaBTV5SJtWx2Y5ooesqgoCmk7dmDPysImQwTXBUlgrHD+jTWEh6ZSHcY1Z5omR88M8dShLs50v1ZtUVno59ZdJeytzcftvPzpu706h6bOcU60jJyXwACI9HQzdfgQmbfeJtlaIda5+PgYUwdfxYhG8V+/B1dxMQCK04HqdBJoOEmwqRFXaRnuyqqrGsZhGgbjzz5DIjCNs7AI75Yt0pfhGjGiUSKdZ/HW1i5ZMkoIsbKE9KbXhgGe+wc4C4vANIn29c6qrFNAVbBnWn8HGsEgoTO6lfjAuplo8/vxbq61EiDxuDXkz+NZ1huL8clJIl2dRLq7cGRl49+7D5vPR+att2FLz7jix3bk5pF1191E+3qJdHbODDHJfMMd2LyvJUFM0yR4qoFoby8Zt9y64oaMzEd1OPFU16Q6DHGNXPYKUNO0zwFvAyqB7bquNySXu4F/Ad4AhIGXdV3/UHLdJuBhIAcYAd6r63rzcq0Ta0v30DSPPnWGps5xAFxOGzdsKeDWnSVUFF7ZTCt11bk89kwrJ9tGMEwTddYHviMvH8VmI3z2LL46SWAIsV4lpqeZeOF5VKeLjJtunvlDFsCZl48zL5/4+Djh9jYiXZ3EhofIvP0NKIqy4F4ZpmkSHx3Fnp2Noqp4aqyZoxw5ucv51MQF3OXlhNvbiPb04q6sTHU4QoglYpomZiSM6vaQfd+b5h36p9jtZL/xnnmPY/P5yL73fhJTU8lZoKzZoBSbdckUGx1l8uUXUR0ObOnpVqWGPx1XcfGcTfVNwwDDAJsNRVEwolHMSATTSGAmDEh+PTezR6Sri1BbC/HxcRRVxZFfgKusbOZ4V3PDTXU4cJdX4C6vIDE9TXRwcCZ5MV1/HExQ0xyEWlvwbKhGca6+JG+otQUzHserbU51KGIZLaQC48fAvwHPX7D8H7ESF5t0XTc1TZt9e/tLwL/ruv6IpmnvAb4M3L6M68QaEAzH+NHz7TxztAfDNPF5HLzpQCUHthfhcS2uWKg4x0tuhpvhiTDtfZNUF79211R1OnEWFRPt7sLcshXFLgVJQqxHaloa3totuErL5r3bZM/MxLdrN94tWzFCQSt5EY8z8fxzOIuKcVdWzjuFW2x0lODCzw8pAAAgAElEQVTpU8RGhkm/8QDOvHzclVXL+ZTEPGwZmdj9fiJdZyWBIcQaEhvoZ+rQQdIPvA5HdvZVHUux2bBnZlrTVF+wzub346vbQXxqksTkFNGeboxYDEd2NqrbTbijnWBjI6aRAMOwEhhA1p1vxObxEG5vI9jUeNFjZt9zL4rqJBGYBhTSttfhKildtgoIm8+Hx/faDH0YJpHuLgJuO15tMx5t86ocuh6fmCDW34dn46Z12zNwPbjsFZuu6y8AaNprXV01TfMB7wVKdV03k9sNJNflA7uBO5Kbfwf4gqZpeYCy1Ot0XR+68qctVhLDNHmhvo/Hn21lOhRDUeD23SU88LoN+DxXNyZcURS2V+fwzNEe6ltGzktgALgrKqwuzn291swkQoh1wYhGCRw/hkfbjD0jY8Glp6rLNfMH5bky4qDeRKj5DM6iItxVG7Bn56AoConpaYKNp4n09qC63fjqdkjFRYopioKrrILA6QYS09PYZv8BL4RYtUItzahu93kVdMvB5vFgq9ow87Npmhjh8MzvBVuaD2dJMYpqs/pGqCqKqqLYrR4SzsIi63NHUVFsKopqA5s6U+Hh2aSd17viWvHt3IV36zYyXTBprN5+TK7iEqtScnAQZ2FhqsMRy2Sxt5yrsYZx/I2mabcB08Cnk8mOMqBH1/UEgK7rCU3TepPLlWVYd0UJjJyc1ffHSl7elQ2bWE30s6N86Ucnaemyhots3ZDDh9+ynaripZvq7ubdZTxztIfGzjE+dMFraeb6oLUJ+/gQebu3LtljriVr+fwTK9tynXvR8QmGX3kFRzBIusMgbdGP44eKu4hNTTHd3EKgrZ34sYPk3nUnjswMel96Bkc0Rs6+3fg3a9Kkc4WIp9UyocZIz/Xh8M//3stnn0glOf8WLjI0TCg8TdZ1u/AXpGKq5Fk9jPL8sGXD/Juugvc1L9UBXAUz24t5pgH39Ag5eRtTHY5YJotNYNiADcAxXdf/XNO0fcDPNE1b8d1TRkamMQwz1WEsWF6en6E12MRzIhDl8WdbePFkPwBZfhfvuK2GvbX5KIqypM+5MMOFw67S0j1Bc/swmb7zy/EUbTtmmndNvs5Xa62ef2LlW65zL9rXy9TRIyh2O/49ewl6swguxeOU1eAsqiQ6OMB43IYyEkDRtuNM8xF1uxkZD2ONuhQrQvUWrLdk7vdePvtEKsn5d2UmDx4jHjNxp+ety8b3S2ktnHux9Bym9TYSlZoMD18FVFW54gKDxb6rnUAcaygHuq6/qmnaMLApua5E0zRbslLCBhQDXViVFEu9Tqwi8YTBb45089MX2wlFEthtCnftLefe/RW4nXbiRpyWsXZODp/m1EgThmmyI28ru/LrqEwvQ1WufDyby2GjtiKL+tYRTraN8Lq64vPWL3e5oRBiZYj29zN58FXsWVn49+ybc/q4q6HY7biKS2Z+luEiK5dpmiQmJsCmygwwQqxiRjhMbGDA6nkgF6sCcJWUYkQiGLEYNjkn1qRFvau6rg9rmvYMVk+KJ5MzhOQDLbquj2uadhx4F/BI8uuxc70qlmOdWB1OdYzy6FNn6BsJArCjOod3vmEj3jSD4yPHaRhupHH0DOFE5Lz9ftv1PL/tep5MVwa78rezO7+OyvTyK0pm1FXnUN86Qn3rxQkMgOjgIOHWFvz7bpCmP0KsUY78fNK2bMW9oXpFz2kvrgHDYPKlF3AUFOK/7vpURyOEWCTV7bZmhZILVZHkyMvDkbeaB8KIy1nINKqfB94KFAJPa5o2ouv6VuAjwNc0TfsnIAY8qOv6eHK3jwAPa5r234AxrIafLOM6sYINj4f43m9bOHLGyjflZ3m460AuUV8Xj7S9QPtEJyavDespTitkW24t23NrAYVjg/UcGzzJWGScZ7pe4JmuF8h0ZbAzbxu78uvYkFFx2WRG3YYcAE61jxJPGNhtF2xvmkQHB4j29eEqKZnjCEKI1SgxPU3g1El8O3ejulx4Nm5KdUhrnmmaxI04DtvK7fmh2Gw4S0qJdHVixGLSn0SIVejcNNa2tLRUhyJWoEQwiOp0SnJrDVJMc/X0g7hKlUC79MC4dqKxBE+8cpZfvtpJLG7gsCtUaSECWfWMRkdntrMpNjZlVbMtp5ZtubXkei6e/so0TTomuzg2WM/RwXrGIuMz6zKc6exMVmZcKpnx6a+8Su9wgD9/1y5qK7LOP75hMP70U9j8PtL3H1iiV2D1W83nn1jdluLciw4OMn3kEAD+ffuvemo9cXnheJj/W/91zk52cW/Vnby+/OZFDf27FmKjo0w8/xy+nbtwV1Set04++0Qqyfm3MMHG08QnJ/Hv2SvVs0tkrZx78fFxxp97Bv/1e3CVlKY6HHEJs3pgVAEdC9lHUlJiycUTBi819PPj59sYn44C4Mjtx1baSJczAlHwOdJmEha12Rtx292XPKaiKFRllFOVUc5bau7l7FQXR5OVGaPhMZ7rfpHnul8kw+lnZ/52duXVUZ1Zed4fznUbcugdDlDfOnxRAkNRVVzl5YTO6CSCAWxeyeYLsVqZpkm4rZXgqQZs/nT8e/fJHbprIBwP8+8nvkbbRAcAP259gmNDJ3mw9h0UpRWkNrg52LOysPn9RLo6L0pgCCFWNjMeJ9zehiM3T5IXa8B4ZILv1P+S9p4gNxXtx+fwE0+YxBPGrH/meV8TCYNYwkx+NUgkTNLTnPzhGzfjzMhAdbuJ9HRLAmMNkgSGWDKGaXKwcYAfP9/O4FgIAMU7iaOiEZt/jOK0QrbnbmFbbu2iG3KClcyoTC+nMr2ct1TfS+dUdzKZUc9IeIznul/iue6XSHf6uaPiVm4vex1g9cH41cFO6ltH+P3bL55ayVVeQeiMTuTsWby1Wxb/QgghUira3U2g4SSu4hJ8u3ZL+eg1EI5H+OKJr9M20UGmK4P7qu7k5+1Pcnayi78/+K/cU3UHbyi/BZu6cnqPKIqCu6ycUPMZjEgE1eW6/E5CiBUhfLYDIxbDXSNTZa5m8UScx469yLNH+4iN5gEKP27qA/oWfcy6DTns31aIq6SEcHs7RiyK6nAuWcwi9eSvOnHVTNPkRMsIP/xdG91D0wDY3EHUkjNUVtjYX3wz23NqyZljaMjVUhSFivQyKtLLeKD6Hjqnujk2eJKjg/WMhEf5QfPP2JK9icK0AmpKM/C4bPSNBBkaD5GXef4MBDavF3d1Dbb0VMwhLoRYKvacbLyba/Fs0lAUJdXhrHmRRJQv1X+d1ol2Ml0ZfGLXh8n35rIzfxs/bP4FL/Ud5Kdtv+L40EneU/sOSnxFqQ55hquyCnfVBklyCbGKmIZBuLUVR06uDA1cpaKxBD87coqnDncRnfYA+SiKiTt7nKg6RZEvn8051ThsKjabit2mnPe9/byv1vcNbaM8faSbhvYR9m8rxFlcSqi1lWhfH+7yilQ/ZbGE5De2uCqNZ8f44XOttPZOApDusxEraMDM7mR77mYe2vYenNeokdvsZMabq+/m202P83LfIV7sPcjbNt6P3aaytTKbw/oQ9a0jvP66i0vK0rZuuyaxCiGWj82bhlfbnOow1oVoIsqX6r9B83gbGU4/n9j1IfK91vSxHruHd9f+HrsL6vh24+N0TvXwD4c+z92Vr+fOituWpRrDNE16pvswgTL/xTNOXehc885z/cAk4SXEyhft6SERCpJWtyPVoYgrNDoZ5leH23n2WA/xmAp4UB1R9m7P5vdv3MGkOcLnDv8fRsxTbNlWys787Qs+dk6Gh6ePdHOqfRTDNK1hgl4v0d4eSWCsMZLAEIvS2jvBD59ro/HsGADpXge769wcNn4CRNlTsJP31v5+ysqFFUXhdSU38HLfIQ72H+VN1XfjUO3UVedeMoEBYESjxEdHcBaunLuEQojLM02TYMNJnKVlOLKyLr+DuCrRRIwv1z/MmbEW0p3+ZOXFxVPX1WZv4tP7/oQftT7BCz2v8PP2Jzk+1MB7at+xoCTDQoyFxznUf4xXB47SHxhAVVQ+ve9PKZgjngslpqaYOnyQtG11MvWeEKuAIz+ftO11OApWXm8dcTHTNGnunuCpw10cPTOElS9WUdMm2LU1jT88cCtpLqsXXgbFPFBzL483/5RHmh6nPL2UbPfCfp8X53jJ8rsYm4rQNTBNRaEf33V7pAfWGiQJDHFFugen+dHzbRxrHgbA47Jz975ysspG+G7LYxgYvK5kP+/Y9OaUd54v95dS4iuiZ7qP+qFTXFewg+0brFLDps4xIrEELsfFCZZQSzPh1hay7rgT1e25aL0QYmWKDQ4QamvFlpEhCYxlFkvE+I+TD9M01ozf6eMTuz5EQVr+vNu77W7epb2V3Xl1fLvpcbqne/nHw5/nrorbeWPl7djVK/9zJBQPc3zwJAf7j9I83jYzHbeCgmEavNp3hDdVv/Gyx1E9HoxQiHBXpyQwhFgFVJcLz4bqVIchLiMWT/Dq6UGePtJF54A1xBzFwJbdT9XGBO/f80aKfBcnoW4tPUDTaDMNI41849R3+MSuDy/ohqiiKGyryub5+j4a2keoKPTLEKM1yvaZz3wm1TFcK5nAJ0OhKKtp5ti0NBfBYDTVYTAwFuTRp87wyJNn6BsN4nSovHFfOR99YBujDp3vNv8AE5M7K27jbTX3pTx5AdYHWcI0OD2iE4qH2Vd0HW6nnRMtw4xORqgpyaAw23vRfjaPl1BbK4rTiSMnNwWRrxwr5fwT689izr3p40dRUPDt3CVDAZZRzIjzHw3fpHH0DD5HGp/c/ZEFzzKS68lmf9EeIokIHZOdtIy3UT90isr0MjJc6ZfdP2EkOD2i8/O2J3m06QccHzrJSHgMu2pnR942Hqi+m/1FezjYf5SR8Ci3ld102XNBUVUSgQDRnm6rH4bNJp99IqXk/Jtf4GQ9mCY2ny/VoaxJik0lEIigqsqif4+OTUX45atn+Y+fnubVxgEmAlGwR7AXdpC9uY33vW4/79h2F37X3O+hoijUZm/iUP9R+oKDKChsylpYwiqeMDncNIhpmhzYblVSR3p7iXSexZk/f5JdpI6iKHi9ToB/A8YXso9UYIhLGp0M87OXOnj+RB+GaWJTFW7dVcJ9+ytIT3Py67PP8LO2XwHwQPU93FFxa2oDvsDegl38uOUXNI01MxwaJdeTTV11Dh39U9S3jrCj5uIEhc3nw5GbS6SzE8/GTXIhJMQqEBsZJjYyQtr2HTKl3jKKGXG+cvKbnB7R8TnS+MSuD1/xFKluu4t3bHqAXXl1PNL0GL2Bfv73kS/whvJbuKfqDhwXVGOYpknXVA8H+49yeOA4U7HpmXU1mVXsLdzNrrw6vA6rYs4wDXLc2YyERzkz1srm7MvPUuAqLyd8toNoX6+MlRZihYqPjxNqa7WqYwsLUx3OmjI+HeGbv9I53jI8s8xhV3HaVZwOG067isNuw+mYb5n1dXAsxNEzQyQM626xPW0aJb8Ne84At5bfwH0bHsRjv3x1s8+Zxh9ufRefP/af/LLjN2zKqmbjApIYtRVZKAo0d08QjsZxO+0kJicIt7bgqa5BdbsX/yKJFUMSGGJO8YTBT15o59cHu4gnDBQFbqor4k0HKsnN8GCaJj9ufYKnO59DQeGd2lu4qeSGVId9Ea/Dy868Og4NHOXl3oPcX/1G6qpz+emLHdS3jmCa5pwJCnd5JVNHDxMfHpaSYiFWgdAZHdXlwl1enupQ1qy4EeerDd+iYaSJNLuXj+/8IMW+xV9EbMzawF/t/RQ/a/s1z3S9wJNnn6F++DQP1r6dyvRyRsNjHOo/xsH+o/QHB2f2K/DmsbdwN3sKds05u5WqqOwruo4n2p/ilb7DC0pg2LOysfl8RLo6JYEhxAoVamlGdThwVcr/0aVimiavnh7g20+dIRCOY0tWXsQTBrG49S8Qjl/RMVUFMgunCWY1oPrGqcoo553axyjzl1zRcTZl1XBXxW386uxv+cbp7/KXez+Jz3HpfhY+j4OqonTaeidpOjvOzo25OEtKCOpNRHp78WzYcEUxiJVJEhjiIn0jAf7jZ6c52z8FwJ7N+TzwuiqKcqwPDcM0+K7+Q17sPYiqqPzhlndyXcHOVIZ8SQeK91oJjL7D3FN1B5VFfvxeByOTYXqHA5TkXVzC5iwuRm1wEhuRBIYQK51pmtizc3AWFsl0mMskYST4WsO3OTnciNfu4eO7PkTpEjTgdNqcvG3j/ezK3863Gr9Pf2CAzx3+d8r9pXROdc/0tfA50riuYCf7CndT7i+9bGXcvkIrgXF8qIFQPIzHfum7boqi4N1cy6oaYyrEOpIIBKzZJKprUB3OVIezJkwGo3zr1zpH9CEAtm3I5s/ecz1GNI5hmsRiBtF4gljcIBKzvkaTy6Jxg+jMMuvnUDRG22Qb7eqLRJxB/HYPD1S/jf3FexY9tPyeqjvQx1ppnzzLo42P88Ht773s5/+2qmzaeidpaB9h58Zc7P507OnpRHu7JYGxRshfemKGaZo8X9/Ho0+fIRozyM1w84H7trCpLHNmm7gR5+HT3+XoYD0O1c4Htj3IttzaFEZ9eTWZVeR7cxkMDnN6VGd77ha2b8jhpYZ+6ttG5kxgKDYbmW+4Q35JCrEKKIoi06Yuo4SR4GunHuXE8Ck8dg8f3/XBJZs95JwNGZX85Z5P8Yv2J/lN5+84O9WFXbVTl7uFvYW72ZKtXdGsVrmebDZmbqB5vI1jg/XcWLz3svu4SuaemUoIkXqh1hZQFNzSvHNJHNEH+eavdaaCMVxOG++8vYabdxSTk+FhaGgKVVFwOW24nAv73A3EgnzxxNfoiHYCcEPR9TxQfQ9+59X1KrGpNt6/9V383aF/5cTwKZ7veZmbS2+85D7bqnL46YsdNLSPzixzlpQSbDxNIhjE5r24/51YXSSBIQCYDsV4+JdNHDljZWFv2FrAe+7Q8LpfO0WiiSj/efJbnB7VcdvcfHTH+6nJrEpVyAumKAo3Fu3lx61P8GLvQbbnbqGuOpnAaBnh7n1zlyKeS17MN8xECJF6iakp4pOTOIuKpPfFMkgYCb5++jscHzqJx+7m4zs/QLl/eS70nTYHb6m5l72FuxkMDrM5u2ZBY6Xns6/oeprH23il7/CCEhgARjhMpLcXM7du0Y8rhFh6jqwsVKcTm0dmh7sagXCMbz91hldODQCwuTyTP7qnltzMxb+uE5FJvnD8K/QG+sl2Z/G+Le9c0uuDHE82f7D59/hqwyP8oOXnVGdWUeIrmnf7qmI/HpedwbEQg+Mh8jM9uIpLiPb3YUYjIAmMVU/+2hM0dozyN187yJEzQ7idNj54/xY+dP/W85IXoXiILxz/CqdHk43bdn9oVSQvzrmh6HpUReXUSBPjkQm2VWWjKgrN3RMEw7F59ws01DP1ysvXMFIhxJUINp9h+tgRzNj8/4/F4iSMBA+f/i7HButx29x8bOcHqEgvW/bHLfEVsSt/+1UlLwB25W3HaXPSOtHBYHD48jsAsaEhAidPEBkcuqrHFkIsLVdZuTXMSyxafeswn/7Kq7xyagCnXeXdd2ziz96166qSF8OhEf75yBfpDfRT6M3nT6/742W5PtidX8eNRXuJG3G+dupRoon5Z+mxqSpbKq2p1E+1jVjLfD4yb74Ve6ZMsb4WSAJjDYsZccbC4ySMxJzr4wmDx55p4XPfPc7YVITqknQ++0d72b/1/KZsU9Fp/u3ol2md6CDTlcGndn902e7ALRe/00dd7lYM0+CVviN43Q5qSjMwTJNTHWPz7qfYHcSGBkkEg9cwWiHEQsxMfVlZhepypTqcNcUwDb7Z+D2ODJ7AbXPxsZ0PUZm+uhqkuu0uduVtB+Bg/5EF7eMsKkJ1OAi0ty9naEKIBTLjccLtbZjxK2skKV4TisT5+hON/Otj9UxMR6kpyeCzf7SX119XinoVFca90/3885EvMhwepcJfxqd2f5RMV8YSRn6+t296E4XefPoDAzze/LNLbrutymrwPHsYCYARi2HEZIri1U6GkKxB09EAz/W8xO+6X2I6FkBVVDKc6WS7M8lyZ5LtzkINp/PSywYDwzEUBd50YyX3H6jEdkEJ9lh4nM8f/w8Gg8PkeXL4+M4PkeNZndnLA8V7OT50kpd6D3Jnxa3sqM7hTNc49S3D7Nk899zQrtIygnoT0Z5uPBs3XeOIhRCXEmppBsBdXZPiSNYWwzT4VuP3OTxwHJfNyX/Z+RBVGauz6/8NRdfxav8RXuk7wj1Vd1y2kZxit+MsKibY1Y2rYpM0hRUixSJdnUzXn8Dm9+PIlabqV+p0xyhff6KRkckIdpvKW2/ewJ17ylDVqxsa3T7RyRdPfJVgPMSmzGo+XPc+3Jdplny1nDYn79/6B/zvI1/gxd5X2Zy9kd35cw/321aVA0Dj2THiCQO7TcWIRhl78ld4Nml4N2nLGqtYXvKbeQUbmQjT0j9FTpqTLP/l7y4Oh0b4TefzvNx3iJhhlVN77R6C8RBjkXHGIuOY45AYKiXWuRkMO4oziKO6nhfMZzl1yEpunEt0+J1+ftH2JGORcUp8RXxs5wdId/qX+2kvm83ZG8lyZTISHuXMWCvbq4t47NlWTraNYJjmnFlom8+HIzubSHcX7pqN0gtDiBXCCIeIdJ7FVVYuY6LnYJgG4XiYUDxMMB4mHA8lv4YJxkMXfH1teSgeIhgLEYgHcdqc/PGOh9iQUZnqp7NoNZkbyHZnMRoeo3msDS378skuV3k58WP9RPt6cZWtrqoTIdYS0zAItbZiz8rCnpOb6nBWlUg0wWPPtvDboz0AVBb6eei+LZTkXnoa0oVoGm3myycfJpqIsj13Cw9tfTcOm+Oqj7sQpf5i3lJzL4+d+QmPNv2ACn/ZnDdWczLcFOV46RsJ0tozgVZu9VCxZ2QQ7emRBMYqJwmMFeyRJ3VOtFpjtwqyvdSWZ7K5IgutPIuMtNdmxzg72cVTnc9xfPDkzJRzW3I07ii/hY2Z1cTNBBORCXrGR/jJM/10dFpleFlFU/irWxmPBwjFY4Ti/fQG+i+Koyq9gj/e8X68jtXd9EZVVG4s3sMv2p/ipd6DvH/rH5CT7mJkMsLZ/imqitLn3M9VWsZ0/QkSExPYMzPn3EYIcW0lgiHUtLR1XxmVMBIMBIfoDfTTN91PT6Cf3ul+RsNjM78PFsPnSOMD2x5cVb2O5qIqKvsKd/PLjt/wav+RBSUw7Nk54PcTm56+BhEKIeYT7e8jEZjGv2XvNbmBZBgmeucYVcXpuJ2r9xKpuXucr/68kcHxEDZV4U0HKrn7hgrstqvvHHB8qIGvN3ybuJlgb+Fu3rP57Vc0Q9RSuKXkRppGmzk5fJpvnP4On9z14Tlj2FqVTd9IkIb2UbRyK8nhLCklcLKe+NQkdv/cf/eLlW/1/u9cB964rxy7w0ZD2wgDo0EGRoM8e7wXgOIcL3kFMO5oYUBtRHHEsCk2ri/YyRvKb6HY91ofC4diZ2hQ5Zs/H2JsKo7baePBu7SZXhemaRKIBRmNjDEWHmc0PG59jYyT5crgvg134bKtjelE9xft4Yn2pzkx1EAgFmR7dS7PHuuhvnVk3gSGs6QUbySC6pYx9kKsFI7sbDJve/26qYoyTZPR8Di9gT56p61kc+90PwPBIRLm3H2O3DY3Hrsbr8OD2+bG63DjtnnwOtx4bG48Ds8FX19bnmb3XvM/Ss853DRI5+A0B7YXUpB19YnzfYXX88uO33BssJ53bHrzZcucFUWh6O67GB6V3kdCpIppmoRamrGl+XAWzj/jxFL6ztPN/OZoN36vg3tuqOC2XSU4Han5HFyMUCTOT19s58mDXZhAaZ6PD9xXS3nB0lRPv9J3mEcaH8PE5JbSA/zexvsvOyxvOSiKwntq387fHfxX2iY6+GXH09y34a6LtttWlcPTh7tpaB/lbbdY0++6iosJNpwk2tODfbMkMFYrSWCsYFp5FjddV05f/wRn+6do6hzj9NlRznSN0zsSpHcEoBgoxp9hsLOqgO32QjLtr1UJxBMGP3q+jV+90okJVJek86H7t5I3q+Owoij4nGn4nGmrrjnnlcpyZ7IlR+PUSBMH+49QV70lmcAY5s03zX2nUXU6pfO1ECtIbHQUe3r6mu1PEDfitE+cnamm6J3upy/QTzgRmXP7XHc2xb4iin2FFKcVUOwrIt+Tm7IExGJFogkeeUrnxZNWJeDPX+pg24ZsXr+7lO0bchY9ZjvPm0N1RhWtE+0cGzzJ/uI9l91HsVmvnZlIzHwvhLh2zHgMRVFw19RckymyW3om+O3RbgCmgjG+99v/n733DI4jTe88f5lZ3qEK3luSBZAgCdCBnmw220xPj5NmtPKz0t6epIsLnYu9uLjYuLu4D3f6cB92dXcyuydptBqZ1Wg0Mz097Wma3oAEDQCiQHhfQAEo7yvzPhRQJBskUfAAmb8IBIDKNzPfBNK8+bzP8//38MmtId4/Us3JvaVoNZvT9yCZkmnvm+FG5wRtj6dIJBVEAb5+pIpvHqtZlawLgAvDV/inxx8A8LXqs3y95q0NnUCwaM18f+ev8sdt/4FPBs6zw7GNHY66Z9o4K+1oJJGhiQD+cBybSYdoMKLJyyc2NorRWf/aTIK8aryao79XDI0kUlqkoy85wGzqCtr8AFIoB12oFFO0kplpgYBP5PK9KS7fm0IAKous1FfZ6RryMjgRQBDgW8dqeP9o1QKhzteNY6WH6Jju4urYLf7NvqNoJJH+8QC+UPyZ0pynUWSZ+MQEksmklpGoqGwgciJB4MY1tMUlWPft3+jurCqyItPqvseHfZ8yHV3ojmTVWtJBCksxpeb092JTEQbN1s8OG50K8qc/62DME0KrEdlTl8eD3mna+2Zo75uhwG7gjeZyju8pwWJceq314ZL99Pr6uTlxJ6sABkCos4P4xPhrlemjorJZELU6ck6cQlGWXwqXLcmUzF9/0oUCfAJDj/QAACAASURBVO1wJc4KO/98qY8hd5C//bybT24O8o1jNRxtLF61gMBKUBSFnlEfNzrc3OpyE4o8cWgRrTMYq3oJ5k/R54dt9poVZUkoisJH/Z/z0cAXAPzy9m9wpuLEio9hNdjhqOPd6jN8PHCOH3T8Pf/zof8Oi+6JxodeK7GjIofOgVk6+2c4PJd5btq5C0GS1Pv6FkYNYGxypsOz/HPPJ1wdvZmZfSu1FnF21ykOFDWhETUkkil6Rv10Dc7SNTRL35ifQXeAQXcAgPwcA//lN3axrXztrI22Eo15DVh1FibCk4xFRqivss8Nkqc5tvsFaYqKQujeXbRFxVj3H1jfDquoqGSIDQwgJxIYa2vXbB8pOcVUxEORqXDdBjiPZrr5Wc9HDAfTZYKFxny22WvSmRVzwQqrzrIufVlPFEXh8oNx/u7zbuJJmZI8E3/w7UbKCywEIwkuPxjjwt1RprxR/vFCDz+53MfhnUWc2VdOVXH2adHNhXv4x+6f8djbhycyTb4xb9F1JIuFVCBAcmYGbd7i7VVUVFaHVCSCIIqIev263IM/vTXE6FSIAruBbx6rQa+V2F2bx91uDz+93MeoJ8QPPu7io+uDfOt4DS07i1bs4rEcRj0hbnRMcLPTjccXzXwuGANIeePo8t2U5toYDU5zc2KamxN3yDM4aCneT0vJAfKNuUvan6zI/NPjn/PlyFUEBH6j4XscKdlcY+CvVZ/FNdtLn2+AH3b9iN/b/f1nzpnGmjw6B2ZpfyqAoXVsTTdFlSeoAYxNzMXhq/xzz89JKTIA2+21nK08xa68Z1OetBqJhioHDVXpCzIWT9Ez6qNraBZJFHj7YCUmg/qvnkcSJY6UHOSzwQtcHb3F3rqjtPfNcL/3xQEMQZLQlZYRGxlGSSZf2dR1FZXNjJJMEunrQVdYiMa+NgMQX8zP/9f+N/T5BikyFXKy/AgtxfsxrpE93HBglJ/2fETXbNoS1q7P4f2at2kp2b8htcXrSSSW5G8+c3Gjww3Asd3F/OZbTvS6dMmGxajlay1VvHOwkgd905y/k65lvvxgnMsPxtlWlsOZ/WUccBYuOitq1BhoKmjktruNm+N3+Hrt24v2T19aRujhA2LDQ2oAQ0VlHYl0dRKfmMDx9rtrXsI1ORvmg6sDAPz2O/Xo5zQvBEFgv7OA5u353Opy87PL/bhnI/zHDzv58PoA3zlRyz5nwXMd7FaT2UCMm51ubnRMMDT5lLCwNoombwwpf5zaIgctJfvZV7QHi9bMZNjDzYk73By/w3R0lo8GvuCjgS/Ybq/lSMlBmgp3L6ptl5JT/LDrR9yauItGkPidxt+gqaBxTY91OUiixL/c+Wv8n7f/HQ89nXw5eo3T5ccyyxtrcvnHC9DRP4OiKJn3p8TMdNqNpHG3momxBVHfwjYxQ4ERZBT2Fe7hbOUpqmwVWa2n10nsqsllV83SIq2vE/MBjDuT9znd+BaQvrnNe0U/D31FJdHBAdVaT0Vlg4gODSFHoxjXKAtqwD/Ef3jwn/DF/QgIuMOT/Kj7Z3zQ+zEtxfs5WX6UEnPRquxrOjLDz/s+47b7LpB+wX678g1OVxxHt052dBvJkDvAn/60HfdsBJ1W5Lfedr4wgCyKAk3b8mnals/ETJgLd0e58nCcnlEfPaM+/sHcw+mmUk41lb3UcvxwyYF0AGPiLl+rObtogEjQaNCXlhIfG0Vp3K0GrlVU1oFUJEJsZARDdc2SgxeBeJBPB84TSUVRFAVZkdNfPPlZUWTkuWUpRaGvtYRE0kxOsZdfeP6Wj6YFCox5mcy3UksxLQ1FHKwv5Hq7mw+u9jM+HeZPftpORaGF75yoZe+2vFV9CQ5Hk9xxTXKj003X4OwTPykpgZQ7gZQ3Tl6+zOHS/Rwq/hZFpoJn1i805fON2nf4es1bdM/2cmO8lXtTD3ns7eOxt4//3P0Tmgv3cKTkIHU51Qv6nkgl+IuOv+WhpxOdpOP3dn+f+tztq3Z8q02e0cGv1/8yf9H+Q37S8wsa8+ozWXZlBWbsFh3eYJyRqRAVhelMxlQwSKSvF115hZqRsQVRn8abmN+o/y5/cPQ3CHmTizdWWRKFpnx22Ovo9vYyEOta4BX9PDS5uUgmE7GRYTWAoaKyASRnptHm5aHJy1/1bd8cv8PfuX5MUk5Sl1PN7zb+Bn2+QS6NXOOxt49Lo9e5NHqdHfY6TpYfZU/+zmWJZIYSYT4ZOMelkWsklRQaQeJk+VHeqT6DRWtefANbHEVRuNA2yj+c6yGZkikvMPMH326kJC+7Yy/ONfFrZ7fznZM13Ohwc/7uCCNTIT64OsCH1wbZ5yzgzX1l7KiwLxiU73DU4dDbmY7O0OvtZ/tXBN+eh76ikujQEPGJcfTl2U0iqKioLJ9oXy8oCobaxa/Pr/JB7ydcG7+Vdfukp5TEjBmkOLGSuwwG4kA6mP00BslAqaWIUnMx77xXhGckl5ttQYYng/zxjx9QU2LjOydr2FWdm1UgQ1EUovEU/nAcfyiOLxjP/Dw6FeJ+r4dkai5sIciI9kk0eeOY8vzsK26kpfh71GWhbSEKIvW526nP3U4k+W3uuh9wY6KVPt8gN8ZbuTHeSr4xj8PFB2gp2UeuwUEkEeVP7v8l3d5eTBoj/9Xef0VNzuYf8+4r3ENb4R7uTj7g1sRd3qtJT04KgsCumlyuPpygvX86E8DQlZQg3BeJj46oAYwtiLAe4jibhGqgf3o6iCxvnWMuKLAyNRXY6G68ktyeaOMHnX9PhbWMmsB7fHprmK+1VPK9N7a9cJ3wo05iY6PYT595LZTp1fNPZaN40bknJxKI2tXLUEjJKX7S+wsuDF8B4HjZYb63/ZtoxCfx/bHgBJdGr3Nz4g7xVHqAa9fncLz0MMfKDmHTLa7FEE8l+HLkKp8OXiCSjABwsKiZb9S+Q94S65K3KuFokh98/IhW1xQAp5tK+dU3t6/IplBRFLqHvZy7O8pd1xTy3Jhme3kO//2/aMqkg8/zQe8nfDp4nsPFB/itnb/ywu3On3+KohDt70dfWopoWJsyIhWVr/K6PnvleBzvF5+hLSzCeiA7sd15fLEA/8u1/4OUIvPd7d9Er9EjIiAK4lNf6d8FBKIxhb/60SSRqMzXT+fT3GBDQCSlpHCHJxkPuuecoMYJJkIL9qfIItrpbURGK0nG08+LyhIj3zxag14n4Q1G8YaieJ8KTgTDSYLhJKGITDL5sncRBdE6g5Q/hsYxSUNhDYeL97OnYBe6RUo/ssEdmuTGxB1uTdzFG/MBICDgdGwjRpT+2WFydFb+66Z/TamleMX7Wy86pl38yf2/oNhUyL9t+R8ywaSbnW7+/IMOGqoc/Jtfa86099+8Qcrnxf7WO2oZyQYiigJ5eRaAGmAgm3XUDAyV15amgkZMGiPDgVEOl6QfJA96p18awDDucGKsb1BvdCoq64giyyjxOKLBsKrBi2AixF+2/y2u2R5EQeRXdnybE2WHF7QrtRTzq87v8K26d7k5fpdLo9dwh6f4sP9TPh74gubC3ZwqP0aNrXLBvUFWZG5O3OXDvk8zA8V6x3a+ve09KqxlAKRkmUgsRTiaSH+PJYnFU3Mp0GRU+GVFQZn7XVG+8jtPPlcUBUkSsVt0OKwGHFY9ZoNmQ+9b/eN+/vSn7Xh8UQw6ie+/W0/LzpWX4wiCgLPSgbPSwWwgxpf3Rjl/d5THIz6+vDfG2wefzZpoKdnPp4PnuTv1gO8lv7Wog4sgCGsqGKuiovKExKQbJZXCuH3Hkte9OHKFpJJib0EjpyuOLdr+L37RSSQqU19p55dantVB2GaveaZtIB5kNDjOeMjNWHCc0dAE4yE38YJuNLm94K4kOV7D0Dj8Pz/uzK7DYgpBEwNtHEEbQ5j/rosi2acoc6R1LQ4UNWHXr64If5G5kG/VfY1v1L5D18xjboy3ct/TkdFiyjPk8ofN/zorsePNRL1jG2atKS3SH5qgzJIuS9xVk4sAPB7xEounMjpL+rIyAhPjqlDzFiSrAIbT6fy/gF8mncWw2+VytX9l+f8K/G9PL3M6nYeBPweMpKMpv+lyuSbXapmKylLRSloOFe/j4shVxujAoMtl1BPC44uQn2N87jrzWReKLK+LL7mKigrEx8cJtt0h58RJNDmL2xgrikI8KS+YfX+a0eA4f/7gr5mOzmDVWvgvdv/WgkHrVzFqjJyuOMap8qO4Znu4NHKNB55OWt33aHXfo8JSyomyI5RrnYxORuidHuX+hAt/JIqSKscoNJCvK8I/oufPWocJx/qJxJLEE/KS/yZLRacRcVj1c18Gcm167BY9uVY9Dlv6M6tJu+qCdIqi8HnrCD+60ENKVqgqsvL7395FkcO0qvsBcFj1fPtELVXFVv7vHz/kk5uDvNFcilbz5DwoMhVQm1NFn2+Q+1PttJQsbsWrKArx0REQRPRlZavebxUVlTT68go0eflIxuePwV5ENBnl8uh1AM5Wnlq0/aOBGa4+nEAjifz2u/WLBnetOkumFGMeWZGZic4yFpxgLDTB0Kwbl0vB57YiSCkkbQJJn0SrS6HVp9DpZfQGBb0ejEYBnVZCJ2nQiBo0og6NaEIrajBIBhrzGyi3lKx50FkURHbmOdmZ5yScCHNn8j6zqRlOFh1f9aDJeiCJEk0Fu7k6dpM77vuZAIbFqKW6xEr/eADX8Cx76tJlqLriEiSrFSUe28huqyyDbDMwfgr8e+DyVxc4nc59wGFg8KnPROCHwL90uVxXnE7nvwX+CPjdtVi2rCNXUQGOlbZwceQqdybbaKj6ZdoeT/Owd5o39pW/cJ2EZ4rA7VvYjp1AY7OtY29VVF4/FEUh8tiFaDIhWbO73r5oHeHvzz2mwG6gvjLt0FRf5cBuSc+235t8yF8/+s/EU3EqrGX83u7v4zAsHhiZRxCEzGB2JjrLF303uNrVT2+fhe7L05C4+1TrJ8KUQSBIDIh9ZXtg0mswPvVl0EmIgoAgpPc3/118+nee/I7AU8sEEskU3mCc2UCM2UCUSCyFezaCezbywuOSROGpIIeevBwD+TYDeTkG8ua+G3TZJ24GIwn+8hePuNfjAeDN/eX8yhvb0GrWNvjbtC2fikILw5NBrjwYX3A/bynen6kBzyaAIQgC0YEB5HgMXWmpmoGnorLKyIk4qUAAbW7ekoMXANfGbhFJRqnLqaY2p+qlbRPJFP/pUxcA7x+tojh3ecFUURDJN+aRb8xjT8Gu9BRv82JrbV5MWhMnyo5s+fKlA0V70wGMyft8o/ZJaciumjz6xwO0981kAhiCRoPjzNmN7K7KMslqJOJyua4AOJ3OZz53Op164P8Ffg24+NSi/UB0fj3gz0hnTPzuGi1TUVkWpZZiamyV9PuHsBaE4DHcXySAIVltKMkkseEhNLs2n6WUisqrRMLtJunzYWnel1XWUyyR4ufXBgCY8kaZ8qYtNwGKc40YHUFGhQeIVoVD5c38ev13l+z6kUim6B7x0dE/Q2f/DEOTeqD+SQNNDNE6i1aXoi6vHGd+FRajHqNeygQqTF8JVqz1S3EklsQbjDETiDHrTwc1ZgNzv899BSMJPL4oHl/0hdsxGzTPBDTmAxz5OUbycgyZUpWeER9/9kE7M/4YJr2G33mvgf3OghdudzURBIFvHK3mT37azkc3Bjmxt/QZd6l9hXv5p8cf0O3tZToyS55xcQE3fUUFwXttJGdn0ea+HpolKirrRbijg9jwEPY330IyLS2gkJJTnJ/TMHqr6vSi7X9+bRD3bISSPBPvHX55sENl67HNXotNZ8UTmWYoMJJxcGysyeXDawO0988sWEeRZZRkElG3cn0RlfVhpRoY/zvwQ5fLNfCV4EYlT2VkuFwuj9PpFJ1OZ+5aLHO5XAvPxhcwJxKypSgoWFwg7lUkNDBAMhjCWF6Ozr52qWzvOE/yZ7d/yLShE6ila8iLzW56Sfq5FbGuirh3ivw88ytfSvK6nn8qG09+voXJe4PkFOZS0tSQlXDuL672E4wk2F5h5/d/aQ8Pezw86PXQ0TfNxEwEZiTmp8kGx618ODvCnm0F7K7Lw2J6/uBFlhUGJ/y0uaZo656ks2+aePJJ2YdOI7KzNo/mHQU07SgkZZhlzD/B/rLdWHSbx1lkMR35WCLFjC+KxxdhajbC1GyYydkIk7PhzM+haJJQNMiQO/jcbRh0EgUOE6NTacHsHZV2/sffOkjRMmc5l8s7eRZ+fn2AYXeQh4Ne3m55+kXFyqHyJq4OtdIeaOe7le89dxtP3/tkez2jfS4M/ilynepLj8ra87o8e6MTE0Q84xTv2429aum6OJcGbjIb81JmLeZ0/cGXOnMMTvj55Gb6VeK/+dV9lBRvvTKJ9WCrn3tHq/bzyeOLPAo84kDdTgAcuWZMP37AxEwYRZIonHsmKYrC+IcfYSgqJPfQ0oRjVTaOZQcwnE7nEeAA8D+tXnfWHtWFZHOipFIkPFPE3ROYGnYharWERz2EH3UCd9BYrehKy9CVlKLJWd0HznajE72koy/YTWnBTsamoly5M8yeuhcL+sRy8gk87oeufnQFhavan83E63L+qWw+CgqsTPSP4Rsax7x7D56Z8KLrpGSZH5/vBuCt/eU4jBpO7i6mvk7iz+59wthUHClUREGynvHJBIMTAQYnAnx4pR8BqCiyZEpOivNM9MxnWQzM4A8nntlXZaGFnTW57KrOZXt5zrNOGnIedkseEZ9MhK11/WiAYpueYpseqp4tq1EUBX84gccXYdoXZdofTX+f+9njixKNpxh2p4/53UOV/NKpWsRUakPuI+8equQ//ryTf/isiz3VdqSngs1Njr1cHWrlfO81ThYcX5AB87x7X8KWx1TnY5IV214LFyqVjeN1efYqySTeC1dA1GIoqlzyMSuKwj+3fwLA6bITTHsWuoXMIysK/+7v7pJMKZxqKqXQqnst/sZL5VU493Zad/IJF7ky0MrbpWczQa36Sgd3u6f48s4Qp5ue6BlFtSYCXb0kq3a88pOSm5GnXEiyZiUZGKeABqB/LvuiHPjU6XT+DjAEZKYonE5nPiC7XK4Zp9O56stWcAwqG4iciBMfGyPuniAxOYmSSiFIEvryCsTcPIzbd2CorCI+PkZsbJRIt4v4xDj202cASIXDiEbjilOvDRo9B4qauDp2C1OeH6Z0POydfmkAQ1dUjKjVEh8ZfqUDGCoqG4nGasP+xpuIWdZE33FNMeWNUmg3sm9HulyhY9rFX3X8HZFkhLLiIn5v97coNOWTSMr0jfnoGvLSNThL75iPIXc6s+Cz28MLtu2w6tlVncvOGgc7q3KxmV+/VFNBEMgx68gx66grXRhIVhSFcCzJtC+KXietiVDnUjjUUMjPrvQzORvhVuckRxqf2AHW524nR2fDE5mm1zewqIArgL6ykpTfhxyJIFm2XjanispmI9TZgRyJYDt2AkGz9FeSRzPdjIUmyNFZOVj8cgGKS/fG6Bn1YTPr+O7puuV2WWULUJNTiUNvZzbmZcA/RG1ONZAuI7nbPUVH38wzAQx9WRmxkWESU5PoiraObezrzLIDGC6X649Ii2gC4HQ6B4D3XS5X+5zgptHpdB6f06z4feBHc03vrMEylS2Aoiik/D4QRTRWG3I4QvBeG5LRhL6yEl1RCdr8/MzMliAICAYDhppaDDW1yNEocjRdm60kk3jPf4FoNKIvLUNXWopky1l2MONYaQtXx27h0XUCTdzv9fDryvYXbk/QaDDv3oNoVgexryJKMkng9k00dgeGbdtX1bpTJTsUOV2iIVmzS2VVFIWPbw4B8M6hCgQBPh+8yM96P0ZBYW/+Ln5757/AoDEAoNWIGfvNbx2vIZ5I0TPqo2tolq5BL+7ZMLUltkyWRUmeSRVvXARBEDAbtGi9HuKDE8jGekTD0gX5VgtJFPn6kSr+6qMuPrw+QMvOIkQx/T8UBZGWkv18NniBm+OtWQUwtPkF5Jw+o54HrwGpcAhB0iDodOr/ew2RzGYM27Yv28Ly86EvAThdcRyt+OJXGm8wxo8u9gLw62e3Yzaoz/RXGVEQ2Ve4h3PDl2h1338mgAHQOThLSpYzWXnagkJErZbY6KgawNgiZGuj+sfALwHFwBdOp3Pa5XLtelF7l8slO53O3wL+3Ol0GpizPF2rZSqbFyWZJDHtIT4xQcI9QSoSwVBZiaV5P5LNhv30GSSbLasBgmgwIBoMmd/NjbuJjY4SedxNuNuFZLFg3r0XXeHSMyIqreWUWUoYUcYx6AU8vijj02FK819cv66vWKyiXGWrIsdjJGdniU9OEh0cwFTfgL6ySk0tXEc8V68TjCSxNO/Lqn3XkJfBiQBWk5aDO/P5Qeff0+q+B8B71Wf5Ws3Zl9ZG67QSO6tz2VmtCjSuFMlsITo4QHxsFFPjbvQVlRv2EnhkVzEfXBlgfDpMq2uSQw1PauxbitMBjLuTD/jejm+hk16eVTN/DEoyiaIoamDzFcZ//RqpYDA9kaLXI+r0aAsLMc8Jd0f6+hAkEVGvR9DpEfXpr+VkEbzOGOu2LXvdIf8I3bM96CUdx0sPv7Tt33/xmEgsyZ66PA7Wq1mzm5XEtIfk7CyGum0rfmbsL9rLueFLtE0+4Lvbv5F2jbEbKco14Z4J0zfmZ3t5ukxSkCR0JaXEx8cy2eAqm5tsXUj+EPjDRdpUf+X3a8DuF7Rd9WUqmxPfpYskAwEEjQZdQSHG+gZ0hekBpCAIy9azEDQaDNU1GKprkGMx4uPjxMdGEfXpAWhi2kPc7cZQXY1kWlxETxAEjpYe4kfdP8OQ6yc6buVB7/RLAxgASa+X5OwMhpraZR2HyuZEMpnJfe99ErOzhDvaCd6/R7S/n5yTp9QH2zqQmJkhOTKCWL74rPg8n8xlX7y5v5xfDH5Cq/seOknH93f+Kk0FqlvQepD0ziLl2JGsVhxvvkXw3l2CbXeJj41i3tu8LHvElaKRRN47UsXffOriw2sDHKgvRJwbGBebC6m2VTLgH+LeVDuHihcPlsmxGN5zn2Pc7sS4fftad19lgzA17EKORlBiMeRYDDkee+beH37UgZJMPrOOobIqE3BNhcNLdtN4nQi7upAsVvRlZYs3fgFfzGVfHC89jEn74nvL/R4Pt7sm0WlFfvOtHWpGzSZEjkYJdaadaABQWPH9tdJaTr4hF090hh5vHzsc6WBZY3Uu7pkw7X0zmQAGgKG2Dn15RdrXXGXTo04nqqw6iiyjKGmhVKOzAdvho+S++x7WQy0YKqueyaJYDUS9HkN1Nbajx9DkpG9GydlZor09BG5cz/RlMQ4VNaMVNQRN6TTDB72eRdeJjY0San+YKW1R2frI0QipcFowUutwYDt2HOvBFnQlJZkBbCoS2cguvtKkwqF0+Y7ZjKE2uzrl4ckgD/um0WlFDuzK4drYTQD+2+bfU4MX60RsdBTf5UtE+9L3T8liwXbsBObde0h4PEQed29Y347vLsFh1TMyFeLe42fv64dL9gNwc/xOVtsS9Xokq5XY8GDWzxaVrYGSSuG/fo341CT60lKMtXWYGnZiaWrGdugwpvqGTNvcd9/D8dY72E+dxnb4CJbmfegr0naNkcfd+C6ezzxHVJ4lMTNNxNVFYnpq2dvwRKa5O/kAURB5o+L4C9tF40l++JkLgO+cqCXfvnElbSovJjY2Rnx0BNMOJ/rSMsJdnaQCKxMSFQSB/UVNANxx3898vqs2nWX5VTtVTU4O2oICNdN2i6D+l1RWFSWVIth6m4irC0gL4+iKitZ91tq4bTvmvU0kAwGSM9npvJq0JpoK9iDleACFxyM+IrHkS9fRl1egyDKx0ZFV6LXKZiDS8xjv+S+QE2nXCUEQ0JeWZgavidlZvF98RvBemxq4WmXkeJzAjeuQSlFw6kTWnuzz2Rcn9pRyY+oaSSVFU0Fjxv9dZW2JjY0RvNuKxuHAUFWd+VwQBIy1ddhPn8HUkL5+kn4/qfCLnQLWAq1G5N2WdMnfz68NPBN42F+4F42owTXbw2zUm9X29BVVJAMBUr7s2qtsDWKjI8Qn3ZBFXEqQJCSTCY3dga6oGENlFdr8tHCwrrQMRZYJPbinBrm+gpJKEbzXhmgwYmp4YSX6opwfvoyCwsGiZhwG+wvb/fRyP9P+GFVFVs4eKF/2/lRWn6R3lvjEBACG6mrsb7yJqWEn5qYmzHv2Iq6CUPL+or0AtE09JCWnAKivtCOJAgPjfoKRZ93F5Hic4L02UsHn24SrbB7UAIbKqqEkkwRu3iA2PoawCWqD9aVliFot0cGBrNc5VnoIQZNEawuQkhU6+l8e/NDYbGjsdmIjagDjVUBJpYgND6MrLn5hfbtkNmOoqSE2PMTsuc8Ju7oWpBKrLA85HEZOJLAeakGbZXnZjD/KrUduREHgeHM+l8duAPBO1Zm17KrKHPHxMYJ3bqOx27G2HHmuBoBksSBq08Go0P02vBfOEx3oX/WXOzkaJdLbg/fLC/iuXnlm+6f2lmIzaRmcCPCw78l93aQ1sSd/JwoKNyfuZrUfXVkpgiQRHRpa1f6rbByKohDt7UFjS8/CrgTJbMbUsJO4201cHRs8Q9jVRSoQwNzUvGwNmWA8xLWx2wC8WXnyhe0GJwJ83jqMIMD3v+Z8xkZZZeOQ43GCD+7hu/Ql4UedKIqCIIoZZydRq8NQVY0gCMjR6IqeE6XmYopNhYQSYVyzPQAYdBq2l+egAJ0Dz47xlVSK+Ngowftq8HGzo17NKquCHI/jv3aVhGcKS/O+FQkzrRaCRoOurJz42GhmNn0xttlrKDTlo9jGAXjQO73oOvryCpLe2RWnu6lsPPHxMeR4HP1Ts8hfRdTpMDfuwf7Gm+gKCgl3PcJ36WLGNUNl6cwPFDR2O46zb2dmMrPhs9vDpGSFA/UFPPS3Ek/FacjdQaVNnW1ba+RYjMDdO2hy7FgPH83qhcSy05oXMwAAIABJREFU/wBaRy7B+/fwX7tKKrTybIz41CT+69eY/ewTQu0PSXq9aa2lpwagOq3EO5ksjGeDJ4dLDgBwc7w1q0GrqNWhKy4hPjqiXvevCIlJN0m/H+O2lYsHAhhqatHm5hJqf6Bm6s2RCgaJ9jzGUFW9LLH1eS6NXiMhJ9iZ56TMUvL8fckyP/i4C0WBtw5UUF1sW/b+VFYHRVGIDQ/hPf8FsYEBDDW12I4ff+H1lvT50m2Hlx8oFgSBfXNZGE+XkTTWpl1v2vueDWBIRiOmXY0kPFPEljD5qbL+qAEMlRWjyDL+61dJ+rxYDhzCUFm10V3KYNy2nZwTJ7OO9AuCwNGSQ0j2dG3mg75p5EUGtPqyckSdnqQawNjyRAcHkMzmrF6gJYsF66EWco6fwLh9B4IooigKidnZdejpq0Wk6xHhrkfpmZgllJuFowm+vD8GwJkDxVwcuQrAu9Vvrkk/VZ5F1OuxtRzGeuRI1vdYyWTGeuQolqZmUj4v3ovnl3zNKLJMfGoSORYDQI5ESAUCGLfvwHHmLPnf+g7G7dsX1DK/0VyGxaild9TPo8En+6x3bMemszIZ8dDvz26wbNzhxHb0mFov/YoQ6elBMhrRla1O4FMQRSxN+0AUSQXVsQGAaDZjOXAI067ll47EU3G+HLkGwFuVp1/Y7lzrCIPuAHk2Pd8+kb0YtMrakZyZJnD3DpLJTM7J05h378lk5j0PyWpFyskh9OD+iiYI9xemAxj3Pe0k5HS27Lydanv/9IKgtb6yKj051dmh6thsYtQnr8qKEUQRY20d1sNH0JeWbnR3nkEymzPCntnSUrIfyRRG0EXwh+L0jflf2l40GHC88+6mO3aVpSFHIyRnZtJ2qUuYgdPm5WcsdePj4/guXcR/64ZaQ5kl0YF+wt2uzMvoUrjQNkosnqKhysFgsp1IMkJdTjXb7OqAdS2Ju93ERoYB0OYXvHQQ+jwEQcBQVU3O6TMYKqsyblSLZTMkfT5CHe3Mfv4p/mtXM9pD+vIK7G+9jalhJ5LVCqSzAqP9fSipVGZ9g07DWwfTuigfXhvIfC6JUsaB5MZ4a1bHoLHZlvxsUdmcKIqCvqICU8POVQ1ISVbrkjPKXlXkRDyjJ7XU+8XT3BhvJZgIUWWtYLv9+e5vHl+En1zuB+A33nZi0KnWthuFkkwSn5wE0mMl2+Ej2E6cRGNf/N4piCKWfQcQJInAndvP3MuXQrG5kDJLCZFklEfTaUHX8kILNrMObzDOqOfZLEBBEDDvbUJRFMKd7cvap8raowYwVJZNMuAn7k4L8OgrKtEVbE5v7VQkQuBOK0lvdoJrNp2VvQW7EB1uANq6F1fKnp99V7UQti6iwYjjrbcxVC//5VdXWIipYSeJqSm8F85lrg+V5xN3TxB6cB9dURHm3XuWFDhKJFN80Zp+gX3rYBnnhi8B8I6afbGmxN1uArdvEuntXXH5hGQypf/voogcj+M9f45Ib8+C7SqpFN4vL+C9eJ5oX29ab+PAoYxgqCCKC86d5OwswQf3F1yDb+4rx6jX0DXkpXv4yTOhpTjtRnLHfZ94KruSw1QwSLDtjloisMURBAFDZVUmEL2q25aktL7GQP+ygrSvAkmfF+/nn634eSgrMueG0vf5s1Wnnvu8UBSFH37WTSyR4kB9IU3b8le0T5XloSgK8fExvOfPEbh1I3Pu64qKl/Scl4xGLM37SPp8hB91LLs/Bwrn3Egm02UkoiCwq3ouC6NvodadZDZj3X8Q067dy96nytqiBjBUlkXSO4v/yhVCD+4vOyq6Xggaifj42JLEPI+WHkKaC2Dc7Z5atC5aURR8l74k1P5wJV1V2WBEgzFr54vnIWg0mHY4cbz5FqLJRLizQxWCegFJr5dA622knBysBw4teebzeocbXyhORaEFr/4xgXiQCmsZO3N3rFGPVeJTkwRu30xbpB4+srrlE7KMZLUQan+I/+plooMDhLseAemXQI0jF/PuPTjefhdbyxH0ZWUvLTfSFhQgGgwL6qdNBg1n96fLBH7+VBZGqaWYSms50VSUB57sBsqKIhMdGlJdqLYwqXAoHTRbw8kHORgk9PABoY7XbzZXkWWCbXdh7hpeCfem2vFEZ8g35L7QHvtut4cHvdMY9Rp+/ez2Fe1PZXmkQiECt27gv3UTQavFduQYol6/7O3piksw1tahJFPLHk/N62A88HQST8UBaJyzU+3of77Wna64GMloVCcnNylqAENlySQ8U/ivXUXQSNiOHFt3i9SlImp16ErL0oJrWd6EGnK3k5svgyaOezbCmOflQnOCICBZrcTHRjd9QEdlIbGxUXxXr6zaTKpoMGCqb0CORpHVUpLnkgoGEPUGbC9wrngZsqJkrFPfPljOF0NfAmnnkdUQ4FNZSGJqisDNG0hmy4oHpM9DNBiwHjqMdd/+dGbDvTZiI09EMi179mKsrct6v4Iooq+oJDE5ueC6futgBXqdREf/zDMlgvNinlmXkVhtaBwOYqobyZYl2tdLuLMDOR5fs31IVivGbduJDQ+9dll5kZ7HJH0+LHv2rmhyQFEUvhhM3+ffrDyJKCx8fZEVhZ9e7gPgOydqsFtW9x6lsjipcBjvhXMkPB7MjbvJOXUabV7eirdratyNpal52c/3fGMuVbYK4qk47dNdAJkMDNewj1ji+eN2RVEI3LxO4G52As8q64cawFBZEvGJCfw3riMYDNiOn8zYHm12DFXVyIkEsbHRrNqLgsih4mYke7p2724WZST68grkROK1G6C8CsQGB5BDIYQVDLC+iq60DPvZtzM1+Spp5gcB+vIK7G+cQTQYlryN+489TMyEybPpIXeU2ZiXIlMhewuWLw6n8nISM9NIZjO2o6sfvJhHEAT0FZXYz5zFfuo09jfPrijLw1BRiSLLCzIkLEYtZ/aVAfDzq/2Zz/cX7UUjSHTNPGYmnF3JoaGikqTfR9KXXXuVzYMcjxMdHERXWoZkMq3pvow7nGisVkL372XtirbVSfr9RFxd6MvK0ZWsTCPssbePwcAwFq05E2j8Kq1dk4x6QuTa9JxqKlvR/lSWh2QyYdl3APuZsxjrtq1alt584CLp8xK817as8sUDhc+6kdjMOqqKrCRT8jPlhF/drzavgPj4OPGxsWX2XmUtUAMYKksi4ZlCstrIOXYCyWjc6O5kjSY3F8lqXZIt0qHifZkykjtZBDC0+flzKcvDy+2mygaQCoWIT06ir6pa1ZR4QRAQtVoUWSYVXrlV5KuAkkoRuHmd2NxAYLnZWx/PZV+8dbCCc8MXAXin6o3nzsqprIz5gaJxh5OcE6fWLHjxNKJej8buWHE2jWS1onXkPlfB/p2Dleg0Ivd7pxmcSC+3aM005u9EQeHS4M2s9qErK0cQxRVZ/alsDLHBAZRkEuO2tbd9FyQJc9M+5GiU8GtSSpLwTCHodJh371nxtj4fugjAyfKj6KSFEw2yovDB1QEA3j9SjVajPgvWC0VRCLu6MmKd+tLSNXs/SHq9RAcHiPQ8XvK6zYXp87Bj+hHRZDorb76M5Hk6GPMY6urQ2B2EHt5/bXVsNiPqFa6SFXIinV5p2tVIzrHj6zKIXU0EQcBYtw1Nbl7WkdtSSzEVpToQkwy5g3h8kZfvQxTRl1eQmHSrN7ktRGxoMD3zu0b2v8G7rfivXVux4OFWR1EUgvfuEne7YQVlVo9HvPSM+jAbNNhKZ3GHp8gzODhQ1LSKvVUBSExP4z33OUmfD0EQllzqsxmwHT2Gpal54edmHaeb07O0H14fyHx+uCQt5vll/42sUoZFnS4d/FyBs4LK+qOkUkT6etEVFq6bm4w2NxfTzl3oV8mqdbNjrK3DfubNFY8XR4PjdE670IpaTpUdfW6b1q5JxuayL47vKVnR/lSyR1EUwu0PCXc9Ij4xvub701dWoS8rI+LqIjHz4qDD83AY7NTl1JCQkzzwdALP2qm+iLQlcjNKIkGo/cHyO6+yqqgBjFeUVDhMqKMd/7WrBNvuEO56RHRutgFYUi1X5HE3vgvnSUUiW3YQC+kyEvOuxiXNsh8ua0bM8QDQ1u3Jah+WfQe27N/odUORZaJDg2iLitZsxkBfVk4qFMzYTr6uRLoeERsZwdSwE31FxbK3M699cbq5jAujFwA4W3kaSdzcWjxbjcTMNP4b10AUEfVb9+V8/l78PG2idw5VopFE7rimGJ1Ka9XszHVi1VkYDUww4M/umrXsacLkrF+9TqusOXIshmSxYKhbX6FH47btaAvStqqvak19KhAgMZ1+IVyJZeo8884jR0sPYtGZFyyX5WezLzSS+mqzHswLtEb6ejHWbVuVTJvFmLc4FQ0GgnduZyZXs2V/0bNlJHVlOeh1EuPTYWb8L9ZA0+TkYNzhJDkzs6Z6OSrZo17lrxjzD8T4xATRvl7kRILE1BSRbhfBe20wl5Ibbn/IzMcf4f3yAv5bNwi1P0grcc+trySTKIpCqLODUGcHmry8LZd18TwURSE+OZm10OaBoiY0jnRa3G3X4toWksWyqDq+yiZCljFu246xdu1SiLXFJWjsdiLdrtc2CyM6MEC424Whqhrj9uW7hIxPh7j32INGEimviTASHMOms3LkBTXRKgtRFAU5kSAVDpP0+UhMe4hPjGcGgomZGUId7QRuXEc0GNIZd4atUy74PCK9Pcx+8dmC689h1XNib3q29sPrgwBIosTBonTGxs2JO1nvQ1EUkj7fKvVYZa2RTCZyjp1AV7j+9u+KohDqaCfcuXxbyM2KIssE790lcOvmqjg3zEa93Ha3ISBwpuLkc9u0utLZF3lq9sW6ocgygdZbxIaHMDXsxLSrcd0EtEWtDsv+A8jRKNHe3iWt21y4GwGBRzPdhBNhNJJIQ6UDgPb+l2d0GLfvIOf0mRWJ0aqsHuo08SuAoigkPFNEex6jKynDUF2NobIybQE0J0ylyDJyNJp5sdbkpUsp5EgYORQiMTWFoNFgrEu/yAXv3iHunkCRZQzVNZj37H0l1P2THg/+61exHjiYVRpnjt5GQ42V+/0yvaMB/OE4NtPLb15yLEZ0cAB9admWETl9XXn6nF+zfQgCJmcD/pvXiQ0PYaiqXtP9bUZSQT+6wqIV30c+vTWEAhxrLObK1DkgrUivlbSr1NOtTyoUIjYyTCoUQkkk0l/JBOa9zWgdDuIjIwTuLnTZyDl5GtGhIxXwE+3vQ7LasB5q2fLBC0gHluVolPjEBPrSZ8UE32up4tK9MW49cvOt4zUU55o4XHKA88OXaXXf45e3vZ/V+RVxdRF53I3j7XdfiWD/q0wy4EfUajfs3BYEASWVJDYwgK6kBG3uyl0aNgNKMkng9k0SMzNY969OJuqF4SvIisz+wr3kGxfasMqyws+upIV4v35Uzb5YNwQBUafHvHsvxtradd+9NjcP25GjaJZ47dh0VnY46nDN9nBvqoOjpQdprM3lXo+H9r5pTu59sdisIIoIooiSShF3T6AvVYViNxI1gLGFUWSZ+Ngokd4ekl4vosGAbu6lXNBokJ56eAii+IzKtr607JmLT1GUZ+rSdWXliGYzktmMvqr6lQheAGjy85FMJmKDg1nXoR6paOah7TGyr4D7PR5O7Hm5mrYiy0S6HoEsY6pvWI1uq6wBqUiExNQk+tKyNS/50RYVoXE4iI+NvlYBDEVR0gGcXbtBUVYkkuoNxrjWPoEAOOvhVv8gJo2R46WHV6/DWwBFUVBiUZL+AKmAn5TfR9IfwOR0oisuQY5GiLi6EA1GBJ0WQaNFNJoy93CN3Y65cTeCRoOg1SJotYhaLZIl7Zajr6x65c5RbUHhnMDy0IIARl6OgWO7i7l0f5xfXB/gX319J2WWEmrsFfR7h3k4/Yh9hYunRutKSgm7uoiNjmCsrVujI1FZDUIPHiBHwtjffGvDxjamhl0kJtyE7rWRc+qNLZ+1Kcdi+G9cJ+X3YWneh758+WWC84QTEa6OpcV0z1aeem6b212TjE+HybMZOL5bzb5Ya+RYDCWZRDKbMe9t2tB3A21+wYI+ZcP+or24Znu4O3k/HcCY08HoHJglJctIi4xTon19hDrbEY4c25AMLpU0aqhyCxNovUXgTitKKoWlqRnH2bcxLFOI8KvaFvqyMsy7GjFU17wywQsgI9YYn5okFQxmtc7egkZ0eel6zhuPFrdRkoxGtPkFxEaGX9ka11eB2OAAoXttyPG1F1wVBAHrwRasLUfWfF+bhVQ4hO/yl08EIFfo8HLuzgjJlELzjgJafVcAOF1xHIPm1Z3tlhMJEjPTRAcGSHjSTkhyOMzMp5/gv36VUPtD4pOTiFotzDmwaBy55L73Po6338F++gw5x09gazmMxp4WKpSsVox12zBUVaMvLUNXUJh2/Ji7/79K9/t5FhNYfu9wFaIgcL3dzZQ3LdZ8qiYdGLsxvjBb5XlocnLQ2O2qG8kmJ+n1kvBMbfjYRtRqMTc1kwwEiHS7Nqwfq0VsZJhUwI/1YMuyx6Ff5crYDaKpGDsc26i0LZxwSmtfpLMv3j9apWZfrDGpSAT/1csEbl5HkeVN8axQFAX/9asEbt/MujS8qWA3oiDimu0hEA9S6DBRaDcSjiUZGF/oWPVVDLW1SFYrofttr40l8mZEvdq3EHI0SvhRZ2YAZqypw9ZyGPsbb2Koqt7yEfz1Ql9ZhSAIWQ809ZKO5m35gIJr0Ec0vnhdp668nFQoRHJ2aSrJKuuDIsvEhobQFhQgmbKL2q8UyWhMpx8mk1k/aLcqciJB4MZ15GAwo7uzEiKxJOfvjgLQ3Kjn0Uw3eknH6fJjK972ZkORZcKuLmY//5SZjz7Ed/kSwfttxEbTxy+aTJh37yXn6HFy332P3He+hu3oMXRFRcBcmqsqIrwAfUVl+rp/jphuocNEy84iZEXhoxtpLYzjlQcRBZHOaRe+mD/rfSS9XlULYxMT6X2MqNWir1ob16mloCssxFBZRaSvd8s6l83ryhhq67CfPoOuuHhVtpuQk1wYTgeq33pB9sWtLjfj02HycwwcU7MvAAg+uM/Mpx8TbLtLYtqzapNoqWAQ/5VLpCIRzLv3rqrl/EoQBAFT/U6SPl/WmjJmrYmG3B3Iisy9qYcA7Jq3U11EBwPSlsiWpmbkSITwo87ld15lRWyOM1DlpaQCAYL32pj9/FMij7tJeNJuGNqCAnTFJZsiCrqVkIxGtEVFGc/qbDhe3YRo8SLLAg97X2y3NI+upBRBkogNqbNxm5HE1CSpSBj9OqfKpyIRZr/4jOjg4Lrud70JPXxAKhTCerAFjc224u1duj9GJJZkR3kOHbEbAJwoO4JZa1pkzS2IIBB3TyBZrZgadmJrOYzj7NuY9+ydWyxgrK1FW1Cgai0sAY3NhmXPXnQlz3/Ref9oFQJw5cE4M/4oNoOV3XkNKCjcdrdltQ99WTmCKBIfG13FnqusFqlwiPjYGPqq6lVxx1gNTLsayTl5aktey/GpSbznvyAVDCIIwqpqft2eaMMfD1BmKaEhd6Hwsywr/HzeeeQ11b5QFIXE9DShhw8ykyKSxYo2N4/Y2Ci+K5fxnvuCaH/fivaT9PnwXb2MkkxhO3o846KzWdAVF2OsqyPS15u1lev+wmfdSLKxU30abW4ehtpaov19JKYXdyhUWX3UaZpNjCLLTF26wmx3H4Ikoa+swli3TRWGXAUse5sRlqAk7HRsw5x/hUDQwaXOQQ42FL20vajVoq+sTAvozekAqGweooMDiHo9uuL1nbURDQYki5XIYxeGyspXcqY8NjaaViZ31q/KQCeZkvnsdnrW/NBeGz+eakcjal6oSL8axEaGUZJJDNU1a7aPeRRFIT4+TqSnG1vLEUS9npyjx1/Jc2OjMdS8WGyuJM/MwYZCbj2a5OObQzjrCmgp2c99Twc3xlt5s+LkovdxUa8n58RJJFvOanddZRVIeDwgCC89D9YbUafLuBqkgsEtM76LjY4QvHsHyWJF0Kxu9q+syHwx9CWQ1r543nV369GT7IujjauT9bFVSIVCxIaHiI2MkAoFESQJXXkFWocjLahZW4uSTBIfHyM6NIQcTduDKrJMfHwMXVHxkp4v4UfpzAbb8eNorCufkFgLTA27SHg8BNvayDltRzK+XKB3T8FONC4NPd5+vDEf9ZUOJFGgb8xPKJrAbFhcuNlUvxM5EkXYJMHQ1w11hLSJEUQRUavF5KzHUFO7JSP0mxXRYADIOrggCiIHnEVcGADXQIBkSl404m9u3LNp0uxUnjDvyKOvqFz3/0863bEe39UrRAcH1twBZSOIj46icTgw7nCuyvZuPXIzG4hRkmdiUEjrERwtOUiO3roq238aRZYJP+ok0vMYS/M+IG0rGhscwFBTm9GRWC0S09OEO9tJzMwgWa3I0QiiXq8GL9aQ+MQEcjz23Dr9949Uc+vRJJfuj/H993exK68ei9bMeMjNcGD0uXX4X0Vjd6xFt1VWAUNlFbqi4k05lor09RLuaCfn9Bub9iVxnkhvD6H2h2jz8rG2tKx6NkvHdBfu8CQOvT0zU/40ae2LAeD52ReKopCcL59QAEUGJV1+p7HZMi/yyAqgzLVT5nRsHBlnP43VlhkrbhaSPh/ei+cRBAFtfkFavLmkdMEzQ9Bo0FdUpkvn5spIEh4PgdbbiFotutIy9JWVaBy5LxwDz4+PLfsOoCQT61ZuuxwEScK6/yDhR51ZjeuMGiO7cp3c93TQNvmQNyqOs60sB9ewl0cDsxyoX1ycU9BosB48tBrdV1kG6ihpk5N3pAV5anFRGZWlE5+cJHSvDduJk4tGawHe2NbMxUu3SUasdAx42Fv38hvc/E00GUjXT2/2QcnrgiCK5Jw4BYvUhq5V5ow2vwBtfgGRx91p7ZpX7GXVcuAgSjy+KsEhRVH45Ga6DOt4cx6/mPwJoiBytvL0irf9VeR4nOCdVuKTbgw1tRkV/VQwQGx0hOjQINrcXAw1tehKy1Z0fIosE2i9RXx8HNFgwNLUvCEBtdeR2PAQiWkP+vKKBX/v8kILzdvzaXvs4Z8v9vDNI1UcKGri4shVbky0ZhXAAIj0PCYVDmHZ07QWh6CyDOREHFGr25TBC0iXH0VcLkJtbdiOn9jo7ryQ2PAQofaH6EtKsew/sCbaa58PXgTgTMVxJHHh9m8+cjMx8/LsC9/VKws+M9bVoWncA7JMoPX2guUmZz0auwM5EsZ/7SqQzqqSrDY0Nhv6iop1DVAqskxicpLYyDCiwYC5cTeSzYZ59150xcXPOAu+jPlxjLaggJxjx+eyN4aJDg4gWSzYjhxbsK3Y2CixoUGsB1vSGUJLyFjeKCSrFeuhlqzb7y/ay31PB3fc93mj4jiNtbm4hr20909nFcCYR07ECT18iKG6Bm3uQqtflbXh1Ro5q6gsAclkIhUJp9Pds5gtLrOUYC8MMTto5dzD3kUDGJB+APmvXUVjtWE9clQtJdlgFEVBSSbnXBte/L8Y9YT4ox/eoWlbPr/9rhPtKqfImuob8F25RHxifFXs5jYD8YkJNPactH3nKr0ktPfPMDIVIseiY9r4AMWvcKhoH3nG1R1EpsLpAascCWNpan7GRtRQWYWupITY0BDRgX4Cd1rRPO4m5/SZJV/PSjKZti8VRUStDlPDToy1da9cEGszo6+oJDY2SmLS/dwSsm8cq6btsYePrw/wZnMph0sOcHHkKq0T9/jOtvfRiov/r5Rkkmh/P7qikoy4qsrGoaRSeM+fw1BVvWmtzUW9HvPu3QTutKY1C4qaN7pLz0VXUoq5MY6hpnZNAq493n56fQMYNUaOli6c3U7Jcib74hsvyL4QBIGco8dBFAAh/awXhCfBK0nCfuZNhDnnpvl2gjZdNiDq0mV8Sb+PVCBAyu8nOjSIJjcXjd1BYmaa4J1WJJsNjS0HyWpFstqQrNZl/U0URQFZBlFEEASSPm+mRESOxRB1egzV1cATDaTlMJ+1oc0vwNS4h/j4GIlJN+LcBF50cABBoyHo0xFsvY3G4UCRU1vOICAxPY0Sj6ErKX1pu8b8nehELf3+QaYjMzTW5PHjL/to759Z4gSWQNIzRcg7+0pYIm8V1FGTymuLZLGk7U4HBzBu37HozUoQBA7Vl/DpoIKr//9n773D47jOu+17ZntBWfReiLIoJNjALpFUo5rVLNmSJZfYjmM7zhvnemPH9pd8+XzlTXMSx3bstNdOHCuyHVuSJas39g6SIACSABa997bY3ma+PxYESREEFosFSZBzXxcuUZiZMweLwZlznvM8v58TSZYRF7pGFDGUlOI610BgdFTxjL7BBEZHcdScCAtRzRMp33umD5c3yNHzQwxOuPlfH11Dgjl2O3ea5GQSd90V85KEG0XI4cBxugZtRiZx1Zti1u7bM44Qd65L5eDImwgI7Mm/K2btX0TU6VDHx6NfvwFNcvLVxzXasPXoqiICIyPIfh+CICDLMq5z9egys1GnpFxzDJECAbxtrXg7O4i/cyfquPjZEhWF64smLQ1Rr8fX0zNnAKMgI56KAguNXZMcPz/EPRtzyDZn0u8c5MJYE+vS1ix4D0NJKf6Bflz1dajvujscMFW4Yfj6+5C8XtRJV/9t30xos3PQ9vfjbmrEX1YI3BwLITkYxN3ciMFaNjsWLgdBKcivbK8AsCtnO3r11eUbNY0jDE+4SU3Us+1D2RfBqSmcZ89g3lA9r/6SIAjzZsQKajWa1NQr2rhYZgIgiCrUliRCjmk8IyOzTiwJd+xEk5yMf2gIX083shQCSUaWQsghifitYY0jT3sbntYWkCTkUGj2+qSHPoKg0eDt6sLX0402PQNdXh6atPSYB4tEjQZ9Xv4VpXTe7i6Ck5NIJh2a1FTiNm1ZkcF1T4uNkMuJZgGTA51Ky5qUCs6M1FM70sA9ebuIM2qYmPbRO+IkLz2yMlVRo8G0dh3TJ47jabFhLK8qLzXbAAAgAElEQVSI1Y+iMA9KvqrCbY2+oICQ201gdDSi8+8rW4eg9RDwqWjsiewafX4BKpMJd+P5mFlaKUSHr6cLQaVGnXBtkb1AMMTJxmEAEkxaOgam+T/Pn6ZnOLalXBeDFyvdUlWWJBxnzyCoVJhWr45Zu629kzT3TKHXqvAntRCUQ6xLXU2GKTZBQFmW8bS3Ifn94frZzVvmDF5cjiAIaNPT0eXmASC5XPj7+7EfO4J9/168nR1X+MLLkoSno52pD97H3WILT0SV3ZkbiiCK6HJy8Q8PXdO6cve6bAAO1g8AsCVjIwAnhs5Edg+VCtO6DUheT8TWfgrLgyzLeNtaUccn3HTuCR9GEARMVWtns7QAgtPTBO1TN2zuIPl82I8dwdvRQXB8eW3h3+nax4BriBRDMvfPEagOSRKvHesC5ta+8LS1Ink8iMaFS4IXiyAIs78TdWIicdWbSLzrHpIefoTE3XcTt7Ea1YzjlhzwE3I5kXx+5FAQBBFRp50NgKhMZrSZWejy8tAXFWO0lmEsr5hdbButViz3P0jc5i1hp8HrVFqYcOcuErbfQeL6dcRt3roigxcA2uxsQi4XwampBc/dmD7jRjJSjygIs6Uj+2r7FnfP9Az0eXl42lojuq/C0lECGAq3NdqMTEStFl9PV0TnJxkspGSGJ73v19siukZQqTCWlRO02/H3LW5QVIgdks+Hf3AQXW7uvIvI2pYxPL4g+RlxfPtzmynKjmdi2sffvFDL2dbIglaR4u3uYvKD965Y9K40PC02gpOTmNauQ9THbuL4m/1tAGxfk8bJkbB16v0Fd8ekbSkQwHmqBtf5c/h6o7c6VpnNWO67P5xNoVLhbKhn6v13CdrtyJKE/eB+XOcaUMXHk7BzN3HVm25qIbTbBV1OLqLBQMjtnvP4upIUEs06+kddtPdPsyljPaIgcmG8GYffGdE9NElJ6IuK8XV3EXJGdo1C7AmMDBN0ODAUF0eUEi7LMgfO9tPWZ78OvbsalcGA5d49aGYWw55WG1MH9jO1fy9uW/N1fZZCLhf2w4cITU/PLKaXz+2j1zHAu937APhk2VNoVVdrLpxsHL6UfVF5ZV9CLhf+gf7rbpEriCLqhITwmDKTaaXLzSPxrntI3LU7HBTYcQfxW7fPCoJqMzIwr12HaXUVpopKjGXlGEutswEDUW+Ydaa5ngiCgCY1lfgy64oOtGszw0Eff//C8+2KJCt6lZ5eRz8j7lHuqw6X9B47P8y027+o+xor1yBotbgunIuq3wqLQwlgKNzWhHeN16C7rOZ9IbaUhevqbJ2uiHdFtNk5aJKSZu2sFK4/vp4eZElCl3+1+8DlHD0X9hG/Y00mCSYtf/KJ9WytTMcXCPGjl8/x9onumO2GqRMSkLzeJfu03ygCk5N4WlvCaudZ2TFrd2TKw7GGAVSigDazB78UoDK5jNy4pd8j5HQyPaM/Ylq9Bv2qoiW1J6jV6PPySdgZnqxqc3Jna6F1+QXEb91G/PYdaCyKO8XNgjohgcR77rvm70StErlnU3gie7Cun3htHBVJViRZ4tTw2YjvY7SWEX/HnSvGGvNWxNvZgcpgQJsdmQBrU/ckz79r4zu/qOVIw+Ay925uLt/5Nq2uwrx2HaJWh7u5icm97+OoObnsfQja7diPHEIO+InfvmNZLcdDUoifN/0aSZbYmb2dEsvVY3JIknh9HucRb0d72CJ3ieO5wspH1GjRpKXjH+hfcK6mUWlYm1oJwJnhBjKSjKwtSiYYkjhQ27+4+2q1xG3aTNzG6qj7rhA5EeUHWa3WfwCeBAqANTab7bzVak0G/hsoAvxAK/BFm802OnPNVuDfAQPQBXzSZrONLNcxBYVouZgOHikPVK7lzb0H8Xu0NPYNUJm78KJKEATid9ypuAzcIGRZxtvThSY5ed7a14lpLxc6J1CrBLZUhMX3NGoVX/hIBVnJJn5zqIMXD7QzMO7i0/eXoVEv7fepTrSgzczE29aKvrDwuu4cxQKV0YguvwBjeeyE8WRZ5qX9bUgybK1IoWbiRQDuz1969kVgYhzHyXA2R9y27WhTY6dJIwgCmqSkK7RVDMpk+qZFEISZ+vPQnH93e7bm8/L+NmqaR3jm3hK2ZlZzfryJE4OnuTs3MpcIQa1GM6O7EHK7lOybG4B5/UZCLmfE796apvCUMiTJ/OdbTUw4vDyyveCGCXCLOh36gkL0BYWEPB78/X2zu+OyJOE4VYM2PR1tZlZMHFZkSUIQRQSNBpXRiGnd+mV3UHu/5wC9zgGS9BYeK3pwznNOXBhmeNJDWqLhKucRyefD292FLicnIkc5hVsfXXY2rokJJJdrwQDyhrQqTg6d4cxIHQ8W3sOezXnUt4+zr7aPB7fmLUrE/eJ4L8syhEIrtgxnJRDp7PtVYCfQfdn3ZODvbDab1WazrQHagb8FsFqtIvAC8BWbzVYKHFrOYwoKSyXkdOJusUW0s27UGkjLDALwTn1zxPe4OIHyj44gBRaXmqawNARBIG7TFoyV8wvwHTs/hAysK0nFbLgkvCcIAh/ZXsBXnliNViNy9NwQ3/2fs4tOMZwLo7UsLPLY3r7ktq4nsiwj6nSYq9bGNPBy4sIwp22jGHQqUgpH8QS9lCSuoiixYMltq4wm1ImWcKZEDIMXCisPORRi8oP38LS0zHk8K8VMeb6FQFDixIVhVqeUY1Qb6HcO0usYWNS9fAMDTO39gMD4eCy6rrAIRJ1udlGxEMGQRG1LuEzwvupcBODVw5387B0boRmhxRuJymDAUFyCvjDsQiF5PIRcTpz1dUy+9w7TJ47h6+1FDobnJ3IoRMjtJjg1hX9kBF9/H56OjlnRSF9vD9PHjzJ1cD+T77/L+JuvM/HGa8iShMpoJP6OncsevBhwDvF25wcAPFf2FHr11UGYkCTx+mXaF6oPBaMEjQbz2vUYikuXta8KKwdtVjaW+x+IKPutLKkEk9rIoGuYAecQZXmJ5KaZmXYHODGjh7YYZFnGcfwYzob6aLquECERBTBsNtsRm83W+6HvTdhstgOXfesEcDE3eyPgtdlsF42Y/w34+DIeU1BYEoGJcdxNjQQnIhOp2lYeTkdt7fIsqpwg5HQyfewo3ra2qPqpED3q+Ph50/hlWb6sfGTuWt+N1jS+9dxGLHE6Wvrs/OXPTtM/urSaZHVCIrqsbLydHbMTz5sd//AQ9kMHCXk8MW133O7lhffDC8rfeaSck5OHgaVlX8jBIJ7WVmRJQtTrid+2XUnpV0BQqdBYkvD19c4u6D7MrnXhcsGDdf2oBRXVM9aWJ4dOL+pe2hnnE2dd7Yr5G1/phNwu7IcPLkpQz9YzhdMTIDPZyDP3FPP7T6xBoxY5VD/AD18+h9d/c/3uVCZTWGth913oVxURmnbgqD1NcHISAG9HB5Pvv8vUwf1MHz+K4/QpXOfqZ8Vr5WAQORAMuzAlp6DPL8BQVn7JbWOZs05CUogXml4kKIfYkbWZsqSSOc87cWGYkZnsi22rr7YlFkQRXW64dE9BAcLPhCCKyLK84BxdLapZmxoWID8zUo8gCOyZKSF8/1TvokuGBUFAbbHg6+0hMD4W3Q+gsCAxyW2ZyY74MvDazLfyuCxbw2azjVmtVtFqtSYtxzGbzRaxNHJy8sqbuKamKoPyciMlWhnobEE3OUxyWcGC5z+7ewu/3fsGfqeRLscQm4sijPynxqGuKMHT14uleg1qo3FpHb8OrPTnL+TzMVV7lviKcjTzuI80do4zPOkhKV5HaZmBvzjyd+Qn5vDs2sfJirs0aUpNjeN7eRb+8qc1tPVO8Tc/r+Xrn6ymuvzqiVWkJN65GWR5VrjtZibk8zF0pBGzSUd6dnLMxL4kSeb7LzXg8QXZUpkBSd04e1wUWfK507ohqsl00O1m7PBxmJgkrjALQ/ryidAprDxMa8sZO3yEuKALQ3bWVcf3bF/FL/e20jfqYsIT5IHyOznUf4wzI3V8YeszqMXIn/34u+9gZP9BtMM9JK5bG8sfQ2EOJmvb8PvdpGYloTZFVrpzbn84C251mZm+YDfF5XF8PXk1//SLJhrax/nHFxv4889vwRJ3tb3nchDxuzctHopzkWUZ/9g42uSksIihZhX+9EREnRZRq0Wl0yHOfAmCAKlrYfONexZfa36PbkcvyQYLX9jyDEbt1eUfoZDEWyfCQsvPPlBGRvqV73BXVzchj4c4a6lSohtDVvq8D8A/ZWfs8GGSNlWjX0CA9h5pG8cGa6gfO8dnNz/JwzuL+c2hDvpGXQxMeVlXuriMTSlxA4OTIwidNlJK85VncxmIVXHODwEn8KMYtbdsjI87kaSVY2WZmhrH6Ghs7RsV5iaQmIqzuY1gfnFEKfHpGRJD/SpePHKWwvjIBa5CWYW4mtvpPXoa87r1S+nysnMrPH+e9jZcF1rwp+ag9l/7JfLm4fDkdUtFOq+cf5dh1xjDrjFODzSwM3sbDxbei1lzaSL8xx9fy3++2cSp5hH+4j9O8MzdJdxbnbOEXSsBRh3IsnzD6q0XQpZlnKdq8E86SNi5kbGJuV0couG9mh4a2saIM2r42O4Cvn/hBwDcnbOLsbHFZ7kExsdxnK5BDgaJ27ARp9qEc4U/ywqxRdaY8QRhsL6JOO2VE/bU1DimJl1sr8zg7ZM9/HZ/G599qIwMUzpDrmEONp+iakb8LSJUJkIpmQydacBttCiirsuI5Pcz2dCENiOTSbcE7oX/7oMhiWMN4dKgQ/bfcOTwpTFHKjIhtlTT1guf/87rlG0aI82iJ05rDn9pTJgv/ltrxqQ2olpEcGsuon/36mDcNfNvFcRfZh3rB/wBcNx416th1wj/c+51AJ4ufQKXPYiLq3/eIw2DDI67SLMYqMxLuOIzkSWJqeNnELQavMlZN+17c6VxK8z7AOSgxPT4NL5zLZhV8wcxU8kgTmNm0DlCbWczeXE57F6fzSuHOnjxgxayLYvXVpELSpk6dZJATT2GouJof4zbAlEUFp1gsOSQ0IzAZwnwtM1mu5iH2cOlchKsVmsKIM1kSizHMQWFJaPPz0cOhSK2Ot1REU4xa+/yEpJCEd9HZTKhLyzE19NN0DEdVV8VIkOWZXzdXagtFtTzZF/4/KFZ8bZN5cmcHgo7DaxPqwrb6vUd5dvH/44Peg4SkMJpxDqNii89VsmjOwqQZfjl3laef9dGMBRdrfRFQTZ3U2NU118PfL09+AYHMJZVzPt5Lpb+UScvHQw7sfzOg2XUT9Uy7p4kw5ROVUrFotsLTIwzfewIgkpNws5daDOv3l1XUBBEEV1ODv6hQST/3Ho2O9eGn52apmE8vhBbMzYCcGLozKLvZ6ysRDSZkJwrf3FwM+Pr7kIOBjEUR75oaO6ZxOkJoDcHEAxOMk3p5MZlk6hLQGv0oq04jmC0E/BoOXcshcMtLbzbvY+XWl/jp42/5Id1P+ava77Ht478H7564P/h9fZ3lvEnXNlIssQLzS8SlIJsydhIZXLZnOeFJIk3ZrQvHplD+8I/NEjI5cRQXKIELxSuQlCr0WZk4h8cuGaZ4EVUoor1aVUA1A43ALB7XRYatUhD+zgDY675Lp8TbWYm2rT0sANejJzrFC6xpACG1Wr9a8LaFI/bbDbfZYfOAAar1XrHzP9/CXhxGY8pKCwZVUJi2OrU51v4ZGB3ZQkIEoHpBM70Ny3qXoYSK6LRhOSO3Q62wtUEJycIOhzoF7DJPdMygtcfoigrnoFQG96Qj1UJ+fzu6k/yrc1/RJmlBE/Qwyttb/KXJ/6B2pGG2UyJx+9cxRcfrUStEjlYN8D3fl2P07P4HS5BFEEU8Xa035R2u7Is4+vqQpOcgr4odu4awZDEj19vJBiSuLMqE33SJL9pewOAhwvvQxSieE2FJDTJKSTs3LXsInQKKxt9YRHx2+9A0GjmPJ6eZKQ834I/KHGicYhNGesREDg/1oTTv7hJrajRknjXPYt2vlKIHCkQwNPRjjYtDXVCYsTXnW4OB7CD8d1oVGr+cP3v8c1NX+Wvdvwp39/913z3nj/l25/eRlGeAYJaQi1bWa/Zw66c7WxIq6I0sYhMUzpmjQkZmXe699E0PrdA7O3Owb5jdNi7idfG8VTJI9c879j5IUamPKRbDGytvLJEU5ZlPG2tqEzmZbV4VVjZ6LJzkPx+AqMLG1ZuTA+XU50ZqUeWZeKMWnbMON58cLp3vkvnRBAEzOs3kHDnTiXAtgxENDO0Wq3/ZLVa+4Ac4AOr1XrBarVWAt8CsoBjVqu1zmq1vgIwk4nxKeBfrVZrK7AL+OZyHVNQiAWCIBB/x06MZZFZQpr0GjIyREDgg3OLm6iIOh2J99yLVqnJX1Z83V0IajW67Jx5zzt6bgiAHWsyOTZwMvzvrC0AZJsz+YN1v8vvr/0cGcY0xrwT/Mf5F/jH2n+l0x6uzd1Skc43nltPvElLU/ckf/X8aQbHFx+xN1rLQJLwtLUu+trlRhAE4rfvIK56U0zrOX97pJOeEScpCXp2bjHzk/P/jSRLPFa2hw0zOyKLRZOaSvz2HYjalWVLq3D9UZlMaJKT551gXhTzPHB2gARtPOXJpYTkEKeH6xZ9v4vCcr7+foL2yAUmFSJDUKkwlloxlEWeuRUMSZyxhd1HVElDbMnYQPxlJUWCIGBQG8hNzOCbz2xh59pMQiE4flQk2VHN51d/kq9u+CJ/tuWP+c6d/9+sFejPm1/CG7z5gtE3klH3OL9tfxuAZ6wfxaiZWwcsGLos+2LH1dkXwYlxgpOTGIqKFH0BhWuiSUtD1Gjw9fcveO6qhHwSdQlMeCepHz0PwH0zYp5Hzw/hiMJ1TtTrEdTqsCOQc2mC7wpXEpEGhs1m+0PgD+c4dM03vs1mOwbM6Vm4HMcUFGLBxUms5PUg6heuedtRnsvLg7109QTwBL0Y1JGLewmCgCxJ+IeG0GZmKhHaZUA0mjCsKprXi3tsykNT9yQatUhunsyL9T0Y1PorFs+CIFCZXEaZpYRjgzW80fEeHfYu/uHMj6hOX8ejqx6kKMvCn3+mmh+81EDviJO/ev4Mf/hUFaW5ke8CqsxmdLm5eLs6MRQXR/QMXg/8w8PhRZ5aHVNf89a+Kd460Y0APL0nj/9s/hnekI+NaWv5RNVjjEeRtukb6EeTGp60KChEguT14G6xoS9cNWfGzvoZW+W+USedgw62ZmykcdzGyaHT7M7dsej7ycEgrvMNiHo9CXfuUhZgMUQQxVmb0Uhp7pnE5Q0iGpyIRid35+685rkqUeQzD5SRFK/n1cOd/Pz9FiYcXp7cVYQ48w6/J3cnZ0ca6HH089v2d3ja+viSfqZbBUmW+HnziwSkANXp61g7j4bM8fNDjE55SbcY2FIxh/OISoUuK1vJZlKYF0EUMVZUIhoWnkuJgsh9+bt5seW3/KrlVUotRWQmm6gqSqahfZwDdQM8sr0gqn44Tp5A8nlJ2HWXMt7HCOVTVFD4EO7mJqb27Y3I7u6OijxAJmhPoqa/YdH38vf34zh1ksDQYBQ9VVgIo7UMY/n8O3HHzoezLzaWpnJmPFzXvil9PVrV1bv3KlHFndnb+Pa2b7An/y7UoprTw3X8xcm/57ftb2Mwwrc+uYH1JSm4fUH++ZVzTDoiK0m6iKG0DGQZz01itRu0T+GoOYGr8UJM2/X4gvzkjUZkGe7bnMU7Y79mymenOLGQT1U8HVXpSNBux3GqBm9nR0z7qnCLI4j4urvx9fTMeVijFrljTThN/UBdP1UplRjUenoc/fQ7Fz92ixoNpjVrCU5N3ZTZVisRWZaZrjmBr3fxqd6nZvSPRMsQa1LKyTDN7zggCAKP7ijkcw+VoxIF3j7Rw09ebyQQDNfZq0QVnyz/OKIgcqj/GK2TyngEcKT/JK1THZg1Jj5W8tg1zwuGJF6fyb54dEfhVdkXAOpEC3GbNsc0oK5wa6IvKIw423ln9jZWJRQw7Xfwcmu4lPViFsa+M32zf+OL7sOqVQSnp5W5SQxRAhgKCh9Ck5qGFAjgG1g45SzBrCMtVQWyigMXFj8R1WZnozKbcTc1LigypBA5siwTGBtd8DOVZJkj58ILkM2VqdQM1QKXykeuhUGt57GiB/nzLV+nOn0dQSnIe937+fbx71AzcoovPVZBeb4FhzvAj1+/sCjnI5XJhHljNYaSUmRZJjg9fcOeDTkUwll7BkGrDZe3xJBf7WtjdMpLTpqJ4YTDDLiGSDem8XtrPoNGjG5S6mlrQVCr0RcUxrSvCrc2ok6HJi0dX1/vNf/Wdq67JOYZCAhsTAvXS58cXLyYJ4AuKwtddjYeW7Mi5hwDfL09+AcHkRchqA3hxXJty6XykXvzdkd87R1VmXz1qSp0WhUnGof53q/rcHvD+kfZ5kzuz78bgJ83v4g/tPj081uJcc8kr7a/CcDT1icwa6/tCnH8/BBjdi/pSUY2V1wdTPL19xHyeJatrwq3HiGnM6I5vSiIfLL8Y2hENSeGTnNh3EZFvoWcVBN2l5+apuGo7q9Jz0CbkYG7uQnJqzy7sUAJYCgofAh1UhKquDh83V0Rnb+9PByd7euDSe/iapoFUcRYXknQ4cDXO/fun8Li8XZ2YD96BP8CL6yWninG7F6S4nV49D14gh7y43LJiYvMtSLZYOGzlc/ytY1/wKqEApwBF79qeYW/Pf19du7QkGDS0twzxWtHOxfVf11WNqJOh+R0MrV/LxNvvcHUoQM4687i7eokdJ3EX93NTQSnpzGvW4+o08Ws3brWMQ7VD6BWCWRU9NJibyVOa+b3134O0zVqohci5HTi7+9HX1CoaF8oLBpdbh6S13tNsbeMJCNleYn4AxInG4fYmlkNQM1w7aJcqC7HtLoKQa3BdfasEsBeApLfj7vxAhpLErq8/IUvuIzm7nD5iGBwUJhhoSihYFHXr16VzDef3TA71v/Nz2uZmA7rXjxQcDdZpgxGPeO80fneotq9lZBlmV80v4Qv5Gdd6pp5tY2uzL64Wvsi5PHgrD2DV8lcUlgEnvY2nLVnIsqsTjem8nDhHgB+2fwy3pBvNgvjvVO9UTmKCIKAqXINyDKuC+cXfb3C1SgBDAWFDyEIAvq8fAITExHtjG0tD6cWh+wpnBioXfT9tJmZaCxJuJubIhpcFeYnODWJ+8J5tBmZaBcU7wxnX2xfncnxoVMA7MjavOh7Fibk8b83fJnfXf0pUvRJDLlHeL7tedZt8iIArx/torFr8Y7Pgk5H3IZq9AWFCCo1/oF+nPV1hGbE/wKTkzjOnMbT1kpgdPSaVpDREBgdxdvehr4w8vTLSJh2+/mvt8OuPeWrg1xw16AVNXy56rOkGJKibtfT1gqiiCGGDikKtw/ajAxErW7eQPLFLIwDdQPkx+WSZkzB4XfSNBGd24So12Nauw59UTEoGkhR425uQvb7MVWtXbSWVE1zeEdVZRnm3rxdUWlR5WfE8aef2khmspH+URd/9d9n6B1xohbVfLL8YwgI7Os5TNf07blJcXzwFM2TrZjUxnn1QGRZ5qUD7bPZF1vKr9a+8Ha0A8TUCUvh1keXnY0cCuEfHoro/Ltz7yQvLodJ3xSvtr/F1ooM4k1aekecNPdEJ76sMpsxFJcgudzKXD8GKAEMBYU50OXmIYjiNWuiLyfNYiTFooaQhsPNbYuOzgqCgLGyElGrvSntM1cSUsCP4/QpRJ0e8/oN805GPb4gp2zh3VZrkYZ2eydalXbWSmuxCILA+rQ1/NnWr/F40UMAnPXuZ8/WLGTg/77eiN25OD0MUatFl5uLafUaEnbcgeXBh7HctwdNajitVvZ6CI6P4bpwHvuxI0y8/SaT7707q3YdGBvF3WLDbWvG3dyEu6nxinIl/+AArvMNuM414Gyow1kf/gIQjUa0ObmYKlZH9XnMhSzL/OztZqbdATIzVLRq30dA4HOrnyM/PndJ7UpeD7q8vJtG+FRhZSGIIvqCAkTdtYWYN5aGxTx7R5x0DzvZmhHOwjgxFF0ZCVwqJREEIaqdvdudkNOJr6sTXUEh6sTIBZMhvNt/2hYOYCRneliXGv1Yl5Jo4Fuf3EhJTgKTDh9//cIZzraOkh+fyz15O5GReaHpRQLS7bVwmfLZZ7UEnip99Ap3l8uRZZlffNDKe6d6UYkCz95bgihe+f6WAn583V1oM7NQGa9dgqKg8GHUScmIej3+CNxI4KKOzcdQCSqO9J+g09HJ3euzAXivJvpApKHUSvydOxXtlhigBDAUFOZA1OmI37odQ6k1ovO3lod35kYHtfQ5BxZ9P01yCgm770ZlNi/6WoVLuOrrkTwezNXVC5YRnLaN4A9IlOYk0OwOL9o3pa9DvwgnmbnQiGruy99NVUolASmAmNVCeb6FaZef//t646L0MD6MIAiojKbZl582MwvLngdIeuAh4rftwFRRiTopCVEf/hkCo6PhoEVzE25bM57WlnCmwkwAIzA5ia+nJ1w/3j+Af3AA/4ygrMpkIm7Dxpi+aI+cG+Rs6xhajcBUxn4EAT5e+hhrUiK3PJwLQRCI37od0+robFcVFACM5RWY1lz7GdKoVWxfHc5GOljXz+aMDQgInBu9gCuwtLIub2cHjhPHlSDGIlGZzcRt2YaxPDL788tp6prA65MRDA7uL6+OSjj4cswGDV97Zh2by9Pw+UP86OVzvHWim4cK7iPNkMKga5h3u/Yt6R4rCVmWZ1LwvaxJKWdT+vo5z5NkmefftbH3TB9qlcBXnljDmlXJV53n6+pGCgQwFJcsd9cVbjEEUUSXlY1/ZBgpEIjommxzJg8UzOjYNL3I9rVpqFUi9e3jDE1EN94LooggCEg+H/7h6PQ0FMIoAQwFhWugSU2N2Iqx2hpOdQxNpXFycPFlJBBehEkBf8QpbgpXo8vLx7R6DZqkqyc/H+ZoQ3ihvnV1OidndlAXEu9cDA8V3gfAkYETPH1/LvFGDU3dk+oFYWMAACAASURBVLP1vbFE1OnQpqVhKCklrnrTbNDBYC0j+ZHHSH708Utfjzw2e9xUUUnSQx8Jfz34EEkPPETS/Q/GvH8Ao1MefvlBuG5ZnX8BtG7uy9vNzpztS2pX8vtnNUEUezKFpSLLMkG7/ZrHd82UkZxsHEEvmLFaignKIc4M1y/txioV/pFhvJ2L08u5nbmYhq1NT0fULF73Zt+58GdtSBlnW+ammPRJo1bxxUcreWLnKmTgpQPtPP92K0+XPAnAu9376HMsfpNjJXJq+Cznx5sxqA08Y/3onBmRkiTz0zebOFg3gEYt8r+erGJdScqc7Uk+L9q09EVn2igoQFg0H1kmNBV5Ccie/LvINmcy5p3g4NA+tq8Oz/XfP7V4t6PLcZ1vwHG6RhGjXQLKbE9BYR683V24m5sWPC83zUxinBoCeo63tUct6uZuasJRc5KQ2xXV9bcrcij8eWvT0tAXrlrw/OFJNy19drQaEW3KKK6Am2xzJnlx82tmLIbcuCzWpa4hKAU5MXaELzxaiQC8dqSTpij0MKJBEMXZiH80td2xQpJk/uONRrz+EPrkcSRLDxvT1vJo0QNLbtvb3sbUvg+QfIsrz1FQmAtfdzdTB/YRmJ5b/ygz2YQ1NxFfIMTJxuFZMc8TQ6eXdF9dbh7atHTcTReU8T8CJJ+Pyb3v4+3pjur6YEjifHt4IbOtIhvdHLbZ0SIIAo9sL+ArT6xBp1Fx/MIwL701yZbkHUiyxAvNL0Y9R1gp2H0OXmz5LQBPljxCoi7hqnNCksSP32jk6PkhtBqRrz5VNWfmxUVMq9cQt2XrsvVZ4dZGbUnC8sCDaFJTI79GVPPJso8hCiL7e49QXhbe/Dl6bhCnJ7JMjrkwllWALONWBD2jRglgKCjMQ9A+haetdcGUM0EQ2GQNpxY7RuKwTbZFdT9DSSkIAu7m5qiuvx2Rg0HsB/fjaY/8Mz96LpzlssmaxqnRsHjnHVlbYr7If7jwPgQEjvafJCtD5CPbCy7pYbhuH1u9d2t6aOmzo9IGIK+O4sRCPlX+8SWnbEsBP97ODrTpGTF1SVG4fdFmZCCIIq6Oa2dCXMzCOFg3wNrUSvQqHd3TvQy5ok8JFgQB09p1ALjq6pRSkgVwNzUi+/2oE6LbjT9m6yQUUCEanDxSubQssGux0ZrKtz65geR4HR0D09QdScYcyKbX0c/enkPLcs+bAVmW+VXLK7iDHsqTStmasfGqc4IhiX/77QVONg6j06r43x9fR0XB3CLOF+3EQcmyU4geQRBmM7UWM77mxedwb94uZGTeG/4tlYUW/EGJg3WR6WnMhcpkwlBSiq+/D/81nK8U5kcZCRQU5kGXkxtWLh5cOOVzQ2k4qhuajL6MRGUwoF9VhL+vl6A9OqXj2wlZlsOuHE4n6oSrd3jmQpJkjp0Pl49UlBppmWxDI2rYlDF3fe5SyDJnsCGtiqAc4p3ufTx2RyFleYnYXX5+/PqFJelhrBR6hh385lAHAKqCejISEvli1WfQqCIrz5oPb2dnuCa6pHTJbSkoQNgZRJOWhqur+5rWphutqZj0arqHHQyMetmQFhb+PTEYvZgngMpoxFS5msDYKMHJySW1dSsT1u7pRl+4KuJx/8O8Vxd2jinIF68pLBkL8tLj+H8/s4ninASmHH4mG6oITaTzZtf7DLluzYVL7UgD9aPn0at0PFf21FUbA4GgxL+8cp4ztlEMOjVfe3odpbnXDkQFhoeZ2r8X/8it+XkpXD8kvx/74YP4Fpm59VDBvaQbUxlyj5CQE34OPzjTRzAUvf21obgElcmM61yDYqMdBUoAQ0FhHtSWJFQmM77ehevdSnISMRnUyD4TZ3s68QajS2k3FJcgaDS4Gxujuv52wtfbg6+vF0OpFU1KZGmBTT2TTEz7SEnQMySEP+MNaVUY1MvjXvHQTBbG8YFTTPom+cIjlcQZNTR2TfLG8a5luefNQiAo8ZM3GglJMqq0HhJTvfz+2s9h0hiX3LYcDOLtaEebrtREK8QWXW4eIY+HwNjYnMc1ahU71oTtsw/WDbAlM7zDXDNUiyQvbSKqyy8gYdduNEnh3WglE+NKZEnC1VCHoNNhsJZF1caUZ5qB/vD095GNyy/8G2/S8vVn1nPHmkyCIRl/23o8PQW80PTikp+Xmw27b5pft7wKwBPFD2PRXzk2+wMhfvhyA3VtY5j0ar7+iXUUZc8fhPK0taIyGNGkzK2NoaAQKYJGg+T3R+xGchGNSjNriVzn209qkha708+ppuiDaoJKhWnNGtTxCYqtahQoAQwFhXkQBAFdTg7B8bEFxXZEUWBDSXgR7R1Ppn40uto2UaudKSVBGdTmIeiYxtVQjyYlNWK3GLgk3rl9dTonh8N163dkx06888NkmNKoTl9PSA7xTtc+LHE6vvBIBQLw2yOdNHffujutrxzuoG/UhaBzYcxv58trP0uKYWGB1UgIjI8jBwIYSiL/3SsoRII2PQNRq8Xf33fNc3auDZeRnGgcJkufQ4ohGbt/muaJ1iXdWxCE2bIIX38/jlMnI1bNvx0ITk0Smp7GVLk6YpHtD/NS7UkIaTCY/azNzYtxD+dGoxb57ENlPH13MYIAwYFims9Y+KDr6HW5//VgxD3GP575F5wBF6WW4qtEsX3+EN9/sZ7znRPEGTV8/RPrKciIn7fNwMQEgfEx9EVFSvmIwpIRBAFdVjaBsVEkr3dR165KKGB37g5kJIS0dgDePdWzpCCzNj2DuOpNC7rmKVyNMhooKCyALicXdXIKsn9hzYJLZSTp1AxFV0YCoC8qJn7rdsUreh5CU1OIWi1xGzdGPLFxewOcaRkFICnLgcPvJMOUTmF8/nJ2lYcK70EURE4MnWbUPc7qwmQe3p6PLMO/v36B6VtQD8PWM8k7J3sAGW3ROT5f9Qz58bkxa1+bnk7ivXvQJMcmIKKgcBFBpSLtrt2YqtZe85ysFBOlOQn4/CFqmkdm6/xPDC5NzPNyZL+PwPAw9sMHCTrmFhW93dAkJZN41z1os6MTXPaH/Jyxhd8B1WVpsezaggiCwP2b8/jqU2vRagSkyQx+/fo4bSOD17Ufy0H3dC/fPfPPjHknyIvL4XOVz15ROuLxBfnHX9fR3DNFgknLnzy7gbz0hUt3vG2tiFot+vyCZey9wu2ENjsHWZbxDSzeDeiRVQ+Qok9i2tyETifTM+ykpXfp5d7B6WncLbYlt3M7oQQwFBQWQGU2k7DjjohqbSsKLOg0IrI7nqahPqZ817bjm4+LL37J68F+9AghpzOqdm5ldLl5JN59L6I+8tKPmuYRAkGJ8nwLDY7wQmNH1uZld+hIM6ayOX0DkizxTtdeAB67o5DS3ETsTj8/fqMR6RZKFff4gvzraw0AqLPaeWbDbqpSK2PW/sUdaZVhecp+FBS0SRYElWrec3atywbCZSSbZwIYDWMXcAdiY42nL1xF/LbtyH4/9kMHo5pw30qEHA4g/E6Odsw+2ncK33i4PGfP2hujnVNVlMyff2YzemMQyR3P371wjta+lat51TTewvfP/jvOgIvypFK+uv6LxGnNs8fd3gDf/VUdrX12LHE6vvHcBrJTTAu2KwX8BMZG0RcUKps5CjFDHR+POj4e/8DiRTh1Ki3PlT+FIEpIKWFtr3drlmapCuAfGsTd1KjovCwCJYChoBAhkte7YMqZRq2iqihcpxmaTOP0cN3S7hkIEHJMYz96WAlizOAb6J8VVV3spOZi+chaaxzNE62oRTWbMzbEvI9z8eBMFsbJoTOMuEdRiSJffLQSs0HDhc4J3joenR3gzYYkyfzo1bNMO0MIRjv3b81mV07sVP5lScJ+6AAuxX5MYZnx9nTjqL22MOesmOeQA4ddRWliEQEpSO1Ifcz6oElJJWHXXajj4nGcOklw6tYtOZuPwMQ4k/s+iEiP6lpIssTb585BSEuSRUV2qnnhi5aJrBQTf/6ZTWgSpgj61XznF7Wz4tIriZqhWv6l4T/xh/xsSt/Al6p+B736kiOU0xPg739ZR8fANMnxer7x3AYykiLTQBI1WhLv3YO+uHi5uq9wm2IoLkWXkxNV+UeppZg7sragSutGECXq28YYnnAvrT9FxTOCnvXIoVvbYjlWKAEMBYUIkINBJj94D0/bwvXNl5eRnBw8s6T6OHVcPPHb7wBZVoIYQMjpxHm2Fk9726I/18FxF+0D0+i1KtzmdmRk1qWuxqxZeCcoFqQYktmaUY2MzFud4SyMi3oYENaLsPWs/MXJr/a30NTpAFWA9Zu9PFHyYEzb9w8OhF1nLHNb7ikoxArZ58fX23PNcVerUbFtddg++1DdAFszqwE4ObQ0N5IPozIYiN9xB3Ebq1EnWsJ9u4UythYiLNxZj8pgQJuZGXU79aMXmBwKL57vrIxdOVu0ZCRY+NzjhajSupEk+MkbTby4v23FuFN90HOQnzX+D5IscW/eLj5d8XHU4qVNhWmXn7/7xVm6hx2kJRr45nMbSEuMLGtOliRkWUbUametLxUUYoUuNzec2RNlJtfjxQ9jMRsQkwaQgfdPLy0LIyzoWUXI6cTT3raktm4XlACGgkIECGo1mrQ0fP19C9odVRUloxIFJIeF/qlxzo6eW9K91fFKEANADoVwnK5BEEXMG6oX/eI5ci68u7WpLJWakVMAV4mMLTcPFNyNSlBxevgsQ65hANasSubhbTN6GK9dYNq9cvUwDtUP8P6pfhAk0irb+cKmJxGF2L1mZFnG09KCOi5uSQsZBYVI0OXmIAgCvt6ea55zsYzkeOMw1oRytCotHfZuht2jMe2LoFKhywkvuoP2KewH9hGcWrllB4vB29VJ0G7HuHpN1KUEsizzftdBQpPpAGwuT49lF6Nmc8Y6NlYLaPIvgCDz9skefvhyA27vzSvcKskSL7e+zittbwLwZPFHeKL44SvG+imnj+/8opa+UScZSUa+8dwGkhP0Ed/D02LDfuigshutsGxIPh++KMpIAAxqPc+WPYk6owuAww0DuJb4N6tNT0eXlR0uJRkeXlJbtwNKAENBIUJ0OblIXu81rfUuYtCpKS+wAAKhqTRea3+bkLS0l/DFIIbKbEaIUnl9peO6cJ6g3Y55/QZUxsXZcIYkiWPnhwDIzPNj90+TZkihJHHVcnT1miQbktiWtWkmC+OD2e8/fmchJTkJTDn9/OT1lamH0dg1wfPvNgOgLWjiizs+gk4V252zwPAQwWk7hpLSZdctUVAQ9QY0qWn4enuvmfGQnWKiZEbMs6F1ig2pYVvOmsHYZmFcgSwjBwLYjxxaUknFSkDyevE0N6FNS0ObmRV1Ox32bjr63BDSkJ1iJDP5+mTeLYQgCDxjfYK47FG01lPotAL17eP86b8dw+29+VzIglKQnzX+D/t6D6MSVHy24hPcnbfzinMmpr185+e1DI67yU418Y3nNmCJ012jxauRg0G8nR2IBv2COjQKCtHi6+nBcaqGkMsV1fWVyWVsW2VFjB8jEJQ5cDa6YMjlmNdvwLR6DZrUcCa3FFi5G1rLjRLAUFCIEG16BqJGM+9u3EUulpGo7bmMesY5OnByyfdXx8eTsONORJ0OWZIIuZdWc7eSCExM4O3swFBUjDZj8TvvFzonsTv9pCcZ6QydBWD7dRDvnIsH8u9GLaioHWlgwBkOqlyuh3G+c4K3T6wsPYzBcRf//Mo5JAnUGZ08sslKYULs7Qm9HR2ojMaoHQgUFBaLLi+PkMdNYOzaGRW71oUX1gfr+tmaOeNGMnSGoLQ8C1B1oiWsi2Gx4Kg9jetcw4KZgSuV4PQ0iCKmNWuXNF5/0HOQ0ES43GfTTZJ9cZFEXQIfLX4EVfwExsqTJCfoaOud4nu/rsPju3mCGN6gl3+t/ymnh+vQqbT8/trPUZ2x/opzBsdd/O3Paxme9JCXZuZPPrGeBNPiAtnenm4kvx9DcUksu6+gcAXa7PC4HY2Y50WeLHmEuNzwPO7tUx0EQ0sbhwW1GkNRMYIoIvl8TO3bi+v8OeTgzTMO3CwoAQwFhQgRVCq0Wdn4hwYXTGtcX5KKKAh4JhIJTVt4q/MDvEFfzPriaqhn+sih26acRG2xELdpM8aK6JwsLpaPbCxL5MJ4MypBNVuvfr2x6BPZkb0VGZk3O9+f/X5SvJ7f/ciMHsahzphYc10PnJ4AP3ixAY8vhJg4TFGFmwcK7lmWe5mrNxG3aXPEtrkKCktFm56BLisbQXXt0oVqaxpGnZrOQQcaXwrpxlSmfHbe7d6/bP0SdTrit+3AUFSEp6Mdb2fHst3rRqJNS8Ny3/2ozNELbg67RmgYaZotH9l0ne1TI2FbZjVllhK8mgnyN/aQZjHQPjDN916sx+u/8YuXab+D75/9d5onW4nTmPmjDV+iLOnKAMPxC0P8xX+dZszupTAzjq8/u5444+KCF7Ik4W1vQ5OcjCZJschWWD5URhMaSxK+/r6o2zBpjHx6y10IeiduNxxo6IxZ/wSVCm1mJp72NqYOHSAwufI10mKJMgtUUFgEhtJSEu+6Z8G0xgSTloe35QMgdW5g2uNlb++hmPVDX7gKOSQxfezWtliVJYmQ04kgCOFFRBQLV6cnQF3rKIIAQnIfMjJVqZVX2Lxdb/bk70YjqqkbPUev45I1YlVRMg9uzUOSZf79tQtMTM/venOjCQQlfvSbc4xMeRCMdkwljfxO5dOoxNin/V4UdLsoYqigcD0Q1GriNm1Gk3Rt0VitRsX2GTHPw/VDPGP9KADvdO2l37l8zhKCKGJaXUX81u3oC8PlcLdKJoYsSfj6+5FlecllBHt7DxOaToKQhpxU001TPnI5giDwbNmTaFVampxnuWuPTGKclrY+Oz94sQFf4MZpQYy4x/ju6X+m19FPiiGZP974FfLiLmXB+QMh/uvtZn78eiO+QIgtFel87Zn1mPSLL3f19fYQcrsxFN8Yi1uF2wttdjZBu33Wojka1qetYVVJOMj46nEbUozGYEGtxly1jvhtOyAYZPrIIdxNjbfMGL9UlACGgsIiUBlNEesvPLKjgMLMeII+DYGuSj7oPsi0P/pB8nLUCQnEb99xywcx3E2NTB3cT8jjibqNk43DBEMyFQUW6uwXxTs3x6qLUZGoS+DO7G0AvHVZFgbAE3euojg7gUmHj6//yzH++oUzvHOyh5Gp6D+D5UCWZZ5/p5mW3ikErQ9daS1PWh8k3RT73c3AxAT2g/uXNMlQUFgKIbeLoP3aWVE7Z8pIjl8YIt9UwM7sbUiyxAtNv16yBtJCaNPTr0g59nZ1rniXEm9nB47TNQQX0JxaCIffycmhM4QmwqWHN2P2xUWSDUk8XvQQAK91vkqgaD8aXQhb7xQ/eLEe/w0IYvRM9/GPZ/6FMe8EeXHZfG3jV0g1XsqMGBx38ZfPn+FQ/QBqlcinH7Dye49UYNBFJ7aqy80LBwzTb64yH4VbE112NoIgEBhf2jjzpd33Iqj9uKf1vFq/9JLxy9GmpZFw193ocnLCcyBF/wtQAhgKCosmaLczffI4knf+BaVaJfJ7j1ag06gITWTiHknm7Rn7zFhweRDDUXPilovK+oeG8LS1osvNQ2WIzHptLi6WjxQUwoR3kmR9ElbLjfeVvy9/NxpRQ8PYBXqmL6UwqlUiX358NetLUlCpBNr67Px6fxvf/Lfj/Pl/1PDq4Q56hh03fIHy1olujp4fQlRJaEvOUJGRPxuUiTWe1hYkjwdxCc+BgkK0yLLM9InjuM5d21EqJ9VMcXYCXn+ImqZhHit6EIsukR5HP3t7Ypd9Ny+CgMpswllfh/NUDcGplZlyHPJ4cDc3oc3IQJ2SsqS2DvYdIxAMwVQ4gFF9EwcwAHZmb+NT5R+nIrUEWetELD0GGh/NPVN86/n3qB9uWvaA2EWaJlr4/tl/wxFwUmYp4avrv3hF5uKJxiH+4men6Rt1km4x8Gef3sjuddlRaZXIkoTk9yOIYjjbUlmkKVwHRL0By5770RcULqmdZFM8GyvjAXj3VA9233QsujeLqNFiXr8Rc/UmBEEg5HTibrHdcvP+xaD69re/faP7cL1IBP7I4/GzkjYmTCYd7hVsq3grIgcCuM41IBoM86YVA5gNGuJNWuraxpCmkxnQnmZT1mpMmsW5aFwLUa9Hk5aGJj1j0c4ckXCjnj//0BDO2tOo4uKIq45e86BvxMmrhzsx6tTEFbcw7Bnh3rxdlFiur/vIXOhUOjxBLx32buw+O5suE0Mz6NRsqUjn3upc8tLNiKLA+LSXCYcPW+8UB+oGOH5hiHG7F61GhSVOd10nfKebR3j+XRsAmuKzxCd5+cq6z2NQR26TtxAXn72g3Y7r/DkMJaVo027uxYfCrcPlY58gCMiBAL6ebnS5eYjauev6RVHgbOsY024/d6/PI9OUTs1wLe32Ltanrsa8zGVrF3WaBJUKf18vno4OAuNj6LJzVoxujBwK4Txbi+RyEb9l2zU/60jwh/z8tPEXeCcTCY5mk5Nq5tEdS1uoLDeCIJATl8XDq3ezNqEKi9mIQ9/O1LAZr1PHqc4OjnpeYdw3gV6lw6JPWJaxv2aolv84/wJBKcim9PV8fvVzaGdcpQLBED9/r4WXD3YQCslsLk/jq0+tJSUh+gCzt6MdZ+1ptNk5iLep09rNwu227hDUsXneSjJSee90DyGPkW5/EzuKymPS7uVc/Fv3dnbgbm4iMDqCJikZURe5y8/NiCAIGMN6OT8AIhKAUwIYNzm320CyEhB1Ovwjw4TsUxFFbfPSzfSNuhgc8yI543DH29iQXhW7/uj1sxkK3q5OBLVmSZO+y7kRz19gbJTp48dQxccTt3nLkgbmt0500z4wzebKZBqC+xAEgc9UPI1efXMM9jnmLA71H2fQNUxFkhWLPuGK4xq1SHaqmeqyNPZsyqM4Ox6tRmTC4WPS4ad9YJoj5wY5UDfA8KQblSiQHK9HFJcvmNE5OM0/vdyAJMno8lpRpfbxmYpnYu46cvHZc50/h+z1YN5YrVjqKVw3Pjz2iQYjvs4OBI0GTUrqnNekJxnZV9vP6JSH9SUpFKdmMemdosfRR69jgK2Z1cseaBQEAU1yCrqCwvDYKcnosrIB8I+OIOr1N3Uww3GqBv/QIKbK1WjTM5bU1tGBk5wdPYdudA0+p4F7NmZjzVsZGjomkw7JL7IqIZ9dhdUU5uiptY0TdJvxufT0q85wcvg0xwdPYfdNY9IYidfGRf18SbKEK+BmwjvFsYEaftXyKjIy9+Tu5GnrE7O6RsMTbr77q3oa2sdRq0Se21PKU7uK0KijH5tDTieO0zVokpPR5xco2Rc3mNtt3SHLMs4zpwg5HGiWkPGl16lx+T109LsYG9QzHZikqiBjWZ5nTUoKqrg4/H29eDs7EFQq1BbLiv3biSaAEV2RmoLCbY4uJxfXuQaC09Oo4+PnPVcQBH7nwTLa+qeYdiZxsr6Fe/N7yY/PjWmfJJ8Pd1MTgspG/PY7lqTafiOQJQlBFFEnp2CuWosuL39JC9ZgSOLEhbC9lTF9FMkuUZVSSYJu/t/X9SROa2Z3zg7e697Pm53v8Qfrfvea52rUIlVFKVQVpfDp+2Xa+u3UtoxS2zLKmN3LwboBDtYNYNCpqCpKYUNpKmuLktFqYrfoH7d7+aeXGggEJRKyJvClt7MlYyPr09bE7B6XE3I68Q/0oy8uiVlQTkEhGlRGI5qUVHy9PRisZXNOFHUaFdsrM9hb28fB+gE+tcfKR4s/QuO4jc7pbg70HeXu3DuvS39FjQZD0aVSuZDHg+PEcQSNBn3hKvQXAxw3GYaiInS5ubNBl2iRZIm9vYeRJQHveBIg3/TlI/NRlZfLt55N5O9/eRb3ZDpJQ/dC4WkmfZPs7T3E3t5DpBlS2Ji+lo3p6zCqDbgCbpwBF66AG1fAhXPmv64r/hs+xxP0InPl7t4TxQ9zb96u2f+vaRrmp2834/OHSEs08OXHV5OfEbekn0uWZZx1Z8OCtFXrVuwCTGHlMpth19uLodS6pGfwE3dVMOIbpKFeZP/JKfDbeO4+67JsKumyc9Akp+Csr8N1PlzeePmYf6sjLFRHbbVa/wF4EigA1thstvMz3y8FfgYkA+PAp202W+uNOBYhBUDn+LgTSVo5KRipqXGMjirCdTcbks/H5HvvoF9VhKlydUTXXOic4Lu/qgNBomRzH9/c/ZmYv6yD9immjx1DUIkxCWJcr+fPPzKC63wD8Vu3x6wU5mzrKD98+RxZyUbEyoOMe8f5ctVnWZ0S+7S+pfD/s/feYXJUZ77/p6o6p8k5x57RaBRGWQghCREMGGOiTTAgDA5re23vvT/f+7PXYddr7zqsw4IjGDCYZEDkIJAAIQnFmZFGo8k559A51v2jJSGwkCZ0T9DU53n0jNTVder0qPrUOe953+/X7nPwg33/iTvg4dtlXyUvOntS58uyTEe//WQwY5DOgQ8FXU16NRcvTWHz8rRppfcCuDx+fvp4OZ0DdhISg9gy3yJWH8V313wLvSr82hQJCWb6+8bwdLSjSUpG1IWvPEVB4XycbezzdHRgrywnauMmVFFRZz2vs9/O9/9yEJ1G4qdfWkeUUUPV4An+cOwR1KKa767+9kdEEGcKWZbxDw7iamrA29eHIEloMzMxFFoRdbOnLSPLMu7GRuRgAIO1KGztVvRX8eDxxzA4chiqtpKeYOLf7pld8ebJ8EnP3paecX7xVAUuT4DVxYls3WikfOAo5X3HsPmmJ+ZtUOkxqg2YNSY2Z1xMWWIoU9TnD/DUzkbeqegCQjoid3+qaMpCnWfiam7GUXUU0/IydJlZ025PYfosxHWHu70Ne0U50ZdsmrbTmS/g4/9/5RGGanNAFlleEM9915agDeNm0pnIsoy3uwtNUjKCan7mJYiiQFycCSAHaJ3IORP5pC8QSul4/2Ov/wF4oK6u7nGr1Xo78EdgyywdU1CYO1fwJAAAIABJREFUUUStFl12DpJx4nZsJTmxbF6RzDtHemmsjKOyuJblyeFdTKuiorGsX8/4vn2M79uLed06VOa5k3HwceRgEFd9Ha76OiSzGcIoSLTnWEi8syBfzUH3EDHaaBbFWSfWL1nG1VCPKjom4roLJrWRzRkbeL11J6+27OAby++b1PmCIJCZZCYzycx1F+fSP+KkvH6QAyf6aOuz8fr+dt440M6y/HguXZFOcdbk0wyDwZCta+eAndgoNY6MNxFFuHPRLREJXpxCEEV0WdkRa19BYTJoUlKISbjinMG09EQTi3NjOd48zKOv1/L1G0opjV/EqqTlHOqr4G+1f+cby+9DFGa2jEMQBNQJCagTEvDbxnE3NYV2HAtCY2LQ50NQqWZ0Bzzo8+GoKMfT0402LS1kmRqG68uyzNvt7wEQ5SpmiCCriudv9sWZ5KRY+PbNy/jl05UcrOlHJSWz7arPcEP+p6kfbeJwXyXHB2uA0LPFqDZgVBsxnfxpPOPnh8cNGFT6s9pf9404+f0Lx2nvs6OSBD53aQGbl4dPZNM/MoQmMQltRnhLEBUUJoMmOQVBFPF0dU07gKGW1Ny14WL+R96Or6GMioZBfv5kBd+4cQkWQ/gzSQVBQJuWfv43XmCcN4BRV1e3B8Bq/XDib7VaE4Ey4LKTLz0J3G+1WhMAYSaP1dXVDUzyMysohAVj6eR1LD632UpFUy+jo0YeffM4S79gDftE9swgRtDhBLMF/9go3u5uVLFxqGJj54RIVtDtxnbkML7BAXSZmRhLl4Ytejzu8HKsaQhREHCZ62Ec1qWsnPDvOmi346w5AYAmMQlDyeLzlgpNhy0ZG3m3cy91I400jDRREJM35bYSYwxcuSaTK1Zn0Nw9zs7yTg7V9FPRMEhFwyApcQa2lKWzfnHyhHfQnt7VyLGmIYw6FVrrEVx4uTRz47T6eT7Gqqtx2f3oc2dfcFVBAQgt8CcwRt11ZRH/+tBBKhsH2Xe8l4tKU7ix8FpqhxtoGG1mT9cBNqZHxrFnIqjMFkzLlmNcXHr689gPHUT2+9Hl55+ezEcSv20c26GDBB0OjCWL0eXlh21R3DTWSut4OwbRSE9XqM3J2qeO7Xkf2etB0GoRtVoEjRZ1TMzphbZ/dARBpUbQakI/ZzDwk5cWxTdvWsqvnjnKvuO9SKLAnZ8qoji2kOLYwrBd51BtPw+/VoPbGyAhWsdXrltMdnJ4n4OmspUQCCilIwqziqjRoE5MxNvVhWFRybTvx5K4Isry0qhQ74fG9TR3j/OTx47wrZuXkhQTfsH9hchUVwsZQFddXV0AoK6uLmC1WrtPvi7M8LFJBTBOpqjMKxISpldjqBA5gn4/vrExtHETTwn+wbaL+dav3mO8J46Xqyr54qWXnP+kyZJgJjHjOgRJQlSpsI8PMNzdRqCrlYAgoI6KQpsQT1TpYqTz1EFH6v4bPlyP1usgecsGTGFepD71TiWBoExZUTw19rcQBIFrFm8m3jjBz5JgJjH9c9gbmxg/UYPv0F60uTnErCiLkJCkmU8XXcYzx1/mzc5drCtYGpYJXWKihbXL0hmxudmxv43X9rXSM+Tkb2/V8/zuZi5dmcFVF+WQkfTJv5fX97Xw1uEOVJLA0otsVNi6yYxKY9vqG1FLkQmE+Z0ueqprMGZmEKeMfwqzxNnGvoDHw+CevZhyczHmZH/ieV++vpRfPVnBkzsbWL88nZyEZO5d9Xn+e9+febH5NTYWriDBOPOlJGdDlmV0xXnY6urwnziKv70Rc2Ehhuys8z4fpkLQ56N799sY1ALxV1+OLim82REP1+0DYLHxYt7zBMhJtVBqTZpUG+qcNPx2OwG3h6DHTWB0HJ1OIv7kPdG5+22C3pMuNaKIqNNizM4memloYyMc2STnevYmJJixROn54Z/38/6xHkxGLV+5YUlYnhs+f4CHXqrm1b0tAKxfksI3bl6OUR++8d7V1Y3KYkY9hzNEFzILcd1hWr4Yz9AQllgDYhg20+5b8zm+NfwjPNbdpHZfSXe/i58+Xs6/3rOGoqxzOxgqnJ/5WSwzDRQNDIVwYj9aiberk5jLr5xw9kCURs26lXo+OOTh5R0DrM/qISEqwoE1SwLajVvxj47gHxrCOTzM+IlG/Ol5CJIXV2MD/vFx1LFxqOJikUwhNfNw33+yLCN7vYhaLcHUHFQxybjMFlxhvEZd+wg7DrShkgRS84ep6fdTEleE7FQz4Dz/deRgEAQhNBFMSEe9NgFXQx0jvUP4hxwhwacwpTqfyerYVbyiepuagQb21ldijQ2vGNOWZalsLE2msmGQt490Ut8xyit7W3hlbwsl2TFsWZHO0rz4j4hNVbcM84fnQ+JQl15kYbftdVSCxO3WmxkddgPusPYRQPb7Gd+3Fy3gS8pUxj+FWeGTxj5ZlhnrH8VmqyHK9MkBiMWZ0SwviKeiYZBfPHaYf/ncMvJ0BSxLKKVyoIr79/2Vf1p6z9zZeY5JRrU6kWBvD87GRsbe349xxIE+L/+jY+I0+Mi4mV+MKiYWm6jHFsbveIetmyNdx1CJKpw9Icm0ZfnxExpHQnocDWjS0pDSQkF18eQfFRCU5dPtCEVLED0egl4PAY8Hv8dD0CfgG7Dh6ezA1dRI1EUXTzmrcCLP3mSLlm/cUMpvnj3G6x+04vX4ufWygkn/PwWCQfpHXHQNOOgcsJ/WUpLEUMnIlrI0nHY3Tnt4xvuA08nYu++hiovDsmb2MpEUzs6CXXdozJBiZmjEFa4GuSLrUl5ufgN14QFKjJupbhnhu7/by5euLWF54dndrBYiZ2hgTJipBjA6gDSr1SqdzIaQgNSTrwszfExBYdbQpqbhbm3B29c7qRq0uzevpbLpZVzDFn69/RD/fucmxAhPZEW1Gk1CIpqE0G7XmZNJ2efD19+Hp6M99F6NBk1yMgmXbwodP+kQMh2CHg/28iME3W6iNl6CqFaHvZTF5w/wyBt1AFyzLptK+7MAXJQ6cfE2d1Mj7vY2ojZuCvVRq8W4eMnp31fA5WL8g70YCqxo0tPDtgDRq3RszbyEl5rf4JWWHRTG5IV9caOSRFYWJbKyKJGOfju7yjv5oLqX6tYRqltHiLPo2FKWxsVLUxl3ePndC8cJyjJbV6dQEfw7AJ/Ou5I0U0pY+3UKORjEVn4Y/+gIqZdvxqGbfxlzChc2giCgzcjAWVtDwOlAMpxdB0kQBO68sojGrgPUtI3wTnkXl65I5xbrdTSMNFEzXM/+nsOsS101w5/gkxFEEW1qGtrUNPxjo4j6UKqzp7MDd1Mj2qxstOkZU3IECpUMHkKXk3v6GuEmKAd5um47MjLrk9eyuyLkxjfR8hHf4ACOE9UIGg1S1j/+v545Hp9LG0nU6vCPjuKsq52wyPdUWZQdy9evL+W3zx1jZ3knkiRwy5azl+PIssyIzUPngIOuQTud/aGf3YNO/IGP6k/FR4VKRnJSwpshIcsyjmOVyMEgxsXhs5NXUAgHsizj7exAnZwSlvnppZkbOdBzmH5XL2tXjxJrTuH9Yz3c/3wVt15WyKUrFp52RbiYUgCjrq6u32q1VgKfBx4/+bPilB7FTB9TUJgtVPHxSHo9no72SQUwVKLEHVfm86dnOunp1fD6wRauXjOztf5nTnAMxYvQFxUTdDjwDQ/hHx6GMwIWY++9A5IUytCIjUUdGzsp5Xrf8BD2w4cIejwh7ZAI1Ve/sq+NvmEnqfFGrMUybx4dIEpjZnHcxMRSZVnG3daGqNP9w8PrzGCPIKmwlR9G1dyEcfFi1HFT9w4/k0vS17Or432ax1qpHW6gOC589cwfJyPRxJ1XFnHjpjz2HuthV3kX/aMu/v5uEy/saUGnkXB5/KywJmCLO4htyE5BdG5EbSD9w0P4ensxlCzGkJGOYyHuAinMeU4FMDydnRgKP1kY2GLU8IUrrDyw/Th/f6eRkpxYkmPN3Fh4LY+eeIrnGl+mOK6QaO3ZHU1mE1VU9Om/ixotSBKOqmM4T1SjTUtDm5WNKiZ2QkFW3/AQtkMHkX0+yI5cBuyBniO0jLdh0ZjJEVbypqeWjEQTybETqzl3NzYi6nRo06dnca5OSECXnYO7qRFNSirq2Mimiy/OjeOrny3lgeer2HGoA0kSuGpt1umMijN/Oj3+s7YRZ9GRlmAkPcFEeoKRpfnxYXEZ+Tiejna8fX0YS5dMSgRdQWEmCNhs2CvK0WZlY1q6bNrtqUUVNxV+hgeOPsQb7Tv5183/QlyUjhfeb+Fvb9UzPO7mhk15Ed/AvBA57+hktVp/C1wPJANvW63Wobq6uhLgy8CjVqv1+8AI8IUzTpvpYwoKs4IgCGjSM3A3NRL0eBAnUS+8JqOENxdX0FaZxvb3Wliak0h64uztOAuCgGQyhaxXz7Azk2UZdVIy/uFh3K0tyE2NQMhv2ri4FFmWCYyPIZkt/5ClIcsy7uYmnCeqEfV6oi6+BFV0NJGga8DOa/vbALjzSit7e98AYG3KqrOqq58N/+AgAYf9nHZ+KouFqI2X4O3sxFlTzdie99GmpmFasXLaWSq6k1kYLzS9xsstb1IUO/l04Mli1Km5fHUmW1dlcLx5iJ1HuqhqHsLnD5KTYmbJSjdPNVSjk7TcUXxLRN0T1PEJRF2y+RMtKhUU5gKSwYg6PgFPezv6gsJzfkdXWBNZV5LEB9V9PPTKCf7P7WWsSlrOkb6jHB+q4am65/lS6V1zp5TkLGiSk9EkJ+MfHcXd1oqnswP/+DhRGzcBn5yhJ8sy7pYWnNVViHoDlrXrI/bddvicvND0GgCfzb+ao4cml33hHxvD29+HoXhRWHSODItK8PX14qgsJ+qSzRHSTvqQZfnxfOW6xfz+heO8vr+d1/e3n/V9Rp3qZJDCRFqikfR4E2kJxogEKz5O0O3CebwKdVwcuhxFnFlh7qGyWNDl5uFqakSbloY6fvplHovirKdLB7c3vco9F91OnEXHI6/X8vqBdobG3dxz9SLUqpl1pprvTMSF5BvAN87yei2w5hPOmdFjCgqziTY9A1dDPd7enklZPgqCwBfWXcJPut4hMJDB7148xo/uXoNaFdmJzmQRBAHjohIgNFH1j47iHxlGOunKEXQ4GH33HQSVCnVMLKrY2JDbSUxMyJaqox1NUjLG5csR1eG3kIJQbfKjb9QRCMpsWp5GapKGysZjAKyfRIq2u601VD6Tcu4SiVNp5JqUFFzNTcgez+kJvOz3T8tNZWP6ena276ZtvIPnGl/GGpNPuimVaG1URBc5oiCwJC+eJXnx9A07qW4dJi9bw2+r/geAmwuvI04/PXuxT8Lb0w2SCk1iohK8UJgX6PPzCdjtIMtwnu/lbZcVUts+SlP3OG8caOfqddl8vuh6fnzgl1QN1nC4r5JVyctnqOdTRxUdjSl6GcaSxQRdLgRBIOjzMrrzbTRJSWizckLj/snfh39oEEfVUTTJyZjKVkRs/Ad4qfkN7D4HBdG5LItbyqONe4CJBzBcTY0IKhW67Jyw9EdUqzEuXcb4/g/w9fehSUkNS7vnoqwwgS9dW8KfXq5GFARS4o2kn8yqOJVdEWXUzF6wTFKhzchEl5M7pwN2CgsbQ1Ex3t5e7JUVRG/aEhZ3vBsKruHEUC3l/ce4aLiBi0oLiDZpeWB7FQdr+hmze/naDaUYdbPvEDhfkH74wx/Odh9mimjgmy6XF3n+aHhiNGpxOr2z3Q2FcyBqtaE00cSkST+UY3RR9AjH6e4UsdtEPN4gpblzQ5ke/vH+EwQBSa9HHRv7YfqnACpLFIJGTcBux9vdjaejHVV0DKqoKDSpaWgzMhGlyO3wvFvZzbsVXUSZNHzts4t5uuE5Ou09FMcWsiljw4TaCHo8OI5WoMvMOm8A4xSCKKKOi0eTFFK4942MMLb7XRBFVNHRU5qkqUQJlajixHAdrePtHO6rZFfH++zu+oDa4Qa6Hb04vA5EQcKg1kdkImjSq8lKNvFwzV8ZcA2xLKGUT+deEZFr+YaHsB08QNDhQJuRefoaytinMJuc7/6TTCbUsRMroVCrJNISjHxQ3Ud9xyjLCxJIirJgUpuoGjxBw0gza1JWoJXC7/gRCQRRPJ1tKPt8BN1uPN1duFtb8PX0gACSJQrJaEIVHYPeWhTR8b9tvIOn6rYjCiJfXnIXrZ1e9lb1kplo4pr12ec9X5ZlfP19qOPi0E5w7J8IksmEJjUNdfzkSwynOv6lxhu5fFUm116Uw+blaZQVJpCfFkVijAGdRjWrgQNBktAkJU1JQ0Vh5ljoz15BFFFZLLiamiAYRJM4OQejs6FX6RERqRtppG28g4tS15Aca6Q0N47KxkG6Bh0cbRxiSV4chgUYxBAEAYNBA/AbYHQi5ygBjDnOQh9I5guiTjfliUFGVAp7xl7HP5hKc7eNvDQLiXPEJ3oi958gSagsUWiSktFl56DLzUOdkBCa3EtS6E8EJ00jNg/3P38Mf0DmnquK6QyeYEf7u2gkDV9acicm9QTrbEURyWJBk5wy9QmW309gfAx3Swveri7UCQmTKis6RZYlg3RzGtHaKNSSGpffhcPvZNA9TPNYG5UDx9ndtY+dHbs5PlhDh62LMe84yGBQG5DCUObxVvu7fNBzmCiNma8u24ZWCv+kM2C3M75vH6JWi2Xd+o9Ylyljn8JsMpH7L+jz4enqRDKazls+lhhjYNzhpblnnKauMS5ekkKmJY3msTZ6nH0Mu0cpS5x/ooaCSoUmOQVdTi6SwYB/fAxPZ0doHNXpkEymiI7/QTnIn6seY9Q7xpbMi1mdXMbL+1rpHLCzdWU6hRnnL1kUBAFNcgrqhISw9/XU+O8fG0PQTDz7YTrjn0oS51SGQ9DjYXz/B6gsUYg63Wx3R+E8KM9eToszq+PiQmXVYSDLkkFF/zH6nANoJQ150TlEmbSsKkqkumWY7kEHB2v7WZQVQ5RpfgSzw8VUAhgLzkZVQSESyLKMo+ookt6AvmBywotJhgQ2Fhaza6wRf2chD71aw79tW43ZMD93KU65ncwUT7xVj8sTYFl+PMlpfn5x5CUAPm+9niTDxOsXTynwTwfJbMay7iK8fX3Yyw/jrD6OZe36SbcjCAJLE0pYmnCydEeWGXaP0mnvotPWTYe9m05bNyOeUVrG22gZbzt9riiIJBsSSTOloBbVyMgE5SAyMrL84d+DsnyW14Ihq1tkGkdbALit+OaJB4EmwalJLYBl7bopBXoUFGaTwOgo9ory0NgxAeHHmzbnUd0yTEe/nRf3tHDDJXncWnQj/3Hwl1T0H6Oiv4rliaUz0PPwI6rV6LJz0GZlE7DZZuz7vK/7IG22DqK1UVyVvRWfP0BlY0jffeUEykeCPh9Bhx1VdEzEFv1+2zhju99Fby06p+jrhYqj6ij+kWGQlBp/hfmDoWhi4u8TRSWquLnwOv6n8s+83vI2K5OWEauLIdai4//eXsb9z1dR2z7Kfz1Rzo/uXk189MSF8hciSgBDQSEMCIJA0OHE19eHLn/ywoufyt7K/p7/wj4Wz5gtlkffqOOfPrt4Tu2izEXK6wc4Uj+AViNx45ZsHqz+E76gn3Upq1idXDbhdnwjI/j6+9Dl5oXFOkuTlIQ+vwDHiWr8tnFU5ulZ0QmCQJw+hjh9DEsTPrTls3sddNq76bB1nfzZTb9zgG5HL92O3ul+DDamrackLjITbk97G0G3C8v6i8K2w6GgMJOo4uORDIaQC9UEAhg6jYptVxfzX38r57X9bSzLjycvLYbr8q7i6foXeLpuOwUxuREJGM4UgiCgsoTXevOTsHsdvNQUEmu+Pv8adCodL+1tweUJkJ1sJmkCmYye9jYcx6uI3nLptMfpT0JlDmX2uepq0aSkROw6cxFPdxeeri4MxYsW1OdWuDCQZRlXXS0QnoBGUWwBZYlLKO8/xnMNr3Bv6R2htnVqvnXzMh7YXsWxpiGe3NnA12+Yfxl5M4kSwFBQCBPa9Axs5YfxDw9N2lYzSmtma+ZGXnXtwVd9MeX1A7x/rIeNSyMv/DVfcXn8/O2tegBu2JjL272v0+fsJ9mYxM2Fn5lUW+6WZny9Pejz8sPWP11OLqq4+IhO2kwaI0WxBRTFFpx+zRPw0mXvodfRR1AOIgoiAkLopyCc/LuAIIiICGe8Jn7k7ypRRW5U1jmuPj10+QWok5OVSa3CvCUk5puJq76OgMuFpD//jllhRjRXrMnkjQPtPPhqDT+8exUb0tZS3n+MhtFmnq1/mbtKPjcDvZ//vNj0Gg6/k6KY0KKgf8TJK/tC2Wg3bz7/WC4Hg7ibmlDHR3acBjCWLsE3OICjsgLLRRdP27FqPhD0eHAcO4oqOhp9fsH5T1BQmGMIgkDQ5cTT2YkmOSUsLnrX51/D8aFaKgeqODFUx6KTm0RqlcidVxbx3T/vp6JhkKONgyzNn7x+zkLhwh9BFRRmCE1KCoJKhaejY0rnb83ciMUkIWUeB+CJt+vpG3aGs4sXFM+/18yIzUNOigVDSi8Heo+gFtXcU3IbmknoNQS9XrzdXWjS0sOiNn0KQaVCHRsLhKL4M4VW0pAblcX61NVsSFvL+tTVrEtdxZqUFaxOLmNV8nJWJC2jLHEJyxJLWZqwmCUJJSyOL6YkrohFcVaKYgvIj86JiGWqq6GegN0e2qlVghcK8xxtegayLOPpOLtt5dn47MU5pMUb6Rt28uy7TYiCyK1FN6IW1RzqK6dq8EQEe3xh0DLWxr6eQ0iCdDpg/cTbDfgDQdaVJFGUdX7HJG9XFwGXE31e5BfXok6HcfESfMPDuFuaI369uYC7pRnZ58O0rGxBBGwULkwMJaUIGg32ynLkYHDa7cXoorkqeysAf69/EV/Q/+Exs5brNoSckP72Vj1eX2Da17tQUUYUBYUwIahUaFJS8XZ3IQcmP+joVDo+lbMVVXwPxsRhvL4gf3r5BIEwDJgXGk1dY+wq70QSBa7ZlMjfG14AQlafqabkSbXl6exEDgQmZYE7GZw1J7Dt3xeRtucbrqZGHCeqJ7XYU1CYy0gmE+q4eALjYxM+R62S+OI1i5BEgZ1HOqlpHSbREM+1uVcA8GTt8zh9rkh1ed4TlIM8XbcdgEszN5JkTKS8foBjTUPotSpu3nL+gIQsy7iaGlCZzaiTpu8yMBE06eloU1IhOI+U5KeBvtCKZf0GxRpbYV4jajSYlizFPzaGq7EhLG1uzthAsiGRftcgO9t3f+TYpSvTSU8wMjjm5rX9bZ/QgoISwFBQCCO6rCw06RnIfv/533wWNqSuIV4fRyC9HKNBoKVnnB0Hp5bRcaHiDwR55I1aZGDrqjRe630Wb9DHqqTlrEtZOam2ZFnG09YasnwNQ2rg2RA0Grz9/fgGBiLS/nzB092Ns/o42pRU9Nai2e6OgkLYMK9Zi3nl6kmdk5Vs5tMnLT7/8loNTrefTRkbyLFkMuYd59mGl2Y0c2s+8X7Xfjrs3cRoo7ky+1LcXj9PvB1aWNxwSS5RxvNn4AWdToJO55Q0q6aKIAiYVq1GX3Bhl1MEfV6CHs9Jm/G5YwuvoDBVNCmpaNPScTXUE/RO36HllKAnwButOxlyjZw+Jokit18eKit5bX87fSNKJvbZWPAaGIGAn5GRAfz+uWkZ1N8vElR24OcXidHYR6YuoLgt83rsXgdCvoqgT42Am86uVlTSRydZKpWGmJgEJGlhfY3fPNhO14CDxGg93sQquvt6STTE8znrZyc9EZX9fkSjAU3S5LI2JoMuKxtXYwPOulos8fELUpjVNzyEvfwwqugYTGUrlHRihQuKU8K/cjA4qXv7qnVZVDYO0tpr46mdDWy7upjbi2/ip4d+w4HeI3gCHu4ovhmdSrGePMW418bLzSHhzhsLr0UraXjmvUZGbB6yk81sWjYxJynJaCT6sitmfCw6Nf57e3uR/b4Jib/ON5zHq/ANDBC9ZWtYyzIVFGYTY+kS9AUFiJrwOARaY/NZkbiUI/1Hea7xZe4r/cLpY4UZ0Vy0OJm9x3v521v1fOumpQty7nguFvzIMjIygE5nwGhMnpM3h0ol4vcrAYz5hCzLyIEAgihOaXIkyzK9zn68AR9qvwWvByS1RFKc4fQ9KssyDsc4IyMDxMenhPsjzFn6hp28uKcVgLVrVLzVdwCVqGJbye1TmuSLajWW1WvD3MuPIqhU6AusOKqO4hscmFGL2bmCq6EBUafHvGatMqFVuCBxt7bgrKslZuvlCJI0oXNUksgXr1nEDx8+xJ6qHsoKE1hWkMS9i+/g4eonqRw4Tq+jn/tKv0CSceGNG2fjhcbXcPndLIqzsjS+hM4BOzsOdSAAd1xhRRTPP48L+nwIKlVYHKemQqh8pZHA2Ciq2Dgkw/ndUuYDpxwb3O3tGAqtylivcEEharWn7aEDTmdYvrfXF1zD8aEajg4cp3qolpK4D7NTb9qcT0XDIMebhymvH2CFVXkGnMmC3wbz+70YjZY5GbxQmKcEAgTsNmSfb0qnC4JAjDZUM+pX2RBFAY8vwLjD+5H3GI2WOZs5FAlkWeavb9bhDwQpK47h/fGXALgh/9NkmCfv1iL7/QQcjnB386zosrKQ9HpcdXUzcr25hnnFSizr159++CsoXGiIRiNBtxtvb8+kzkuNN3LjJbkAPPJGLTanl8XxxXxn1ddJNibR6+znZ4f/h6MD1ZHo9ryicbSFA71HUIkqbioICXc+9mYdQVlmU1kaOSkTEwV2VB1j/P3ds1aiIwgCpmXLkYNBHMcqL4hSITkYxHG0EmddLbrMTKVMUOGCxdXczOiutwnY7dNuK1obxdU5lwPwTP2L+AIfrhssRg3Xn3w2PLmzAY9XEfQ8kwUfwACU4IVCeJEkBFGaVp2cTqXDojEhIyNrQovsUbsXr//DAWyh3bd7q3qpaRvBpFczFr8Xd8DD8oRSLk6bWgaFp7ODkbd34LeNh7mn/4ggSZhJMMtJAAAgAElEQVTKVmAqK4v4teYKst+Po/o4QZ8XQaVCMhhnu0sKChFDHRePpDdMSaB266oMrBnRjDu8PPZmHbIsk2hI4H+v+BrLE5fgDnj4U9WjvNz8JkF5YWZkBoKB08Kdl2VuItEQz96qXho6x7AY1NywMXdi7bhceLs6UcXEzOozVDIaMRQvwtvXh7ezc9b6ES5cjQ2421oxFFoxKq4jChcw2tQUBFHEXlkRluDjpvSLSDEmMega4u329z56bFkaWclmhsc9vLSvZdrXupBQRhgFhTAjCAKiRoMc8E/JjeQU0dooLBoTSH5Q+ZBlmaEx9wWxWzNZxh1ent4VEmnLLRmn29NOnC6WW4tunPIk1N3WhsoShWQyh7Orn4g6PmHBLOJlWcZeUY67qRH/8PBsd0dBIeIIoog2IwNffz9B9+QcRERBYNvVxWg1EofrBjhQ0weATqXlnpLbuC7vKgQE3mjdye+PPozTt/BE3d7r2ke3o5c4XSyXZ23G7vLxzDuNANyypQCDbmLlIO7mJpBldHl5kezuhNDl5KKOjcVx/BhBj2e2uzMt9Ll5mFesxFC8aMFtrigsLESdHsPiUnxDg7hbph9UkESJW04Ker7ZtotB14dzJlEUuONyKwKw42AHXYMzkzU8H1ACGAuEhx76I/ff/2sAXnjhWZ5++m/nfH9PTzcvvvj8R177X//rG3R1zf+dgplA0GgQYFpZGIIgEK2NwqwxIWhcIMh4vAHGnQunbOQUT+1qwOH2k5Gqpl54D0mQuGfxbRjU+im15x8dxT86gjYre0YnW0G3m/EP9k06zXy+4W5sxNPdhaFkcUQFUhUU5hLajMyQs1HH5J+TCdF6PrclH4C/7ahnxBZa0AqCwGVZm/jasi9iVBs4MVzHfx36LV32C3sMOZNRzxivNu8A4KbCa9FIap5/rwm7y4c1I5q1JROzQQ36fHjaWtGkps2JYLIgipiWlWEoWYwQJmHAmSTgdGI7chjZ70dQqS5IQVIFhbOhzchEk5iEs6aagHP6QYWCmDxWJS3HF/Tz9/oXP5Jpl5tqYeOyVAJBmb/tqFuQm5hnQwlgzFP8U7TpBLjuuhu55Zbbzvmenp5uXnpp+0de+8UvfktaWvqUr7uQEEQRQaVG9vumNdic0sMwa00I2tCu3ojNg8+/cGrhjjcPsb+6D7VKYDx5D4IA1+VfRZZl6pMld1srgiShTZ/Z+1nQaAg47Djrai/Yh5B/bBRn7Qm0qWnocmd/l1NBYaaQTCaMpUvQJE8taLdxaSqluXE43H4efr3mI2NEUWwB31n5z2SY0xh0D/Pzw/dzuLciXF2f02xvfBV3wENp/CJK4xfR1D3Ge5XdSKLA7VdYJxyE9nR0EPT50OfnR7jHE0cym9FlZiEIAvI8cpzz28YZ37MbX18vAbtttrujoDCjCIKAcekyRLWagC089/9n869GJ2k5PlTDr8v/yIBz6PSxGy7Jw6RXU9s+yoETfWG53nxHkQj+GL87+heqh2oj0nZJXBFfXbrtnO/ZsGEld999L++//x4ej5uvfOXrbNy4+SPHPvhgL2vWrOPee7/C448/wnvv7SIQCBAfn8h3vvNd4uLisdvt/Od//hvNzU3ExsaRlJRETEzIj/uhh/6Iy+Xia1/7JgCPPfYwb731BoIgotfr+d3vHuS///tn9PR0cdddt5Kens6Pf/wzbrzx0/zsZ78iNzefzs4Ofv7znzA6OoIkSdx33z+xdu360/28776vsnv3u4yNjfFP//QNNm26NCK/07mMaDCAIEx7h/9MUc9xvw/8avpHnaTGmcLRzTmNxxvgr2+GhC9jsnuxqUYojV/E5vQNU25TDgbxdnejSU0Lmx3WRBFEEX2hFXtFOb7eHjQpkxcfnes4jh9H0GgwLlFsvxQWHvppBO0EQeCuTxXx/YcOcLx5mB2HOrhidebp43H6GL5d9lWeqnueA71HePjEk7TZOrku7yokcWLOJ/ON+pFGDvdVohZV3FhwLYFgMKQTAlyxOpO0+IlnUuiys5GMBlTRMZHr8BTxdHfhPHGCqIs3znmxY9/QELaD+0EUsVy0AVVU9Gx3SUFhxpEMBqK3Xh42vZcorYV7S7/AoyeeommshZ8c+hXX51/NhtS1mPRqbtyUxyOv1/L0rkaW5MVj0C3sJfzC/vRzFFEUeeSRJ2hvb+XLX76H0tKlxMTEAqDVannwwb8C8Oabr9HV1cUf//gIoiiyffuz3H//r/nBD37Mww//GYPByBNPPMfo6Cjbtt3Gli2X/cO1Xn/9Ffbs2c0f/vAXDAYjY2OjiKLIt7/9//HAA7/hoYceO2sff/Sj7/GZz3yWa665jpaWZr72tXt5/PFniYkJTQyMRiMPPvhXjh2r5Pvf/78LMoBxalCTZRlkeVqD3KkghiyPYRuT8flgyH7h10G/uLeFwTE3lqgg49GVxGqjuaP45mktjAVRJHrLpdPSJ5kO2vQMXA31OGtrUSenXHCLfPOKlQSczjk/CVdQiBS+gQECLie6zKxJnxtj1rLtqmL+5/kqnn23ifz0KPJSo04f10hq7ii+mWxLBn9veIldHe/TYevinsW3Y9ZcWEFtf9DP03UvAHBF1qXE62N5+3AH7X124ixaPr0+e1LtCaI4Z0vaJKORoMuJo/o45rIVs92dT8Tb14ft0AFEvR7L2vVIxtkvxVFQmC0EUQyVDba3oU5MQtJPraz5FEWxBXx3zbd5pu4FjvQf5am67VT2H+f24pvYsCSF949109Q1zgt7mrl1a2GYPsX8RAlgfIzzZUjMBNdcE7IHy8zMxmotorq6ig0bLgHgU5+65vT79uzZTW1tDdu23Q5AIODHZApNYCoqDvPNb/5vAKKjo7nkki1nvdbeve9z3XU3YDhZDxo1gUi60+mgsbGeq666FoCcnFzy860n+7kRgEsvvQKAkpJSBgcH8Hg8aBfggkaWZQI220kXhul5RguCQKwuioB/DKddwO4IwBStWucDbb02dhzsAMCTth+VJHB3ya0Y1dP33p7NxbUgihisRdiOHMbb0402NW3W+hJOAg4Hol6PqNMh6nSz3R0FhVnD3dGOt7sLdUwsknnyIsHLCxPYujKdtw938ocXqvnhtlUYzxCpFASBjenrSTOl8uDxx2gYbeY/D/2Ge0vvINuSeY6W5xfvdOyh19lPgj6OrZkbGbV72P5+MwC3bi1Eq5lY1oksy9gO7kebmoY2Y27+flRR0egLCnHW1aJNS4OEmRGXniySyYQ6IRHTsuVKkFpBAQi6XDiqjqFOSMC8eu20N6VMaiPbFt/Gsv5Snqp7ntqRBn584L+5sfBabr+skH979DA7j3SyoTSFzKS5OU7MBIoGxjxDr/9w8SbLMnfeuY1HHnmCRx55gscee4bf//4vs9i7D9GcTM2XpNAEIzBLu92zjSAICGoVstcTlh1/QRBIMEWh1sggg8Plo2rgRBh6OrfwB4I88kYtQVlGl9KJaBrn0zlXkBedPb12x8cZe/89/OORt049F5rUNIyLS1EnJMxqP8JF0OdlfO8eHEcXRk2+gsK5MC5ahCBJ2CvLp6xrcPPmfLKTzQyNu3nktbNr5uRFZ/N/Vv0zuVFZjHrG+NWR37O3+8B0uz8nGHGP8lrr2wDcXHgdaknN07sacXkCLMuPZ3nhxMdO3+AA3t7eOa87pC8oRGU24zhaSWAOuZLIsoynuxtZlpGMRixr1irBCwWFk0gGQ8gSubcXbxiNDsoSl/C9Nf9Cafwi3AE3j9c8w+v9z7FhaSKyDI/vqCc4x8e0SKIEMOYgr776EgAdHe3U19dRUlJ61vdt2LCR7dufZfzkYszr9dLQUA9AWdkqXnvtZQDGxkbZvfuds7Zx0UUX88ILz+E8qaI7NjYKgNFowuGwn/Ucg8FIfn4hr7/+CgCtrS00NdV/Yj8XOqJWB4IwaWu9T0IQBJKjzQgiyLLI795+h+ODNWFpey7gcPv476craeu1odb5ILWG4thCtmZdMu22PW2t+EdHZ33yJYgi+rx8RPX8U54/G46qKoIeN9rs3NnuioLCrCPq9BgXl+IbHsbdOjWbPZUk8uXPlKDXShypH2BXeddZ3xeltfDPy7/ExrT1+OUAT9Q+xxO1z+ILTl3oey7wXOMreANeliWUsijOSnXrMAdO9KFRiXx+a8Gk2nI3NiDqdGjnuAi5IEkYl5URdLvxjY4BoeDwbIp7ysEgjmNHsR06gLf77PeggsJCR5eTizomFkfVMYJud9jatWjMfKn0Tr5QfAt6lY6qwRqqNdsx6AUau8bYW7Vw3Kg+jlJCMgcJBALcffetuN1uvvOd757Wv/g4V155NWNjo3z96/cBEAwG+exnb6KgoJC77voiP/3pj7j11huIjY1j2bLln9jGwEA/9913NyqVCr1ezwMP/Jm8vHwyM7O4446bycrK5sc//tlHzvvBD37Mz3/+E5555gkkSeJ73/u30/oXCh9FEEUErY6g24Xg9yOqpv+1kySReIse2yh4Ogr446G/86VVN7E4vjgMPZ49+kac/Prvx+gbdqLVyZB3iGi9gTsXfQ5RmF68Vfb78XS0o0lJnfUAxim8A/14WlowrVwVNiGomcbT1YWnox2DtQi1MgYoKACgSc9A09WJ80Q1muTkKdl2JsYYuPPKIv7wYjVP72ogPy2KrOR/TBlWiSpusV5HliWdp+qeZ2/3QVrG2rksaxMrEpfOO4HPmqF6KvqPoRHV3FjwaXz+II/vCG3OXLM+m4ToideZ+8fG8Pb3YygOZcXMddSxsVg2bESbmIBt0I6rthZPZyea1FS0aWmo4uJnTDdJ9vuxlR/G29ODvqAQzQVS7qigEG4EUcS4fDlj776D4/gxzCtXh69tQWBNygoKY/J4vObv1I404E+phOalPPNOI8sLEjDp1edv6AJDmOspdWEkG2gZGrITDH74mXt720hOnrzQVqTYsGElO3bsxnBSL0GlEvH754+1lsLZkWWZwPg4glo9bS2MM2lobuSnz7QjmocwFJfzpaV3UhJXFLb2ExLMDAzMjEVafcco9z9fhd3lIypKxpO9G1Hr5hvL76MwZvp2nJ6ODmzlh4lav2HOlG54uruwHTqIuWwl2oyp28LOFgGXi7F3dyEajURt2BjWIMxM3nsKCh8nHPdfwOXC29mJLi9vWt+Nv75Zx7sVXSTG6PnBXavQaz85CN5u6+TBqscYco8AEK2NYnPGBi5KXYNeNfe1acY84/yq/PcMuIb4TN6nuDxrMy/va2X77mZS4gz8aNtqVNLEf5e28iN4e7qJueyKGXedmg6n7j/vQD+e9ja8PT3IgQCSXo82MwtDUWQ3K4JeL7aDB/APD2EoWYw+b+5YzypEFuXZO3Xcra2Iel3ExIJlWWZP9wGea3gFe/VSgrY4Sov0fOu6dRG53kwhigJxIWfFHKB1QudEskMKCgohBEFAMpkQp6lQ/HGMOhVmg5qgLQ5Pfyp/OvZoxGyAI8kHx3v5xVMV2F0+LAlOPPlvI2rdXJ9/dViCFwDutlYkowlVfHxY2gsHmpRUVFFROOtqZzVNeKrIXi+iTo+5bOW8zSBRUIgUkl6PvqAgpFQ/je/35y/NJz3BRP+Ii0ffOLsexikyzen865r/xW1FN5JsSGTUM8b2xlf53t6f8HzjK4y4R6fcj0jTONrCfx76DQOuIZKNSWzJuJj+URev7GsF4PbLCicVvADQZmRgLFk8r4IXZ6JJSMS8YhWxV16FecVKpKhogif1MWRZxtXUiN8Wfk2ngM2Gf2wU04qVSvBCQWGC6LKzI+p0JAgCF6et5XtrvkVe6TgIQapqnTyw93lc/vCUqc8XpB/+8Iez3YeZIhr4psvl5cxnv90+hsk0dzyst227D7X6w1QgURQ+kjGiMH8RRBFBEE5PZMORBupwjJGTlsyh2n4EewJybCeVQ+VkWtJJNEx/oW40anE6vdNu55OQZZkX97Tw5M4GgjIYUnvwZx7CrNVzb+kdrE4Jj52cLMsQDKBJTJxTnvWCICDqdLhbmpGMxjnVt4kg6nRos7MjUpIT6XtPQeFchPP+8w0NMv7BPjTJKYjqyaf6SqJIUVY0e6t6ae+zE2vRnbWU5MP3S2SY07g4bS3ZlgzGPTb6XAO0jLXxbude+p0DxOljidJapvOxwoYsy7zbuZdHTjyJO+ChIDqXryy9G52k48FXTtAz5GRtSRJXrpl8tqxkNKKKnn+lbR+//wRRRGWJQpuejjopCUEQCDoc2A7ux93SjLe3B9nnQ9TrzqqtJAcCyF4PAYcTQaNBEAR8Q0N4Ojvw9vTg6ezE09aKu6UZbWYWksGALisb9SeUMCtcuCjP3ukhyzKu2hr8o6Oo4+Iicg2D2sBFmctoHOpgYBB6hzwcCbxEqjGZBENkrhlJBEHAYNAA/AaYUJRdCWDMsQDGx1ECGBcWciBAwG5DEESEMGhh2O1jFGan0TVgp2vASVQwHU9UE5UDVWSapx/EiOSDzOcP8OCrNewq70IQQJNVg5BaR150Nl9fdi+ZlvAJrgmCgComBlVUVNjaDBei0YSvrw9ffx+6nNwZq2+eDgGbDVdDPerYuIjVlSuTKIXZJKz3nyzjamogMD6OJj19St9xs0FDnEVHef0A1a3DLC+Ix2I8d1aBIAgkGhJYm7KS0rhiPAEPPY4+uuw97Ok+QNNoCyaNkQR93KyNO26/h8dqnmZnx25kZC7N3HhasK6iYZCX97Wi10r88w1L0Gkm/swM+nw462pRmc0IqvlXH36u++/U/5Wo0aDLykY0GAja7Lg72nE3N6GKjUMyGnG3tWI7dABnbQ3O2hpcTY24W1vQ5+QiqFR42ttw1tYQsI0jn8zqENVqtCmpoQ2XMMxRFOYfyrN3egiCgLu1BXdbK9r09IiJtQuCQFluOnuqunA7NHjEcQ7b38UT8FAcWxiRa0YKJYBxbpQAhsLsIwjIPj+yz4eg1U570njq/rVmxrCnqoexMShMTGNYbKFioAqT2ohZY0SvmlrpSqQeZONOL79+5hhVzUOIUhB1/hGk+B4uy9zEnYtuwaAOn06IHAjgaW9HNBrnpIibIAhIRiNSVBQqS9ScD2DIwSDjB/bjHxpCl5UZscWBMolSmE3Cef+JGg2CSo27pQnJYJhyplVGoomhcTetPTZq20fYUJoy4ZKKKK2F5YmlrElegSAIdDt66XMOcKivgoqBKtSimmRjEtI0xZInQ59zgPsr/0z9aBNaScNdJZ9nS8bFiIKI2+vnN88ew+UJcMuWAoqzJ5cJ4G5pxllbE1pA6MJbujkTTPT+E1Qq1DGx6LKy0WZkIul0aFNDAYigx43sD6COi0OTnIw2LQ1tZiYqsyWU0REdg8FahMFahD4vH112DtqMTKUccIGjPHunjyomBndLMwGHI6LuR6qTgv6HavtROROR4jtptbdwWdamGR3Lp4sSwDg3SgBDYdYRBAEkkaDXA4IwbUeSU/evViMRF6XjcN0AtmEdq4sT6HS1cXyohnc69vBB9yHabB3YvHZUogqT2jihhXIkHmTdgw5+9kQFnQMOJK0HtfUA5hgP9yy+nUvS10/bbeTjeHu6sVccQR0Xj2QyhbXtcHGqfGSuBy8AXHW1eLo6MZWtjGh6sTKJUphNwn3/qaKj8Q8O4O3oQJuRMeXA36KsWCoaBukZcjJq91BWODlBYoNaz6I4KxenrcOoNtDr7GfQNcSxwRPs6z5IIBggxZiERops1sLRgWp+d/QvjHrGSDIk8o3l91Jwht7R9t3NVDUPk5Vk5s4riyY1NsrBIPYjh1FFR2MotEai+xFnKvefqNGgjos7HYCQTCa0KaloEpNQx8Wjio45HbyAk2WtSrBC4WMoz97pI6rVoUyMlmZUMTERnXumxBlo6h6nd8jF0ujlfHHDVqK1cy/b+FxMJYAx7fwwq9V6DfDvgHDyz4/q6uqet1qthcCjQBwwBHyhrq6u4eQ5YT+moDBfEFVqZJUa2eNG1mjCNoFYVZTIoZp+jtQPMFSXxS0bkqgeqqFprI0RzyiH+yo53FcJgF6lIzcqm7yobPKic8gyp6OO8IQV4ETrMA9sP47L40c0jqEuKCc7LpF7Ft9GnD4yi2FPWxuSwYA6MTEi7YcLORjE3dKMqNHOWUcS3/AwroZ6dJmZaFNTZ7s7CgrzBkEQMC0rY/TdXbjb26e8sNZqJL7ymRL+/dHD7K3qpSgzhotKUybdjkGt57KsTWzO2MCRvqPs7NhNl72Hl5rf4I3WnaxNWcmGtLWkmSbf9rkIykFebn6THW3vALA8oZTbi29Cd4ZDSteAnR2HOhCAO66wIoqTC+x6u7oIuFwYlywLZ9cVFBQUJowuLx93RzuO6uOoE5MitkElCAK3X1bIvz50gMM1I1y2Igfm5l5dWJlWAMNqtQrAY8DFdXV1x61W6xJgr9VqfQH4A/BAXV3d41ar9Xbgj8CWk6dG4tiCxGaz8dJLz3PbbXdOq52enm6++MU7ePXVnWHqmcK5EPV6Ajbb6VKScCAIArdfYaW2fYTq1hFWFRfxlaXrCMpBehx9NI220jTWQtNoKyOeUaqHak87lqgEiUxLOrmWHDKNmcRrUgj61KBSIctyWAbe9yq7eGxHPcGgjBjTiyb3GJuz1vHZ/KtRiZGptQ3Y7XgH+jEUL5r72Q2CgLenm6DTiSY1dc6Vu8iyjONYJaJOh2Fx6Wx3R0Fh3iGZTERfshlxmrtxaQkmbruskIdfr+XxHfXkplpIiTNOqS2VqGJNygpWJ5dRO9LAzvbd1AzXs7vrA3Z3fUCOJZOL0tayInEJGml6tdw2r51Hqp+kdqQBAYHr8q/i0oyNHxmb/YEgj71ZRyAos2l5GrmpkxMalYNBXI0NqMxm1ElJ0+qvgoKCwlQRRBHTsjIESYr4/DMp1sCVa7J4ZV8rj++o50fbVkf0enOBcKwagsCpXJVooAeIB8qAy06+/iRwv9VqTSCUpRHWY3V1dQNh+BzzErvdxhNP/HXaAQyFmUWQJCSzOeyL1CijhtsuK+RPL5/g6V0NFGVGo1ZJBJwm4v1WdGIumTof/d5xesZGGLTZGHN6sHtkqn0aqv0akPuB/tNt6nUCWckmitLjyUm1kJNiwaSfeLZGUJZ59t0m3jjQDoAqpRlTVhu3L7qVssQlYf38H8fd3oYgCGgzMiN6nXAgCAIGazFj+/bgbmtFnxse+9hwIQgC5hWrCPr9EROlUlC40JHMIfeQgN2OoFZP2cFnw5IUatpH2F/dx+9fOM73vrASjXrqzxNBECiOLaQ4tpBuey/vd+3nYG85LePttIy381zDS6xOLmND6lpSTZO3CWwdb+fBqscZ8YxiVpvYtvhWCmM+as/p9vr5/QvV1HeOYTaoueGS3ElfRw4EkMxmNCkpcz9oraCgcEGjjv0ws1gOBiNasnXNuiwO1/YzNObGHwhO2nJ6viGcy098Ilit1kuBpwEHYAauAnzAX+vq6krOeN8J4HZCgYiwHqurqyufQFezgZaPv1hdfYLU1Mlbc0WS73//u7S3t+Lz+UhPz+C73/0BFouFl19+gaeffhIAtVrNL37xG/7jP37EgQMfkJubh06n489/foTrrruaX/7yN+Sd9O4+89+//e2vqKg4gs/nIzo6mu9+9wekpKTS3d3N3Xffzptv7prNj74gmc6g1t3dRknJoo+2J8v8x8MHOVDdO6U2RSmILHlA5UP26CHwj8GK2Cg1RVnxWDNjKciMJj89Gr32H+Ohbo+fXz5xhP3He0EIos46QV4hfHv9vaSYI1/SMbB7DyCTsPHiiF8rXPTt3IV/3EbKp6+etkZKuPA7XUh6nbIgUFAIA0Hf/2vvzuOjKu/Fj3/OmX1JQpgkLCEQwnLYl4QdFBStuFRbtbdXq+jtdV9ra3t/tl6rrV3U/tRetdq61AXbX116tVZF1FYURCCEfTmEJYQlkWxkmZnMds7vjwkpCIRAJplJ8n2/XrxIzpnznO8cHs7MfOd5vk+EA2+/g3PAALJmzzztdgLNEb7/+FL2V/lZMDOfWy+fmMAo4yuErChfw0c7P6O0tqx1u+YrYP6wOczKK8JubTuZaZomH+9axgslrxE1oozwDeX7s67H5z56adNDjSEeeP4Lduw9RLrHzk+vm8HIwd1v+VMhhPiq2tXFxILBTn8vGmiOEI4Y9ElL/NL2XWQoUNaeB3Z0CokVuAe4RNf15ZqmzQZeA67uSLudqaam6aiimIZhEI0arb8//vp6Nuys6ZRzTxjm43vfOvkbjDvu+AF9+sQLiz733NO89NIfmT59Ji+++AK/+91z+HxZBAIBLBYLd931I6677mr++Mc/AbQ+l1js6Od1+Pcrr7yGW265E4B33nmLJ5/8LQ888CtiMQMwjzpGdD4jHMYIBLB4vae1ZJlhGFRVNR6z/dtnDWPH3joONYXxumx43TbSXLaWn+3xnw9vc9tIc9lbH+ewWYgYUfY27qMyUkFx6S52VdTjr3di+jMwAunU1sPnGyr4fEMFAIoC/fq6GDYwg6ED4qM00lw2nvzfDZR/6QdLBPvwtZw5egSXj7gYa7ONquZj40640RMxo9HjXqNUFcsdSsPuz4gVb8Q1bPjJD+hkRijEoU/+gXPwENyjx5z8gATJzk7rVv9uomfp7P4XGzCYqq1baPb27VA9mesvGsODL69h8Yoy8nM8TBud2GkT49LGM27SePY1HmDZgZWsrixBr9mFXrOLP5a83jIqY/pxR2WEYxFe2/4WKypWA3Bm7iwuG3ERht9Klf9f1/ZgXYBHX1vPwbogWRlOvv/tSWS6rKd8/YM7d2DLzsGafmrTTlKR3P9EskjfS7xgVMW/fTfhzH7Y+ye2rtDxVDV3ryKsqqrg853a1MqOfr03CRio6/pygJYkhh9oBnI1TbPouh7TNM0CDAT2Eh9Jkeh9PcrixX9nyZLFRKMRmmyUtpYAACAASURBVJubGTRoMIZhsGDBhfh8WQC43ae3zOQXXyznr399nWAwQCwWS2TY4jQoVisoYDQ3J7RKcR+vg4dvnhU/x2l8a25TrRRk5DM9ezyzshoxTZPqYC276svYUVeGXvElB6ujxJrSMfwZmME0KmuCVNYEWb7x6JEfiiOAZ9R6rpp8PtP6Fybk+bWHGY2iWK3dbi17my8L17DhWDMyODxCLlkjH0zTpGn9WsxwGPvA3KTEIERP5Bo+gvCB/fg3rseWlYVqP71pWYP7pXHF/OG8smQ7L76/jfz+aeRkJm4Z6sMGpQ3k37Vv8s3hF7Lmy3UsO7CSPQ17WbpvOUv3LacgI585A6czOWcCdouNmmAtz256hb2N+7GpNq7QLmX6gKJj2t1d0cDjr6+nMRBhSL80vvetCWR4T/3bw0hNDf5NG3ENH4F17LhEPGUhhEgIZ8EwQuV78G/aiC0ru9u9L01FHb2C+4BBmqZpuq7rmqaNBvoBpcA64ApgUcvfaw/XqtA0LeH7EqU9IyQ60/r1a3nrrTd5+ukXyMzM5OOPP+B///fNU2rDYrEcNcokHI5n4iorK3jiiUd59tmXGTgwl40b1/PAA/cmNH5xahRVRXU4iTUHUSIRVFviVgJJ5IdeRVHIdvvIdvvib0LHQCASpKyhPJ7UqN3Nzso6wg1uDH9GPKnR7EFNr2XQ+APcWHgdAzxdV1AtXHWQxpVf4C0swtENP3h7WopkxoJB6j/5B9aMPlgyMrBmZGDJyMDi8XbJ8nehveWEKyrwjB2HNaN7LcslRCpTVBXv5ELqP12Kf9NG0gqP/XDfXvMm57J1Tx3FehVPv7WZH19dhM3aOfcHh8XOrIHTmDVwGnsbD7DswBcUV65lV30Zu+rLeKP0b0zOGc+6g5vwRwNkOfty/fiFDEo7dpTJxl01/O5/NxGKxBg7tC+3fGPccacinoxpGPg3rMficuHWRiXiaQohRMIoqopn/ETqP19GcEcp7lGjkx1St9ehBIau65Wapt0MvKFp2uG5B9/Vdb1W07SbgJc0TbsPqAMWHnFoZ+zrERobG/F4vGRkZBAOh3nnnbcBmDlzNg899CCXXHIpffv6WqeQeDwempubiUajWFsyerm5eWzbtpnhw0dQXLyK2tr4lBi/34/VasPn82EYBm+9dWqJEdE5FIcDJRTCaG6OjxjoJnUG3DYXY3waY3waFEDMiHHAX8mu+j3sqi9jb/12RvgKuHT4zTg6WL3+VBjNzTSVrMHidmPP6eZV6E0T+4CBROvriezaiWnEb7NphVNw5OUR8/uJVFXFkxvp6QktChvz+/Fv3IAtKwtnCkxlEaKnsWb0wTViJEY43KFaSIqicO35oymrbGTPl428/s8dXHnuyARHe6y8tIFcoV3KN4ddyJqD61i2fyXljftYfmAVAON8o7lmzLdx244dEbJ8YwUvvr+NmGEyc2x//uOCUadddK65bDfRhnrSpk6TbzaFECnJlp2NY9Agmst24xo+Qu5VHdThq6fr+qvAq8fZvg2YfoJjEr6vp5gxYxZLlrzPFVdcSkZGHyZPLmTz5k0UFk7h6quv5XvfuwVFUbHbbTz00GP07evja187n2uu+XfS0tJ55pkXuP76m/jFL+7njTdeo6hoCv36xeemDhs2nLPOOoerrvo3MjL6MHPmbNavX5vkZywURUF1OTECQYjFoJve1Cyqhby0XPLScpk7aFZSYjBNk6aSNZiRCN6Zs7v9C4TF7cY7aTIQ/5Yx1thIrKEea1Z8Klmkuoqmlv/Diqpi8XqxZPTBPXoMFpeLWMCPEQyCacano5iAaWLLzkZRVaL19cT8fqBlHyaYJo5BeRjBAKrDiXdyYbdJqgnR3bi0UQn5/+V2Wrn5G+P45Str+GjNPrTBmRRp2QmI8OScVgezB05n9sDplDfuY2XFGnzOTOblzUFVjk5KmKbJuyv28NdPdwFwwYwhXDa34LSvgdEcJLhtK/acftgHnH4tESGE6GyecRPANLv9e9NU0OFVSLqRfGD3V4t4VlbuoX//1FqF5EhWqyqFNXuB+IdL85S/gevs/tvdijkFtusEtm7BO2kyziH5yQ6n05mmiREIEK0/RKy+nmj9IaL19fSZdzaqw0Fg6xYC2/VjjvNd+HUUqxX/pg0Ed+48ap+iKPgu/ka8/U5e9qst3a3viZ6lq/tfpLaGSHU17pFah9pZsnov/+/jUqwWhYtm5XPBjCEps5yeYZi8+tF2/lmyHwW48tyRzC8a1KE2zWiUYOl2HHmDE1pHKtnk/ieSRfpe5zNNEyMYxHKa9Qx7miOKeHbNKiRCiMRQFAUU5bQTGaJFy+gBx+DUTUomkqIoWDweLB4PHKfWhyNvMLas7HgJZEUBlPjPLf3LOWwEjrzBLduV1n7Y2r70QyG6RLiiguCOUqyZmdizT3+J6XOnDOJgXYB/lOznrc92s2rrQa5dMIrhg5JbwyYcifHsO1tYs70Kq0Xlhq+PYcqoji+lrVitXbo6khBCdJR/3Voi1VX0OWu+jMY4TXLVhEghRnMzZjiMJS1NPjyeBrc2CtM0ZcpDC4vX2+a3khaXC1yuLoxICHE8bm0U4coK/OvWYuvAm1pFUbjqaxpFWg4vLd7GgWo/v1q0hnmFuVx25jDczq5/29cUjPDEmxso3VeP22Hl9svGow3O7FCbpmHQWLwK19Bh2LK7ZqqMEEIkgiMvj+byPVLQswPkE5IQKUSx2TBNAyMUSnYo3YZpmjStLSFcdRBI3pKjQghxuhSrFe+kQoxgkMDWLR1ub/SQTH723WlcOHMIqqrwz5L93PvcF5RsT+jCbSdVU9/MrxatoXRfPZlpDu65qrDDyQuA5l07CVdUYMaiCYhSCCG6ji0rG8egPIKl24k1NSU7nG5JEhhCpBDVakW12TFDodYVJ0TbmnfuoLl8D7FGeREQQnRfNp8P59ACgrt2Eqmr63B7dpuFy+YO46fXTqVgYDqHmsI8+deNPPnXjdQ1dn6SfN/BJn65aA0VNQFyszz85OoicrM7XqciFgwS0Ldh798fW0uRciGE6E48Y8eiWCz4N26gF9WjTBhJYAiRYlSnE4hPJxFti9TWEti6BceAgTiHDk12OEII0SHu0WPwjJ+INSNxNSsG5Xj58VVFfOfckTjsFkq2V3Hvc1/wz5J9GJ30xnnbnjp+9eoa6hpDjMzrwz1XFdI33ZmQtgObN4Jp4hk3QUbcCSG6JdXpwqWNIuZvwpRR16dMamAIkWIUiwXFbseMRKSeQxuMcJimNatRnU48kyfLdRJCdHuK1YqroACAaH09keoqnAXDOnx/U1WF+UWDmDwii0VLtrNuRzWvLNnOis1fcs0CLSEjIwDq/WHWlVbx6ofbicZMpmjZXP/1MdisloS0H6mtIbR/P25tVLx4sRBCdFPOoQU4h+RLIc/TIFdMiBSkOp3gcsmH8jaE9uzBaG4mfc6ZqDZ7ssMRQoiECu3dQ3DnTqJ1dXgnTU7Im9y+6U5uv2w8a/R4kmHH/nru/+NqLpgxhItmDTmlREODP0xZZSN7Khsoq2ykrLLxqKkp84sGccX8Eahq4l7HrJl9SSsswn6cVZeEEKI7UVQVVBUzGiVSV9uhFah6G0lgiBOqqDjAddddzbvvftxp53jvvXf4/PPPePDBh4+7f/36dbz++p+O2f+LX9zPqFGjueyyb3dabO1x2203cMUVVzN79hkJbffwCiSmaXLGGVP54IOleOTbpqM4hw/Hlp2NtU+fZIcihBAJ5x47HtXhJLB1C/WNDaRNnd7mqkLtpSgKU0blMCY/kzc+2ckn6w7wzudlrN52kGsWaMctstkYCLOnJUkR/9NAbcOxw54ddgv5/dKYPrYfcycOTGgS3jQMFFVtWfpZCCF6hsC2rTTv3kWfeWdjSUtLdjjdgiQwRKtYLIbFkphhnony2WefcMYZ85IdBgDRaBRrFw/zOlxhPeb3Y7rdMiIDiDY0oFgtWNweSV4IIXosRVFwjRiJJaMPTWtWU//pJ6TPPiNh9THcThsLF4xixtj+vLR4GxU1AR7601rOnDiAKVoOe75sSVZUNFLTcGxNJofdwpAcL/kD0hnSP438/mn06+tG7YTXqVggQMOyT/FMnIy9X7+Ety+EEMniGj6CUPke/Js2kDZjlrzXbwdJYBxH/fLPjtnmGJiLc2gBZjRKw8oVx+7PG4xz8BCMUIjG4lXH7HfmD8WRO6jN8351xEP894W8++5HrfsWLLiI4uKVmKbJD37wf5g4cXKb+wBWrFjGyy+/QCgUxmazcfvt32fcuPGUlBTz29/+Bk0bzfbtOtdff/NxRxI88cRjx233/ff/zp///AqKojBw4CB+9KMfk5nZl/fee4cPP1xMWlo6u3btJC3Ny4MPPozPl0UkEuGxxx6mpKSYjIw+jBihtXlNVqxYxsKF323zMYFAgMcff4StWzcDsGDBhXznO9dQXl7Gj3/8IxYteo1oNMqFF87nmmv+kyuvXMjHH3/IZ599wv33/4Lq6moef/xhvvyyklAoxDnnnNd6zssv/zrz53+NkpLVFBQM55577jvm/MXFq3jxxWdpaGjg7LPP5cYbbwVg3769PPLILzl0qA6LxcINN9zKjBmzAJgzZwo33HALn376CfX19dx66x3MmzcfgKVL/8Hvf/8UdruDefPOjp8kFiXW1ITF6+3VNzYjEqFx1UoUi0rGvLN79bUQQvQO9pwcMs6cR3BHaad8Ozcyrw/3/8c03vtiD++uKOPT9RV8ur7i6BhsKkP6pTGkfxpD+8cTFv37uhM6PaQtgU0bMcJhLGmJqdUhhBCpQnU6cY0ag3/jesIVB3DIFLmTkgRGN1JfX8/w4SO4/fa7KCkp5v77f8Jf/vJWm/uqqg7y4ovP8+ijT+DxeNm1ayd3330Hf/3ruwDs3r2LH/7wx4wbN+GUzrlvXznPPPMkzz+/iKysLJ599mkee+wRfvazXwGwdesWXnrpz/Tr15+HHnqQN974CzfeeCtvv/0mFRUHWLTodaLRKLfeej0DBgw47rl37dqJz5dFenp6m9flxRefwzAMXn75LwQCfm688bsUFAxn5szZBAJ+qqurqaw8wNChwyguXs2VVy5kzZpVTJkyFYAHH7yPa6+9jkmTColEItx5582MHj2GqVNnAOD3+3n22ZdPeP6ysl08/fQLhMNhbrrpPxg3bgKzZ5/BAw/cyyWXfJOLLvoGu3fv4rbbrmfRojfIzIwPz/V4PDz33Mts2LCO++67h3nz5lNbW8NDD/2CZ555nsGD83n11ZcAUN3uXp/EME0T//p1GMEA6bPm9MprIITonSweD96JkwAwQiEC27biHjMmYfV/bFaVS+YMZeqoHN5cupPGQKR1VEV+/zQG+Dxdlqz4qvCXXxKqOIB79BgsbplKKYToeZz5+YTKy/CvW4vqdGLr60t2SClNEhjHkdFGPQPFam1zv+pwtLm/I2w2G+eddwEAhYVTcDgclJfvwePxnHDfhg3r2L9/H7feekNrO7FYjNraGgAGDco7YfKirXOuW7eGmTNnk5WVBcAll1zKtdde2XrchAkT6deyPvvYseNYvXolACUlazj//IuwWq1YrVbOO+98NmxYd9xzL1u2lDlz5p70uhQXr+LOO+9GURQ8Hi/nnPM1iotXMXPmbAoLp7BmzSoqKg5wySWX8uqrLxOJRCguXsVVV11LMBhk7do1HDp0qLW9QMBPWVlZawJjwYIL2zz/kc/n8GiNyZML2bFjOxdccDEAQ4cWMHy4xubNG5kz50wA5s8/r+X6jKe6uopQKMSWLZsYOVJj8OB8AC6++FKefvoJVJsd1WrFCAYgFoNeWLE4tGcPof37cI8eg80nN3YhRO8Ura0hVL6HSHUVaVOnYz1Jkv9UDMzycPtlJ35P0NXMWAz/xg1YvF5cw4YnOxwhhOgUiqqSNnU6gc2bpA5GO/S+T0EpzGKxYBj/WpM9HA53uE3TNJk+fSb//d8/O2ZfWdluXC53h89xPHb7v74VUlULsVjslNv47LOlrSM6TldR0VTWrFnNgQP7ue++n7NuXQkfffQBpgkDB+YSCPhRFIXnnnv5hPUt3G5Xh2I4kcPX6HDdkZNdI9VuR7Fajyrw2VtEGxrwb9qAPScH14iRyQ5HCCGSxj5gIOmzZtNYvJr6z5binVSII7dnDjkOV1YS8zeRPnM2SorV6BJCiESyeDykTZsOxIsWN678Amd+PvYBA5McWepRkx2A+Je+fX1Eo1H27dsLwIcfLj5qfyQSad22fv1aQqEQQ4bkt7lv2rQZrFy5gl27dra2c7hWRHucqN3CwimsWLGcmppqAN555y2mTp120vaKiqawePF7RKNRQqHmY57jYdXVVUQiEQa04z/tlCnTePfdtzFNk0DAz8cfL2Hq1Okt55vKypUraGxsJCenH1OmTOP553/fOn3E7fYwceJkFi16sbW9L7+sbH1e7fHBB+8TjUYJBoP84x8fUVg4Fbfbw/DhI3n//b8D8WTRzp3bGTt2fJttjR07ntJSnb17y4H4dT3S4eSF0dxMzN9Eb8lhWNxunEPy8U4ukqkjQohez+bLIuPMeVjT0mksXkXznrJkh9QpHLm59Jl3FvYcWV5QCNF7GKEQRqiZhlUraSxZgxHp+JfaPYmMwEghVquVO+/8AXfddSt9+vRh5sw5R+3PyMigtHQ7f/rTy5imyf33/wKbzdbmvry8wdx338/59a9/TigUIhqNMH78REaPHtuumE7UbkHBcG666TbuuuvWliKeufzwhz8+aXsXX3wpO3bs4KqrvkVGRh9GjRpLXV3NMY/77LOlrVMtTubaa6/jscceZuHC+JKq5513QWuxzJycfrjdbiZMiM8dLiqaypdfVlJYOKX1+Pvu+zn/8z+Pth7vdnu455778Pmy2nX+IUOGcPPN320t4nm4EOpPf/ogjzzyS1577U9YLBbuvfdnrfUvTiQzsy8/+tFP+K//uguHw8HcuWcf/4GqCtEoZiiEGY2i9OApJWYshmK14hmfOsOahRAi2SwuF+mz5xDcrmPvf/xaUt1ZLBDA4nZjzZDVpoQQvYvF5YoXb96uEyzdTrS6Cs+kQknmtlB60TD0fGB3TU3TUdM0Kiv30L//kKQFdTJWq0o0ahyzQsmR2trXXX3/+7dzww23MGrU6GSHkrKMcJiKfTvxVtSQPn1GpyQxsrPTqKpqTHi77RXaW06wdDvps2ajOjtnKo9ITcnue6J36479zzQMmtaW4Bo2vNsvMR2urKBx9SrSZ83G1s4vE3qS7tj/RM8gfS/1RA/V0VSyBhSVjLnzWkdj9xSqquDzeQGGAmXtOabnfm0rurVHH30i2SGkPNVuR7U7iNZU07DyC9JnzupRN7VYYyNN69dhzcxEsTuSHY4QQqQ0IxgkWlNDfcuKHc4h+d1ydJ4ZjcYLd3o8WDP7JjscIYRIKmufTDLmnoURakZRVYxImFhDQ69M7h7Wcz7t9HADBgw84QiLtvaJnk2xWvBOLsKRm9uzkhcBP42rV6JYrKQVTelRz00IITqDxeMhY+48bD4f/k0bqftwCYFtWzFPo4h2MgVLtxMLBPBMmCj3fiGEABSLpXUZ6WBpKQ3Ll+HftAEzGk1yZMnR/VLzQoijOPLyWn+OHqrD4k3rlt+6HRapq6Pxi8/BNEmbOl2mjgghRDupDgdpM2YRra0luLOUcEUFLm0UEJ92qB6xQlgq8m/eRHBHKY68wdiyspMdjhBCpBz3SA0zGiW4cyfhgwfxTirE1rd3jVbrvp9yhBBHMUIhGj5fjiWjT6fVxOgKFq8XW3Y27lFjsHi9yQ5HCCG6FUVRsPl82Hy+eJFnRcGIRDj00RKsvixcw4Zh9WWl5IpOqsuFq2AY7rHjkh2KEEKkJMVqxTthIvYBA/CvXUvDsk/xTJqMc3Dq1nRMNBmbJ0QPoToceMZPbK2J0Z2GlcWCQZrWrcWMRlFtNtKmTJPkhRBCdNCRiWxnwTCitbXUL19G/adLCe3fh2kYSYwuLlJTQ7iyEgBXwTA84yfI1BEhhDgJe3YOGWedhSM/H1tW76qH0T2/ok0yo+Eg4Q2LiZR+DpFmsDmxjZiFfcIC1HRZ3kYkz+HpJE1r18QLe3aDkRjhgwdpKinGjMVwDB6Mra8v2SEJIUSPotpsuEeNxjV8BKF9ewnu3EFj8Wr6zD0raSuWmKZJ8+5dBDZvwpKega1fv5QcFSKEEKlKtdnxTpiU7DC6nKS4T1G0fAP+N+4lsnVpPHkBEGkmsnUp/jfuJVq+ocPnaGho4OyzZ/P447/pcFuJUFJSzH/+59UAVFdXcfvtNyY5ItEWR14e3slFRGuqCVccAMBoDhI+eBAjEklydP9iGgaBbVtp/OJzVIeDPmfOk+SFEEJ0IsVqxZk/lD5nn0PG7DmtyQv/pg34t2wmFgx2SRxmNEpTSTH+jRuw5fQjfdYsSV4IIYRol9T+ajbFGA0HCX70JETDx+40YxCNEfzoSTyXP9ihkRgffriYsWPH8dFHH3DnnXehKJYORJ1YWVnZPPHE75MdhjgJR14eqsuJxZsGQLiigqYN61FUNf5Nl68v1r4+7Dn9kjZCI7B5E8FdO3EOHoxn/MSUHykihBA9haIorUUyTdPECIUJ799H884dOAYNwlkwDGtG54zMMCIRGpZ9SqyxEffoMbhGjJTkhRBCiHaTTwynILxhMZxsObJYjPCGD3DOufq0z/Puu3/jllvu4JVXXuTTTz9h7tz5PP/87ykv34Pf38SBA/vJzR3Ez3/+EE6nk0AgwOOPP8LWrZsBWLDgQr7znWsAuO22G9C00WzdupnKygouv/zfyc7O5s03X6O6uopbbrmTs88+B4AHHriX8vI9RCJhcnPzuOee+0hPTz8qtoqKA1x33dWty7Zu3ryJZ555Ar/fD8B1193ErFlzqKur5f7776WurgaAKVOmcccdPzjtayJO3ZEV3O2D8kj3eInW1hCpqaG5rAx27SLz/AtQgHBlBUYohK2vD9Xr7dQ3k6ZpoigKzqEFWDIyelXRISGESDWKopBWNIXYqNE079pJc/kemsvL8Ywbj2vY8ISfT7XZsOX0wz12PPYcmXYrhBDi1HQ4gaFpmhN4DDgHaAZW6Lp+g6ZpI4GXAB9QAyzUdb205ZiE7+sKkdLP4yMt2mLGiJR+ftoJjB07SmloqKeoaCq1tTW8887bzJ07HwBd38qzz76M1+vl+9+/jSVL3ufii7/Jiy8+h2EYvPzyXwgE/Nx443cpKBjOzJmzAaiqOsiTT/6B2toavv3tb/Bv/3YlzzzzAlu2bOInP/lRawLjzjvvpk/LcNI//OF3vPrqS9x88+0njLWxsZHf/OaXPPLI/5CVlUV1dTXXX7+Ql1/+C0uWvE9ubi6//e3vgPi0GJE8qs2GPSen9c2iaRjEGhtQbfEl9UL79hLavz/+WLsDq68vtqwcyJ6YsBhM0yRYup1YQwPeoilYvF4p1CmEECnC4vHgGT8BlzaK0L692HP6ARCpqiJUsR/nkPzTHpVhGgbB7Tr2AQOxZmTgkVVGhBBCnKZE1MB4mHjiYqSu6+OB/27Z/gzwlK7rI4GngCPnHXTGvs53uOZFoh53HH//+9ssWHAhiqIwd+5ZbNmyiaqqgwBMmzaDtLQ0FEVhzJhx7N+/D4Di4lV8/evfRFEUPB4v55zzNYqLV7W2edZZ81FVlaysbDIy+jB37lkAaNpoqqoOEgqFAFi8+O9897tXsXDht/nwww8oLd3eZqybNq2nouIAd999B9deeyV3330HiqKwf/9exo4dzxdffM5TT/2W5cs/w+12n/Y1EYmnqOpRb0S9RVPJnH8u3smF2Pv3J9bYSLhif+v+pnVr8W/eRLjiAEZLfzkVRihE48oVBLZuadmQ/Mr3QgghjqXa7bgKhrUmmKONjYTKyzn0yT+p/3QpzeV7TmmVKyMUovGLFQT0ba11mYQQQojT1aERGJqmeYGFwCBd100AXde/1DQtBygEzm156J+BJzVNywaURO/Tdb2qI8+j3WzO9iUnbM7Taj4SifDRR4ux2ewsXvwuANFolPfeewcAu93R+lhVVYmdbDpLi68eZ7fHv3W3WOK1NWKxGOvXr+Wtt97k6adfIDMzkyVLFvO3v/21zXZNE4YNG8FTTz173P1//OOrrF69kg8+eI9Fi17k6aefb1e8ouspivKvEREtUzrMlv5lmiaxpiaidbUEd8QHPFm8XpxDh+EqKGh9zImmnURqa2gqXo0RCuGdMBFH/lCZ7yyEEN2Eq6AAx6BBhPaW07ynjKa1JTTv3EHGvLNPei+P1NXRtHoVRjiEd9JknEPyuyZoIYQQPVZHp5AMIz6V46eapp0FNAH3AkFgv67rMQBd12Oaph0A8ognIhK9r90JDJ/v6CHrBw+qWK3tG4jiGDmL0JalbU8jUSw4tFntbvNIS5d+yuDB+fzhDy+0btu4cT0PPHAf5513PqqqtLarqkrr79OmTee9996msHAygUCAf/zjQ26//XtYrWr8g6lFOSoei+Xo52y1qgQCTXi9Xny+zJakyd9QlPhxFouKotD6M8S3T5o0iYceepD169dQVDQVgC1bNjN69BgqKg6Qk5PDggXnU1hYxLe+dQmqGk+giMRRVZXs7LROPUdOTjo537wAMxYjXFtLqLqGUFU1Lp8Xb3YasWCQinffx57lw5GVhSM7C3vfvqg2G2YsxoHl/8Sb7sI3az4OX99OjVX0LJ3dt4Voi/S/r8j1YU6fRKiqCiMUxp2TjhmLUb38c1yDcnEPHox6RDHmUFUVB9euxON1kjXnbLn/nyLpfyJZpO+JVNfRBIYFKADW6rr+Q03TpgPvAN/qcGSdpKamCcMwW383DINotH3D2a3jFxDSl0G0jQSGxYJ13HntbvNIf/vb25x77oKjjh0/fiKGYVBSZNrmgAAAB7ZJREFUsgZNG926zzBMDMMkGjVYuPA/eeyxh7nyyvhlP++8C5g6dSbRqBH/9jxmHtVmLHb0c45GDaZOncn777/Ht771DTIy+jBp0mS2bNlMNGoQixmYJq0/Q7w9t9vLr3/9f3nqqd/y6KO/IRqNMHBgLg899BirV6/mL395FVW1YJoGd999D4YRv94icQzDoKqqsdPaz85O+0r7TsjKhaxcgkCwqpFYIEAkI4vgwVqiO/YA8SkqaVOmYh8wEHXsJFSPhwbDBp0Yq+hZju17QnQd6X9tUFzgdOGvaiTm99NQUU319t3xWkuD8nDmD8Wano5p2In1G4R9+Ai5/58i6X8iWaTvia6mqsoxAwxORjFN8+SPOgFN07KACsB+eAqJpmlbgGuBJYCvZaSEhfhIjRHER1JsT+S+dk4hyQd2fzWBUVm5h/79278KQrR8Q3wp1Vjs6JEYigUsFlzn3IZ18IR2t3cyVqt6WskQ0Tucav89Vaf6QmaEw0Tr6ojW1uAYMgSL29NpsYmeTd5EiWSS/td+pmkSra2huWw34QMHQFXJPOdrqA7HyQ8WxyX9TySL9D3R1Y5IYAwFytp1TEdOqOt6NfBPWupStKwSkkM80bAOuKLloVcQH6VRpev6wUTv68hzOFXWwRPwXP4gttHzwOYCFLC5sI2eh+fyBxOavBCiu1Htduz9+uEePUaSF0II0QsoioLNl0Va0VQyv7YAz5ixGM3BZIclhBCih+rwMqrATcALmqb9XyACXK3r+iFN024CXtI07T6gjnixzyOPSfS+LqOm5+Ccc/VpL5UqhBBCCNHTqA4HzqEFyQ5DCCFED9bhBIau67uAecfZvg2YfoJjEr5PCCGEEEIIIYQQPZcsCUF8/qYQ3Y30WyGEEEIIIURv0usTGFarHb+/QT4Mim7FNE38/gasVnuyQxFCCCGEEEKILpGIGhjdWmZmNnV1VTQ1HUp2KMelqqosPSqOy2q1k5mZnewwhBBCCCGEEKJL9PoEhsViJStrQLLDOCFZzkgIIYQQQgghhJApJEIIIYQQQgghhOgGJIEhhBBCCCGEEEKIlNebppBYAFRVSXYcp6w7xix6Dul/Ilmk74lkkv4nkkn6n0gW6XuiKx3R3yztPUbpRatvzAE+S3YQQgghhBBCCCGEaHUGsKw9D+xNCQwHMBWoAGJJjkUIIYQQQgghhOjNLMAAYDUQas8BvSmBIYQQQgghhBBCiG5KingKIYQQQgghhBAi5UkCQwghhBBCCCGEEClPEhhCCCGEEEIIIYRIeZLAEEIIIYQQQgghRMqTBIYQQgghhBBCCCFSniQwhBBCCCGEEEIIkfIkgSGEEEIIIYQQQoiUJwkMIYQQQgghhBBCpDxrsgMQx6dp2kjgJcAH1AALdV0vTW5UoqfSNO03wGVAPjBe1/VNLdulH4pOpWmaD3gFGAaEgVLgRl3XqzRNmwH8HnABZcBVuq4fTFasomfSNO0tYChgAE3A7bqur5P7n+gqmqb9FLifltdfufeJrqBpWhnQ3PIH4L90Xf9A+p9IdTICI3U9Azyl6/pI4CniNxIhOstbwJnAnq9sl34oOpsJPKzruqbr+nhgJ/BrTdNUYBFwa0v/+xT4dRLjFD3XNbquT9R1fTLwG+CFlu1y/xOdTtO0QmAGLa+/cu8TXexyXdcntfz5QPqf6A4kgZGCNE3LAQqBP7ds+jNQqGladvKiEj2ZruvLdF3fe+Q26YeiK+i6Xqvr+idHbPoCGAIUAc26ri9r2f4M8G9dHJ7oBXRdrz/i1wzAkPuf6AqapjmIJ8duPmKz3PtEMkn/EylPEhipKQ/Yr+t6DKDl7wMt24XoKtIPRZdq+ebnZuBvwGCOGBGk63o1oGqa1jdJ4YkeTNO05zRNKwd+AVyD3P9E1/gZsEjX9bIjtsm9T3SlVzVN26Bp2u80TeuD9D/RDUgCQwghRKp4gngNgieTHYjoXXRdv07X9cHAj4FHkh2P6Pk0TZsJTAF+l+xYRK91hq7rE4GpgIK89opuQhIYqWkvkKtpmgWg5e+BLduF6CrSD0WXaSkkOwL4tq7rBlBOfCrJ4f1ZgKHrem2SQhS9gK7rrwBnAfuQ+5/oXHOB0cDulmKKg4APgOHIvU90gcNTh3VdDxFPpM1GXntFNyAJjBTUUul3HXBFy6YrgLW6rlclLyrR20g/FF1F07RfEp93+42WN1IAawCXpmlzWn6/CXg9GfGJnkvTNK+maXlH/P51oBaQ+5/oVLqu/1rX9YG6rufrup5PPGl2HvERQHLvE51K0zSPpmkZLT8rwL8Tv+fJa69IeYppmsmOQRyHpmmjiC/flgnUEV++TU9uVKKn0jTtf4BLgf5ANVCj6/pY6Yeis2maNhbYBGwHgi2bd+u6/k1N02YRX/nByb+WcvsyKYGKHknTtH7A24AHiBFPXtyt63qJ3P9EV2oZhXFRyzKqcu8TnUrTtALgTcDS8mcLcIeu6xXS/0SqkwSGEEIIIYQQQgghUp5MIRFCCCGEEEIIIUTKkwSGEEIIIYQQQgghUp4kMIQQQgghhBBCCJHyJIEhhBBCCCGEEEKIlCcJDCGEEEIIIYQQQqQ8SWAIIYQQQgghhBAi5UkCQwghhBBCCCGEECnv/wMw2uB8LOF9kwAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from xgboost import XGBRegressor\n", "\n", @@ -3288,11 +4318,86 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 75, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
publisheddomainurl
02012-08-13 22:54:53.510Zmedium.comhttps://medium.com/policy/medium-terms-of-serv...
12012-08-13 22:57:17.248Zmedium.comhttps://medium.com/policy/medium-privacy-polic...
22016-11-04 23:40:43.364Zmedium.comhttps://medium.com/@Medium/personalize-your-me...
32016-12-24 18:21:13.427Zmedium.comhttps://medium.com/holiday-poems/xmas-morning-...
42015-09-22 21:37:48.207Zblog.medium.comhttps://blog.medium.com/taking-a-side-on-net-n...
\n", + "
" + ], + "text/plain": [ + " published ... url\n", + "0 2012-08-13 22:54:53.510Z ... https://medium.com/policy/medium-terms-of-serv...\n", + "1 2012-08-13 22:57:17.248Z ... https://medium.com/policy/medium-privacy-polic...\n", + "2 2016-11-04 23:40:43.364Z ... https://medium.com/@Medium/personalize-your-me...\n", + "3 2016-12-24 18:21:13.427Z ... https://medium.com/holiday-poems/xmas-morning-...\n", + "4 2015-09-22 21:37:48.207Z ... https://blog.medium.com/taking-a-side-on-net-n...\n", + "\n", + "[5 rows x 3 columns]" + ] + }, + "execution_count": 75, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = pd.read_csv(\n", " '../../data/medium_posts.csv.zip', sep='\\t')\n", @@ -3308,10 +4413,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 76, + "metadata": {}, "outputs": [], "source": [ "df = df[['published', 'url']].dropna().drop_duplicates()" @@ -3326,10 +4429,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 77, + "metadata": {}, "outputs": [], "source": [ "df['published'] = pd.to_datetime(df['published'], utc=False)" @@ -3344,11 +4445,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 78, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
publishedurl
509311970-01-01 00:00:00.001https://medium.com/iiot
402431970-01-01 00:00:00.001https://medium.com/@ikaella/melon-rebranding-b...
373951970-01-18 05:11:46.500http://www.novosti.rs/%D0%B2%D0%B5%D1%81%D1%82...
\n", + "
" + ], + "text/plain": [ + " published url\n", + "50931 1970-01-01 00:00:00.001 https://medium.com/iiot\n", + "40243 1970-01-01 00:00:00.001 https://medium.com/@ikaella/melon-rebranding-b...\n", + "37395 1970-01-18 05:11:46.500 http://www.novosti.rs/%D0%B2%D0%B5%D1%81%D1%82..." + ] + }, + "execution_count": 78, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.sort_values(by=['published']).head(n=3)" ] @@ -3362,11 +4518,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 79, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
publishedurl
246302012-08-15 00:25:03.373https://medium.com/launch-day/jean-attempts-to...
246312012-08-15 00:25:29.419https://medium.com/launch-day/dan-and-kristin-...
178112012-08-15 00:34:59.502https://medium.com/i-m-h-o/the-world-is-social...
\n", + "
" + ], + "text/plain": [ + " published url\n", + "24630 2012-08-15 00:25:03.373 https://medium.com/launch-day/jean-attempts-to...\n", + "24631 2012-08-15 00:25:29.419 https://medium.com/launch-day/dan-and-kristin-...\n", + "17811 2012-08-15 00:34:59.502 https://medium.com/i-m-h-o/the-world-is-social..." + ] + }, + "execution_count": 79, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = df[(df['published'] > '2012-08-15') & (df['published']\n", " < '2017-06-26')].sort_values(by=['published'])\n", @@ -3382,11 +4593,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 80, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
publishedurl
621222017-06-25 23:36:01.171https://medium.com/push-the-pace/the-official-...
724712017-06-25 23:41:48.295https://medium.com/parti-xyz-developers/%EA%B4...
832832017-06-25 23:51:43.000http://www.johanr.com/blog/people-support-dreams
\n", + "
" + ], + "text/plain": [ + " published url\n", + "62122 2017-06-25 23:36:01.171 https://medium.com/push-the-pace/the-official-...\n", + "72471 2017-06-25 23:41:48.295 https://medium.com/parti-xyz-developers/%EA%B4...\n", + "83283 2017-06-25 23:51:43.000 http://www.johanr.com/blog/people-support-dreams" + ] + }, + "execution_count": 80, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df.tail(n=3)" ] @@ -3400,11 +4666,67 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 81, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
posts
published
2012-08-15 00:25:03.3731
2012-08-15 00:25:29.4191
2012-08-15 00:34:59.5021
\n", + "
" + ], + "text/plain": [ + " posts\n", + "published \n", + "2012-08-15 00:25:03.373 1\n", + "2012-08-15 00:25:29.419 1\n", + "2012-08-15 00:34:59.502 1" + ] + }, + "execution_count": 81, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "aggr_df = df.groupby('published')[['url']].count()\n", "aggr_df.columns = ['posts']\n", @@ -3420,11 +4742,67 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 82, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
posts
published
2012-08-1516
2012-08-1611
2012-08-174
\n", + "
" + ], + "text/plain": [ + " posts\n", + "published \n", + "2012-08-15 16\n", + "2012-08-16 11\n", + "2012-08-17 4" + ] + }, + "execution_count": 82, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "daily_df = aggr_df.resample('D').apply(sum)\n", "daily_df.head(n=3)" @@ -3446,11 +4824,34 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 83, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + " \n", + " " + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "from plotly.offline import init_notebook_mode, iplot\n", "from plotly import graph_objs as go\n", @@ -3468,10 +4869,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 84, + "metadata": {}, "outputs": [], "source": [ "def plotly_df(df, title=''):\n", @@ -3492,11 +4891,3639 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 85, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.plotly.v1+json": { + "config": { + "linkText": "Export to plot.ly", + "plotlyServerURL": "https://plot.ly", + "responsive": true, + "showLink": false + }, + "data": [ + { + "mode": "lines", + "name": "posts", + "type": "scatter", + "uid": "2f9de525-ac4d-4b5b-813b-bd54cd22c31c", + "x": [ + "2012-08-15", + "2012-08-16", + "2012-08-17", + "2012-08-18", + "2012-08-19", + "2012-08-20", + "2012-08-21", + "2012-08-22", + "2012-08-23", + "2012-08-24", + "2012-08-25", + "2012-08-26", + "2012-08-27", + "2012-08-28", + "2012-08-29", + "2012-08-30", + "2012-08-31", + "2012-09-01", + "2012-09-02", + "2012-09-03", + "2012-09-04", + "2012-09-05", + "2012-09-06", + "2012-09-07", + "2012-09-08", + "2012-09-09", + "2012-09-10", + "2012-09-11", + "2012-09-12", + "2012-09-13", + "2012-09-14", + "2012-09-15", + "2012-09-16", + "2012-09-17", + "2012-09-18", + "2012-09-19", + "2012-09-20", + "2012-09-21", + "2012-09-22", + "2012-09-23", + "2012-09-24", + "2012-09-25", + "2012-09-26", + "2012-09-27", + "2012-09-28", + "2012-09-29", + "2012-09-30", + "2012-10-01", + "2012-10-02", + "2012-10-03", + "2012-10-04", + "2012-10-05", + "2012-10-06", + "2012-10-07", + "2012-10-08", + "2012-10-09", + "2012-10-10", + "2012-10-11", + "2012-10-12", + "2012-10-13", + "2012-10-14", + "2012-10-15", + "2012-10-16", + "2012-10-17", + "2012-10-18", + "2012-10-19", + "2012-10-20", + "2012-10-21", + "2012-10-22", + "2012-10-23", + "2012-10-24", + "2012-10-25", + "2012-10-26", + "2012-10-27", + "2012-10-28", + "2012-10-29", + "2012-10-30", + "2012-10-31", + "2012-11-01", + "2012-11-02", + "2012-11-03", + "2012-11-04", + "2012-11-05", + "2012-11-06", + "2012-11-07", + "2012-11-08", + "2012-11-09", + "2012-11-10", + "2012-11-11", + "2012-11-12", + "2012-11-13", + "2012-11-14", + "2012-11-15", + "2012-11-16", + "2012-11-17", + "2012-11-18", + "2012-11-19", + "2012-11-20", + "2012-11-21", + "2012-11-22", + "2012-11-23", + "2012-11-24", + "2012-11-25", + "2012-11-26", + "2012-11-27", + "2012-11-28", + "2012-11-29", + "2012-11-30", + "2012-12-01", + "2012-12-02", + "2012-12-03", + "2012-12-04", + "2012-12-05", + "2012-12-06", + "2012-12-07", + "2012-12-08", + "2012-12-09", + "2012-12-10", + "2012-12-11", + "2012-12-12", + "2012-12-13", + "2012-12-14", + "2012-12-15", + "2012-12-16", + "2012-12-17", + "2012-12-18", + "2012-12-19", + "2012-12-20", + "2012-12-21", + "2012-12-22", + "2012-12-23", + "2012-12-24", + "2012-12-25", + "2012-12-26", + "2012-12-27", + "2012-12-28", + "2012-12-29", + "2012-12-30", + "2012-12-31", + "2013-01-01", + "2013-01-02", + "2013-01-03", + "2013-01-04", + "2013-01-05", + "2013-01-06", + "2013-01-07", + "2013-01-08", + "2013-01-09", + "2013-01-10", + "2013-01-11", + "2013-01-12", + "2013-01-13", + "2013-01-14", + "2013-01-15", + "2013-01-16", + "2013-01-17", + "2013-01-18", + "2013-01-19", + "2013-01-20", + "2013-01-21", + "2013-01-22", + "2013-01-23", + "2013-01-24", + "2013-01-25", + "2013-01-26", + "2013-01-27", + "2013-01-28", + "2013-01-29", + "2013-01-30", + "2013-01-31", + "2013-02-01", + "2013-02-02", + "2013-02-03", + "2013-02-04", + "2013-02-05", + "2013-02-06", + "2013-02-07", + "2013-02-08", + "2013-02-09", + "2013-02-10", + "2013-02-11", + "2013-02-12", + "2013-02-13", + "2013-02-14", + "2013-02-15", + "2013-02-16", + "2013-02-17", + "2013-02-18", + "2013-02-19", + "2013-02-20", + "2013-02-21", + "2013-02-22", + "2013-02-23", + "2013-02-24", + "2013-02-25", + "2013-02-26", + "2013-02-27", + "2013-02-28", + "2013-03-01", + "2013-03-02", + "2013-03-03", + "2013-03-04", + "2013-03-05", + "2013-03-06", + "2013-03-07", + "2013-03-08", + "2013-03-09", + "2013-03-10", + "2013-03-11", + "2013-03-12", + "2013-03-13", + "2013-03-14", + "2013-03-15", + "2013-03-16", + "2013-03-17", + "2013-03-18", + "2013-03-19", + "2013-03-20", + "2013-03-21", + "2013-03-22", + "2013-03-23", + "2013-03-24", + "2013-03-25", + "2013-03-26", + "2013-03-27", + "2013-03-28", + "2013-03-29", + "2013-03-30", + "2013-03-31", + "2013-04-01", + "2013-04-02", + "2013-04-03", + "2013-04-04", + "2013-04-05", + "2013-04-06", + "2013-04-07", + "2013-04-08", + "2013-04-09", + "2013-04-10", + "2013-04-11", + "2013-04-12", + "2013-04-13", + "2013-04-14", + "2013-04-15", + "2013-04-16", + "2013-04-17", + "2013-04-18", + "2013-04-19", + "2013-04-20", + "2013-04-21", + "2013-04-22", + "2013-04-23", + "2013-04-24", + "2013-04-25", + "2013-04-26", + "2013-04-27", + "2013-04-28", + "2013-04-29", + "2013-04-30", + "2013-05-01", + "2013-05-02", + "2013-05-03", + "2013-05-04", + "2013-05-05", + "2013-05-06", + "2013-05-07", + "2013-05-08", + "2013-05-09", + "2013-05-10", + "2013-05-11", + "2013-05-12", + "2013-05-13", + "2013-05-14", + "2013-05-15", + "2013-05-16", + "2013-05-17", + "2013-05-18", + "2013-05-19", + "2013-05-20", + "2013-05-21", + "2013-05-22", + "2013-05-23", + "2013-05-24", + "2013-05-25", + "2013-05-26", + "2013-05-27", + "2013-05-28", + "2013-05-29", + "2013-05-30", + "2013-05-31", + "2013-06-01", + "2013-06-02", + "2013-06-03", + "2013-06-04", + "2013-06-05", + "2013-06-06", + "2013-06-07", + "2013-06-08", + "2013-06-09", + "2013-06-10", + "2013-06-11", + "2013-06-12", + "2013-06-13", + "2013-06-14", + "2013-06-15", + "2013-06-16", + "2013-06-17", + "2013-06-18", + "2013-06-19", + "2013-06-20", + "2013-06-21", + "2013-06-22", + "2013-06-23", + "2013-06-24", + "2013-06-25", + "2013-06-26", + "2013-06-27", + "2013-06-28", + "2013-06-29", + "2013-06-30", + "2013-07-01", + "2013-07-02", + "2013-07-03", + "2013-07-04", + "2013-07-05", + "2013-07-06", + "2013-07-07", + "2013-07-08", + "2013-07-09", + "2013-07-10", + "2013-07-11", + "2013-07-12", + "2013-07-13", + "2013-07-14", + "2013-07-15", + "2013-07-16", + "2013-07-17", + "2013-07-18", + "2013-07-19", + "2013-07-20", + "2013-07-21", + "2013-07-22", + "2013-07-23", + "2013-07-24", + "2013-07-25", + "2013-07-26", + "2013-07-27", + "2013-07-28", + "2013-07-29", + "2013-07-30", + "2013-07-31", + "2013-08-01", + "2013-08-02", + "2013-08-03", + "2013-08-04", + "2013-08-05", + "2013-08-06", + "2013-08-07", + "2013-08-08", + "2013-08-09", + "2013-08-10", + "2013-08-11", + "2013-08-12", + "2013-08-13", + "2013-08-14", + "2013-08-15", + "2013-08-16", + "2013-08-17", + "2013-08-18", + "2013-08-19", + "2013-08-20", + "2013-08-21", + "2013-08-22", + "2013-08-23", + "2013-08-24", + "2013-08-25", + "2013-08-26", + "2013-08-27", + "2013-08-28", + "2013-08-29", + "2013-08-30", + "2013-08-31", + "2013-09-01", + "2013-09-02", + "2013-09-03", + "2013-09-04", + "2013-09-05", + "2013-09-06", + "2013-09-07", + "2013-09-08", + "2013-09-09", + "2013-09-10", + "2013-09-11", + "2013-09-12", + "2013-09-13", + "2013-09-14", + "2013-09-15", + "2013-09-16", + "2013-09-17", + "2013-09-18", + "2013-09-19", + "2013-09-20", + "2013-09-21", + "2013-09-22", + "2013-09-23", + "2013-09-24", + "2013-09-25", + "2013-09-26", + "2013-09-27", + "2013-09-28", + "2013-09-29", + "2013-09-30", + "2013-10-01", + "2013-10-02", + "2013-10-03", + "2013-10-04", + "2013-10-05", + "2013-10-06", + "2013-10-07", + "2013-10-08", + "2013-10-09", + "2013-10-10", + "2013-10-11", + "2013-10-12", + "2013-10-13", + "2013-10-14", + "2013-10-15", + "2013-10-16", + "2013-10-17", + "2013-10-18", + "2013-10-19", + "2013-10-20", + "2013-10-21", + "2013-10-22", + "2013-10-23", + "2013-10-24", + "2013-10-25", + "2013-10-26", + "2013-10-27", + "2013-10-28", + "2013-10-29", + "2013-10-30", + "2013-10-31", + "2013-11-01", + "2013-11-02", + "2013-11-03", + "2013-11-04", + "2013-11-05", + "2013-11-06", + "2013-11-07", + "2013-11-08", + "2013-11-09", + "2013-11-10", + "2013-11-11", + "2013-11-12", + "2013-11-13", + "2013-11-14", + "2013-11-15", + "2013-11-16", + "2013-11-17", + "2013-11-18", + "2013-11-19", + "2013-11-20", + "2013-11-21", + "2013-11-22", + "2013-11-23", + "2013-11-24", + "2013-11-25", + "2013-11-26", + "2013-11-27", + "2013-11-28", + "2013-11-29", + "2013-11-30", + "2013-12-01", + "2013-12-02", + "2013-12-03", + "2013-12-04", + "2013-12-05", + "2013-12-06", + "2013-12-07", + "2013-12-08", + "2013-12-09", + "2013-12-10", + "2013-12-11", + "2013-12-12", + "2013-12-13", + "2013-12-14", + "2013-12-15", + "2013-12-16", + "2013-12-17", + "2013-12-18", + "2013-12-19", + "2013-12-20", + "2013-12-21", + "2013-12-22", + "2013-12-23", + "2013-12-24", + "2013-12-25", + "2013-12-26", + "2013-12-27", + "2013-12-28", + "2013-12-29", + "2013-12-30", + "2013-12-31", + "2014-01-01", + "2014-01-02", + "2014-01-03", + "2014-01-04", + "2014-01-05", + "2014-01-06", + "2014-01-07", + "2014-01-08", + "2014-01-09", + "2014-01-10", + "2014-01-11", + "2014-01-12", + "2014-01-13", + "2014-01-14", + "2014-01-15", + "2014-01-16", + "2014-01-17", + "2014-01-18", + "2014-01-19", + "2014-01-20", + "2014-01-21", + "2014-01-22", + "2014-01-23", + "2014-01-24", + "2014-01-25", + "2014-01-26", + "2014-01-27", + "2014-01-28", + "2014-01-29", + "2014-01-30", + "2014-01-31", + "2014-02-01", + "2014-02-02", + "2014-02-03", + "2014-02-04", + "2014-02-05", + "2014-02-06", + "2014-02-07", + "2014-02-08", + "2014-02-09", + "2014-02-10", + "2014-02-11", + "2014-02-12", + "2014-02-13", + "2014-02-14", + "2014-02-15", + "2014-02-16", + "2014-02-17", + "2014-02-18", + "2014-02-19", + "2014-02-20", + "2014-02-21", + "2014-02-22", + "2014-02-23", + "2014-02-24", + "2014-02-25", + "2014-02-26", + "2014-02-27", + "2014-02-28", + "2014-03-01", + "2014-03-02", + "2014-03-03", + "2014-03-04", + "2014-03-05", + "2014-03-06", + "2014-03-07", + "2014-03-08", + "2014-03-09", + "2014-03-10", + "2014-03-11", + "2014-03-12", + "2014-03-13", + "2014-03-14", + "2014-03-15", + "2014-03-16", + "2014-03-17", + "2014-03-18", + "2014-03-19", + "2014-03-20", + "2014-03-21", + "2014-03-22", + "2014-03-23", + "2014-03-24", + "2014-03-25", + "2014-03-26", + "2014-03-27", + "2014-03-28", + "2014-03-29", + "2014-03-30", + "2014-03-31", + "2014-04-01", + "2014-04-02", + "2014-04-03", + "2014-04-04", + "2014-04-05", + "2014-04-06", + "2014-04-07", + "2014-04-08", + "2014-04-09", + "2014-04-10", + "2014-04-11", + "2014-04-12", + "2014-04-13", + "2014-04-14", + "2014-04-15", + "2014-04-16", + "2014-04-17", + "2014-04-18", + "2014-04-19", + "2014-04-20", + "2014-04-21", + "2014-04-22", + "2014-04-23", + "2014-04-24", + "2014-04-25", + "2014-04-26", + "2014-04-27", + "2014-04-28", + "2014-04-29", + "2014-04-30", + "2014-05-01", + "2014-05-02", + "2014-05-03", + "2014-05-04", + "2014-05-05", + "2014-05-06", + "2014-05-07", + "2014-05-08", + "2014-05-09", + "2014-05-10", + "2014-05-11", + "2014-05-12", + "2014-05-13", + "2014-05-14", + "2014-05-15", + "2014-05-16", + "2014-05-17", + "2014-05-18", + "2014-05-19", + "2014-05-20", + "2014-05-21", + "2014-05-22", + "2014-05-23", + "2014-05-24", + "2014-05-25", + "2014-05-26", + "2014-05-27", + "2014-05-28", + "2014-05-29", + "2014-05-30", + "2014-05-31", + "2014-06-01", + "2014-06-02", + "2014-06-03", + "2014-06-04", + "2014-06-05", + "2014-06-06", + "2014-06-07", + "2014-06-08", + "2014-06-09", + "2014-06-10", + "2014-06-11", + "2014-06-12", + "2014-06-13", + "2014-06-14", + "2014-06-15", + "2014-06-16", + "2014-06-17", + "2014-06-18", + "2014-06-19", + "2014-06-20", + "2014-06-21", + "2014-06-22", + "2014-06-23", + "2014-06-24", + "2014-06-25", + "2014-06-26", + "2014-06-27", + "2014-06-28", + "2014-06-29", + "2014-06-30", + "2014-07-01", + "2014-07-02", + "2014-07-03", + "2014-07-04", + "2014-07-05", + "2014-07-06", + "2014-07-07", + "2014-07-08", + "2014-07-09", + "2014-07-10", + "2014-07-11", + "2014-07-12", + "2014-07-13", + "2014-07-14", + "2014-07-15", + "2014-07-16", + "2014-07-17", + "2014-07-18", + "2014-07-19", + "2014-07-20", + "2014-07-21", + "2014-07-22", + "2014-07-23", + "2014-07-24", + "2014-07-25", + "2014-07-26", + "2014-07-27", + "2014-07-28", + "2014-07-29", + "2014-07-30", + "2014-07-31", + "2014-08-01", + "2014-08-02", + "2014-08-03", + "2014-08-04", + "2014-08-05", + "2014-08-06", + "2014-08-07", + "2014-08-08", + "2014-08-09", + "2014-08-10", + "2014-08-11", + "2014-08-12", + "2014-08-13", + "2014-08-14", + "2014-08-15", + "2014-08-16", + "2014-08-17", + "2014-08-18", + "2014-08-19", + "2014-08-20", + "2014-08-21", + "2014-08-22", + "2014-08-23", + "2014-08-24", + "2014-08-25", + "2014-08-26", + "2014-08-27", + "2014-08-28", + "2014-08-29", + "2014-08-30", + "2014-08-31", + "2014-09-01", + "2014-09-02", + "2014-09-03", + "2014-09-04", + "2014-09-05", + "2014-09-06", + "2014-09-07", + "2014-09-08", + "2014-09-09", + "2014-09-10", + "2014-09-11", + "2014-09-12", + "2014-09-13", + "2014-09-14", + "2014-09-15", + "2014-09-16", + "2014-09-17", + "2014-09-18", + "2014-09-19", + "2014-09-20", + "2014-09-21", + "2014-09-22", + "2014-09-23", + "2014-09-24", + "2014-09-25", + "2014-09-26", + "2014-09-27", + "2014-09-28", + "2014-09-29", + "2014-09-30", + "2014-10-01", + "2014-10-02", + "2014-10-03", + "2014-10-04", + "2014-10-05", + "2014-10-06", + "2014-10-07", + "2014-10-08", + "2014-10-09", + "2014-10-10", + "2014-10-11", + "2014-10-12", + "2014-10-13", + "2014-10-14", + "2014-10-15", + "2014-10-16", + "2014-10-17", + "2014-10-18", + "2014-10-19", + "2014-10-20", + "2014-10-21", + "2014-10-22", + "2014-10-23", + "2014-10-24", + "2014-10-25", + "2014-10-26", + "2014-10-27", + "2014-10-28", + "2014-10-29", + "2014-10-30", + "2014-10-31", + "2014-11-01", + "2014-11-02", + "2014-11-03", + "2014-11-04", + "2014-11-05", + "2014-11-06", + "2014-11-07", + "2014-11-08", + "2014-11-09", + "2014-11-10", + "2014-11-11", + "2014-11-12", + "2014-11-13", + "2014-11-14", + "2014-11-15", + "2014-11-16", + "2014-11-17", + "2014-11-18", + "2014-11-19", + "2014-11-20", + "2014-11-21", + "2014-11-22", + "2014-11-23", + "2014-11-24", + "2014-11-25", + "2014-11-26", + "2014-11-27", + "2014-11-28", + "2014-11-29", + "2014-11-30", + "2014-12-01", + "2014-12-02", + "2014-12-03", + "2014-12-04", + "2014-12-05", + "2014-12-06", + "2014-12-07", + "2014-12-08", + "2014-12-09", + "2014-12-10", + "2014-12-11", + "2014-12-12", + "2014-12-13", + "2014-12-14", + "2014-12-15", + "2014-12-16", + "2014-12-17", + "2014-12-18", + "2014-12-19", + "2014-12-20", + "2014-12-21", + "2014-12-22", + "2014-12-23", + "2014-12-24", + "2014-12-25", + "2014-12-26", + "2014-12-27", + "2014-12-28", + "2014-12-29", + "2014-12-30", + "2014-12-31", + "2015-01-01", + "2015-01-02", + "2015-01-03", + "2015-01-04", + "2015-01-05", + "2015-01-06", + "2015-01-07", + "2015-01-08", + "2015-01-09", + "2015-01-10", + "2015-01-11", + "2015-01-12", + "2015-01-13", + "2015-01-14", + "2015-01-15", + "2015-01-16", + "2015-01-17", + "2015-01-18", + "2015-01-19", + "2015-01-20", + "2015-01-21", + "2015-01-22", + "2015-01-23", + "2015-01-24", + "2015-01-25", + "2015-01-26", + "2015-01-27", + "2015-01-28", + "2015-01-29", + "2015-01-30", + "2015-01-31", + "2015-02-01", + "2015-02-02", + "2015-02-03", + "2015-02-04", + "2015-02-05", + "2015-02-06", + "2015-02-07", + "2015-02-08", + "2015-02-09", + "2015-02-10", + "2015-02-11", + "2015-02-12", + "2015-02-13", + "2015-02-14", + "2015-02-15", + "2015-02-16", + "2015-02-17", + "2015-02-18", + "2015-02-19", + "2015-02-20", + "2015-02-21", + "2015-02-22", + "2015-02-23", + "2015-02-24", + "2015-02-25", + "2015-02-26", + "2015-02-27", + "2015-02-28", + "2015-03-01", + "2015-03-02", + "2015-03-03", + "2015-03-04", + "2015-03-05", + "2015-03-06", + "2015-03-07", + "2015-03-08", + "2015-03-09", + "2015-03-10", + "2015-03-11", + "2015-03-12", + "2015-03-13", + "2015-03-14", + "2015-03-15", + "2015-03-16", + "2015-03-17", + "2015-03-18", + "2015-03-19", + "2015-03-20", + "2015-03-21", + "2015-03-22", + "2015-03-23", + "2015-03-24", + "2015-03-25", + "2015-03-26", + "2015-03-27", + "2015-03-28", + "2015-03-29", + "2015-03-30", + "2015-03-31", + "2015-04-01", + "2015-04-02", + "2015-04-03", + "2015-04-04", + "2015-04-05", + "2015-04-06", + "2015-04-07", + "2015-04-08", + "2015-04-09", + "2015-04-10", + "2015-04-11", + "2015-04-12", + "2015-04-13", + "2015-04-14", + "2015-04-15", + "2015-04-16", + "2015-04-17", + "2015-04-18", + "2015-04-19", + "2015-04-20", + "2015-04-21", + "2015-04-22", + "2015-04-23", + "2015-04-24", + "2015-04-25", + "2015-04-26", + "2015-04-27", + "2015-04-28", + "2015-04-29", + "2015-04-30", + "2015-05-01", + "2015-05-02", + "2015-05-03", + "2015-05-04", + "2015-05-05", + "2015-05-06", + "2015-05-07", + "2015-05-08", + "2015-05-09", + "2015-05-10", + "2015-05-11", + "2015-05-12", + "2015-05-13", + "2015-05-14", + "2015-05-15", + "2015-05-16", + "2015-05-17", + "2015-05-18", + "2015-05-19", + "2015-05-20", + "2015-05-21", + "2015-05-22", + "2015-05-23", + "2015-05-24", + "2015-05-25", + "2015-05-26", + "2015-05-27", + "2015-05-28", + "2015-05-29", + "2015-05-30", + "2015-05-31", + "2015-06-01", + "2015-06-02", + "2015-06-03", + "2015-06-04", + "2015-06-05", + "2015-06-06", + "2015-06-07", + "2015-06-08", + "2015-06-09", + "2015-06-10", + "2015-06-11", + "2015-06-12", + "2015-06-13", + "2015-06-14", + "2015-06-15", + "2015-06-16", + "2015-06-17", + "2015-06-18", + "2015-06-19", + "2015-06-20", + "2015-06-21", + "2015-06-22", + "2015-06-23", + "2015-06-24", + "2015-06-25", + "2015-06-26", + "2015-06-27", + "2015-06-28", + "2015-06-29", + "2015-06-30", + "2015-07-01", + "2015-07-02", + "2015-07-03", + "2015-07-04", + "2015-07-05", + "2015-07-06", + "2015-07-07", + "2015-07-08", + "2015-07-09", + "2015-07-10", + "2015-07-11", + "2015-07-12", + "2015-07-13", + "2015-07-14", + "2015-07-15", + "2015-07-16", + "2015-07-17", + "2015-07-18", + "2015-07-19", + "2015-07-20", + "2015-07-21", + "2015-07-22", + "2015-07-23", + "2015-07-24", + "2015-07-25", + "2015-07-26", + "2015-07-27", + "2015-07-28", + "2015-07-29", + "2015-07-30", + "2015-07-31", + "2015-08-01", + "2015-08-02", + "2015-08-03", + "2015-08-04", + "2015-08-05", + "2015-08-06", + "2015-08-07", + "2015-08-08", + "2015-08-09", + "2015-08-10", + "2015-08-11", + "2015-08-12", + "2015-08-13", + "2015-08-14", + "2015-08-15", + "2015-08-16", + "2015-08-17", + "2015-08-18", + "2015-08-19", + "2015-08-20", + "2015-08-21", + "2015-08-22", + "2015-08-23", + "2015-08-24", + "2015-08-25", + "2015-08-26", + "2015-08-27", + "2015-08-28", + "2015-08-29", + "2015-08-30", + "2015-08-31", + "2015-09-01", + "2015-09-02", + "2015-09-03", + "2015-09-04", + "2015-09-05", + "2015-09-06", + "2015-09-07", + "2015-09-08", + "2015-09-09", + "2015-09-10", + "2015-09-11", + "2015-09-12", + "2015-09-13", + "2015-09-14", + "2015-09-15", + "2015-09-16", + "2015-09-17", + "2015-09-18", + "2015-09-19", + "2015-09-20", + "2015-09-21", + "2015-09-22", + "2015-09-23", + "2015-09-24", + "2015-09-25", + "2015-09-26", + "2015-09-27", + "2015-09-28", + "2015-09-29", + "2015-09-30", + "2015-10-01", + "2015-10-02", + "2015-10-03", + "2015-10-04", + "2015-10-05", + "2015-10-06", + "2015-10-07", + "2015-10-08", + "2015-10-09", + "2015-10-10", + "2015-10-11", + "2015-10-12", + "2015-10-13", + "2015-10-14", + "2015-10-15", + "2015-10-16", + "2015-10-17", + "2015-10-18", + "2015-10-19", + "2015-10-20", + "2015-10-21", + "2015-10-22", + "2015-10-23", + "2015-10-24", + "2015-10-25", + "2015-10-26", + "2015-10-27", + "2015-10-28", + "2015-10-29", + "2015-10-30", + "2015-10-31", + "2015-11-01", + "2015-11-02", + "2015-11-03", + "2015-11-04", + "2015-11-05", + "2015-11-06", + "2015-11-07", + "2015-11-08", + "2015-11-09", + "2015-11-10", + "2015-11-11", + "2015-11-12", + "2015-11-13", + "2015-11-14", + "2015-11-15", + "2015-11-16", + "2015-11-17", + "2015-11-18", + "2015-11-19", + "2015-11-20", + "2015-11-21", + "2015-11-22", + "2015-11-23", + "2015-11-24", + "2015-11-25", + "2015-11-26", + "2015-11-27", + "2015-11-28", + "2015-11-29", + "2015-11-30", + "2015-12-01", + "2015-12-02", + "2015-12-03", + "2015-12-04", + "2015-12-05", + "2015-12-06", + "2015-12-07", + "2015-12-08", + "2015-12-09", + "2015-12-10", + "2015-12-11", + "2015-12-12", + "2015-12-13", + "2015-12-14", + "2015-12-15", + "2015-12-16", + "2015-12-17", + "2015-12-18", + "2015-12-19", + "2015-12-20", + "2015-12-21", + "2015-12-22", + "2015-12-23", + "2015-12-24", + "2015-12-25", + "2015-12-26", + "2015-12-27", + "2015-12-28", + "2015-12-29", + "2015-12-30", + "2015-12-31", + "2016-01-01", + "2016-01-02", + "2016-01-03", + "2016-01-04", + "2016-01-05", + "2016-01-06", + "2016-01-07", + "2016-01-08", + "2016-01-09", + "2016-01-10", + "2016-01-11", + "2016-01-12", + "2016-01-13", + "2016-01-14", + "2016-01-15", + "2016-01-16", + "2016-01-17", + "2016-01-18", + "2016-01-19", + "2016-01-20", + "2016-01-21", + "2016-01-22", + "2016-01-23", + "2016-01-24", + "2016-01-25", + "2016-01-26", + "2016-01-27", + "2016-01-28", + "2016-01-29", + "2016-01-30", + "2016-01-31", + "2016-02-01", + "2016-02-02", + "2016-02-03", + "2016-02-04", + "2016-02-05", + "2016-02-06", + "2016-02-07", + "2016-02-08", + "2016-02-09", + "2016-02-10", + "2016-02-11", + "2016-02-12", + "2016-02-13", + "2016-02-14", + "2016-02-15", + "2016-02-16", + "2016-02-17", + "2016-02-18", + "2016-02-19", + "2016-02-20", + "2016-02-21", + "2016-02-22", + "2016-02-23", + "2016-02-24", + "2016-02-25", + "2016-02-26", + "2016-02-27", + "2016-02-28", + "2016-02-29", + "2016-03-01", + "2016-03-02", + "2016-03-03", + "2016-03-04", + "2016-03-05", + "2016-03-06", + "2016-03-07", + "2016-03-08", + "2016-03-09", + "2016-03-10", + "2016-03-11", + "2016-03-12", + "2016-03-13", + "2016-03-14", + "2016-03-15", + "2016-03-16", + "2016-03-17", + "2016-03-18", + "2016-03-19", + "2016-03-20", + "2016-03-21", + "2016-03-22", + "2016-03-23", + "2016-03-24", + "2016-03-25", + "2016-03-26", + "2016-03-27", + "2016-03-28", + "2016-03-29", + "2016-03-30", + "2016-03-31", + "2016-04-01", + "2016-04-02", + "2016-04-03", + "2016-04-04", + "2016-04-05", + "2016-04-06", + "2016-04-07", + "2016-04-08", + "2016-04-09", + "2016-04-10", + "2016-04-11", + "2016-04-12", + "2016-04-13", + "2016-04-14", + "2016-04-15", + "2016-04-16", + "2016-04-17", + "2016-04-18", + "2016-04-19", + "2016-04-20", + "2016-04-21", + "2016-04-22", + "2016-04-23", + "2016-04-24", + "2016-04-25", + "2016-04-26", + "2016-04-27", + "2016-04-28", + "2016-04-29", + "2016-04-30", + "2016-05-01", + "2016-05-02", + "2016-05-03", + "2016-05-04", + "2016-05-05", + "2016-05-06", + "2016-05-07", + "2016-05-08", + "2016-05-09", + "2016-05-10", + "2016-05-11", + "2016-05-12", + "2016-05-13", + "2016-05-14", + "2016-05-15", + "2016-05-16", + "2016-05-17", + "2016-05-18", + "2016-05-19", + "2016-05-20", + "2016-05-21", + "2016-05-22", + "2016-05-23", + "2016-05-24", + "2016-05-25", + "2016-05-26", + "2016-05-27", + "2016-05-28", + "2016-05-29", + "2016-05-30", + "2016-05-31", + "2016-06-01", + "2016-06-02", + "2016-06-03", + "2016-06-04", + "2016-06-05", + "2016-06-06", + "2016-06-07", + "2016-06-08", + "2016-06-09", + "2016-06-10", + "2016-06-11", + "2016-06-12", + "2016-06-13", + "2016-06-14", + "2016-06-15", + "2016-06-16", + "2016-06-17", + "2016-06-18", + "2016-06-19", + "2016-06-20", + "2016-06-21", + "2016-06-22", + "2016-06-23", + "2016-06-24", + "2016-06-25", + "2016-06-26", + "2016-06-27", + "2016-06-28", + "2016-06-29", + "2016-06-30", + "2016-07-01", + "2016-07-02", + "2016-07-03", + "2016-07-04", + "2016-07-05", + "2016-07-06", + "2016-07-07", + "2016-07-08", + "2016-07-09", + "2016-07-10", + "2016-07-11", + "2016-07-12", + "2016-07-13", + "2016-07-14", + "2016-07-15", + "2016-07-16", + "2016-07-17", + "2016-07-18", + "2016-07-19", + "2016-07-20", + "2016-07-21", + "2016-07-22", + "2016-07-23", + "2016-07-24", + "2016-07-25", + "2016-07-26", + "2016-07-27", + "2016-07-28", + "2016-07-29", + "2016-07-30", + "2016-07-31", + "2016-08-01", + "2016-08-02", + "2016-08-03", + "2016-08-04", + "2016-08-05", + "2016-08-06", + "2016-08-07", + "2016-08-08", + "2016-08-09", + "2016-08-10", + "2016-08-11", + "2016-08-12", + "2016-08-13", + "2016-08-14", + "2016-08-15", + "2016-08-16", + "2016-08-17", + "2016-08-18", + "2016-08-19", + "2016-08-20", + "2016-08-21", + "2016-08-22", + "2016-08-23", + "2016-08-24", + "2016-08-25", + "2016-08-26", + "2016-08-27", + "2016-08-28", + "2016-08-29", + "2016-08-30", + "2016-08-31", + "2016-09-01", + "2016-09-02", + "2016-09-03", + "2016-09-04", + "2016-09-05", + "2016-09-06", + "2016-09-07", + "2016-09-08", + "2016-09-09", + "2016-09-10", + "2016-09-11", + "2016-09-12", + "2016-09-13", + "2016-09-14", + "2016-09-15", + "2016-09-16", + "2016-09-17", + "2016-09-18", + "2016-09-19", + "2016-09-20", + "2016-09-21", + "2016-09-22", + "2016-09-23", + "2016-09-24", + "2016-09-25", + "2016-09-26", + "2016-09-27", + "2016-09-28", + "2016-09-29", + "2016-09-30", + "2016-10-01", + "2016-10-02", + "2016-10-03", + "2016-10-04", + "2016-10-05", + "2016-10-06", + "2016-10-07", + "2016-10-08", + "2016-10-09", + "2016-10-10", + "2016-10-11", + "2016-10-12", + "2016-10-13", + "2016-10-14", + "2016-10-15", + "2016-10-16", + "2016-10-17", + "2016-10-18", + "2016-10-19", + "2016-10-20", + "2016-10-21", + "2016-10-22", + "2016-10-23", + "2016-10-24", + "2016-10-25", + "2016-10-26", + "2016-10-27", + "2016-10-28", + "2016-10-29", + "2016-10-30", + "2016-10-31", + "2016-11-01", + "2016-11-02", + "2016-11-03", + "2016-11-04", + "2016-11-05", + "2016-11-06", + "2016-11-07", + "2016-11-08", + "2016-11-09", + "2016-11-10", + "2016-11-11", + "2016-11-12", + "2016-11-13", + "2016-11-14", + "2016-11-15", + "2016-11-16", + "2016-11-17", + "2016-11-18", + "2016-11-19", + "2016-11-20", + "2016-11-21", + "2016-11-22", + "2016-11-23", + "2016-11-24", + "2016-11-25", + "2016-11-26", + "2016-11-27", + "2016-11-28", + "2016-11-29", + "2016-11-30", + "2016-12-01", + "2016-12-02", + "2016-12-03", + "2016-12-04", + "2016-12-05", + "2016-12-06", + "2016-12-07", + "2016-12-08", + "2016-12-09", + "2016-12-10", + "2016-12-11", + "2016-12-12", + "2016-12-13", + "2016-12-14", + "2016-12-15", + "2016-12-16", + "2016-12-17", + "2016-12-18", + "2016-12-19", + "2016-12-20", + "2016-12-21", + "2016-12-22", + "2016-12-23", + "2016-12-24", + "2016-12-25", + "2016-12-26", + "2016-12-27", + "2016-12-28", + "2016-12-29", + "2016-12-30", + "2016-12-31", + "2017-01-01", + "2017-01-02", + "2017-01-03", + "2017-01-04", + "2017-01-05", + "2017-01-06", + "2017-01-07", + "2017-01-08", + "2017-01-09", + "2017-01-10", + "2017-01-11", + "2017-01-12", + "2017-01-13", + "2017-01-14", + "2017-01-15", + "2017-01-16", + "2017-01-17", + "2017-01-18", + "2017-01-19", + "2017-01-20", + "2017-01-21", + "2017-01-22", + "2017-01-23", + "2017-01-24", + "2017-01-25", + "2017-01-26", + "2017-01-27", + "2017-01-28", + "2017-01-29", + "2017-01-30", + "2017-01-31", + "2017-02-01", + "2017-02-02", + "2017-02-03", + "2017-02-04", + "2017-02-05", + "2017-02-06", + "2017-02-07", + "2017-02-08", + "2017-02-09", + "2017-02-10", + "2017-02-11", + "2017-02-12", + "2017-02-13", + "2017-02-14", + "2017-02-15", + "2017-02-16", + "2017-02-17", + "2017-02-18", + "2017-02-19", + "2017-02-20", + "2017-02-21", + "2017-02-22", + "2017-02-23", + "2017-02-24", + "2017-02-25", + "2017-02-26", + "2017-02-27", + "2017-02-28", + "2017-03-01", + "2017-03-02", + "2017-03-03", + "2017-03-04", + "2017-03-05", + "2017-03-06", + "2017-03-07", + "2017-03-08", + "2017-03-09", + "2017-03-10", + "2017-03-11", + "2017-03-12", + "2017-03-13", + "2017-03-14", + "2017-03-15", + "2017-03-16", + "2017-03-17", + "2017-03-18", + "2017-03-19", + "2017-03-20", + "2017-03-21", + "2017-03-22", + "2017-03-23", + "2017-03-24", + "2017-03-25", + "2017-03-26", + "2017-03-27", + "2017-03-28", + "2017-03-29", + "2017-03-30", + "2017-03-31", + "2017-04-01", + "2017-04-02", + "2017-04-03", + "2017-04-04", + "2017-04-05", + "2017-04-06", + "2017-04-07", + "2017-04-08", + "2017-04-09", + "2017-04-10", + "2017-04-11", + "2017-04-12", + "2017-04-13", + "2017-04-14", + "2017-04-15", + "2017-04-16", + "2017-04-17", + "2017-04-18", + "2017-04-19", + "2017-04-20", + "2017-04-21", + "2017-04-22", + "2017-04-23", + "2017-04-24", + "2017-04-25", + "2017-04-26", + "2017-04-27", + "2017-04-28", + "2017-04-29", + "2017-04-30", + "2017-05-01", + "2017-05-02", + "2017-05-03", + "2017-05-04", + "2017-05-05", + "2017-05-06", + "2017-05-07", + "2017-05-08", + "2017-05-09", + "2017-05-10", + "2017-05-11", + "2017-05-12", + "2017-05-13", + "2017-05-14", + "2017-05-15", + "2017-05-16", + "2017-05-17", + "2017-05-18", + "2017-05-19", + "2017-05-20", + "2017-05-21", + "2017-05-22", + "2017-05-23", + "2017-05-24", + "2017-05-25", + "2017-05-26", + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 16, + 11, + 4, + 2, + 1, + 0, + 2, + 1, + 1, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 3, + 1, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 1, + 0, + 0, + 0, + 2, + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 2, + 0, + 0, + 0, + 0, + 2, + 0, + 1, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 1, + 0, + 1, + 3, + 1, + 7, + 3, + 1, + 1, + 1, + 2, + 2, + 0, + 0, + 0, + 1, + 0, + 1, + 1, + 0, + 1, + 0, + 2, + 1, + 1, + 1, + 2, + 0, + 0, + 0, + 1, + 0, + 1, + 2, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 1, + 0, + 1, + 1, + 0, + 0, + 1, + 3, + 3, + 0, + 0, + 0, + 0, + 1, + 1, + 0, + 4, + 0, + 0, + 1, + 0, + 0, + 0, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 0, + 1, + 2, + 4, + 0, + 0, + 1, + 0, + 0, + 0, + 1, + 2, + 1, + 3, + 3, + 0, + 0, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 1, + 2, + 1, + 1, + 3, + 1, + 1, + 2, + 0, + 1, + 0, + 2, + 0, + 0, + 0, + 1, + 1, + 2, + 3, + 2, + 0, + 1, + 0, + 1, + 1, + 1, + 2, + 2, + 2, + 1, + 2, + 0, + 3, + 4, + 2, + 1, + 1, + 1, + 0, + 1, + 1, + 6, + 0, + 1, + 2, + 3, + 3, + 3, + 3, + 1, + 2, + 0, + 4, + 4, + 3, + 3, + 4, + 0, + 3, + 2, + 2, + 2, + 4, + 3, + 0, + 5, + 4, + 2, + 3, + 5, + 4, + 1, + 7, + 4, + 5, + 1, + 4, + 2, + 1, + 3, + 7, + 2, + 3, + 1, + 2, + 1, + 6, + 7, + 2, + 3, + 1, + 2, + 2, + 3, + 8, + 5, + 3, + 5, + 1, + 3, + 3, + 2, + 4, + 7, + 6, + 2, + 3, + 8, + 4, + 7, + 7, + 3, + 8, + 3, + 6, + 5, + 4, + 6, + 4, + 4, + 6, + 3, + 4, + 9, + 2, + 4, + 3, + 2, + 4, + 7, + 8, + 4, + 3, + 2, + 4, + 8, + 1, + 3, + 2, + 4, + 2, + 0, + 9, + 5, + 6, + 5, + 6, + 2, + 1, + 7, + 7, + 6, + 0, + 2, + 2, + 1, + 4, + 4, + 8, + 3, + 5, + 3, + 3, + 2, + 6, + 3, + 7, + 3, + 2, + 3, + 7, + 11, + 2, + 6, + 5, + 2, + 1, + 11, + 7, + 7, + 5, + 7, + 1, + 4, + 6, + 5, + 5, + 7, + 5, + 1, + 3, + 2, + 5, + 6, + 3, + 5, + 3, + 3, + 6, + 9, + 7, + 4, + 2, + 1, + 4, + 4, + 8, + 7, + 9, + 4, + 3, + 2, + 4, + 4, + 4, + 11, + 7, + 6, + 2, + 5, + 6, + 11, + 4, + 2, + 2, + 2, + 4, + 10, + 5, + 3, + 5, + 3, + 1, + 6, + 4, + 6, + 5, + 6, + 5, + 3, + 9, + 7, + 11, + 3, + 7, + 7, + 2, + 10, + 6, + 5, + 11, + 1, + 3, + 3, + 3, + 7, + 10, + 8, + 1, + 7, + 3, + 8, + 7, + 3, + 14, + 4, + 3, + 2, + 7, + 11, + 8, + 12, + 8, + 3, + 7, + 6, + 9, + 9, + 1, + 3, + 2, + 4, + 5, + 6, + 12, + 5, + 8, + 3, + 1, + 7, + 7, + 7, + 9, + 3, + 2, + 7, + 4, + 9, + 12, + 2, + 3, + 3, + 2, + 5, + 1, + 3, + 1, + 1, + 4, + 7, + 4, + 6, + 6, + 5, + 7, + 5, + 7, + 12, + 5, + 8, + 10, + 11, + 2, + 4, + 8, + 7, + 7, + 7, + 10, + 1, + 5, + 9, + 8, + 10, + 5, + 5, + 7, + 3, + 11, + 7, + 10, + 12, + 16, + 4, + 2, + 10, + 5, + 9, + 12, + 4, + 4, + 4, + 15, + 9, + 12, + 10, + 6, + 0, + 4, + 9, + 14, + 9, + 5, + 5, + 6, + 4, + 9, + 6, + 6, + 3, + 2, + 6, + 7, + 4, + 12, + 8, + 9, + 11, + 4, + 5, + 8, + 8, + 4, + 5, + 8, + 5, + 0, + 8, + 13, + 13, + 20, + 10, + 3, + 4, + 9, + 5, + 12, + 7, + 5, + 10, + 9, + 8, + 5, + 10, + 8, + 3, + 4, + 4, + 11, + 11, + 8, + 14, + 11, + 4, + 2, + 10, + 4, + 9, + 8, + 5, + 4, + 6, + 3, + 8, + 9, + 9, + 12, + 9, + 4, + 16, + 17, + 9, + 3, + 6, + 6, + 5, + 11, + 13, + 3, + 7, + 4, + 7, + 9, + 6, + 11, + 14, + 12, + 7, + 5, + 3, + 12, + 12, + 13, + 6, + 7, + 5, + 2, + 8, + 8, + 18, + 16, + 8, + 3, + 7, + 10, + 7, + 11, + 12, + 7, + 7, + 3, + 13, + 6, + 9, + 8, + 8, + 5, + 5, + 11, + 9, + 6, + 10, + 7, + 5, + 5, + 12, + 10, + 11, + 11, + 7, + 3, + 3, + 13, + 11, + 5, + 14, + 5, + 6, + 4, + 11, + 14, + 7, + 5, + 4, + 9, + 5, + 6, + 9, + 8, + 9, + 9, + 5, + 7, + 8, + 7, + 8, + 8, + 11, + 2, + 7, + 9, + 11, + 8, + 7, + 8, + 5, + 4, + 11, + 9, + 10, + 8, + 9, + 3, + 7, + 10, + 8, + 15, + 14, + 11, + 2, + 5, + 12, + 13, + 9, + 12, + 10, + 2, + 4, + 14, + 8, + 8, + 13, + 10, + 7, + 5, + 10, + 13, + 14, + 11, + 5, + 1, + 4, + 10, + 7, + 13, + 16, + 6, + 5, + 2, + 9, + 8, + 15, + 9, + 6, + 3, + 6, + 11, + 12, + 14, + 15, + 9, + 5, + 7, + 16, + 12, + 17, + 9, + 11, + 3, + 7, + 13, + 14, + 19, + 10, + 8, + 6, + 8, + 16, + 16, + 15, + 12, + 18, + 10, + 6, + 22, + 18, + 11, + 19, + 12, + 6, + 9, + 11, + 18, + 21, + 18, + 16, + 9, + 4, + 20, + 12, + 16, + 8, + 14, + 13, + 2, + 18, + 16, + 13, + 8, + 18, + 4, + 7, + 10, + 7, + 12, + 17, + 12, + 9, + 4, + 20, + 19, + 6, + 9, + 9, + 9, + 9, + 12, + 18, + 11, + 13, + 15, + 8, + 11, + 12, + 20, + 10, + 16, + 16, + 5, + 7, + 16, + 22, + 16, + 15, + 15, + 8, + 5, + 15, + 19, + 14, + 6, + 9, + 4, + 7, + 27, + 22, + 23, + 8, + 11, + 11, + 8, + 27, + 17, + 26, + 23, + 17, + 6, + 12, + 24, + 15, + 25, + 19, + 20, + 13, + 12, + 18, + 28, + 33, + 22, + 13, + 6, + 12, + 21, + 27, + 22, + 15, + 20, + 5, + 10, + 17, + 23, + 23, + 14, + 24, + 10, + 7, + 25, + 33, + 27, + 22, + 14, + 11, + 6, + 18, + 26, + 13, + 19, + 15, + 7, + 9, + 38, + 35, + 36, + 15, + 28, + 10, + 11, + 25, + 20, + 25, + 25, + 25, + 13, + 11, + 28, + 22, + 30, + 16, + 22, + 17, + 16, + 23, + 19, + 35, + 27, + 26, + 13, + 9, + 26, + 16, + 26, + 31, + 18, + 4, + 11, + 41, + 33, + 23, + 24, + 18, + 13, + 7, + 33, + 32, + 23, + 17, + 22, + 13, + 7, + 25, + 22, + 28, + 27, + 12, + 6, + 11, + 26, + 29, + 25, + 14, + 18, + 10, + 10, + 30, + 37, + 24, + 34, + 22, + 13, + 9, + 19, + 21, + 39, + 28, + 27, + 12, + 16, + 37, + 28, + 27, + 26, + 32, + 12, + 8, + 31, + 32, + 31, + 34, + 29, + 12, + 10, + 23, + 28, + 26, + 32, + 35, + 11, + 14, + 30, + 34, + 30, + 34, + 26, + 17, + 21, + 27, + 30, + 34, + 31, + 32, + 10, + 27, + 34, + 23, + 27, + 27, + 24, + 22, + 23, + 41, + 26, + 35, + 38, + 29, + 16, + 14, + 27, + 40, + 43, + 31, + 20, + 13, + 15, + 38, + 31, + 33, + 35, + 24, + 22, + 13, + 46, + 39, + 29, + 42, + 37, + 17, + 19, + 36, + 35, + 27, + 32, + 22, + 21, + 15, + 40, + 27, + 32, + 43, + 35, + 18, + 17, + 37, + 39, + 35, + 43, + 23, + 17, + 20, + 33, + 64, + 48, + 39, + 41, + 17, + 26, + 54, + 45, + 44, + 46, + 44, + 27, + 20, + 39, + 35, + 41, + 42, + 33, + 24, + 36, + 37, + 48, + 50, + 45, + 49, + 24, + 17, + 41, + 62, + 47, + 48, + 37, + 24, + 22, + 35, + 37, + 55, + 47, + 40, + 20, + 25, + 41, + 65, + 50, + 54, + 39, + 18, + 18, + 40, + 47, + 62, + 64, + 38, + 26, + 31, + 50, + 61, + 74, + 89, + 61, + 34, + 47, + 76, + 69, + 71, + 60, + 52, + 38, + 29, + 60, + 81, + 73, + 68, + 64, + 35, + 40, + 81, + 72, + 74, + 70, + 53, + 33, + 36, + 62, + 70, + 74, + 72, + 75, + 33, + 45, + 79, + 81, + 74, + 56, + 56, + 33, + 30, + 59, + 73, + 58, + 62, + 47, + 19, + 33, + 57, + 59, + 51, + 35, + 35, + 29, + 31, + 60, + 54, + 61, + 57, + 51, + 29, + 38, + 85, + 69, + 63, + 66, + 52, + 29, + 43, + 55, + 70, + 60, + 58, + 60, + 39, + 37, + 69, + 60, + 45, + 39, + 17, + 26, + 28, + 53, + 52, + 56, + 78, + 42, + 44, + 58, + 94, + 82, + 83, + 90, + 51, + 39, + 53, + 78, + 94, + 79, + 82, + 87, + 46, + 45, + 62, + 65, + 90, + 64, + 88, + 37, + 51, + 76, + 85, + 76, + 69, + 88, + 52, + 54, + 83, + 107, + 89, + 79, + 105, + 37, + 54, + 81, + 98, + 105, + 80, + 84, + 47, + 61, + 93, + 87, + 90, + 80, + 79, + 64, + 61, + 98, + 105, + 101, + 126, + 89, + 56, + 55, + 103, + 108, + 96, + 117, + 87, + 49, + 50, + 89, + 103, + 96, + 108, + 102, + 53, + 51, + 94, + 106, + 95, + 84, + 86, + 46, + 55, + 112, + 116, + 104, + 89, + 74, + 53, + 47, + 87, + 100, + 86, + 116, + 65, + 48, + 53, + 89, + 106, + 100, + 77, + 86, + 43, + 62, + 96, + 98, + 93, + 106, + 91, + 41, + 45, + 101, + 90, + 81, + 92, + 86, + 34, + 51, + 92, + 90, + 104, + 101, + 87, + 38, + 48, + 73, + 100, + 103, + 98, + 75, + 39, + 50, + 89, + 104, + 99, + 106, + 69, + 35, + 49, + 99, + 78, + 104, + 89, + 89, + 46, + 54, + 79, + 91, + 87, + 81, + 84, + 39, + 44, + 78, + 87, + 96, + 99, + 91, + 46, + 67, + 103, + 99, + 112, + 109, + 82, + 50, + 48, + 98, + 95, + 122, + 124, + 105, + 55, + 70, + 116, + 104, + 110, + 99, + 105, + 55, + 74, + 101, + 100, + 114, + 116, + 109, + 54, + 60, + 81, + 102, + 125, + 122, + 106, + 47, + 77, + 105, + 132, + 122, + 125, + 111, + 51, + 55, + 111, + 109, + 104, + 92, + 105, + 60, + 69, + 140, + 118, + 121, + 111, + 108, + 78, + 61, + 140, + 106, + 106, + 133, + 112, + 55, + 60, + 107, + 107, + 92, + 110, + 96, + 71, + 70, + 117, + 129, + 129, + 112, + 97, + 56, + 50, + 123, + 126, + 124, + 103, + 92, + 64, + 66, + 130, + 117, + 117, + 112, + 96, + 66, + 58, + 91, + 121, + 100, + 111, + 111, + 66, + 64, + 139, + 118, + 125, + 123, + 116, + 70, + 73, + 133, + 122, + 150, + 106, + 108, + 53, + 81, + 129, + 142, + 130, + 109, + 120, + 87, + 71, + 134, + 129, + 143, + 139, + 110, + 67, + 78, + 143, + 147, + 133, + 122, + 144, + 68, + 91, + 142, + 143, + 138, + 139, + 132, + 73, + 78, + 152, + 138, + 158, + 131, + 142, + 60, + 81, + 150, + 124, + 131, + 146, + 141, + 67, + 97, + 158, + 160, + 198, + 198, + 147, + 99, + 95, + 156, + 197, + 186, + 171, + 144, + 74, + 74, + 160, + 158, + 177, + 97, + 112, + 83, + 92, + 126, + 165, + 156, + 136, + 148, + 82, + 73, + 164, + 180, + 192, + 145, + 164, + 79, + 85, + 174, + 168, + 164, + 147, + 125, + 78, + 91, + 167, + 182, + 175, + 150, + 113, + 69, + 52, + 109, + 140, + 166, + 149, + 148, + 124, + 114, + 146, + 174, + 190, + 176, + 149, + 124, + 114, + 186, + 197, + 187, + 187, + 180, + 99, + 112, + 181, + 171, + 172, + 172, + 181, + 97, + 153, + 206, + 194, + 195, + 171, + 162, + 123, + 131, + 199, + 203, + 206, + 232, + 190, + 125, + 163, + 195, + 201, + 197, + 220, + 205, + 111, + 100, + 193, + 207, + 188, + 192, + 190, + 139, + 117, + 194, + 224, + 205, + 189, + 196, + 121, + 111, + 225, + 187, + 219, + 231, + 218, + 112, + 119, + 224, + 205, + 219, + 229, + 206, + 124, + 136, + 201, + 227, + 241, + 225, + 189, + 123, + 99, + 205, + 247, + 246, + 228, + 208, + 135, + 129, + 230, + 225, + 238, + 257, + 207, + 125, + 142, + 259, + 221, + 203, + 274, + 221, + 140, + 149, + 238, + 258, + 239, + 250, + 204, + 137, + 143, + 233, + 264, + 278, + 274, + 259, + 178, + 168, + 296, + 306, + 270, + 277, + 262, + 187, + 148, + 305, + 332, + 286, + 284, + 264, + 133, + 136, + 267, + 262, + 264, + 267, + 281, + 205, + 180, + 341, + 345, + 367, + 336, + 301, + 156, + 194, + 341, + 388, + 375, + 298, + 269, + 157, + 172, + 297, + 365, + 407, + 392, + 328, + 170, + 194, + 340, + 348, + 323, + 347, + 291, + 165, + 193, + 349, + 358, + 344, + 336, + 345, + 188, + 243, + 408, + 417, + 422, + 441, + 421, + 277, + 253 + ] + } + ], + "layout": { + "title": { + "text": "Posts on Medium (daily)" + } + } + }, + "text/html": [ + "
\n", + " \n", + " \n", + "
\n", + " \n", + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "plotly_df(daily_df, title='Posts on Medium (daily)')" ] @@ -3517,11 +8544,595 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 86, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.plotly.v1+json": { + "config": { + "linkText": "Export to plot.ly", + "plotlyServerURL": "https://plot.ly", + "responsive": true, + "showLink": false + }, + "data": [ + { + "mode": "lines", + "name": "posts", + "type": "scatter", + "uid": "64915763-197f-4bb4-b4d0-8f593a5a2b18", + "x": [ + "2012-08-19", + "2012-08-26", + "2012-09-02", + "2012-09-09", + "2012-09-16", + "2012-09-23", + "2012-09-30", + "2012-10-07", + "2012-10-14", + "2012-10-21", + "2012-10-28", + "2012-11-04", + "2012-11-11", + "2012-11-18", + "2012-11-25", + "2012-12-02", + "2012-12-09", + "2012-12-16", + "2012-12-23", + "2012-12-30", + "2013-01-06", + "2013-01-13", + "2013-01-20", + "2013-01-27", + "2013-02-03", + "2013-02-10", + "2013-02-17", + "2013-02-24", + "2013-03-03", + "2013-03-10", + "2013-03-17", + "2013-03-24", + "2013-03-31", + "2013-04-07", + "2013-04-14", + "2013-04-21", + "2013-04-28", + "2013-05-05", + "2013-05-12", + "2013-05-19", + "2013-05-26", + "2013-06-02", + "2013-06-09", + "2013-06-16", + "2013-06-23", + "2013-06-30", + "2013-07-07", + "2013-07-14", + "2013-07-21", + "2013-07-28", + "2013-08-04", + "2013-08-11", + "2013-08-18", + "2013-08-25", + "2013-09-01", + "2013-09-08", + "2013-09-15", + "2013-09-22", + "2013-09-29", + "2013-10-06", + "2013-10-13", + "2013-10-20", + "2013-10-27", + "2013-11-03", + "2013-11-10", + "2013-11-17", + "2013-11-24", + "2013-12-01", + "2013-12-08", + "2013-12-15", + "2013-12-22", + "2013-12-29", + "2014-01-05", + "2014-01-12", + "2014-01-19", + "2014-01-26", + "2014-02-02", + "2014-02-09", + "2014-02-16", + "2014-02-23", + "2014-03-02", + "2014-03-09", + "2014-03-16", + "2014-03-23", + "2014-03-30", + "2014-04-06", + "2014-04-13", + "2014-04-20", + "2014-04-27", + "2014-05-04", + "2014-05-11", + "2014-05-18", + "2014-05-25", + "2014-06-01", + "2014-06-08", + "2014-06-15", + "2014-06-22", + "2014-06-29", + "2014-07-06", + "2014-07-13", + "2014-07-20", + "2014-07-27", + "2014-08-03", + "2014-08-10", + "2014-08-17", + "2014-08-24", + "2014-08-31", + "2014-09-07", + "2014-09-14", + "2014-09-21", + "2014-09-28", + "2014-10-05", + "2014-10-12", + "2014-10-19", + "2014-10-26", + "2014-11-02", + "2014-11-09", + "2014-11-16", + "2014-11-23", + "2014-11-30", + "2014-12-07", + "2014-12-14", + "2014-12-21", + "2014-12-28", + "2015-01-04", + "2015-01-11", + "2015-01-18", + "2015-01-25", + "2015-02-01", + "2015-02-08", + "2015-02-15", + "2015-02-22", + "2015-03-01", + "2015-03-08", + "2015-03-15", + "2015-03-22", + "2015-03-29", + "2015-04-05", + "2015-04-12", + "2015-04-19", + "2015-04-26", + "2015-05-03", + "2015-05-10", + "2015-05-17", + "2015-05-24", + "2015-05-31", + "2015-06-07", + "2015-06-14", + "2015-06-21", + "2015-06-28", + "2015-07-05", + "2015-07-12", + "2015-07-19", + "2015-07-26", + "2015-08-02", + "2015-08-09", + "2015-08-16", + "2015-08-23", + "2015-08-30", + "2015-09-06", + "2015-09-13", + "2015-09-20", + "2015-09-27", + "2015-10-04", + "2015-10-11", + "2015-10-18", + "2015-10-25", + "2015-11-01", + "2015-11-08", + "2015-11-15", + "2015-11-22", + "2015-11-29", + "2015-12-06", + "2015-12-13", + "2015-12-20", + "2015-12-27", + "2016-01-03", + "2016-01-10", + "2016-01-17", + "2016-01-24", + "2016-01-31", + "2016-02-07", + "2016-02-14", + "2016-02-21", + "2016-02-28", + "2016-03-06", + "2016-03-13", + "2016-03-20", + "2016-03-27", + "2016-04-03", + "2016-04-10", + "2016-04-17", + "2016-04-24", + "2016-05-01", + "2016-05-08", + "2016-05-15", + "2016-05-22", + "2016-05-29", + "2016-06-05", + "2016-06-12", + "2016-06-19", + "2016-06-26", + "2016-07-03", + "2016-07-10", + "2016-07-17", + "2016-07-24", + "2016-07-31", + "2016-08-07", + "2016-08-14", + "2016-08-21", + "2016-08-28", + "2016-09-04", + "2016-09-11", + "2016-09-18", + "2016-09-25", + "2016-10-02", + "2016-10-09", + "2016-10-16", + "2016-10-23", + "2016-10-30", + "2016-11-06", + "2016-11-13", + "2016-11-20", + "2016-11-27", + "2016-12-04", + "2016-12-11", + "2016-12-18", + "2016-12-25", + "2017-01-01", + "2017-01-08", + "2017-01-15", + "2017-01-22", + "2017-01-29", + "2017-02-05", + "2017-02-12", + "2017-02-19", + "2017-02-26", + "2017-03-05", + "2017-03-12", + "2017-03-19", + "2017-03-26", + "2017-04-02", + "2017-04-09", + "2017-04-16", + "2017-04-23", + "2017-04-30", + "2017-05-07", + "2017-05-14", + "2017-05-21", + "2017-05-28", + "2017-06-04", + "2017-06-11", + "2017-06-18", + "2017-06-25" + ], + "y": [ + 34, + 4, + 1, + 3, + 4, + 2, + 1, + 1, + 3, + 3, + 3, + 3, + 2, + 17, + 6, + 5, + 5, + 5, + 2, + 3, + 6, + 5, + 5, + 4, + 9, + 5, + 8, + 8, + 9, + 4, + 9, + 10, + 13, + 10, + 17, + 18, + 16, + 24, + 24, + 19, + 23, + 28, + 27, + 40, + 35, + 27, + 32, + 20, + 34, + 25, + 30, + 26, + 34, + 42, + 32, + 27, + 33, + 37, + 38, + 32, + 31, + 35, + 46, + 39, + 39, + 41, + 56, + 34, + 40, + 42, + 35, + 22, + 40, + 52, + 45, + 47, + 62, + 48, + 56, + 52, + 39, + 53, + 38, + 71, + 57, + 42, + 61, + 46, + 54, + 62, + 54, + 58, + 57, + 68, + 57, + 54, + 53, + 57, + 58, + 55, + 53, + 51, + 52, + 57, + 65, + 62, + 65, + 58, + 59, + 56, + 73, + 75, + 78, + 93, + 97, + 97, + 85, + 84, + 71, + 81, + 88, + 86, + 97, + 74, + 110, + 128, + 128, + 132, + 120, + 118, + 138, + 107, + 173, + 144, + 151, + 152, + 132, + 159, + 147, + 131, + 132, + 169, + 162, + 170, + 179, + 169, + 192, + 191, + 180, + 199, + 189, + 196, + 229, + 188, + 212, + 214, + 268, + 280, + 250, + 270, + 281, + 259, + 285, + 308, + 416, + 395, + 421, + 419, + 431, + 409, + 351, + 297, + 350, + 407, + 379, + 284, + 383, + 492, + 511, + 457, + 500, + 554, + 556, + 554, + 630, + 610, + 602, + 566, + 595, + 555, + 563, + 570, + 535, + 560, + 538, + 551, + 559, + 505, + 564, + 603, + 669, + 663, + 654, + 660, + 701, + 650, + 737, + 712, + 653, + 690, + 698, + 696, + 664, + 764, + 753, + 788, + 800, + 848, + 845, + 862, + 856, + 1055, + 1002, + 879, + 886, + 1009, + 947, + 908, + 950, + 1073, + 1148, + 1127, + 1182, + 1318, + 1229, + 1226, + 1240, + 1311, + 1343, + 1305, + 1398, + 1424, + 1467, + 1469, + 1654, + 1746, + 1740, + 1726, + 2040, + 2000, + 2153, + 2007, + 2163, + 2639 + ] + } + ], + "layout": { + "title": { + "text": "Posts on Medium (weekly)" + } + } + }, + "text/html": [ + "
\n", + " \n", + " \n", + "
\n", + " \n", + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "weekly_df = daily_df.resample('W').apply(sum)\n", "plotly_df(weekly_df, title='Posts on Medium (weekly)')" @@ -3543,11 +9154,67 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 87, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
posts
published
2015-01-018
2015-01-0211
2015-01-0311
\n", + "
" + ], + "text/plain": [ + " posts\n", + "published \n", + "2015-01-01 8\n", + "2015-01-02 11\n", + "2015-01-03 11" + ] + }, + "execution_count": 87, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "daily_df = daily_df.loc[daily_df.index >= '2015-01-01']\n", "daily_df.head(n=3)" @@ -3591,10 +9258,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 88, + "metadata": {}, "outputs": [], "source": [ "from fbprophet import Prophet\n", @@ -3611,12 +9276,68 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 89, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
dsy
9042017-06-23421
9052017-06-24277
9062017-06-25253
\n", + "
" + ], + "text/plain": [ + " ds y\n", + "904 2017-06-23 421\n", + "905 2017-06-24 277\n", + "906 2017-06-25 253" + ] + }, + "execution_count": 89, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "df = daily_df.reset_index()\n", "df.columns = ['ds', 'y']\n", @@ -3639,11 +9360,66 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 90, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
dsy
8742017-05-24375
8752017-05-25298
8762017-05-26269
\n", + "
" + ], + "text/plain": [ + " ds y\n", + "874 2017-05-24 375\n", + "875 2017-05-25 298\n", + "876 2017-05-26 269" + ] + }, + "execution_count": 90, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "prediction_size = 30\n", "train_df = df[:-prediction_size]\n", @@ -3659,11 +9435,20 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 91, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 91, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "m = Prophet()\n", "m.fit(train_df)" @@ -3678,11 +9463,62 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 92, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
ds
9042017-06-23
9052017-06-24
9062017-06-25
\n", + "
" + ], + "text/plain": [ + " ds\n", + "904 2017-06-23\n", + "905 2017-06-24\n", + "906 2017-06-25" + ] + }, + "execution_count": 92, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "future = m.make_future_dataframe(periods=prediction_size)\n", "future.tail(n=3)" @@ -3697,12 +9533,138 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 93, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
dstrendyhat_loweryhat_uppertrend_lowertrend_upperadditive_termsadditive_terms_loweradditive_terms_upperweeklyweekly_lowerweekly_upperyearlyyearly_loweryearly_uppermultiplicative_termsmultiplicative_terms_lowermultiplicative_terms_upperyhat
9042017-06-23276.049274251.211755302.945913275.739204276.4515582.3591682.3591682.3591683.4788043.4788043.478804-1.119637-1.119637-1.1196370.00.00.0278.408441
9052017-06-24276.750096217.975539266.610956276.417651277.176730-34.950170-34.950170-34.950170-34.026715-34.026715-34.026715-0.923455-0.923455-0.9234550.00.00.0241.799926
9062017-06-25277.450918220.527041270.371419277.082002277.899216-31.310676-31.310676-31.310676-30.582721-30.582721-30.582721-0.727955-0.727955-0.7279550.00.00.0246.140241
\n", + "
" + ], + "text/plain": [ + " ds trend ... multiplicative_terms_upper yhat\n", + "904 2017-06-23 276.049274 ... 0.0 278.408441\n", + "905 2017-06-24 276.750096 ... 0.0 241.799926\n", + "906 2017-06-25 277.450918 ... 0.0 246.140241\n", + "\n", + "[3 rows x 19 columns]" + ] + }, + "execution_count": 93, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "forecast = m.predict(future)\n", "forecast.tail(n=3)" @@ -3724,12 +9686,33 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 94, "metadata": { - "collapsed": true, "scrolled": true }, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "execution_count": 94, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "m.plot(forecast)" ] @@ -3750,11 +9733,31 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 95, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "execution_count": 95, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAoAAAAKACAYAAAAMzckjAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvnQurowAAIABJREFUeJzs3Xl4VPX9Nv579i2zZCaTZLKzJYRNlKCCUhRcQKPUUoUvKq2K+qCoX63ygNhgcaEs2tYWin1qaa3+tNUqlIiCilZU0CKyyRYgCUtCkplksk0yk8yc3x+TDAmEkAmzz/26Li7InJlz3u8zk+Tmc875HJEgCAKIiIiIKG6Iw10AEREREYUWAyARERFRnGEAJCIiIoozDIBEREREcYYBkIiIiCjOMAASERERxRkGQCIiIqI4wwBIREREFGcYAImIiIjiDAMgERERUZxhACQiIiKKMwyARERERHFGGu4CQqWurhkejxDUbdhsVphMSUHdRqjEUi+dYq2nWOsHiL2e2E/ki7We2E9kC1Y/YrEIiYkav14TNwHQ4xGCHgDb2tqDvo1QiaVeOsVaT7HWDxB7PbGfyBdrPbGfyBZJ/fAQMBEREVGcYQAkIiIiijMMgERERERxhgGQiIiIKM4wABIREREF2NayOkx783vsOFUf7lJ6FDdXARMREREFW5OrHUs+O4a/fl8Bi1YOZ7sn3CX1iAGQiIiIKAC2ltXhfz88hJP1rbglz4x5V2ZgVIou3GX1iAGQiIiI6CJ0HfVL0yrw6xsG46fDU6FVRG7MitzKiIiIiCJc11G/aUPNePiKDIxK1UEsEoW7tF4xABIRERH56exRv+U3DsH0YSlIiOBRv66io0oiIiKiCNF11O8n+WbMvdw76ieK8FG/rhgAiYiIiPqg66hfuk6Bl6bm4sdDk6Nm1K+r6KuYiIiIKMS6jvpNH5aM/3N5BkalaKNq1K8rBkAiIiKi8zh71O/lqXmYNtQclaN+XUV39URERERB0m3Ub3gyHizIwCWp0Tvq1xUDIBEREVEXPY363TrUHNHz+vkrdjohIiIiukixPOrXVcgDYF1dHebPn4/jx49DLpcjOzsbS5YsgdFoRF5eHnJzcyEWiwEAy5cvR15eHgBgy5YtWL58OdxuN4YPH46lS5dCpVKFunwiIiKKQfEw6teVONQbFIlEmDNnDjZt2oQNGzYgMzMTK1eu9C1/++23sX79eqxfv94X/pqbm/HLX/4Sa9aswccffwyNRoPXXnst1KUTERFRDNpaVoeJr+3A376vwPThyVh723DMGhXZt3K7WCEPgAaDAVdccYXv69GjR6OioqLX13zxxRcYMWIEcnJyAAAzZ87Ehx9+GMwyiYiIKMY1udoxf9NhTH97NzyCgJen5mHFDbkYbYmuSZ37I6zR1uPx4K233sKkSZN8j919991wu9340Y9+hEceeQRyuRyVlZVIS0vzPSctLQ2VlZV+bctms6KtrT1gtfekrs4W1PWHUiz10inWeoq1foDY64n9RL5Y64n99N03Fc0o+rISFU1tuHmgDrOHGzEsSQRHvQ2OIG0zWP3IZFKYTAl+vSasAfC5556DWq3GXXfdBQD4/PPPYbFY0NTUhKeeegqrVq3C448/HpBtmUxJ8HiEgKyrN8nJKUHfRqjEUi+dYq2nWOsHiL2e2E/ki7We2E/vwn2uXzDeH7HY/9HKsAXAZcuWoby8HGvWrPFd9GGxWAAACQkJuP3227F27Vrf4998843vtRUVFb7nEhEREfVFvFzh2xchPwcQAF5++WXs27cPq1atglwuBwDU19ejtbUVANDe3o5NmzYhPz8fADBhwgTs3bsXZWVlALwXikydOjUcpRMREVGUiedz/c4n5COAJSUlePXVV5GTk4OZM2cCADIyMjBnzhwUFRVBJBKhvb0dl156KR577DEA3hHBJUuW4MEHH4TH40F+fj4WLVoU6tKJiIgoynDUr2chD4BDhgzBoUOHely2YcOG877uuuuuw3XXXRessoiIiCiGxOo9fAOFe4GIiIhiCkf9LowBkIiIiGICR/36jnuEiIiIoh5H/fzDAEhERERRi6N+/cO9Q0RERFGJo379xwBIREREUYWjfhePe4qIiIiiBkf9AoMBkIiIiCIeR/0Ci3uNiIiIIhpH/QKPAZCIiIgikqPNg/mbDncb9bt1qBlajvpdNO5BIiIiijhby+rwaPExVDS1cdQvCBgAiYiIKGJ0PdfPopHyXL8g4d4kIiKiiHD2uX53DFTjmmGpHPULAgZAIiIiCquervC9dagZLfU2hr8gYQAkIiKisOntCt+WcBcXwxgAiYiIKOQ4r194cS8TERFRSHFev/BjACQiIqKQON+5fpzXL/RCusfr6uowf/58HD9+HHK5HNnZ2ViyZAmMRiN27dqFoqIiOJ1OpKenY8WKFTCZTADQ6zIiIiKKfBz1iyziUG5MJBJhzpw52LRpEzZs2IDMzEysXLkSHo8HTz31FIqKirBp0yYUFBRg5cqVANDrMiIiIopsTa52zN90GNPf3g2PIODlqXlYfkMuRlt0DH9hFNIAaDAYcMUVV/i+Hj16NCoqKrBv3z4oFAoUFBQAAGbOnImPPvoIAHpdRkRERJFra1kdJr62A3/7vgLThydj7W3DMWtUKg/5RoCwvQMejwdvvfUWJk2ahMrKSqSlpfmWGY1GeDwe2O32XpcZDIY+b89ms6KtrT2gPZytrs4W1PWHUiz10inWeoq1foDY64n9RL5Y6ylS+nG0efDSf6vwj4N2WDRSPHtVKm4coEOCpAU1NX2f3CVS+gmUYPUjk0lhMiX49ZqwBcDnnnsOarUad911Fz7++OOgb89kSoLHIwR9O8nJKUHfRqjEUi+dYq2nWOsHiL2e2E/ki7Wewt1PoM/1C3c/gRaMfsRi//dtWALgsmXLUF5ejjVr1kAsFsNisaCiosK3vLa2FmKxGAaDoddlREREFBk4r190Cek5gADw8ssvY9++fVi1ahXkcjkAYMSIEWhtbcWOHTsAAG+//TamTJlywWVEREQUfuc714/hL3KF9J0pKSnBq6++ipycHMycORMAkJGRgVWrVmH58uVYvHhxt6leAEAsFp93GREREYUP5/WLXiF9h4YMGYJDhw71uOyyyy7Dhg0b/F5GREREocd5/aIbIzoRERH1Gc/1iw18t4iIiKhPOOoXOxgAiYiIqFc81y/28J0jIiKi8+KoX2xiACQiIqJzcNQvtvFdJCIiom446hf7GACJiIgIAK/wjSd8R4mIiIijfnGGAZCIiCiO8Vy/+MR3l4iIKE5x1C9+MQASERHFGY76UZ/e6W3btvVpZePGjbuoYoiIiCi4OOpHQB8D4KJFi7p9XV1dDQAwGAyw2+0AgJSUFHz66acBLo+IiIgCgaN+1FWf3vUtW7b4/r1mzRrY7XY89thjUKlUaGlpwSuvvAKDwRC0IomIiKj/OOpHZ/M79v/1r3/F1q1bIZPJAAAqlQpPPPEEJkyYgAcffDDgBRIREVH/cNSPzsfvT4BarcaePXswZswY32N79+6FSqUKaGFERETUfxz1o974HQAfffRRzJkzB5MmTUJqaipOnz6Nzz77DEVFRcGoj4iIiPzAUT/qC78/DT/+8Y8xYsQIbNq0CdXV1RgwYADmzp2LwYMHB6M+IiIi6qNvKprx7L9KOepHF9Sv/w4MHjy434Fv2bJl2LRpE06dOoUNGzYgNzcXADBp0iTI5XIoFAoAwJNPPokJEyYAAHbt2oWioiI4nU6kp6djxYoVMJlM/do+ERFRrOE9fMlffn8y7HY7/vKXv+DAgQNwOBzdlr355psXfP3kyZMxe/Zs3Hnnnecse+WVV3yBsJPH48FTTz2FpUuXoqCgAKtXr8bKlSuxdOlSf0snIiKKOV3P9bt5oA6PTRjMUT+6IL8D4C9+8Qu4XC5MnTq1Xxd+FBQU+PX8ffv2QaFQ+F43c+ZMTJ48mQGQiIjiWk+jfuNMAgZadOEujaKA3wHw+++/x/bt2yGXywNezJNPPglBEDBmzBg88cQT0Ol0qKysRFpamu85RqMRHo8Hdrvdr7kHbTYr2traA15zV3V1tqCuP5RiqZdOsdZTrPUDxF5P7CfyRWtP31Q0o+jLSlQ0teHmgTrMHm7EsCQR7PY6VFdLwl1ewETr+3M+wepHJpPCZErw6zV+B8C8vDycPn0aWVlZ/r60V2+++SYsFgtcLhdeeOEFLFmyBCtXrgzY+k2mJHg8QsDWdz7JySlB30aoxFIvnWKtp1jrB4i9nthP5Iumni50ha9IJIqqfvqC/VyYWOz/4X6/A+CVV16JOXPm4Cc/+QmSkpK6LfvpT3/qdwGdLBYLAEAul2PWrFmYO3eu7/GKigrf82prayEWi3nnESIiiiuc148Cye8AuGPHDqSkpOCrr77q9rhIJOp3AHQ4HHC73dBqtRAEARs3bkR+fj4AYMSIEWhtbcWOHTtQUFCAt99+G1OmTOnXdoiIiKIN5/WjYPD70/P3v//9ojb4/PPPY/PmzbBarbjnnntgMBiwZs0aPPLII3C73fB4PBg0aBAWL14MABCLxVi+fDkWL17cbRoYIiKiWMdRPwqWfv33oa6uDv/5z39gtVoxZ84cVFVVQRAEpKamXvC1zzzzDJ555plzHl+3bt15X3PZZZdhw4YN/SmViIgo6nDUj4JN7O8Lvv32W0yZMgUbNmzAqlWrAADl5eV49tlnA10bERFR3NlaVoeJr+3A376vwPThyVh723DMGpXK8EcB5fen6cUXX8Rvf/tbjBs3DmPHjgUAXHLJJdizZ0/AiyMiIooXHPWjUPL7U3Xq1CmMGzcOAHznIMhkMrjd7sBWRkREFAfcHgHFh2qw5PNjPNePQsbvADho0CBs3brVd59eAPj666/PuYUbERERnV9Lmxtv7z2N1d+eQLm9FVl6JUf9KGT8/oQtWLAADz74IK655hq0traiqKgIW7ZswerVq4NRHxERUUyxt7Zh7c4K/L8dJ2F1tGFokhpF1w7E5AFGDDVrOOpHIeF3ABw9ejT+/e9/49///jemT58Oi8WCd999t09XABMREcWrUw2tePW/J/H3XZVobnNjbLoOC340AFdnGZCTqIKYwY9CyK8A6Ha78fOf/xyvvfYa7r///mDVREREFDMOWZvxh+3H8a/91fAIAq4dYMQdI1IwLtOAVK0i3OVRnPIrAEokEpw8eRIejydY9RAREcWE7SfsWPXNCWw6YoNSKkZhnhnThyXj8gw9ElWycJdHcc7vQ8APP/wwnn32WTzyyCNITU3tdq6CWOz3tIJEREQxwyMI2HzEht9vP47/nmqATiHB7NEW3Do0GWPSdNDIJeEukQhAPwJg51081q9f73tMEASIRCIcOHAgcJURERFFidZ2N9btr8aqb0/gkNWBFI0cD1+RiZtzkzAqVQu5hAMkFFn8DoBPPvkkpk6d2u0xQRCwefPmgBVFREQU6epa2vDxURs+PGzFZ6W1cLR5MDBRhQUTcnBzXhKGmDS8sIMilt8BcPXq1ZgzZ845j69Zswb33ntvQIoiIiKKROX2FnxUYsVHJTZsP2GHWwBMahkmDTRiQnYibhhkRLpeFe4yiS6ozwFw27ZtALxXAm/fvh2CIPiWnTx5EhqNJvDVERERhZEgCNhb1YSNh634qMSK/TXNAIAcgxIzRqZifJYBl1m0yNAroZTy/D6KHn0OgIsWLQIAuFwuPP30077HRSIRzGaz79xAIiKiaNbm9uDrE3Z8eNiKTSU2nGp0QiwChicn4IGCDIzP0uOSVC1SExSQiHmIl6JTnwPgli1bAADz58/H8uXLg1YQERFRqFkdLnxVbseHJVZ8ctSGBqcbCokIY9J0mDkqFVdm6jEiOQEmtTzcpRIFhN/nADL8ERFRNGt0tmP36UZ8X9mIb8pqcKD2GE40OAEAeoUU4zINGJ9lwPgsPQYbNZy6hWIS7zZNREQxq7XdjX1VTdhV6Q18uyobcaTWgc6z2JPVUuQnazE1Nwn55gSMy9QjU6+EjNO2UIxjACQiopjQ7HLjSK0De6vOhL0DNc1o93jjnlElQ16SGldlpyHXpMZQswZ6TzNyM9OgkDLwUXwJeQBctmwZNm3ahFOnTmHDhg3Izc0FAJSWlmLBggWw2+0wGAxYtmwZcnJyLriMiIjihyAIqG524YjNgcM2B47YHCip9f59suMwLgBo5RIMSVLj9hEpyDNpMDTJG/iMalm3q3Wrq10MfxSXQh4AJ0+ejNmzZ+POO+/s9vjixYsxa9YsTJs2DevXr0dRURFef/31Cy4jIqLY0+7xoKyuFSVdAl6JrRklNgcanG7f81RSMTL1SuQlaXD9YBMy9UoMSlRhRHICkjRyqGQ8f4+oJyEPgAUFBec8ZrPZsH//fqxduxYAUFhYiOeeew61tbUQBOG8y4xGY0hrJyKiwGp0tuNIrQMlHaN5naN6pXUtaPOcmW/WpJYhS6/ENQOMyNQrkdXlj14lg14h5UgekR8i4hzAyspKpKSkQCLx/k9NIpEgOTkZlZWVEAThvMsYAImIIp8gCDjd5PKO5nWM4nUGvsoml+95EhGQrlMiU6/EZWk6b8AzKJGlU8KiU0CvlEKnkPL2akQBEBEBMBRsNiva2tqDuo26OltQ1x9KsdRLp1jrKdb6AWKvp3jrp80t4HijC8fsTpTWu1Bqd+FYvROldhcc7R7f81RSEdK1MuQmynBNphrpCXKka2XI0sqRqJJAK5dA1W00zwm4nHC5AGuIe4o27CeyBasfmUwKkynBr9dERAC0WCyoqqqC2+2GRCKB2+1GdXU1LBYLBEE47zJ/mExJ8HQ5nBAsyckpQd9GqMRSL51iradY6weIvZ5isZ/61jbfCF5JrQMlVu/fZXUtcHf5MWvWyJCpV+KGId7RvMyOP9kGBQxKGfRKKaTi8B+2jcX3KJawnwsT9+OONBERAE0mE/Lz81FcXIxp06ahuLgY+fn5vkO8vS0jIqLA8wgCKhqcvgswDtsc2F9pR3njUVQ3nzlsKxOLkK5TIFOvxJUZ3jn0sgwq5BiUsGgV0Cuk0MglEPGwLVFECXkAfP7557F582ZYrVbcc889MBgM+OCDD/Dss89iwYIFWL16NXQ6HZYtW+Z7TW/LiIio/1rb3Sita/Gdl+cb2bM50NLlsK1WLoFFI8VlaVrfRRiZeiUGJqphVPMiDKJoIxIEIfjHRSOAzdYU9EPA1dVVMTNUHUu9dIq1nmKtHyD2eoqkfupa2s7Mm9flYozj9a3o+qMxNUHuO1TbGfKyOy7GaGuyY1CGJaYuwoik9ygQ2E9kC1Y/YrEoOs8BJCKii+cRBJyob+0S8hy+efRsjjbf82RiUce5eCpMyE70Bb7BJhVSNArolFKoe5g/r7qtMabCH1E8YwAkIooyLW1uHK1twZFaBw5bm8/Mo1fbAmeXw7Z6hRSZeiWu6Dg3r3NUb5BRBaNKBp1CynveEsUpBkAioggkCAJsLW2+K2y7TpR8sr4VnUdtRQBStXJk6VW4Jc/sC3kDEpXI0qugV0qRwIswiOgsDIBERGHk9ggor28597CtzQF765m5SxUS72HbwUYVrh2QiCyDCll6JYYY1TAnyHkRBhH5hQGQiCgEml1uHK09N+Qdq3PA1WXyvESl97Dt1dkG32HbHL0Sg0xqJKpk0MqlkPRjzi8ioq4YAImIAkQQBFQ3u3zhbs9JK061nMZhqwMVjU7f88QiwKJVIEuvxI9Tk32HbYeY1MjQKaHtmDuPiChYGACJiPzU5vag3N7qu8L2SMe0KodtDjQ63b7nKSUiZBlUyDdrcMNgk+/etrlJapjVcl6EQURhwwBIRHQeznYPjtY6cMjajENWBw5avXPnldW1oK3L5HkmtfeWZ9cMMHpDnl6JAYkqGDzNGJRh4UUYRBRxGACJKO61uT04WtuCQ9ZmHLQ2e/+uaUZpl3vbikVAulaBLIMKY9LO3Ns2L0mNNJ0SOoUESmn3w7bV1W3QKvhjlogiD38yEVHcaPd4UFrXgoM1zTho9Y7sHegIeu0dI3qd5+flGFS4PEOPbIMKAwxKDE9JgFkj50UYRBQTGACJKOa4PQLK7N6g13n49kBNE47Wnjl02zl/XnbHiF6OQYkhJg1GpGiQrFHwIgwiimkMgEQUtTrn0DtU4/Advj1Y470zRtepVVITvEHvtmFa5BhUGGJSY1RKAlK1Cqh6uOUZEVGsYwAkoojXeY/bznPzDlodvqDX2uXWZ8kaObINSkwbmoxsgxKDjWqMSk1Amk7Z471tiYjiFQMgEUUMoWvQszbjUI3378NWB1q6BL0ktQzZBhVuzk1CtkGFQUYVRqYkIEOvRIKcP9aIiC6EPymJKOQEQUBFo7NjRK/r4dsmtLSfOXRrVMmQY1BiypAk5CQqMcCgwqhULTL1Sk6tQkR0ERgAiShoBEFAVZOr29QqBzsuymhynZkw2aCUIidRhYmZCchLTUSOwTuil21QQqeQMugREQUYAyARXbTOW6AdsjrOOXzb0OXOGHqFFNkGJa4daESOQYlsgwqjUhKQk6iCTiGFtaYayckpYeyEiCg+MAASkV9qml0dU6t0zKXXEfTsre2+52gVEuQYVPhRTiKyDSrkGFQYnqLBwEQ19ArOo0dEFG4MgETUo9qWNl+463r4trblTNDTyCTITlRifJYBOQYVsg1KDE/WYGCiCgaVDFIx73NLRBSJIioATpo0CXK5HAqFAgDw5JNPYsKECdi1axeKiorgdDqRnp6OFStWwGQyhblaothgb23rmDDZ4RvZO1DTDKujzfcctUyM7I47Y3iDngr5ZjUGG9VIVMkgkzDoERFFk4gKgADwyiuvIDc31/e1x+PBU089haVLl6KgoACrV6/GypUrsXTp0jBWSRR9GlrbfaN5nYdvD9Y0o7rZ5XuOUipGjkGJMWm6jkO33nvdDjGpkaiSQyFl0CMiigURFwDPtm/fPigUChQUFAAAZs6cicmTJzMAEp1Hk7Mdh2yObodvD9Q043RT96CXqVfiktQE3zl6Q0xqDDV7R/SUUk6aTEQUyyIuAD755JMQBAFjxozBE088gcrKSqSlpfmWG41GeDwe2O12GAyGPq/XZrOira39wk+8CHV1tqCuP5RiqZdOsdZTRY0Ve2tacNTuxJE6J47anSipc+J085nPuUwsQrpWhiEGGa7NVCNTK8cAgxyDDQoYlFKouo3otQEt9WhoARpC3w6A2HuP2E/ki7We2E9kC1Y/MpkUJlOCX6+JqAD45ptvwmKxwOVy4YUXXsCSJUtw/fXXB2TdJlMSPB7hwk+8SLE0hUUs9dIpGntytLlxxObw3ee2c5qVE/VOADUAvEEvU6/EsBQdphqUyOm4O8bQJA1Majk08ugZ0YvG96g37CfyxVpP7CeyBaMfcT9mVoioAGixWAAAcrkcs2bNwty5czF79mxUVFT4nlNbWwuxWOzX6B9RNGhtd6PE5jhzMUbHIdxyeys6/+siFYuQoVNgUKIa41JVGJpmxMBEFYaZE5CklkHDu2MQEVEfREwAdDgccLvd0Gq1EAQBGzduRH5+PkaMGIHW1lbs2LEDBQUFePvttzFlypRwl0vUb63tbhyxteCQtRmHbWduhVZmb0HnILVEBGTovRMld86lN8CgxDCzBuYEBRLkEtRw0mQiIuqniAmANpsNjzzyCNxuNzweDwYNGoTFixdDLBZj+fLlWLx4cbdpYIgiXUvHodtDtjPTqxyyOlDeJeiJRUC6VoHsRBXGZRl8d8cYkayBWSOHViGFmCN6REQUYBETADMzM7Fu3boel1122WXYsGFDiCsi6puu5+gd7jKXXtdDtxIRkK5TItugxFVZBmR3BL18swbJGjl0vDsGERGFUMQEQKJI1+xyo8R2ZsLkw1ZHx8UY556jl9Vx6DbLoEK2XomhSWqYExS8DRoREUUEBkCiszQ623Gk1juP3pnDtw6cqG/1Pacz6A1MVOGaAYnIMaiQ1TFpskkth14h5d0xiIgoYjEAUtxp93hQ0eBEub0V5fUt3r/t3r/L7C2oa+k+j16mXolBRhUmDzQiq2OKldwkNZLUcugUEt7vloiIog4DIMWk+tY2X7Ar6/i7pLoBlY5SnGxwor3LnJASEZCSoECqVo7xmQZYtAqk6xQYYFAhz6xBklrGizGIiCimMABSVHG5PahucqG62funqqnzbyeqm12obHSirK4V9c7ud33RKSQwqyTIMWhwZUfIs2jlsCQokG1QwaCUIkEhgUbGefSIiCj2MQBS2AmCAHtruzfUNblQ1fF3dbMLVc3OM/9ucsHe2vPt/PQKKRJVUiSp5fhRTiIsWjlStQpYEhQYkKhEaoICLQ21yEmz8CIMIiKKewyAFDQXGq2r6fKYy33ubfpkYhFMahmMKhmS1HLkmjQwqmUwqqQwqmRIVMmQrJEjTSuHTiGDSiaGRn7+c/KqWyUMf0RERGAAJD8FarTOqJYhUSXFULMG47MMvkBn6vg7JUEGs0YOtUwCtUwClUzMiy2IiIgChAGQAJw7Wneksg4th1u6jdadbvL+3dNonVwiglHVZbQuSdPxtbRbuEvTyaGVe0frlFJvsOPFFURERKHFABjD+jRa1/F4X0br8s0aXNUxWtf5J1ElQ6rWG/rUMglUHaGOc+ARERFFLgbAKNSXc+v6PFqnkSPXfO5onczVjGFZKdDKpVDJJFBKxVBKxbxCloiIKAYwAEaIQI/WDTNrkNjDaF26To4ktRyqjvPqVNKeL4yorq5CclJCsNsmIiKiMGAADLI+jdY1ulDtcKHNr9E674hdokqGFI0caVoFdEoplFIxVDIJ5DwES0REROfBABggX5XXYf3eKjQKNu9IXUfQO99onUHpDW+JKimGJWtwlar7aF2SRoY0rQJJahnU8o5gx0OwREREFAAMgAHyt10V+OBQnW/euuQEOfJ6GK1L0ypgSZBDq5RBLRVDyelNiIiIKMQYAAPk1VuH4WD5KVhSU6CSSqCQMtQRERFRZGIADBCRyHvXCoNSFu5SiIiIiHrFYSoiIiKiOBM1AbC0tBQzZszAjTfeiBkzZqCsrCzcJRERERFFpah1WQRmAAAgAElEQVQJgIsXL8asWbOwadMmzJo1C0VFReEuiYiIiCgqRUUAtNls2L9/PwoLCwEAhYWF2L9/P2pra8NcGREREVH0iYqLQCorK5GSkgKJRAIAkEgkSE5ORmVlJYxGY5/WUV9vR3t7z3PyBYrD0Yi6uqjYpRcUS710irWeYq0fIPZ6Yj+RL9Z6Yj+RLVj9SKVSmEz+3b0rdvbqBQwcmBGCreSEYBuhkhPuAoIgJ9wFBFhOuAsIgpxwFxBgOeEuIMBywl1AEOSEu4AAywl3AQGWE+4CAiwn3AX4RMUhYIvFgqqqKrjdbgCA2+1GdXU1LBZLmCsjIiIiij5REQBNJhPy8/NRXFwMACguLkZ+fn6fD/8SERER0RkiQRCEcBfRF0ePHsWCBQvQ0NAAnU6HZcuWYeDAgeEui4iIiCjqRE0AJCIiIqLAiIpDwEREREQUOAyARERERHGGAZCIiIgozjAAEhEREcUZBkAiIiKiOBM3dwLpqq6uDvPnz8fx48chl8uRnZ2NJUuWwGg0YteuXSgqKoLT6UR6ejpWrFgBk8kEAPjFL36Bb775BjU1Ndi5cyc0Go1vnXl5ecjNzYVY7M3Uy5cvR15eXo/b37JlC5YvXw63243hw4dj6dKlUKlUcLlcmDt3Lvbt2wcA+Oabb6K2l06CIOCee+7BgQMHorqfjz76CH/84x99zzt9+jTGjh2LP/zhDxHd04U+Uxd6/0LZk91ux5IlS/DDDz9AKpVi6tSpmDdvXo/b7+82orGfTgsXLsR7773Xp54itZ+dO3fiV7/6le95NpsNZrMZ77//fq/9REJPva2nL+9fsPvxd99G+vdQIPvpFM7voUD1czHfQ+cQ4lBdXZ2wfft239e//vWvhYULFwput1u47rrrhP/+97+CIAjCqlWrhAULFvie9/XXXwtWq1XIzc0Vmpqauq2zp8d60tTUJIwfP14oLS0VBEEQnn76aeH3v/+9IAiC0NbWJnz11VfC/v37hcsvvzyqe+n0+uuvCwsXLoyZfjpNmzZN+PDDDyO+p94+U/70G4qeHnzwQWHt2rW+r6urq3vc9sVsIxr7EQRB+PTTT4WFCxf2uadI76fT3LlzhT//+c8X7CfcPfW2Hn/6DXY/XfW2b6PleyhQ/QhCZHwPBbKfvqznQuIyAJ7to48+En72s58Ju3fvFm6++Wbf4zabTRg9evQ5z7+YX8gbN24UHnjgAd/Xe/bsEW666aZuzzlx4kSfA9PZIqmX0tJSYcaMGUJpaWlM9NNp3759whVXXCE4nU5/WvEJZU+devpM9bXfvrjYnkpLS4Vrr71W8Hg8F9zWxey3voqkfmpra4XbbrtNaGho6HdPkdRPJ6vVKowaNUqoqanxtx1BEELbU2/r6ev2LyQQPxc6XWjfRsP3UFcX208kfA8Fsp++rudC4v4cQI/Hg7feeguTJk1CZWUl0tLSfMuMRiM8Hg/sdnuf1nX33Xdj2rRpeOmll+ByuXp8ztnbSEtLQ2Vl5cU10SGSevF4PHjmmWewePFiSKX9O9Mgkvrp6l//+hduueUWyOVyPzsKfU+9CdRnMRA9HTlyBCkpKVi0aBFuu+023H///SgpKelT3f7ut2jrZ8mSJXj00Ueh1Wpjop9O69atw1VXXYWkpKSI76k3gfg8BvLnAnDhfRsN30NdXWw/kfA9FMh++rqeC4n7APjcc89BrVbjrrvuuqj1fP7553jvvffw5ptv4siRI1i1alWAKuy7SOrltddew9ixY5Gfn9/vOiKpn04ulwvFxcWYPn16v14fiT1drED05PF4sHv3bvzkJz/B+++/j9tvvx1z584NYJV9F0n9bNy4ETKZDNdcc02/a4mkfrp67733wvp9FGufua4uZt8GQiT1EynfQ10F6v252PXEdQBctmwZysvL8dvf/hZisRgWiwUVFRW+5bW1tRCLxTAYDBdcl8ViAQAkJCTg9ttvx86dOwEAv/rVrzBt2jRMmzYNx44dO2cbFRUVvtfGUi87duzA+++/j0mTJmHWrFloaGjApEmT0NTUFJX9dPr444+RkZGBoUOH9qmPcPd0oXVc7GcxUD1ZLBZYLBYUFBQAAG644QbU1NSgtrYWf/zjH309bd++/aL2W7T18+2332L79u2YNGkSJk2aBAAoLCzEkSNHorKfTrt27UJ9fT0mTpzYpz7C3dOF1nMxn8dA/lwAet630fg9FKh+IuV7KFD99LYev/XrwHEMeOmll4S77rpLcDgcvsfcbrcwefLkC554efaxfbvdLrS0tAiC4D3pfv78+cILL7zQ43YbGxuFcePG9Xrivb/nAEZyL7HWzz333CO88cYbfe4l3D116uk96Ov7F4qePB6PUFhYKBw+fFgQBEH49ttvhQkTJvR4flZ/txHt/fjbUyT388wzzwjLli3rUx+R0FNv6/Hn/QtmP536sm+j4XsoGP3421Mk99Pf76GuRIIgCP2Pj9GppKQEhYWFyMnJgVKpBABkZGRg1apV2LlzJxYvXtzt0uvO4+vz5s3Dnj17UFVVheTkZOTm5uK1117D999/j6KiIohEIrS3t+PSSy/F008/fd7LzD/55BOsWLECHo8H+fn5+PWvfw21Wg0AmD59OqqqqnyXdk+YMAEvvPBCVPbS6eTJk5g+fXqfpoGJ5H4qKysxdepUfPHFF9DpdBfsJVJ66u0z1Zf3LxQ9AcDevXvxq1/9Ci6XCyqVCosWLcKoUaN63H5/txGN/XSVl5fXpyksIrmf1tZWXHXVVfjnP/+JQYMG9dpHJPXU23r6+v4Fux9/9m00fA8Fqp+uwvk9FKh++vs9dLa4DIBERERE8SyuzwEkIiIiikcMgERERERxhgGQiIiIKM4wABIRERHFGQZAIiIiojjDAEhEFEALFizAb37zm3CXQUTUKwZAIiIiojjDAEhEREQUZ6ThLoCIKJrt378fixYtQllZGSZOnAiRSATAe//OhQsX4rvvvoNYLMbgwYPxxhtvQCzm/7uJKPz4k4iIqJ9cLhcefvhhTJs2Dd9++y2mTJmCzZs3AwDWrl2LlJQUbNu2DV999RWeeOIJXzgkIgo3BkAion7avXs32tra8LOf/QwymQxTpkzByJEjAQBSqRQ1NTWoqKiATCZDQUEBAyARRQwGQCKifqqurkZKSkq3YJeWlgYAuO+++5CdnY17770XkydPxp/+9KdwlUlEdA4GQCKifjKbzaiqqoIgCL7HKioqAAAJCQlYsGABPv30U/zxj3/E2rVrsW3btnCVSkTUDQMgEVE/jR49GlKpFK+//jra2tqwefNm7N27FwDw2Wefoby8HIIgQKvVQiKR8BAwEUUMkdD1v65EROSXvXv34pe//CXKy8sxceJEAEB2djYSExPx+uuvo7a2FjqdDjNmzMDDDz8c5mqJiLwYAImIiIjiDA8BExEREcUZBkAiIiKiOMMASERERBRnGACJiIiI4gwDIBEREVGcYQAkIiIiijMMgERERERxhgGQiIiIKM4wABIRERHFGQZAIiIiojjDAEhEREQUZxgAiYiIiOIMAyARERFRnGEAJCIiIoozDIBEREREcYYBkIiIiCjOMAASERERxRkGQCIiIqI4wwBIREREFGek4S4gVOrqmuHxCEHdhs1mhcmUFNRtxCPu1+Dhvg0O7tfg4b4NDu7X4AnFvhWLRUhM1Pj1mrgJgB6PEPQA2NbWHvRtxCPu1+Dhvg0O7tfg4b4NDu7X4InUfctDwERERERxhgGQiIiIKM4wABIRERHFmbg5B5AoXrW5PahsdKKi0YlTDU6canSioqEVFY1ONDpaIZefhkgkAgCIOl7T8eWZryE65zGIziw7+zWiXpbhrOUi3wrPXXb2a878+wL1dl3e27Kz6+5DvZ0LzrdMJALczhYMtXiQbVAi26CCRauARHxWo0REYcQASBTF3B4B1c0unOoIdKcanKhocOJUY6s37DU4UdPswtmnHyfIJUhSyyAVeSB1epd2Pkfo+EfX1whCz8/xLT/reb2ur8u6zr8+4ZzHz15P53NwzuNdXyf0+Npz1yngrJf0UL/Qw+vOXp/3EZdbgLDL6ntcKhYhXadAjkGFnESVNxjqVcjpCIg6JX8UE1Fo8acOUYQSBAFWR1tHsGvtCHZdAl69E1XNLrSfdXWZUiqGWSOHWSPDZWlamNXyjq/lSE2QIztRCbNaDo1MgoY6K1KSU7zbOyvOnB3KvM85u8aennPug+cLjL0/pw8F9LOmvmy/53X30FsP6zldXY1GiQZHbC042dCKykYnKptcqGx0YmdlAxqd7m6v0SukyDYokZOoQo5B5Rs5zDYoka5TQCrm2TpEFFgMgERhIAgC6p3t3UbsKjpG7HyBr9EJl7t7vJCJRUjSyGBWyzHUrMGEnEQka+Qdf2TITlQhVSOHRi6FWi6+YHBwiEVdDk3yEGWgCBoZRiYnYnxWYvfHBQGONg8qGltRYnPgWG0LKpuc3oDY6MKOUw344FANur7tEhFg0XpHDwckdg+H2QYVDEqp77A2EVFfMQASBUGTq737iF2XQ7TekNcKR5un22vEIsCklsGskSPHoMLYdL1vJM+skSNbr0SaTgGtQgqNTAKZhKNC0UYkEkEjl2CISYMhpnMnbW33eFDf2o6jtS0osTXjRL0Tp7sExH3VTbC3tnd7jVYuQZZBiQEGFbLPCogZOiXk/JwQUQ8YAIn81NruRoUv2HUfsTvVEfYazjrEBwBGldR7GFYrx8iUBJg7Ru3MGjky9Epk6pTQKb3hTiHlL+14JBWLYVLLYVLLcXmG/pzljjY3qppcOGxtxrHaFlT4wqETu083YtMRG9q6nBIgFgEpCfIuo4fdA6JJJePoIVGcYgAk6qLd48HpRpfvStlT3YJeK07WO2FraTvndTqFxHee3WCTEcnqMyN36ToFsvRKGFQyaGQSKKVi/tKlflHLJBiQ6A1zZ3N7BDQ421Ba24qSWgeO17f6wmFlkxMflVhR29J+1vrEyNIru4TDMxemZOgVUEoloWqNiEKMAZDihkcQUNPs6nYY9swInnfkrrrZhbPv2KOWiZHcEe6uyOw4LNtxqNaiVSBbr4RJ472oQi1juKPwkIhFSFTJkZgux2XpunOWt7Z7Rw9LbM04WtuCio7DyqebnDhQ04wtx2rh7HLyoQje0UPf4eWzRg+TNXJ+1omiGAMgxQRBEFDb0uY7765bwOu4yOJ0o6vb4TEAUEhEvpG7UanaM4dl1XLfobPkBG+4U8kknMuNopZSKvGN8l03qPsyjyCgsbUd5fUtOGxzoNze6jvv8HSTE58eq4XV0XbW+sTI7Bg9zDnrwpRMvRJqGUcPiSIZAyBFhYbW9jNXyvZwYUVFoxOt7d0vqpCKRUjqGKkbYtJgfKbBF/ZSNHJkG5SwaBVI6OMVs0SxSiwSQa+SYZRKhlGp544eOts9sDpcKLE5cKTWgYoG72Hl040uHLE5sLWsDi1nff8lqWXIPuvK5c6gmJIgh5ijh0RhxQBIEe2jEiseKT6Eeue5V8waVWcuoLg0Tdtx3p33T6ZegUy9ElqFFGqZhFdCEl0EhVSMdJ0S6Tolrhlg7LbMIwhodrbjeIMTJbZmlNZ5Rw87r17+oqwOVkf3UyvkEhEydJ2jh90PLWcZlEiQ81cTUbDxu4wi1ulGJx774CD0cgnuGGnxTWicoVMgy6CCXimFWibmiepEYSQWiaBVyjBcKcPw5IRzlrvcHtgcro6pbRw41XAmHJbbW7DthP2cKZGMKhmyDEoM7HLXlM6QKOtpxm4i8hsDIEUkQRDw+IeH4Ghzo2h8Gu68fEi4SyKifpBLxLBolbBolbg6+9yJsTvnzDxsc6C0rgWVja6Ow8tObDthx7oD3UcPU9RS3JrfiJtyk3BFpp6nbhD1EwMgRaS/7arAp8dqMe+KTEzMVIe7HCIKApFIBK1ChjyzDHnmc0cP29we2FvbccTWjCO1LSizt+DrUiv++n0F/t93p6BXSnHjYBNuzjVj4oBEXnhC5AcGQIo4x2odWPzpUYxJ0+G+y9IgczaEuyQiCgOZROw7r3dcx231qoclQKRJxLr9VdhSWoeNh634574qKCRiXDMgEYV5Zlw/2ASjShbm6okiGwMgRZR2jwcPFx+EVCzCk1dlI12vQnU1AyARnWHWyHH/2EzcPzYTza52fHDIio+P2vD1cTs2HbFBLAIuz9Djljwzpg5JQoZeGe6SiSIOAyBFlN9vP4HvKhrw9I8GYOKAxAu/gIjimkYuxR0jU3HHyFS0uz3YUlqLjYet+Pq4HYs+OYJFnxzBMLMGtwz1hsF8s4YTWBOBAZAiyJ7TjVjxZRmuGZCIOy+x8ORuIvKLVCLGDYOTcMPgJAiCgB0VDVh3oBpfHbdj+dYyLNtahkydAjfnmXFTbhLGpus5uTvFrYgKgMuWLcOmTZtw6tQpbNiwAbm5uQCA0tJSLFiwAHa7HQaDAcuWLUNOTk54i6WAam134+HiA9ArpXjkyiyYNfJwl0REUUwkEmFsuh5j0/UAgBJbM/71QxW2ltvx2nensOa/J5GolGLKkCQU5pkxIcfAKaUorkRUAJw8eTJmz56NO++8s9vjixcvxqxZszBt2jSsX78eRUVFeP3118NUJQXDC/8pxSGrAy9ePxhXZRnCXQ4RxZghJg0W/GggFsA7x+h7+6vxn7JarD9Yjbf2noZKKsa1A43ei0gGGaFX8iISim0RFQALCgrOecxms2H//v1Yu3YtAKCwsBDPPfccamtrYTQaz3k+RZ8vy+vw6n9P4pahZtw+PIW3iCKioErVKvDQFZl46IpMNDrbseFQNT45Wouvj9dj42ErJCIRxmXqUdhx3qBFqwh3yUQBF1EBsCeVlZVISUmBROIdmpdIJEhOTkZlZaVfAdBms6KtrT1YZQIA6upsQV1/LGp0ufHQv4/BopFi1hA1nA21OPuiX+7X4OG+DQ7u1+AJxr69LlWC61LNaLvShK0nm/FpeQO+rWzCgs12LNhcgnyjAtcP0GFythYD9fKYvIiEn9ngCcW+lcmkMJnOnUuzNxEfAAPFZEqCxxP8WwglJ6cEfRuxZEnxAVQ72vHbm4Zi0rCU8/5g5X4NHu7b4OB+DZ5g7tuZFmDmWO9dSrafrMe/D9Tgq+N2vPJdDV75rgY5BiUK88yYmpuEMWm6mDpiwc9s8AR734r7cTFTxAdAi8WCqqoquN1uSCQSuN1uVFdXw2KxhLs0ukjFh2rwz31VuPMSC27JM8fk/6qJKDqJRCKMyzRgXKb3nOSDNU149wfvFcV//PYE/vDNCZjUMtw0JAk35yXhqqxEKKScuYCiR8QHQJPJhPz8fBQXF2PatGkoLi5Gfn4+z/+LclVNTjz50WEMMakxd2w6NHJefUdEkWuoOQHPXOM9xFbR0Ip391fhizI73v2hCn/fXQm1TIzJA024ZagZkwcaoVVE/K9XinMiQRCCf1y0j55//nls3rwZVqsViYmJMBgM+OCDD3D06FEsWLAADQ0N0Ol0WLZsGQYOHOjXum22pqAfAq6uruIQeh8IgoC7392Hz8tqsfqWfNw6NLnX53O/Bg/3bXBwvwZPpO1be2sbNhyswafHavH1cTvsre2QikW4KsuAwjwzpgwxISUh8i8iibT9GktCsW/FYpHf5wBGVAAMJgbAyPHG7go88eFhzL08Awt/NOCCc29xvwYP921wcL8GTyTvW2e7G5tKbNh0xIavjttR0eiECMBoixaFHZNPDzKqw11mjyJ5v0a7SA2AHKOmkCqzt+CXnxzFpRYt5oxJ58SrRBQzFFIJbs1Pxq35yXB7PNh2vB7/PuS9iOS5z4/huc+PYWCiCrcM9YbBS1K1MXURCUUXBkAKGbdHwLziAwCAJ6/OQaZeFeaKiIiCQyIW4+qcRFyd472n+d6qRry333sRye+3H8fvth1HskaOm3KTcFNuEq7KMkAm4UUkFDoMgBQyq749gW9PNuD/TsjBNR0/FImI4sHIFC1GpmgBACfqW/DuD9XYWl6Ht/eexl+/r0CCXILrB5lQmJeEawcakSDnr2cKLn7CKCT2VTVh2RelmJBtwF2XWPg/XSKKW5l6FR4fn43Hx2ejzuHCuoM12HKsFp8es+H9A9WQS0SYkJ2Iwjwzbhhs4r3RKSgYACnonO0ePFx8AAlyCR4blx0VV8QREYVColqOey5Lxz2XpaOlzY2PSqzY3HERyafHaiECMCZNh1uGeiefzjHw1BkKDAZACrpfby3FgZpmPD95MK7ONoS7HCKiiKSSSXDbsBTcNiwFbo8HW8vtKO64iGTxlqNYvOUock1qFOYl4eZcM0akJHACfeo3BkAKqm3H7Vj9zQncnJuEO0am8Io3IqI+kIjFuGaAEdcMMEIQBOw+3Yj3Oy4i+e2243j56+OwJMhxc8f0Mldm6iEV89Qa6jsGQAqaRmc75n1wEBatAg9fkQmDUhbukoiIoo5IJMJoiw6jLToAQFldC975oQpfltfh9V0V+PN3p6BTSHHjYBNuzkvCNQOMUMs4xRb1jgGQgqbo0yM41dCKl6fmYUyaLtzlEBHFhJxEFZ66OgdPXZ0Dq8OF9/dX47PSWnxUYsU7P1RBIRFjYk4iCvOScMOQJBhV/M83nYsBkILioxIr3txzGjNHpuLWoWaep0JEFARJajnuL8jA/QUZaHa1Y+NhKz4+6r2IZPNRG8SiQxibrsctHbely+JFJNSBAZACzupw4YkPD2GQUYWHLs/gfFZERCGgkUtx+4hU3D4iFe1uDz4rrcXGw1Z8ddyOZz49gmc+PYJ8swa35HmvKB5m1vA/53GMv5kpoARBwC8+PIz61na8eP0QDDX7d29CIiK6eFKJGNcPTsL1g5MgCAK+q2jAugPei0hWfFmG5V+WIV2nQGFuEm7OMyNbJoS7ZAoxBkAKqH/sPY0PS6x4oCADU4aYwl0OEVHcE4lEKEjXoyBdDwA4amvGO/ur8VW5HX/ZWYFXd5xChlaGTT9PhFnDeVrjBa8Zp4A5bm/B058cwaiUBNxfkA6llFehERFFmkEmDRZMGIANd12KnQ9diWcmDkBVcxtmvbMXbW5PuMujEGEApIDwCAIe/eAgPIKA+RNykM0TjYmIIl5KggKPjstG0XgLdp9uwqMfHAx3SRQiPARMAbHm25P4+kQ9nrwqG9cOMIa7HCIi8sNtuQaUtYjx2nenMDI1AQ9dnhXukijIOAJIF+1ATRNe/OIYxmfqMXu0BTIJP1ZERNHm+cmDMT5Tj+c+O4bPS2vDXQ4FGX9T00VxuT14aMMBqGUS/O/4bKRqleEuiYiI+kEiFuFv00cgTavAA+v3o6yuJdwlURAxANJFWfFlGX6obsbj47MxITsx3OUQEdFF0CtlePuOUWhzC/ifd/ag2eUOd0kUJFETAEtLSzFjxgzceOONmDFjBsrKysJdUtz79mQ9fr/9OKYMMWHmyFRIxJxQlIgo2g1J0mDNrfk4VtuCe97fB0HgHIGxKGoC4OLFizFr1ixs2rQJs2bNQlFRUbhLimtNrnbMKz6AZI0cD1+ehUTea5KIKGbcOCQJ/3dCDj4vrcNznx8LdzkUBFERAG02G/bv34/CwkIAQGFhIfbv34/aWp6kGi7PbjmKcnsr5k/IweUZunCXQ0REAfb4+GwU5iXhD9+cwPv7q8JdDgVYVATAyspKpKSkQCLxTiwskUiQnJyMysrKMFcWnz45asPruypx+4gU/HhoMu8lSUQUg0QiEVYV5mNokhr/u/EQ9lU1hrskCqC4mQfQZrOira09qNuoq7MFdf2RoK61HY8WH0OWVoYZg9Rw1NvgCPY242C/hgv3bXBwvwYP921w9LZfV01Ow/R1pfiff+zGv348AAZl3ESHgAjFZ1Ymk8JkSvDrNVHxLlosFlRVVcHtdkMikcDtdqO6uhoWi6XP6zCZkuDxBP9E1uTklKBvI1wEQcDCdfthd3rw/PW5mJAful5jeb+GG/dtcHC/Bg/3bXCcb78mA3jzdi1+/P/twqOfnUbx3ZdCKo6KA4gRI9ifWXE/LsKMinfQZDIhPz8fxcXFAIDi4mLk5+fDaOQdJ0Lp3R+qsOFQDX52aRqmDkkKdzlERBQiYzP0WHr9EOysbMQTHx4OdzkUAFExAggAzz77LBYsWIDVq1dDp9Nh2bJl4S4prpxqaMXCj0swIjkBD4xJh0omCXdJREQUQrMvTcPeqkb8bVclRqYk4P6CjHCXRBchagLgoEGD8M4774S7jLjkEQQ8+sFBtLkFzJ+QgwFGdbhLIiKiMFh6wxActDbj2S1HkW/W4GreACBq9fkQ8IsvvogDBw4EsxaKUH/ecQpby+34P5dnYtJAHnYnIopXUrEYr08fCbNGjvve/wEn61vDXRL1U58DoMfjwX333YfCwkL86U9/wunTp4NZF0WIw9ZmPPefY7gyQ497LrVALomK00aJiChIElUyvH3HSDjdHsz45x60tPF2cdGoz7/Nn3nmGWzduhW/+MUvcPDgQUydOhU///nPsW7dOjQ3NwezRgqTNrcHDxcfgEIiwuPjs5GqVYa7JCIiigBDzQlYVZiPIzYH5qz7gbeLi0J+DedIJBJce+21ePnll/HPf/4TtbW1WLBgAa6++mosWrQIVVWcKTyWvPRVOXafbsL/js/Gj3J4ngcREZ1xc54ZT1yVjY+P1mLpF6XhLof85FcAbGpqwjvvvIO7774bd911Fy655BK8+eab2LhxI9RqNebMmROsOinEvqtowO+2leP6QUbMGmWBpB9zDBERUWybf3UObhxswu+2HceGg9XhLof80OergB999FFs3boVY8eOxf/8z//guuuug1wu9y1fuHAhxowZE5QiKbQcbW7MKz4Ak1qOeVdkwaiShbskIiKKQCKRCK9OG4br//odHvngIAYb1chP9u+OFBQefR4BvOSSS7B582b86U9/wlJXHAQAACAASURBVE033dQt/AGAWCzG119/HfACKfSWfHYUR2tb8NTVObgyUx/ucoiIKIKpZRL8445RkEvEuPPdvbC3tIW7JOqDPgfA++67D2azudfnqFSqiy6Iwuuz0lr8ZWcFpg9Lxk+GJUMk4qFfIiLqXYZeib/+ZARON7lw57t74Q7BrVfp4vR6CHjixIl9CgCff/55oOqhMKpracNjHxxEtkGJhy7PhFYRNfOEExFRmI3PMuD5yYOw4OMjeGrTYbw8NS/cJVEvev0Nv2LFilDVQRFgweYS1DS78IfCfIxM1Ya7HCIiijL3jsnAnqomvLHbe7u4ey5LD3dJdB69BsDLL7/c9++qqiqkpKSc8xzeHSQ2vL+/Cu8fqMbPL03D1NykcJdDRERRasWNuThkbcYznxxBvlmDKzMN4S6JeuDXOYB2u73bY3v27MH9998f8KIotCobnZi/qQT5Zg0eGJsBtUwS7pKIiChKySRivPHTkTCpZbjn/R9Q2cjbxUWiPgfAO+64A/fee6/vrh87d+7EQw89hBdeeCFoxVHwCYKAxzYehNPtwfwJORhsVIe7JCIiinImtRxv3TESzS43ZvxjL1rbebu4SNPnADh79mxMmjQJDzzwAP7zn/9g3rx5WL58OSZOnBjM+ijI/rKzAp+X1uGBggxcP8gU7nKIiChGDE/W4vc3D8VBazMeXL+ft4uLMH7dCWTevHkYOXIkHn/8cfzud7/D+PHjg1UXhcARmwO/+uwoxqbrcO9laZBL/Po4EBER9WpafjIevTILH5bYsPKrsnCXQ134PQ2Mx+OBIAh46qmnfI9xGpjo0+7xYF7xAcgkIjxxVTbSdMpwl0RERDHo6YkDsL+6CS99VY4RyQmYmtv7nMIUGpwGJk79bttx7KxsxDMTB2BiTmK4yyEiohglFonwpx8Pw3Vrv8PDxQfx0Ww1cpM04S4r7vV5GhiKHbtPN+Klr8oxaaARsy6xQCrmoV8iIgqeBLkU/5gxCpPX7sCsd/bis3sLeLOBMOvzb36Xy4Xf/OY3mDx5MsaMGQMA+PLLL/HGG28ErTgKvJY2Nx7acAAGpRTzrshEklp+4RcRERFdpGyDCmtvG4FTDa248x3eLi7c+hwAX3zxRRw+fBgrV670nRc4ZMgQvPXWW0ErjgLvhf8cQ4nNgaeuzsH4LE7OSUREoTMhJxHPThqE7Sfr8fTHJeEuJ671OQB+8skneOmll3DppZdC3HHIMCUlBVVVVRddxPr163HLLbdg2LBh54wotrS0/P/s3Xd0VFX38PHvzKSRQiopQEhCSaGEFgJIFSmhJqEFEHwEG1VAEEEQBRuojyj6KCKKIl2KGDrSVaogRQgJkFASSEihhCSknfcPfsxLFDBAJjPJ7M9arMVk7r1nz86dyZ5z7j2HMWPG0KFDB8LCwti+fftjt2eudiVkMPdgIhFBlehZ2x1tMdZ5FkIIIUrSiyFV6VPHg/mHk1h4JMnY4ZitYheAlpaWFBQUncgxPT0dJ6fH70UKCgpi1qxZdOvW7R/PffPNN9jb27NlyxbmzJnDlClT9JNRi+K7lpPHy+ti8K5ozfBQbxxtLI0dkhBCCDOk0WiY1SWABp4OTNocx8HEa8YOySwVuwAMCwvjtdde48KFCwCkpKQwffp0unbt+thB+Pv7U7NmTX3P4t02bNhAVFQUAL6+vtStW5ddu3Y9dpvmZtKW01zOvMVrrf2o7+lg7HCEEEKYMSudlkV96uFoY8l/Vh4nOfOWsUMyO8W+BWfs2LF89NFH9OjRg+zsbDp16kSfPn0YMWKEIeMjKSmJKlWq6B97eXlx+fLlhz5OWloqeXn5JRnaP2RkpBn0+I9qc/x1VvyVTO8AJ0KdFVeupBg7pIdiqnktDyS3hiF5NRzJrWEYK6//e6oyT687R+/Fh1jS3bdcLkhQGrm1tLTA1dX+ofYpdgFoZWXF66+/zuuvv056ejrOzs7/mCT6fiIjI0lKuvc4/++//45OpytuGI/M1dWNwlK448jd3cPgbTyM5MxbvL0njgA3W8a2qoVvGZ17ydTyWp5Ibg1D8mo4klvDMEZe3d3hk8IKDF97krf2pfN1eO1i1xZliaFzq9U+fM4eahKeM2fOsHHjRtLS0pg6dSpnz54lNzeXwMDAB+63evXqhw7sjsqVK5OYmIiLiwsAly5domnTpo98PHOilGLM+lPczCtgQks/apXR4k8IIUT51buuB8dSbvDl/ovUdT/PmCd8jB2SWSh2X+uGDRsYOHAgycnJ/PTTTwDcvHmTGTNmGCw4uH3t4bJlywBISEjg2LFjtGrVyqBtlhcL/rzE1rPpvBBSlY41XY0djhBCCHFPbz5Zgza+zszYHc+W0zLMXxqKXQDOnj2b+fPnM336dP2QbWBgIDExMY8dxNq1a2ndujUbN27k008/pXXr1pw+fRqA5557juvXr9OhQwdeeuklpk+fjr39w41zm6OzGVlM3XaaRl4OPNeoMtYW5e+6CiGEEOWDVqPh28g6eDvaMDT6BGfTs4wdUrlX7CHg9PR0AgICAPTj8xqNpkTG6rt163bPKWAAbG1tmT179mO3YU4KChUj18ag02gY39KXqo4VjB2SEEII8UAO1hYsj6pP+/kH6bf8KNsGh2Avy8UZTLG7herUqcOaNWuK/GzdunUEBweXeFDi8Xy+7zwHE68zqlk12vo5GzscIYQQolj8nCvwdURtzl/LYdDK4xQqWS7OUIpdWk+ZMoUhQ4awYsUKsrKyeO6554iPj+fbb781ZHziIR1LvsEHuxNo4+vM08GeWNxjbkUhhBDCVLWr7sqUNtWZvuMsU7ee5p32tYwdUrlU7AIwNzeXDRs2sH37dtq2bYuXlxdt27bFzk7uLDUVOfkFjIg+iYO1BS83r4a7vbWxQxJCCCEe2oim3hxNzmTuwUTqedgTVc/L2CGVO8UuAIcOHUp2djaNGzcmNDQUX19fbG1tDRmbeEjv74onJjWL99rXpEW1x1+iTwghhDAGjUbDZ10DOZ2Wxaub4ghws6OBV0Vjh1WuFHt8cMeOHaxYsYL27dtz6tQpRo8eTZMmTXjppZcMGZ8opt/PX2XO/ot0D6hE77oeaMvhRJpCCCHMh7WFliV962FvpWPQiuNcuSnLxZWkh7pAzNvbm4YNG9KgQQPq16+PTqcjLU3m6zG2G7fyGbX2JJUrWjM8tCpONpbGDkkIIYR4bB721izsXY+MnDz6Lz9GXkGhsUMqN4pdAI4ZM4a2bdvy2muvceHCBXr06MHWrVtZsWKFIeMTxTD5l9Mk3rjFhJa+NKosXeRCCCHKj0aVK/JRWABHkzMZte7x5x4WtxW7ADxx4gRarZbAwED9P5mQ2fjWx15h6bHL9K/nSffASuVyDUUhhBDmrV89T15oXIVVJ1L4377zxg6nXCj2TSCbN28mJSWFgwcPcuDAAebOncutW7cICQnh3XffNWSM4j5SbubyyoZYarpUYGiTqthbyYSZQgghyqfpT9Xk5JWbvLPjLLXd7XnSz8XYIZVpD3UNoLu7O35+fvj4+FClShWuXLnCrl27DBWbeAClFOM2nOLGrXwmtvYjoJL0xgohhCi/dFoN3/WsS5WKNrz40wkSMrKNHVKZVuwCcOjQoYSGhjJ8+HBOnDhBu3btWLVqFbt37zZkfOI+Fh+9zKbTaTzXuAoda7oaOxwhhBDC4CraWLAsKpj8QkW/5Ue5mVtg7JDKrGKPGXbs2JHJkyfj7e1tyHhEMSRczWbKL6dp4OnA842rYGOhM3ZIQgghRKmo4WLL1xFBDFxxnMGrjrMsKliuf38Exe4B7NmzpxR/JqCgUPHy2hgUildb+lLNqYKxQxJCCCFKVfsabkxs5cuOhAymbz9j7HDKJFkotoz58sAF9l68xsim1Wjr52zscIQQQgijGN3ch+4Bbvxv/0VWnUg2djhljhSAZchfKZnM2BVPSx8nBjXwwlInvz4hhBDmSaPR8L/uQQRVsmPs+lMcu3zD2CGVKVJBlBG38gsZEX0SO0sdY5r74GFvbeyQhBBCCKOysdCxrG8wFSx1PL3iGGlZucYOqcyQArCM+ODXeE5cucm4Fr609HEydjhCCCGESfB0sGZh77qkZeXR/0dZLq64pAAsA/ZeuMrney/Qxd+NPnU90MrdTkIIIYReSBVHZnaqxZ+XbjB2wyljh1MmSAFo4jJv5TNybQyeDlaMbOqNcwVLY4ckhBBCmJyB9SvzbMPKLD+ezFcHLhg7HJNnEgXgtGnTCAsLo0ePHvTr149jx47pn0tNTWXIkCF06tSJHj16cOTIESNGWvqmbjvDhWs5TGjpR+PKFY0djhBCCGGy3utQk6ZVHZm2/Sy7EtKNHY5JM4kCsHXr1kRHR/Pzzz/z0ksvMXbsWP1z//3vfwkJCWHTpk1MnTqVV199FaWUEaMtPZviUll45BJ963oQHlhJJroUQgghHsBCq+WH3nXxsLfi+Z9OcOGaLBd3PyZRAD755JNYWt4e2mzQoAGXL1+msPD2RZwbN26kX79+AISEhGBlZVWkh7C8Ss3KZeyGU1R3rsCwUG/srYu9aIsQQghhtpxsLFnapx65BYX0W36MrDxZLu5eTK6qWLRoEW3btkWr1ZKRkYFSChcXF/3zXl5eXL58meDg4Ic6blpaKnl5+SUdbhEZGWklchylFGO2JXI1J4/XQivhxk1SUm6WyLHLopLKq/gnya1hSF4NR3JrGOUtr87AjNaVGb31IoOWHeKLDt5GG0UrjdxaWlrg6mr/UPuUSgEYGRlJUlLSPZ/7/fff0elur2W7bt06oqOjWbRoUYnH4OrqRmGh4YeO3d09HvsYS49dZuu5G7wQUoW+jatTwVLW+i2JvIp7k9wahuTVcCS3hlHe8trP3YMLOTo+/O0c805mMbltdaPFYujcarUPX9yWSgG4evXqf91my5YtzJo1i++++w43NzcAnJ1vL3WWnp6u7wW8dOkSnp6ehgvWyC5cy2HyljjqedjzfKMqUvwJIYQQj2h8S1+Op2Qye+956nrYEx7kbuyQTIZJXAO4fft23n//fb755huqVq1a5LmwsDCWLl0KwMGDB8nJyaFu3brGCNPgCpVi9LoY8gsVr7b0xc/F1tghCSGEEGWWRqPhyx61qeVqy+j1MZxIyTR2SCbDJArASZMmkZeXx8svv0x4eDjh4eFkZGQAMG7cOPbv30/Hjh2ZNm0aH3zwAVqtSYRd4uYeuMiv568yvKk3T1V3+fcdhBBCCPFAtpY6lkUFY63TMuDHY2Rky3JxYCI3gezdu/e+z1WqVInvvvuu9IIxkpgrN3l351maezvynwZeWOrKZ5ErhBBClLYqFW34rmddei09woAfjxM9sAEW5bQzqbjM+9WbiNyCQkasPUkFSx1jn/DB08HG2CEJIYQQ5Urzak68274mfyRdZ/zGWGOHY3RSAJqA//6WwLHkTMY+4UMrH2djhyOEEEKUS4MbVWFgfU8WH73M/EOJxg7HqKQANLIDidf4dM95OtV0JaqeJ7pHuJVbCCGEEMUzs6M/IZUrMuWX0+y5kGHscIxGCkAjuplbwMi1MVSytWJEU29cKlgaOyQhhBCiXLPUaVnYpx5udpYMXnWCpOs5xg7JKKQANKK3tp8hISObV1v50rSqo7HDEUIIIcyCSwVLlvQJJjuvgH7Lj5KTb37LxUkBaCRbz6Tx/eEketXxIDLI3WhL1AghhBDmqLa7PZ93CyQmNYsXfjqBUoZfLcyUSAFoBOnZeYxefwpfJxuGh1bFwdokZuMRQgghzEr3QHfGNK/GptNpfPhrgrHDKVVSAJYypRQTNsWSnp3Ha638qOvhYOyQhBBCCLM1qbUf7Wu48PHv51gfe8XY4ZQaKQBL2aoTKfwcc4VnGnjR2d/N2OEIIYQQZk2j0fB1eB38nCswIjqGU6nmsVycFIClKOl6DhM3x1Hb3Y4XQ6pia6kzdkhCCCGE2bOz0rE8qj4WWg0Dlh/jek6+sUMyOCkAS0mhUry8/hS3Cgp5raUf1V1sjR2SEEIIIf6Pt6MN83vWIenGLZ5ecZSCwvJ9U4gUgKXk2z8S2ZWQwdAmVXmqhouxwxFCCCHE37T0cWbaUzXZd/E6E7fEGTscg5ICsBTEpd1k2o6zNK3qyOCGlbHSSdqFEEIIU/RiSFWi6nrw/eEkfvgzydjhGIxUIgaWV1DIiOgYrHUaXnnCB6+KNsYOSQghhBAP8N/OATT0cmDSljj2X7xm7HAMQgpAA5v1+zn+vHyDMc19aO3rbOxwhBBCCPEvrHRaFvWph7ONJc+uOs7lG7eMHVKJkwLQgA4lXWfW7+doX8OF/sGe6LSy2ocQQghRFrjZWrGkbz0yc28vF3crv9DYIZUoKQANJCuvgBFrT+Jia8moUG9cba2MHZIQQgghHkJdDwc+6RzAiSs3GfZz+VouTgpAA3l7+1nOpGczvoUvzao5GTscIYQQQjyCnnU8GNG0KmtjU5m157yxwykxUgAawI74dL45lEhkkDu9anug0cjQrxBCCFFWvdG2Bk/6OfPB7ng2n041djglwiQKwC+//JLu3bsTERFBeHg469ev1z+XnZ3NmDFj6NChA2FhYWzfvt2Ikf67qzl5jF4XQzVHG0Y09aaijYWxQxJCCCHEY9BqNHwTUYdqjjYM+/kkp9NuGjukx2YS1cnAgQMZNmwYAMnJyXTu3JkWLVrg6OjIN998g729PVu2bCEhIYGnn36azZs3Y2dnZ+So723i5jhSbuYyu2sgwZ4Oxg5HCCGEECXA3tqC5f3q89T8gwz48RjbBodgb20SZdQjMYkeQAeH/18oZWVlodFoKCy8fbfNhg0biIqKAsDX15e6deuya9cuo8T5bzaevc6qEykMbFCZrgGVjB2OEEIIIUqQr1MFvomozflrOQxaeZzCMnxTiMmUrkuWLOH777/n8uXLvPfeezg7354zLykpiSpVqui38/Ly4vLlyw99/LS0VPLyDLe4c0pWHtN+S6KmsxW9/WzIzEgl02CtmZeMjDRjh1BuSW4NQ/JqOJJbw5C8Fl9tOxgb4s5/D6Qw7uejTGru+cDtSyO3lpYWuLraP9Q+pVIARkZGkpR07+VUfv/9d3Q6Hf3796d///6cOnWK8ePH07x5c30RWBJcXd0oNODCzlN/PsGtQpjcthZN/aX3r6S5u3sYO4RyS3JrGJJXw5HcGobktfgmtHMn/iYsOpFCUz93+gV7PXB7Q+dW+wjzDJdKAbh69epibxsQEIC7uzv79++nU6dOVK5cmcTERFxcXAC4dOkSTZs2NVSoj6xpVUdq2kP7Gq7GDkUIIYQQBqTRaPi0SyBxaVlM2BxHgJsdDStXNHZYD8UkrgE8ffq0/v8XLlzg5MmT1KxZE4CwsDCWLVsGQEJCAseOHaNVq1ZGifNBBjeqwjN1XbG2MImUCiGEEMKArC20LOkbjIOVjkErj5OSWbaWizOJawA/++wzTp8+jYWFBTqdjilTplCjRg0AnnvuOSZOnEiHDh3QarVMnz4de/uHG+cWQgghhChp7nZWLOxTj+4LD9P/x2NseKYRVrqy0RFkEgXgp59+et/nbG1tmT17dilGI4QQQghRPA29KvLfsABGrYth1NqTfBVex9ghFUvZKFOFEEIIIUxUVD1PXgypwuqTV/hsb9lYLk4KQCGEEEKIxzStXU1a+jjx3s6zbDtr+tPqSAEohBBCCPGYdFoN30XWpUpFG15ac5KEjGxjh/RAUgAKIYQQQpSAijYWLI8KpkAp+i0/Smau4RageFxSAAohhBBClJDqLrbMDQ8i4Wo2z676y2SXi5MCUAghhBCiBLWv4cbE1n7sSshg/Zlrxg7nnkxiGhghhBBCiPJkdLNq+DpVwF1rmtcCSg+gEEIIIUQJ02g0RAS5U9PZxtih3JMUgEIIIYQQZkYKQCGEEEIIMyMFoBBCCCGEmZECUAghhBDCzJjNXcBarcbgbVhaWpRKO+ZG8mo4klvDkLwajuTWMCSvhlMauX2U42uUMtEZCoUQQgghhEHIELAQQgghhJmRAlAIIYQQwsxIASiEEEIIYWakABRCCCGEMDNSAAohhBBCmBkpAIUQQgghzIwUgEIIIYQQZkYKQCGEEEIIMyMFoBBCCCGEmTH7AnDDhg1EREQQHh5OWFgY48aNK7FjX7x4kaZNm5bY8cqKdu3a0bJlSwoKCvQ/W7VqFQEBASxcuLDE2hk0aBDbt28vseOZqj59+hAeHk6XLl2oXbs24eHhhIeHM2nSJIO2279/f3bt2mXQNgxl1qxZvPnmm/rH27dvJyAggLi4OP3PXnrpJX788cdiH3Pfvn307NmzROO8n4kTJ5boe6U03O88bdeuXanl7Y6AgABu3rxZqm0aW7t27QgLC9Pn/b333rvnduHh4eTk5Nz3GLGxsYYM0yQ9bB1w8eJFli1bVmLtr1q1ipdffrnEjldcZrMW8L2kpKQwbdo0Vq9ejZeXF0opTp48aeywygV3d3d+/fVX2rRpA8Dq1aupU6eOkaMqm+4UKRcvXqRXr16sWbPGyBGZvmbNmjF9+nT94/3791O/fn32799PrVq1KCgo4I8//mDy5MlGjLJ8ud95um/fPmbOnPnYxy8oKECn0z32ccqz2bNn4+/vf8/n8vPzsbCwkM+Pv3mUOiAxMZFly5YRFRX10O3d+T2YArPuAUxNTcXCwgInJycANBoNtWvX/kfP3d2P7/x/1qxZRERE0KlTJw4ePKjfdtGiRXTo0IHIyEhWrFih/3l+fj7PPfccPXv2pGvXrkyaNInc3FwAunXrxtGjR/Xbzp8/nzfeeMOgr93QIiMjWbVqFQAXLlwgKytL/8F08+ZNJk2aRLdu3ejWrRtff/21fr9BgwYxc+ZM+vfvz1NPPcVHH32kf+706dP06dOHrl27MnbsWG7duqV/7ttvv6VXr15EREQQFRWlfwPPmzePadOm6bdLTU3liSeeIDs726CvvzT8+OOPjB079p6PlVLMmTOH3r17ExERwbBhw0hLSwNg8+bNdOvWjfDwcLp166Y/f2NjY+nduzddu3Zl3Lhx+vMT4Ouvv6Z3796Eh4cTFRVFTEwMAF999RXvvPOOfrvk5GRatGhx3x6G0tKwYUMuXrxIamoqAAcOHGD48OHs27cPgBMnTmBvb0+1atXYuXMn/fr1o2fPnkRFRfHnn3/qjzNr1iw6dOhAr1692LFjh/7n+/btIzw8nKlTp9K9e3d69OjBmTNn9M+vXr2aPn360LNnT5555hnOnj0LwKFDh4iMjCQ8PJyuXbuydu1a4Hbe/vOf/9ClSxdeeOEFMjIy9MeKjo6mT58+REREEBERwZ49e4DbvRYvvviifrvc3FxatmxJUlJSCWfz8RUUFNwzV3/v+bj78apVq3j22WcZMWIE3bp1IzY2ls8//1zfyxUREcH169eB2+f0nZ//73//K9L2uHHj6NmzJ927d2fEiBFcu3YNgBdffJENGzbot9u8eTNDhgwxaB5K28SJE5k8eTIDBgygV69eQNHe0YMHD9K9e3e6d+/O9OnTUUrp9505cya9evWiR48e/Oc//yExMRGAadOmMW/ePP12J06coFOnTkX2LUvuVwfA/c+d6dOnc+bMGcLDw/Xn6997ne9+HBAQwGeffUavXr34/PPPyc3NZerUqXTs2JGoqKgif/9PnTrFgAEDiIyMpEuXLnz33XfA7c+Ili1bFvm7N3ToUKKjox/9xSszVlBQoIYNG6ZCQ0PVqFGj1Pz581V6erq6cOGCCg0N1W939+MLFy4of39/tW3bNqWUUmvWrFFRUVFKKaVOnjypWrRooa5cuaKUUurNN9/U71dYWKjS09P1/3/11VfV4sWLlVJKLV68WE2cOFH/XIcOHdTJkydLIQOG8eSTT6qYmBgVFhamrl69qj799FO1YMEC9dprr6kffvhBffDBB2rChAmqsLBQ3bhxQ3Xp0kXt2LFDKaXUwIED1ejRo1VBQYG6fv26Cg0NVfHx8UoppSIjI9WqVauUUkodPnxYBQYG6n8PaWlp+vZ/++031adPH6WUUhkZGeqJJ55QmZmZSimlPv/8c/Xuu++WVipK1N/Py+XLl6sxY8bc8/HKlSvVm2++qQoKCpRSSi1YsEBNmDBBKaVUly5d1JEjR5RSSuXl5akbN24opZTq3r27WrNmjVJKqQMHDqiAgAC1c+dOpVTR/O7cuVP169dP//MWLVqorKwspZRSn376qZoxY0bJv/hHMGDAALVu3Tr9OZafn686dOiglFJq3rx56tVXX1Xnzp1Tffv21ecgNjZWtWnTRiml1NatW1W3bt1UZmamys/PVy+99JKKjIxUSim1d+9eVbt2bfXXX38ppZT64osv1CuvvKKUup27F154Qd26dUsppdSOHTv0nxFDhw5V0dHRSqnb7/Vr164ppZQaOXKk+uyzz5RSSp0/f141aNBA/fDDD0oppdLT01VhYaFSSqkzZ86oVq1aKaVu/+7atm2rzp8/r5RSavXq1Wr48OGGSOVD+ft5+qBcrVy5Uo0aNUq/7d2PV65cqRo0aKDOnTunlLr9Xm7cuLHKzs5WSil148YNlZeXp65cuaJCQ0PVmTNnlFJKzZ07V/n7++vf83efux9//LH68MMPlVK3z+OBAwfqn3vmmWfUli1bSjYZpejJJ59UnTp1Uj169FA9evRQu3btUq+99pqKjIxUN2/e1G93Jze3bt1SLVu2VHv37lVKKbVu3Trl7++vTp06pZQqmre7P1tOnz6t2rdvrz8nJ02apL777rvSepkl7n51gFL3P3f27t2r/yy44+5z7u+P/f391VdffaV/bsGCBWrw4MEqNzdXZWVlqcjISP15f+PGDf1nR2ZmpurcubM6ffq0UkqpMWPG6P8GXrhwQbVo0UK/7aMwjX5II9FqtXzxxRfExsZy4MABzm1pxwAAIABJREFUfvnlF7755hvmzJnzwP1sbW158sknAWjQoIF+eGP//v20bdsWNzc3AKKiovTfMAsLC/n222/ZtWsXhYWFXLt2DRsbGwD9t9arV69y9OhRXF1dCQwMNNTLLhUajYbOnTuzbt061q1bx9KlS/nrr78A2LNnD6+//joajQZ7e3u6du3Knj179MPFYWFhaLVaHBwcqFGjBufPn8fNzY3Y2FjCw8OB23m/e6jj+PHjfPXVV1y7dg2NRkNCQgIATk5OtGvXjjVr1tC3b19+/PFH/Teq8mzbtm2cPHmSyMhI4HYPzJ1vuM2aNePdd9+lY8eOtG7dmlq1anH16lXOnTtH9+7dAQgJCaFmzZr64x09epS5c+dy/fp1NBoNFy9eBMDFxYVWrVoRHR2t7/VetGhRKb/aewsNDWXfvn3Y2dnRuHFjdDodPj4+xMXFsX//fjp27Mju3bs5f/48Tz/9tH6//Px8UlNT2bdvH126dMHOzg6A3r1788UXX+i38/Pz0/cUNGjQQH896rZt24iJiaFPnz7A7d7YOz1VTZs25csvv+T8+fO0aNGC+vXrA7d7FKdMmQKAt7c3zZs317dz4cIFxo0bR3JyMhYWFqSmpnLlyhUqVapEVFQUS5cu5dVXX2Xx4sWMGTPGUOl8LPfL1b9p1KgR1apVA8DBwYFq1aoxYcIEWrZsSdu2bbG3t+fIkSPUrl2b6tWrA7c/d+8eOVizZg3R0dHk5eWRlZWFr68vAK1ateK9997T90ZeuHBB/7leVv19CHjdunWEhYVha2v7j23Pnj1LhQoV9KNbXbp0YerUqfrnd+3axeLFi8nKyiI/P1//8xo1auDt7c2uXbto0KAB27ZtM/j1yIZ0vzogOjr6vufOo7jzWQy33+8RERFYWlpiaWlJjx49OHToEAA5OTm89dZbnDp1Co1GQ0pKCjExMdSoUYNBgwbx/vvvExkZydKlS+nVqxdWVlaPHJNZF4B3+Pv74+/vz9NPP02XLl2Ii4sr0p19d5crUCThWq22yJvjfqKjo/njjz9YtGgR9vb2zJkzR1+k2Nra0r17d1atWsX+/fuL/DEqyyIjI+nTpw9NmjTB2dm52PtZW1vr/6/T6YrcTHIvubm5jB49moULF1KnTh2Sk5Np3bq1/vmBAwcyfvx4XF1dqVGjxmO9iU2JTqejsLBQ//ju81QpxahRo4iIiPjHfm+88QYxMTHs3buXkSNH8sILL9C+fXvgduH+dzk5OYwdO5bFixcTFBREUlKSfnuAZ555hkmTJmFvb09gYCDe3t4l+TIfWdOmTZk2bRoODg40adIEgCZNmrBnzx7++OMPpkyZwq5du2jVqhUffPDBQx//fp8DSil69erF6NGj/7HPs88+S7t27fj99995++23adGiRZFh/Ht55ZVXmDhxIu3bt6ewsJD69evrf9d9+/YlMjKSdu3acf369SKFoym5X64edA4D+uL7zrbLly/n0KFD7N27l549exYZiryXgwcPsmTJEpYuXYqLiwvR0dEsX74cuH2uDxw4kMWLFwO3C8fyeI3hvYq/+7nz/k9MTOT9999nxYoVeHt7c+jQIcaPH6/fbtCgQSxZsoQzZ87QsWNHHBwcSjzu0vb3OmDhwoX8/PPP9zx37kWn0+nrhr+fx1D838PHH39MpUqVmDFjBhYWFgwZMkR/vEaNGumvX169enWRy8wehVlfA5icnMzhw4f1jy9fvkx6ejrVq1cnLy+Pc+fOAeiv0/k3oaGh7Ny5U3+t1d2/nBs3buDs7Iy9vT03btz4xzEHDBjA999/z/Hjx+nYsePjvjST4O3tzdixYxk+fHiRnzdv3pyVK1eilCIzM5P169fzxBNPPPBY9vb2+Pv76693OHr0qP5utdzcXPLz8/Hy8gLQf6DfERAQgJOTE++99x4DBgwoqZdndNWqVSMmJobc3Fxyc3PZtGmT/rl27dqxaNEifc/TrVu39NftnT17lsDAQJ599lm6d+/O8ePHcXJywtfXl3Xr1gFw+PBhTp8+DdwuAAsKCvD09AT+md+goCBsbW2ZOXOmSX15adiwIYmJiWzevJnQ0FDgds/mokWLqFixIt7e3rRo0YLdu3cXuTv4zvU4zZo1Y8OGDWRlZVFQUMDKlSuL1e6dHufLly8Dt3tfjx8/DkB8fDzVqlWjX79+PPPMMxw7dkzf1p3jX7hwQX+dH9z+7KhatSoAK1euLHJtpouLC0888QSvvPIKAwYMuGcBb8p8fHw4derUPc/hv8vMzCQ9PZ3Q0FBefvll/P39iYuLo0GDBpw4cUL/hfruO7uvX7+Ovb09Tk5O5Obm/uN3GBERwS+//ML69ev1Pbbmonr16uTk5OivAd64caP+8yIzMxNLS0sqVapEYWEhS5cuLbJvmzZtiI+PZ/78+WX+M/V+dcCdEap7nTv29vZkZmYWOU61atX07+d/uy6vWbNmrFmzhvz8fHJycorUAzdu3MDT0xMLCwtiY2OL3GMAt4vvV155hYYNG+r/5j0qs+4BzM/P57PPPiMxMREbGxsKCwsZM2YMwcHBTJ48mcGDB+Pi4kLbtm2LdbzAwECGDh1K//79sbe3L9ILFRERwdatWwkLC8PV1ZXGjRsX+Zbg7e1N9erVCQ4OfqwuXVNzr7ukhg8fzttvv60fbuzRo0eRXN3PBx98wKRJk/j666/x9/enXr16wO0348svv0zv3r1xcnKiU6dO/9i3T58+zJo1q8wP8dwtJCSEkJAQunbtioeHB/7+/vqbB3r16sXVq1f1BZlSioEDBxIYGMgHH3zAxYsX0el0VKxYkffffx+ADz/8kEmTJvHll18SGBiov2vbycmJESNG0KtXL5ycnO75BaVPnz7873//o1WrVqX06v+dtbU19evXJzk5GQ8PDwDq1atHcnIyYWFhAPj6+vLhhx8yefJkcnJyyMvLo1GjRgQHB/Pkk0/y559/Eh4eTsWKFQkNDSU5Oflf223SpAljxoxh2LBhFBQUkJeXR1hYGHXr1uWHH35g3759WFpaYmVlpR/2nTx5MhMmTGDt2rVUrVq1yE1okyZNYvjw4Tg6OtKqVSv9UP4dvXv3ZuPGjUWGmMqKBg0a0Lx5c7p27Yq7uzuBgYFcuXLlnttmZmYyatQocnJyUEpRu3ZtOnbsiLW1NW+//TZDhw7FxsamyPnZqlUrfv75Zzp16oSzszMhISH6P9Jw+7OjVatW5OTk4OLiYvDXa0qsrKz4+OOP9TfJNWnShMqVKwO3vzSHhYXRpUsXnJ2dadOmTZFCRKvVEhERwa5du8r85Ur3qwN69epFXFzcPc+dgIAA/Pz86NatG9WrV2f27NlMmjSJqVOn4uDgoP98uZ++ffty6tQpfX7r1aun7zgaNmwYEyZMYMWKFfj5+elHL+7o2rUr06dPL5HCW6NUGb11p5zJzMwkLCyMlStX6v9YiZIzefJk/Pz8eP75540dSrk0ceJEfa+iKF1ffPEFV65cKTLvoSie/Px8evTowYwZMwgODjZ2OGXK4MGD6du3L507dzZ2KGbl4MGDvPXWW0RHRz92j79ZDwGbiiVLltClSxeGDBkixV8JS05OplOnTpw7d86khifLi0uXLtGpUycSExPp16+fscMxO127dmXjxo3/uMxC/LutW7fSoUMHWrRoIcXfQzh27Bjt27fHwcHhnqMtwnBef/11xo8fzxtvvFEil3tID6AQQgghhJmRHkAhhBBCCDMjBaAQQgghhJmRAlAIIYQQwsxIASiEECXo7NmzhIeH07BhQxYsWFDq7V+8eJGAgIBiTVAvhDBfZj0PoBCifGjXrh2pqanodDp0Oh01a9YkPDycqKgotNrS/Z47b948mjZtypo1a0q1XSGEeBjSAyiEKBfmzJnD4cOH2b59Oy+88AJff/01kydPLvU4kpKSqFWrVqm3K4QQD0MKQCFEueLg4MBTTz3FJ598wurVq/VLBu7YsYOIiAgaNWpEmzZt+Oyzz/T7vPjii/zwww9FjtO9e3e2bNlyzza2bt1K165dCQkJYdCgQZw5cwa4vS7yvn37mD59Og0bNiQ+Pr7Ifnv37tWvgAO3J9Pt1auX/vGAAQP45ZdfgNtzWI4aNYpmzZrRrl27IsPJhYWFzJ07l/bt29O0aVNGjx7N1atX7xnrpk2baNeunT4PQggBUgAKIcqp4OBgPD099UtYVahQgZkzZ3Lw4EG++uorlixZoi+2IiIi+Pnnn/X7xsTEkJKSQps2bf5x3Pj4eMaNG8frr7/Onj17aN26NUOHDiU3N5cFCxYQEhLC1KlTOXz4MH5+fkX2bdCgAQkJCaSnp5OXl8epU6dISUkhMzOTnJwcjh8/TuPGjSksLGTYsGEEBASwa9cuvv/+e77//nt2794NwA8//MAvv/zCwoUL2b17N46OjkyfPv0fsa5cuZKPPvqI+fPn4+/vX2K5FUKUfVIACiHKLXd3d65duwZA06ZNCQgIQKvVEhgYSNeuXdm/fz8ATz31FAkJCSQkJACwZs0aOnfufM91udevX0+bNm1o0aIFlpaWPPfcc+Tk5BRZUP5+bGxsqFevHgcPHuSvv/4iMDCQRo0acejQIf788098fHxwdnbm2LFjpKenM3LkSKysrPD29qZv376sX78egKVLlzJ27Fg8PT2xsrJi5MiRbNq0qciNH99//z3ffPMNP/zwAz4+Po+bSiFEOSM3gQghyq3k5GQcHR0BOHLkCB999BFxcXHk5eWRm5urX7Td2tqazp078/PPPzNy5EjWrl3L7Nmz73nMlJQUKleurH+s1Wrx8vIiOTm5WDE1adKE/fv34+HhQZMmTahYsSIHDhzAysqK0NBQABITE0lJSSEkJES/X0FBgf5xUlISI0aMKHKDi1ar1S8oD/DNN98wYsQIPD09ixWXEMK8SAEohCiXjh49SnJyMo0bNwZg3LhxDBw4kHnz5mFtbc27775LRkaGfvvIyEgmTJhA48aNqVChAg0bNrzncd3d3YtcT6eU4tKlS8Vexzs0NJQZM2ZQuXJlXnjhBRwdHXnjjTewtLTUr1ft5eVF1apV2bx58z2P4enpyXvvvad/bXe7ePEiAN9++y3PP/88bm5usmarEOIfZAhYCFGuZGZmsn37dl555RV69OhBQEAAADdv3sTR0RFra2uOHj3K2rVri+zXsGFDtFotM2bMoEePHvc9fufOndm5cyd79uwhLy+Pb7/9Fisrq/sWjH935+aQo0ePEhwcTK1atUhMTOTo0aM0adIEuH39op2dHXPnziUnJ4eCggJiY2M5evQoAP379+eTTz4hMTERgPT0dP31jHfUrFmTefPmMX36dLZu3Vq85AkhzIb0AAohyoWhQ4ei0+nQarXUrFmTwYMH069fP/3zb775JjNnzmT69OmEhobSuXNnrl+/XuQY4eHhfPrpp3zxxRf3bad69ep8+OGHvP322yQnJxMUFMScOXPueb3gvdja2lKnTh2srKz0+zRs2JC4uDhcXV0B0Ol0zJkzh5kzZ/LUU0+Rm5uLn58fY8aMAW7fbayUYsiQIaSkpODq6kqXLl1o3759kbYCAwOZM2cOL730EhYWFve8qUUIYZ40Sill7CCEEMIU/PTTTyxbtowlS5YYOxQhhDAoGQIWQgggOzubxYsXExUVZexQhBDC4KQAFEKYvd27d9O8eXNcXV3p1q2bscMRQgiDkyFgIYQQQggzIz2AQgghhBBmRgpAIYQQQggzIwWgEEIIIYSZkQJQCCGEEMLMSAEohBBCCGFmpAAUQgghhDAzUgAKIYQQQpgZKQCFEEIIIcyMFIBCCCGEEGZGCkAhhBBCCDMjBaAQQgghhJmRAlAIIYQQwsxIASiEEEIIYWakABRCCCGEMDNSAAohhBBCmBkpAIUQQgghzIyFsQMoLRkZNyksVAZtIy0tFVdXN4O2YU4kn4YjuTUcya1hSX4NR3JrOIbOrVarwdnZ7qH2MZsCsLBQGbwAzMvLN3gb5kTyaTiSW8OR3BqW5NdwJLeGY4q5lSFgIYQQQggzIwWgEEIIIYSZkQJQCCGEEMLMmM01gEIIIYT4/7LzCjh55SapWbnYW1lQsTAPd2MHJUqNSRWAM2fOZNOmTSQmJhIdHY2/vz8A8fHxTJw4katXr+Lk5MTMmTPx9fU1brBCCCFEGaOUYmdCBvMOJrIjIZ3cgqI3JgS5XeLFJlXoW9cTS50MEpZnJlUAPvXUUzzzzDM8/fTTRX7+5ptvMmDAAMLDw1mzZg1Tp05lwYIFRopSCCGEKHtOp2Xx2uZYdp+7irONBd0CKhHs4YCrrSU5+QUcPp/K/uQcxm6I5fN9F/g6vA51PeyNHbYwEJMqAENCQv7xs7S0NE6cOMH8+fMB6NatG2+//Tbp6em4uLiUdohCCCFEmbPk6CVe2xyHhVbDiKbe9KnjQYCbHTqtRr9N5yoWVKrkzqIjl3h7x1k6ff8Hn3YNoHcdTyNGLgzFpArAe7l06RIeHh7odDoAdDod7u7uXLp06aEKwLS0VPLy8g0VJgAZGWkGPb65kXwajuTWcCS3hiX5fTgFhYqPDqTww1/p1HWzYWQjN5pVtsOCm6Sl3iyy7Z3cdqyso1GkLy9tusCI6BgSUzPoHyQdLo/D0OetpaUFrq4P11tr8gVgSXF1dSuVSRjd3T0M3oY5kXwajuTWcCS3hiX5LZ68gkKGR59kTUw6PWu7M6GlL9VdbB+4z53cugObBnvRd+kR3t+bjI+7CxFBkvfHYcjzVntXT26x9zFAHCXKy8uL5ORkCgoKACgoKCAlJQUvLy8jRyaEEEKYpvzCQl5cc4I1MVd4IaQK77Sv+a/F39/ZWupYFlUff1dbRq2N4cilGwaKVhiDyReArq6uBAUFsXbtWgDWrl1LUFCQXP8nhBBC3INSigmb4lgXm8qwJlV5taUvbrZWj3QsOysdP/arj52VjiGrj5OZa9hLqUTpMakC8J133qF169ZcvnyZwYMH07VrVwDeeustFi5cSKdOnVi4cCHTpk0zcqRCCCGEafrot3MsPHKJAcGejHnCBycby8c6noe9NV9H1CHxxi1GrY0poSiFsZnUNYBTpkxhypQp//h5jRo1+PHHH40QkRBCCFF2LDySxIe/JtCxpiuTWvvhXOHxir87Wvk483zjKsw9mMi6U1foGlCpRI4rjMekegCFEEII8Wj2nL/KhE1xNKlSkbeerI6HvXWJHn9K2+pUc7Rh0pY4smQouMyTAlAIIYQo45Ku5/DcT3/haW/FlDbVqelqV+Jt2Fjo+KRLAJczc5m+42yJH1+ULikAhRBCiDIsJ7+AIav/4mZuAW+1q0Hzak4Ga6uljzOda7my8MglzqTf/PcdhMmSAlAIIYQowyZvOc2hSzeY0MqXLv5uBm/v3fa1UAqmb483eFvCcKQAFEIIIcqon06m8MORS/Sr58mg+pWx0Br+z3pVRxsGNvBi0+lUjifL3IBllRSAQgghRBl07mo24zacIqiSHaObeVPRpvQm9njlCR8stBre35VQam2KkiUFoBBCCFHG5BUUMvTnExQqeL21HzUMcNPHg3jYWzO4YRW2nk3jUNK1Um1blAwpAIUQQogyZubuBP5IusHYFj60r+FqlBhebl4NK52WmbsTjNK+eDxSAAohhBBlyM6EdD7be54u/m4MblgZnVZjlDgq2VnxfOMq7IjP4M+k60aJQTw6KQCFEEKIMuLKzVxGRMdQzcmGCS19cLA27oJew0K9sdBqmL3vvFHjEA9PCkAhhBCiDChUilHrYriak8fkNtWp7e5g7JCoZGdFz9rubDmdRtL1HGOHIx6CFIBCCCFEGTBn/0W2nU1naBNvupbCfH/FNSzUm1sFitl7pRewLJECUAghhDBxf166zrs7z9KimhNDm1TBUmc6f75ru9vTopoTa2KukJUnawSXFaZzBgkhhBDiH27cyufFNSdwqmDBhFa+uNlZGzukfxjR1Ju0rDy+PZRk7FBEMUkBKIQQQpgopRQTNsdy/loOk1r70ayqo7FDuqd21V3wdbJhydHLKKWMHY4oBikAhRBCCBO17HgyK/9KYWB9L3rW9kCjMc6UL/9Gq9EwLNSbuLQstp5NM3Y4ohikABRCCCFM0Jn0LCZujiXYw56Xm1XD1lJn7JAeqHcdD2wstCz485KxQxHFIAWgEEIIYWJu5Rfy4poT6LQaJrb2o5pTBWOH9K8crC2ICHJnR3wGKZm3jB2O+BdSAAohhBAm5p0dZzmWnMn4Fr486edi7HCK7dmGlcnJL+SbP+RmEFMnBaAQQghhQracTuOrgxeJCKrEgGBPoy319igaejkQ4GbL2tgrxg5F/AspAIUQQggTcfnGLUati6G6cwVGN/PB0cbS2CE9FI1Gw+BGVYhLy2LbGbkZxJRJASiEEEKYgIJCxfDok2TlFTClbXXqeNgbO6RH0ru2B9Y6LT8ckZtBTJkUgEIIIYQJmL33PL+ev8qIpt50rOlq7HAeWUUbC3oEVmLb2XSuZucZOxxxH1IACiEem1KK5MxbxKXd5HqOLAUlxMM6mHiND3bH86SfM4MbVsbKhJZ6exQDgj3Jzi9k0VHpBTRVFsYOQAhRdqVn5/HFvgusOpHMxev/f9qHGi4VeLZhZZ5pUJkKJj53mRDGlnkrn2HRJ6lkZ8WY5j6425veUm8Pq3k1JzztrVgfm8qIptWMHY64hzJTALZr1w4rKyusrW+/McaPH0+rVq2MHJUQ5mvlX8m8tjmWzNwCmlRxpEegO442FiRn3mLPhWu8sfUMXx24yJfdg2jq7WTscIUwWZO2xHHhWg7/DQugmbdpLvX2sLQaDf3qeTJ773li027i72pn7JDE35SZAhBg9uzZ+Pv7GzsMIcxaoVJM3XqauQcTqetuz+jm1WhX3QUH66IfJ9ExKUzZeprIJUf4sFMtnq5f2UgRC2G6fjqZwrLjyQys70VEkLvJLvX2KPrW9eSTPedZcPgS77SvaexwxN+U7YsMhBClqlApxm+MZe7BRCKC3PmqRxDhQe7/KP4Auge6s3NIE+q62zN2Qyzf/pFohIiFMF0Xr+UwfuMpgirZMbKpN3ZW5etyiZqutgR72PPLmTSUUsYOR/xNmeoBHD9+PEopGjduzCuvvELFihWLvW9aWip5eYa9OD0jo/TmPMovVBxOziI24xY6DfhUtKaJly0WZWjC0H9Tmvk0N4+a208OprDwaBqRtRx5uX5FHAszSUnJfOA+33aqzHMbzjNpSxyWeVl0ql78921ZJOetYZWX/BYUKl7ceJ7c/EKGBTthn3+DlJQbRo3JELnt7mfHu3uTWXP4LE9ULZvT2pQEQ5+3lpYWuLo+XH7LTAG4aNEivLy8yM3N5d1332X69Ol89NFHxd7f1dWNwkLDfwNxd/cweBs/x6Tw7s4E4jOyi/zcpYIlY5pX4/mQKlhoy0fnbmnk01w9bG6XHbvMvKNpdPV3Y1qHmng62BR731UD3Qlb8Adv/HaJkBpeBFUq338I5Lw1rPKQ30/3nOPg5SwmtPSld+NqJvOZXdK5HWjvzMz9KWxOzCWiUdn/vT0OQ5632kfo/DGNM64YvLy8ALCysmLAgAEcOnTIyBGVvoJCxRu/nOb5n06g1cDkNn6s6h/MxkENebd9TXycbJi67Qzt5/9B0vUcY4crypFTqTd5dVMs9T3teb2130MVfwB2VjqW9g3GUqvlPyuPk51XYKBIhTB9hy9dZ+buBNr6OvOfhl4mU/wZgqutFU9Vd2Hb2TR535uYMnHWZWVlcePG7a5xpRTr168nKCjIyFGVLqUUk3+J+7/1Id35vmcdRjf3oaWPC42qOPJCSFU2PtOIDzvVIj4jm3bzD3Is2bjDCaJ8yMkv4MU1J7Cx0DKpdXVquT3a3XxVKtrwZY8gEq7m8Oqm2BKOUoiyITuvgBHRJ3GuYMHY5tWoZFf2p3z5N/3qeZKenc+qE8nGDkXcpUwUgGlpaQwaNIju3bvTrVs34uPjefPNN40dVqn66sBFvj2URJ86Hrzbvib+bv8cQtNoNPynYRXWDWqITqshYvGfHLkkRaB4PP/97Rwnr9zk1Za+tPF1fqxjta/hytPBnvx4PFnWCRVmaebuBE6nZzO+hS/NqpnH9Ejta7hS0VrHzzFXjB2KuEuZuAbQ29ubn376ydhhGM3x5Eze3nGWFtWcmNjal0p2Vg/cvq6HA2sHNqTbwsNELT/C5v80pppThVKKVpQnRy7f4PO95wmr5Uqfuh7oSuAmo3fa12Lr2XQmbonjdz/ncj38JcTdDiReY86BC3T1d6NXbY9yNeXLg1hbaIkMcmfJscukZN4qFxNdlwfyyWvi8goKGbH2JA7WOsY+4YO3Y/EKOT9nW1ZE1Scnv5Co5Ue5mSvXXoiHU6gUr22KxdHGgqEhVXGysSyR49pZ6Xi/Qy0Srubw0a8JJXJMIUxddl4Bo9fF4GZrxYim3lS0KRP9LyWmT11PcguULA1nQqQANHHfH07i5JWbvNzMh5Y+DzdcEORuz9zw2sRnZDNwxTEKZR4m8RCWH7vMoUs3eD6kaokPVXXxd+MJb0fmHkyUG5aEWfjg19tDv+Na+NC4cvmeCulemlSpiJeDFb+cSTd2KOL/SAFowq7m5PHhrwk09HKgZ+1KaB9huKBjTTcmt6nOb+ev8sYvpw0QpSiPrufk8/bOswRVsmNAPc9HOvceRKPR8GGYP9l5BUzaIuelKN8OJl7jy/23h3571zGfod+7aTQaetX24FDSdc5dzf73HYTBSQFowj7be56rOfm81KTqQ0+7cbeRTb2JDHJn3h+JrD0lF+GKf/ff3xNIvZnHiKbeVK746Ofeg9RytWNIoypsjEvl93NXDdKGEMZ2K7+Q0etP4WZrxfBQ73uummMuImu7U6Bg0REZBjYFUgCaqKs5eXz7RxJtfJ3pUMP1sY6l0WiY1SXIPwuFAAAgAElEQVSA6i4VGLM+Rr59iQc6k57F1wcT6VTLlS7+bgZta2JrPxysLXhn51mDtiOEsXy+7zxxaVmMaV6NkCrmN/R7t7ru9vg5V2DbWRkGNgVSAJqoeQcTuZlXQP9gzxL5xmhrqWNR73rkFyoGrThObkFhCUQpyqMPdidgodUwpFEV7K0M21vhYG3B6GbVOJh0nY2xqQZtS4jSdjY9i09+P0dbX2d6munQ7900Gg196nhwLDmTmCsPXkJSGJ4UgCYoO6+Ar/+4SLOqjjxZ3aXEjlvdxZZZnQOISb3J+A2nSuy4ovw4kZLJ6pMpRAS580QpzVH2XEgVXCtY8uFvCbJgvCg3lFK8tjkOnVbDS6FVcalQMnfRl3WRtd1RwOKjl40ditmTAtAErTmZQkZ2Pr3qeJTY1Bt3RNb2YFADL5YeT2b5cXkDiqI++DUBW0stA4I9sdKVzseDraWOcS19OJacyaoTKaXSphCGtvpkCjsTMhjcqMpjT6BentRwsaW2ux3b42UY2NikADQxSim+OZSIj5MN7asb5kPj/Q61qF3Jjlc3xhKXetMgbYiy58jlG6yPTaV3HQ+aVHEs1bafaVAZT3srPv79nPQCijIv81Y+b247Qy1XW56pX77X+n0Ufep4cCo1i4OJ14wdilmTs9LEHL50gyOXM+kRWMlgd19a6bQs7F0XK52Wp1ccI0sW6BbAzN3xOFjpGFjfq0RW/HgYVjotE1v5EZeWxWKZKFaUcZ/sOU9yZi4jm3rj52Jr7HBMTkSQOwDLj8vawMZkvvejm6jFRy9hY6ElrKabQS8YrupYgc+7BfLMyuOMXHuSbyLqlOoFykopDl26wbaz6fx56TpJN26hFLjZWdLAsyJd/N2oopOeoNJyIPEav5xJ57lGVQj2dDBKDH3refDhbwn8b98FBgR7mf0F86Jsis/IZs6BC7Sv4UJX/0rGDsckValoQ0jliuyIT0cpJe91I5EeQBOSnVfATydTaOXjRD1Pe4O316mWG0ObVGXtqVS+PZRo8Pbg9pxYC/5MouXXB+i84BAf/ZpAXFoWDlYWONpYkHT9Fl/sv0DYgkNE/hQv0wWUkhm74nGyseDpBiU/6XNxWWi1jG/hw+n0bJZJz4Aoo97adgatRsNzjauY3XJvD6N3XQ8Sruaw+1yGsUMxW3J2mpANcalcv1VAx5pu2FjoSqXNqU/W4EDidaZuPUOjyhVp6GW4eap2xKfz6qZYzl3Nwd/VlnEtfGjr60xAJTscrS3QaDQopUi6fouFRy6x4M9E+i0/SnhgJT7uHGDWE6ga0m/nMth97ipDm1SlTiXDf/F4kL51Pfnw13N8vvc8UXVl2gxRtuxMSGdDXCrPNapCax+58eNBugdU4vUtcaw8kUJr35Kb7UIUX7F7AAsK5DoxQ1t27DIedla09Su9Dw6dVsOC3nVxtLHg2VXHuXLzVom3kZqVy/Dok/RddpT8QsV77Wuyol8wr7Xyo6m3E042lvo/9BqNhiqONrzW2o9NvWswpFFlok9dod23BzkvE1iXOKUUM3Yn4GpryYD6xh92tdRpGdfCh9i0LFb8Jb2AouwoVIo3t57B096KgfW9sCylu+jLqkp2VrSo5sSO+HQKCmVeWmMo9hnasmVL3nnnHY4dO2bIeMxWenYeuxIyaOvnjLejYW7+uB83Wyu+61mXtKw8IhYf4XpOfokcVynF0qOXeGLufn46mcLT9b1Y1jeY50OKt7SdlYWWGR39+aFXXdKy8+jw3R8yeWgJ25GQwb6L1xgQ7EmAq2lcrB5VzxNPeys+23te7ggWZcbKv5I5ceUmQxpVoba7nbHDKRP61PHg0o1cNp9OM3YoZqnYBeC8efPQ6XQMGzaMzp07M2fOHC5dkrv1SsrG2FQKFLT2dTbKNVihVR2Z26M2Z9Oz6LbwEBnZeY91vLPpWfRacoSX15+ickVrvuwexAcda+Hv9vAfjB1qurF2YAMAIhb/yek0mbqmJCilmLErHnc7K/rV8zR6798dVv/XCxiTmsVPJ2VeQGH6buUX8v6ueGq52tK7jrvJvJdMXRf/SlhqNayJkTXqjaHYBWCdOnWYNGkSu3btYtL/a+/Ow6Iu1waOf2eGfd83RRCRxQ0BFU1FRc0VATWxRbOsrE6LaYupJ8tOpWWblllpWpmmWWruuWtq7rmbyKaIgOy7bPP+wSsnTlqoDLPdn+vqupKZeZ6bh9/85p5nffVVLl68yNChQxkzZgyrVq2itLRUk3EavHV/XMPDxoyePk1z+sLNDA505bNhbUjMLePer4+SlHf7f9Oyymre35dCr0WHOX61iOe7tWD5fe2JCXa7qyGRNm62rHmwI9U1MPL7kxoZqjY2v1zM4fjVIh4M8cRfx7aquL+DJ27WZnx8QHoBhe77+ng6aYXXGR/ejOb2ltoOR2/YWZgQ5efEruQ8rlfJNLOmdtufyEqlklatWuHn54eTkxNZWVmsW7eO3r17s2bNGk3EaPDyy2uHfyN9HXG3MddqLLHBbnwzsh25ZZX0W3yUlaczGvQBXKNWs+pMJvd8cYjZe1OI8HZgUVxbXunpi2cDhnsbItjVhqUj25FdWsGoFSeplPOM71iNWs2svcl42ZozSgd7LMxUSibd48PZayWs/0POCBa6q7C8ivf3pxDmaVu3v51ouJFt3cktq2T9H9IL2NQanAAWFBTw/fffc//99zN8+HAyMzOZPXs2W7Zs4euvv2bhwoW89dZbmozVYG1OyKGyRk2kr6NOfBD39XNm68NhNLcz55n15xny7XF2JOVSXfPXRDCvrJKlJ9KJ+uoIT687h5WZijkDA/h8WDBRfk6NvgN+hLcD7w8M5ExWCS9vudCoZRuTbSlFnMkq4aGOnvjpWO/fDQ+GeOJqbcoH++WMYKG75h+6TF5ZFePDm8l5v3egv78zlqZK+aKnBQ3eV6NXr15EREQwZswY+vXrh5mZWb3HO3ToQN++fRs9QGOw7nwW7tZmRGpx+Pd/+TlZs/PRznywP4VFR9MZvfIk9hYmhHna4m5jTkV1DRdzSjmTVUy1Glo6WvJKT1+Gt3GjpaNmE4r49h7sv5TPdycz6OHjyIi27hqtz9BU16j55Ng1vO0tGBGse71/N5ibKJnYzYdp2y6y+WI2g1rLprpCt2QWX+ezQ5fp7evIoNYu2g5HL1mZqhjo78K2pBxKKqqwNpPtvppKg1t627ZtuLj8/QU+a9asuw7I2BSWV7ErOY+YYDetD//+L5VSwUs9WvJMRAu++T2dPSl5pOSXczqzGFOVEg9bM0a19+Aebwd6+jho7Oi6m5k9oDVH0wt5+ZcLhHvZ4qvhpNOQrD6XRVJBBdN7tdT5Y6rGdPTkg/2pzPk1VRJAoXPe35dKRXUN48K8sJF9Su/YiLZurD6XxU9nsxjT0Uvb4RiNv71iDxw4UO/fCQkJN31et27dGi8iI7P5YrZODf/ejKWpigmdvZnQ2Ru1Wk1pZQ1qtRozEyVmWtrrysJExTcj2hG1+Ajj15xl67hwrZ1goU8qq2t479cUWtiZ6sV8JQsTFRO7teDf2xP5JSGbe6WXReiI1Pwylp64yuAAV3q3lI2M70bvlk7YmavYeCFbEsAm9LcJ4LRp0/6xAIVCwfbt2xstIGOz8UI2rlam9Ghhr+1QGkShUGBt1jSnlPwTPycr/tPPn0mbLvD+vlRe6uGr7ZB03rKTGSTnlfFyFzdaOOjHasWxHb34cH8q7+1LkQRQ6IyPD1xCATzQwQMrU924J+orM5WS6EBXfjybRX5ZJQ4yl7JJ/G0CuGPHjrr/r66uRqWSi7wxXa+qYXdyHn38HBttpayxebCDJz+dzWLeb5eIDnIhyEW7R5npstLKaubsS6GtmzUDWtpqO5wGszRV8VzXFry+M4mtF7Pp7y9JoNCu1Pwyvj+VwZAAF7rr0NxtfXZfOw++O5nB8lNXeapLC22HYxQaNH5XXV1NaGgoFRUVmo7HqPyWlk9JZTURze1RKWX48k4oFArmDQlCqYBn15+nRlaL3tLCo1fILK7gsfDmuFub/fMLdMgjYc1wtDBhzr5UbYfSIFeLrrP0RDovb7nAAz+cJPa744xZdYqpWxNYeTqD7FK5l+qzG71/o9t7NNm57Yauq7c9btambE6QU0GaSoMSQJVKha+vL3l5eZqO55aSk5OJj49nwIABxMfHk5KSorVYGsu2i7mYqRT0kG+Qd6WZnQVvRLXiREYxH+3XjwShqeWXVzLvwCUimttzr7+ztsO5bZamKp7t2oLjV4vYlqibHxBqtZotCdk8timVkE8PMGnTBX44nUlqfjlFFdUk5JSy9MRVnll/nrZz9zNy+Ql2JOXIFjd6pq73L9CFHj5Nd267oVMqFMQFu3P4SiFXi8q1HY5RaPCypejoaJ588knGjh2Lh4dHvceaYhHIjBkzeOCBB4iJiWHt2rW89tprfPPNNxqvV5O2JuYQ4mGLn6xgvWtjO3rx45ks5v52iZFt3fVmfltTmffbJQqvV/FomBeu1mZk6eFpeo+GN2Peb5eYvTeZvn5OOrVo6kRGEa9uTeDIlUJcLFWMC/Wih48DYZ62OFmZYWGiRK2G4ooqDqYVsunCNTYl5DB65Sk6N7Nj1r2tae+uP8Pyxuyj/akogPh2HpibaGcRnKEa2c6dz4+ksfTEVV7q0VLb4Ri8BieAy5cvB2DevHn1ft4Ui0BycnI4e/YsixcvBmDo0KG8+eab5Obm4uSkn6uvknJLScorY3CAC5YygfiuKRQKPh4SRM+Fh3h+4x+sfqCjtkPSGSn5ZXxxOI0oPyf6+ulf798NVqYqXurhy9RtF/n+VAb3d/DUdkhUVtcwe28Knxy8hIOFCS/c40OUh4rOrb3/uipdAfYWptzr78y9/s68U1nN3N8u8cWRNPotPsqodu6807+1bCeiw1Lyy1hxOpOh0vunER3cbWhhb8HWxFxJAJtAg+80f14Q0tSuXr2Ku7t73SIUlUqFm5sbV69ebXACmJOTTWVllSbDJC+v4UNTq8/kAhBkU0NWVqamQtJrt9OeANbAhBBn5h3L5otf/yA2QIbWAaZuTwNgZCsrygtzKC+8/bbVFYObm/CZrSnv7E4k0lWBqUp7vYBZpZW8uPMKxzLL6NPChofbORHmbklBfi7Z17IaVMa4QCtiffyYfTCTlacz2Z2cw/t9mhHiJqMCt6LNa3fWr+koUDPA25yCXMM7ukwX7guDW1rz+e85HEq4hK+9bu2Nezc03bampiY4O9/eIkij+arp7OxCzU2OMmtsbm4NO5XiwI4MWthb0LetD85W+jUhvyk1tD1vmNLXlc2pR/jwWDajO/lhZ2Hc2wnsTcljW2oRj4R5MTTEr96Q1e22ra6YPcCEB1ad5usLpUzt5aeVGPZfyuexny9SXFHNq5EtGRfqheP/b12hVChuq23dgEUtmrEjKYfnNvzBmA2pvNjdl8ndfXRqmFuXaOPaTckvY23COaKD3Bjawc9gh3+1fV8Y29mWBb/nsPFyBa+3NqzVwJpsW+UdLCRt8BVcXFzMO++8w/Dhw+nTpw+9e/eu+0/TPD09yczMpLq6GqhdlZyVlYWnp/aHgO5E8fUqDlzKJ6K5vZwd2chMVUo+GRpEbmklLxn5WcFVNTVM334RDxszRhvQfKV+/i7c08KehUevkFVyvcnr//l8FqNWnMDSpPZae7ard13ydzei/Jz59bHORPo48u6vKTy46hTFFZodtRAN99H+VJQKBfHt3Q3mvaSL/J2tCHa1ZntSrrZDMXgNvopff/11zp49y9NPP01+fj7Tp0/H09OTcePGaTC8Ws7OzgQHB7N+/XoA1q9fT3BwsN7O/9udkkdljZqI5vbyDV8DQj3tGNvRkzXnrrE3RXsr17VtybF0zl0r4YnOzQnxNKwFBrP6B1BaWc0rW25+OpGmLDqaxuNrztLa2YqPhgQxLMgNE2XjJQMOlqasiO/A5Ht82JGUS9/FR0nJL2u08sWdSckvY8WpDIYEutKjhcz907RRbd35I7uUExmF2g7FoDX4zrVv3z7mzp1Lv379UKlU9OvXj48++oi1a9dqMr46r7/+OkuXLmXAgAEsXbqUN954o0nq1YQdyblYmSrp5q0fp3/ooxl9WuFibcrkzX9QUV2j7XCa3KX8Mv6zO4kwL1tGtnEzuGPyglyteSTMiw0XstneBNvCqNVq3t6dxKtbL9LV255PhgbTU0OLABQKBa9EtuSruHZcK6mg7+Ij7EjS/twsYya9f00rro0bCmDpiQxth2LQGnwl19TUYGtb24tgZWVFUVERrq6upKY2zb5rrVq14ocffmDLli388MMP+PlpZ+7P3VKr1exKzqOjhy3eDnL6h6bYmJvw/oBAUvLLeXt3srbDaVJqtZrJmy+gVsOke3zwMNBTZqb3aoWHjRkvb7lAiQaHSqtqanhh0x98dOASgwNc+HhwEG3dNH/izOAAF355OBxnS1Me+OEUH+xLkT0DtSA577+9f91byMKypuBlZ0G4lx07knLlmtegBieAQUFBHD58GIBOnTrx+uuv8/rrr+Pr66up2AxScn4ZlwvKCfOykx3kNWxggAv3+jvz5ZE0/sgu1nY4TWb5yQx2p+TxeKfm9PLVz2kSDWFtpmLukCAuF15n0ibNzPcsrazmkZ/OsOxkBg+FeDKrvz++jk23x6S/sxU7HulEDx9HZu1NYeyPp7U6L7CqpoYTGUUsO3GVWXuSmbY1gRk7LjLvt0tsvZhDYbnhzVn8aH8qKqVCTv1oYvHtPbhcUM7e1Hxth2KwGrwK+D//+U9dJj5t2jQ++OADCgsLeffddzUWnCHanVw7Jy28mZ2WIzEO7w8MoOvnh3hm/Xl+eTjc4OdcXi26zms7LtLB3YYHQwxn4cet9G7pxCOhXiw+ns69/k6MaOvxzy9qoPzySh5adYrDaYU829Wbf0W00MqiLRtzE36I78Dbe5KZ99sl+i4+yvL72uPn1HRbxZzKLGLJ8XTWnM2iqKJ2MZ4CsDRVUqOG8qraaRYqRe3JRo+FN+Nef2e9f78l5ZWy8nQG0UFu3NNCpuw0peggV6b8coGVpzOI9JV5l5rQ4ATQ29u77v+dnZ156623NBKQoduVnIe7tRnhBjYpX1e525jz7z4tmfLLRb48msYTnbz/+UV6qrK6hsfXnqGiWs2k7j60NJITZt7o24r9l/OZvPkCbdxsCHa9++HZq0XXiV9xgsTcMqb19mNcRy/sLLS3a5ZCoWBaLz/CPO14dsM5+i4+yuwBrbmvrbvGkqzyqmp+Pn+NxcfSOZpeiJlKQS9fRzo3syfIxYpAF2tszE1QKRXklFZw/Gohv6YWsC0xhzE/nqaNqzWzBwQQ0Vx/E6c5v6ZiIr1/WuFkaUpPH0d2JudSXVODqhEXW4laDW5RtVrNypUrGTt2LNHR0QAcPnyYjRs3aiw4Q1NVU8OvqXmEednhZmM4G1zqunGhzejoYcvsPSlkFjf9tiFNZeauJA6lFfLCPT70a6W/J37cLgsTFcvv64CZSsn9K0/d9d/4VEYRQ749Rmp+OW/192d8WDOtJn9/NijAha3jwvFxsOCZ9ed5aNUprpVUNGodyXllvLEzkZBPDvDM+vNkFl/nyc7N2TAmjK9HtGPiPT4MDHClpZMVrtZmOFma0trZmlHtPJk7JIjf/9WNf/duybXSCoYtPc7LWy5wvUr/FmJdyC7hxzOZDJPeP60Z1d6DayWVbE7I1nYoBqnBCeDHH3/MqlWriI+P5+rVqwB4eHiwcOFCjQVnaI6lF1FUUU14MzuDW5Wpy5QKBZ9GB1FeVcPzG//QdjgasfZcFp8fTiM22JWHQjwxUxnXt+Xm9hZ8O6IduWWVxHz3Ozmld5YULT95lcFLj1NeVcOcgQE80METazPd6vlp6WjF9kc68a8Ib3Yl59Hti4N8dugy5VXVd1xmdY2azQnZxK84QcTnteW1dbdh9r2t2f1oJ2b29SfEw7ZBW96YqZQ829WH356IIK6NG0uOp3Pv10dJLyy/4/i04b1fUzA3UTKqvbv0/mnJwNbOmKuU/HTW8E5d0QUN/pRYvXo1CxYsYMiQIXVDDs2bN+fy5csaC87Q7E7JQwF01eMhEX3V2tmaZyK82ZGUy7KTV7UdTqM6m1XMxI1/0MbVmue6tsDV2jhPlonwduCruLakFZbTd/FRknJLG/za4utVTNr0B89v/INgV2vmRwczoq27zibSKqWCGX1asWFMKH5OVszYkUjnBQf5+vgVSioangiev1bCW7uT6PTZb4z98TQnM4sZ29GTFaM6sGJUBx4Ja4btHZ6mY2tuwoJhbZg/NIjU/DLu/foYF3NK7qispnYmq5i1568R18ZNr4ew9Z2NmQn3+juxJyWPMg0f5WqMGjyuUV1djbW1NUBdAlhSUoKVlXHMM2oMu5JzCXCxIsjFWtuhGKUXe/iy5WIO07ddpHsLB3wcmm41p6akFZQzeuVJLEyVTO/tRzt3455b2q+VM9+P6sDDP52mz1dHmNm3FQ+FeKG6xTFJ1TVqfjqbyVu7k7ladJ3R7T14JsKbAD15j3b0tOOXh8NZczaL9/en8NKWBGbsSKRfK2f6tHSivbsNXnbmWJmqqKiuIaOogpOZRRxNL+TApXzOZ5eiVECYpx3jOzVjoL8zrZysGnVe4ch2Hvg5WTJ65SkGf3uclfEd6Oip24vg3t2bgpWpkpFtpfdP20a29WDdH9n8ePYaD4Xo5+lfuqrBCWBkZCTvvPMOU6dOBWrnBH788cf06dNHY8EZksLyKo6lFzKqvYfOzCcyNqYqJUtGtKP3osM88tMZfhkX1qinODS1q0XXGfn9CYquV/Ph4ECi/Ax3y5fb0cPHke3jOvHE2rO8tCWB+Ycu80hoM3q1dMTbzoLKGjUXc0vZm5LH96cySMkvx9/Jko+HBDEkwAVbc/17f8a2cSMm2JVNF7L5/nQGe1Ly+Pn8rYfNLE2UBLpa868Ib3r5OhLmaafR+1KYlz3rHwolbvnvxC07wQ+jO9CpmW72rJ3IKGJTQjZjO3rSRUdjNCZRfk7YmatYdz5LEsBG1uB3/NSpU5kyZQrh4eFUVVURGhpK9+7dmT17tibjMxj7LuVTrYZwL93+5mvofB0smXVvAM9tOM+M7Ym81b+1tkO6I6n5ZYxacZLM4uu80781QwNdZF7pn/g6WrL54TC+Pp7OF0fSeG1H4k2fF+Jhw797+xET5EoLPe8RVigUDA50ZXCgKxXV1Ry5Usix9CKySyupqK7BRKnA0dIUXwcL2rnb4Glrjo1Z0yW7AS7WbB4bxpBvj3P/ylOsH9ORQBfNb6h9u2bvTcbWTMXwNnLqhy4wN1ESF+zG8lMZXCu5jqu1LKBsLA1+98+dO5enn36aN954gytXruDp6Ymrq6smYzMou1JysTCR4990wej2HmxPzGHh0SuEe9kxvK27tkO6LcfSC3lo1SmuV9XwTv/WjGzrrtc9mZqiVCh4JKwZj4Q142h6IbuTc7lWWomZUoGrjRkh7ra097DB4Q7nuOkyM5WKe1o4co+OnVvrbW/JT/eHMOib49z3/Uk2jw3Dy053Tqo5lFbAtsRcHg3zorPs1aozRnfw5Ovfr/LN71eZ3N1X2+EYjAYngDU1NTz22GM4OTkxbNgwYmJiNBmXwdmdnEeIhy3e9rpzszNmc4cEkZBTysRNf+DraEGYl+4n5mq1msXH03lt+0WcLE2ZPTiIQQHOkvw1QLiXnfS+6wh/Z2u+j29P3LITxC0/wdaHw3ViWoxarWbGjkScLE0Y2dYdUx1dAGSMwjxt8XGwYNOFbEkAG1GDr/Dp06ezd+9eJk+ezPnz5xk4cCDjxo1jzZo1lJTox8oubbmUX0ZSXhlhXrYyoVhHWJqqWDk6BDtzEx5cdZorBbq9RUVSbinDl59gyi8JhHjY8unQYIYGukjyJ/RSuJc9X8W24VJ+GfevPElVjfb3CVz3xzWOphcyLrQZoTq+SMXYKBQK7m/vwcnMYs5mGs+xnpp2W58eKpWKPn368MEHH7By5Upyc3OZMmUKPXr0YNq0aWRmZmoqTr22O+X/j3+THgid4mZtxor49pRVVjP8+xN3vHecJhWUV/Lu3mR6LTrM71eLeOGeFiwYFkxPX0e9P2ZLGLd+/i78p58/h9MLeX6DdvfnvF5Vw8ydSfg5WjKirdstV40L7bmvXe0xj0t+T9dyJIbjthLA4uJifvjhB8aMGcNDDz1ESEgI3333HRs3bsTKyorHHntMU3HqtV3JebhYmdJJEkCd09bNlq/i2nKlsJzB3x4nq5FPVbhTmcXXeWt3EqHzf2POvlQivB1YFNeWSff44m2v34sVhLhhfHhzHgn14oczmXx8IFVrcSw6eoVLBeU80bk5/k14xrJoOG97C7o0s2NrYg5qtVrb4RiEBk+8eO6559i7dy+dO3fm/vvvp1+/fpiZ/XfD2VdffZXw8HCNBKnPqmvU7EnNI6K5Pe5y/JtOivJzZnFcOx5dfZp+i4+wanSIVvaBK6moZmtiDitOZbAzORe1GiJ9Hbm/gwd9WjrhaGl4ixWEeLt/axLzSnlnTzKtnKwYGti0iwuzSyv4cH8qnZvZMTTQVXrWddiDIZ48v/EPfrmYw4DWLtoOR+81OAEMCQnh3//+9y1X/iqVSvbv399ogRmKk5lFFJRXEe5lJ8MKOqy/vzOr7g9hzKrT9F9ylPcHBTCyrYdG61Sr1STklLI9KZftSbn8djmfimo1rlamjGrnwYDWzvRo4YC9Aa5SFeIGlVLBkuHtuHfJUf617tz/b1PTdBuaz9ieSEllNRM6N8fNSE/R0RdDA115ecsFVpzOkASwETQ4ARw/fvw/PsfSUoam/teu5Nr5fzL8q/simjuwbVw4Y388zdPrzrPmbBazBwTQrJG2qahRqzl/rYTf0go4lFbAb5cLSK0uG/AAACAASURBVC+6DoCPgwXDgmqPnerpU3tKiXxhEMbCxsyEVaND6LvkKPErT7LjkU5NMmKyMzmXH85k8mCIJ/1aOWu8PnF3bM1NGNjahe1JuZRWVGOlY+d06xvtr703cLuTc2nlZEkbN/04WsrYtXCwZOu4cN7anczCo2l0WXCQ+PbuPBbenDZut7dpbXZpBSczivj9au3RW4fSCim4XnuepbOVKe3dbLivnTudm9kR4mGLq7WZbOYsjJaXnQXfjWxH7LITjFpRu0egpanmPuBLK6t5afMFmtuZMzbEEysN1iUazwMhnqw9f43lpzIYH95M2+HoNUkANai4oorDVwqJa+OGk8zf0humKiWvR7ViTEcP/rMrmRWnMll6IgNfBwv6tHSinbsNrtZmOFiYYGWqouh6FYXXq8ksuU5SbhnJeWWcySomrfB6XZne9hbc08KB9u42tHO3ob2bNW425rLXmBB/EuZlz4eDAnl63TkeX3OWb0a209iXojm/pnCpoJw5AwMI8TTuM7T1SaSPIy5Wpvx8PksSwLskCaAGHbhUQGWNmnAvO5lYrIdaOVmzeHg70gvLWXT0CvsvF7DidAaLj996zzIzlQIvW3P8nawYHOBCgLM17dxt8HGwwNHSVHr4hPgHI9q6k5BTwgf7LzFzZxKvR7Vq9DoOpRXw2aHLDGztTHSgq7wv9YhKqeC+du58cTiNywVlsivCXZAEUIN2p+RiplLIkUJ6zsvOgn/3qf0QKq6o4mxWCelF5eSWVVFeWYOVmRJrUxVu1mb4Olpib2GCnbmJfKgIcYde6dmShJwy5h+6TCsnS8Z09Gq0sgvKK3ny57O4WpvxdBdvWV2vh0a39+CzQ2ksPpbOa30a/wuCsZAEUIN2JefR3t0WXz0/ZF78l42ZCV2a2wO6f3ScEPpKoVCwYFgwl5eW88ovCfg6WNDT1+muy62uUfP0unNcLbrOh4ODiGgu72N9FOxqQ7CrNb9czJEE8C7IBCQNSS8s50JOKeFettiYS54thBC3w1SlZGV8BzxtzBi3+gwnM4ruusy3diexNTGXpyO8GRYke/7pswc6eHAhp5T9l/K0HYrekgRQQ+T4NyGEuDsOFqasGh2ChUpJ3LLfOXKl4I7L+vTgJT45eJmhgS5M6NRcVv3quZFt3TFRKvjm96vaDkVvSQKoIbtT8nC0MCFMVpcJIcQda+lkxfoxoVibqYhb9jubk24vCVSr1cz97RJv7Ewi0teR6b38cJNTmfSes5UZA/yd2ZqYQ0lFlbbD0Us6nwBOmTKFyMhIYmJiiImJ4bPPPtN2SP+oRq1md0oeYV52eDbSJsJCCGGsWjpasXVcOC0dLXlxVzovb/mD8qrqf3xdSUU1kzb9wX92JdG7pSNv9W2Fn5z1azDGhnpRdL2apSekF/BO6MXktCeeeIKHHnpI22E02JnMYnJKKwn3ssNM9nkTQoi75m5jzi/jwnnqpxMsOX6VLQk5TO/tR0yw21/uszVqNev/uMZbu5NJySvj/g4evNjdR7YMMTC9fB3xtDFj9bksJnT21nY4ekcvEkB9s+v/5/+FecnwrxBCNBYLExWzezdjVKg3r+9I4l/rzzN9+0V6+ToS4GyNiVJBan4ZO5JyuVpcQQt7C94bEEBcGzdsZTGewVEqFDwU4sl7+1I5m1V826c1GTu9eEcsXryYFStW4O3tzeTJk2nV6vaXfefkZFNZqdl5Anl5OQBsvZCJt60pXqpysrIyNVqnIbvRnqLxSdtqjrStZuXl5RDu6MzaWB9+vljAxqRCdiflsubcNQBszZQEOVkQH2hP/5a2+NipKCvIoUzLcesDfbx2721myhxg3q8JvNGj8faLbGyabltTUxOcnW8vAdZ6AhgXF0d6evpNH9u/fz8vvPACrq6uKJVK1qxZw2OPPca2bdtQqW5vBZezsws1NerGCPlv2Ti6cCzzPNGBrgS28JJtBu6Sm5u7tkMwWNK2miNtq1k32vcJdw+e6A5VNTVkFldQWlGNvaUpduYqLExkle+d0Ldr180NevhksyetBCdnV0x0eNqVJttWqbz9XEPrCeDq1av/9nF39/82WGxsLO+88w4ZGRk0a6abZwD+mppHRbWazs3tJfkTQogmYKJU0kwW3BmtcaHNGL/mDD+eySS+g6e2w9Ebupsq/7/MzP8Ooe7duxelUlkvKdQ12xJzsTBR0s1bdpgXQgghNG1Aa2ccLExYcUamXN0OrfcA/pNXXnmFnJwcFAoFNjY2fPbZZ5iY6GbYarWarYk5hHnK8W9CCCFEUzBTKYlv78HCI2mk5pfhI5+/DaKbmdSfLFmyRNshNNjF/OtcKbzOqHbuWMou80IIIUSTGBPiyeeH0/j8cBpv92+t7XD0gs4PAeuTvZdLAOjcTIZ/hRBCiKYS4GJNl+Z2rDt/jarqGm2HoxckAWxEe9KK8XO0pIOH7P8nhBBCNKUJnZqTWVLBitMyF7AhJAFsJPnllRzPLCXC2x5XK1NthyOEEEIYlYGtXXCxMuU7ORquQSQBbCS7k/OoVkOXZrL9ixBCCNHUTFVKxoV6cSS9kJMZRdoOR+dJAthItibmYGOqpKts/yKEEEJoxcOhXqgUMP/QZW2HovMkAWwkaQXldPWywtteNiMVQgghtMHdxpyBrV3YkpBDUblmj3/Vd5IANpLv4zvwUhd3zHT4GBohhBDC0E3o3JySymq+PJqm7VB0mmQrjcTCREUzWzNthyGEEEIYtYjm9rR2tmLl6UzUarW2w9FZkgAKIYQQwmAoFAqe6NSMpLwytlzM1nY4OksSQCGEEEIYlJFtPbAxU7HoaLq2Q9FZkgAKIYQQwqBYm6kYE+LJ3tQ8zl0r1koMFdU1TN2aQFJeqVbq/yeSAAohhBDC4Ezo3BwF8OH+S1qpf2dSLguPXmFXcp5W6v8nkgAKIYQQwuB42VkQE+zG5oRsMouvN3n9q89lYWeuokcL3dwfWBJAIYQQQhik57q2oLyqho/2pzZpvSUV1Wy6kE1PH0daOlo1ad0NJQmgEEIIIQxSGzcbevo48NPZLEoqqpus3q2JOZRV1dCnpROmOro/sG5GJYQQQgjRCCZ28yGvvIrPmvB4uJWnM3CxMqWnj0OT1Xm7JAEUQgghhMHq4eNAOzcblhxP53qV5nsBM4uvsyMpl36tnPFxtNR4fXdKEkAhhBBCGCyFQsH03n5klVQw76DmewFXns6kRg0D/J1RKhQar+9OSQIohBBCCIPWp6UjIR42LD56hbJKzfUCqtVqvj+ZQVs3a7q10N3hX5AEUAghhBAGTqFQ8O/erbhWWsnc3zS3L+C+S/kk5JYyqLULTpamGqunMUgCKIQQQgiD19PHgTBPW5YcT6ekokojdXx17Ap25ioGB7hopPzGJAmgEEIIIQxebS+gHzmllczam9Lo5acXlrPpQjYDW7sQ5Grd6OU3NkkAhRBCCGEUuvs4EuXnxNfH07mUX9aoZX9x5ApqIDrQFROl7qdXuh+hEEIIIUQjmXVva6pr1Ly05UKjlZlbVsmS41fo09KJ7jq++OMGnUgA165dS3R0NG3atGHp0qX1HisrK2PixIn079+fgQMHsnPnTi1FKYQQQgh95+tgyZOdm7MzOY8diTmNUubCI2mUVtYQ394DG3OTRilT03QiAQwODubDDz9k6NChf3ls0aJF2NjYsHXrVhYsWMD06dMpKSnRQpRCCCGEMASTe/jiZm3G1G0Xqaqpuauysksr+PxwGt1bONCnpWMjRah5OpEABgQE4O/vj/ImY+abNm0iPj4eAF9fX9q1a8eePXuaOkQhhBBCGAgrUxVv9/cnKa+MN3cm3VVZc35NoaSymkfDmmFvodtbv/yZTiSAfyc9PZ1mzZrV/dvT05OMjAwtRiSEEEIIfRcd6Mqg1s58cSSNQ2kFd1TGuWvFfH08nSGBrvTx05/eP4AmGaiOi4sjPT39po/t378flUql8RhycrKprNTMvj835OU1zlwCUUvaU3OkbTVH2lazpH01xxjbdnoXZ367nM/4n07xY2xLHCwanhZV1qh5al0K1qZKhvlYUJqfQ+ktnqvptjU1NcHZ2ea2XtMkCeDq1avv+LVeXl5cuXIFJycnAK5evUpERMRtl+Ps7EJNjfqO42goNzd3jddhTKQ9NUfaVnOkbTVL2ldzjK1t3YBvRlgRt/wEE3dlsvbBjpiqGjY4OntvMmdzynmttx9DQ7xRKf/+3F9Ntq3yH+q+6Ws0EEejGjhwICtWrAAgJSWFU6dO0bNnTy1HJYQQQghDEOHtwH/6+nMkvZBHVp+hugGdRWvPZfH+vlT6t3JmdAePf0z+dJFOJIDr168nMjKSzZs38/HHHxMZGcnFixcBGD9+PIWFhfTv358JEyYwc+ZMbGxur5tTCCGEEOJWHg1vxuTuPvxyMYfRK09SfP3WU8bWnb/GM+vP0dbNmqm9fHGxMmvCSBuPTmxWM3To0JtuAQNgZWXF3LlzmzgiIYQQQhiTV3q2xFylZNbeZCIXHebt/v7c6++CUlHbu1dQXsn7+1L5/HAawa7WzIzyp62brZajvnM6kQAKIYQQQmjbxHt8aO9uw8tbLjD2xzO4WJnSwcOW8spqjqYXUVFdw6AAFybd40MHD/1N/kASQCGEEEKIOn1bOXPgiS4sPHaF7Ym5pBWUo1TA0EAX+vs707+VM7Z6ctrH39H/30AIIYQQohGZmah4uksLnu7Sghq1mqoaNaZKBQqF/i32uBVJAIUQQgghbkGpUGCmMpzE7wadWAUshBBCCCGajiSAQgghhBBGRhJAIYQQQggjYzRzAO/kmJTbZWpq0iT1GAtpT82RttUcaVvNkvbVHGlbzdF0295J2Qq1Wq35A3KFEEIIIYTOkCFgIYQQQggjIwmgEEIIIYSRkQRQCCGEEMLISAIohBBCCGFkJAEUQgghhDAykgAKIYQQQhgZSQCFEEIIIYyMJIBCCCGEEEZGEkAhhBBCCCMjCaAQQgghhJExmgQwKiqKCxcuaDuMBlu7di3R0dG0adOGpUuXajucfxQVFUWPHj2orq6u+9lPP/1EYGBgo8cfGBhISUnJPz7v3LlzjB49mpCQEJ577rlGjUHbmqq99e06bEwNuWfcyX1FH9t006ZNxMbGEhMTw8CBA5k8efJdlVdYWMiXX37ZSNH9V1paGhEREY1eLsDkyZPp0aNHg+8/tysqKoqhQ4dSU1NT72e68LmlqTgWLVrEgAEDCAoKYufOnY1efkPpSjs3VGPdQ4wmAdQFf/6w/ifBwcF8+OGHDB06VIMRNS43Nzd+/fXXun+vXr2atm3b3nY5VVVVjRKPk5MTr776Kq+++mqjlKdrGqu9/44+Xoe6Tt/aNCsrizfeeIPPPvuMtWvXsmnTJsaPH39XZRYWFrJw4cJGirDx3exePXLkSNauXavRektLSzVeh7bc7L7euXNnvvzySzp37qyFiHSLNvIDo0sAv/rqK0aMGEFsbCzx8fGcO3eu7rHAwEAWLFjAiBEj6Nu3L1u2bAH++q3yz/+uqqpi/PjxDB8+nCFDhvDqq69SUVEB1PbIjBs3jn/9618MHTqUM2fO/OUPNmzYMI4dO/aXOAMCAvD390ep1J8/UVxcHD/99BMAly9fprS0lICAgLrHDxw4QHx8PLGxsURHR7Nhw4a6x8aMGcNbb73FqFGjeOqppwDYuXMnw4cPZ9iwYcTGxnL+/Pm653/77bd/+Tv9L3d3d0JCQjAzM9PEr6t1d9reJ0+eNOjrsLH9b+/AzXoLDLlNs7OzMTExwcHBAQCFQkGbNm3qHj9x4gRjxoxh+PDhDB8+nF27dgH/vU/OmjWL6OhooqOjOXLkCAAzZ86kqKiImJgYRo8eDdQmms899xwjR44kOjqaBQsW1NURFRXFhx9+SHx8PL1792bdunUsWbKEkSNH0r9/fw4fPlwv5pvVCbB7925Gjx7N8OHDiY+P5/fffwfg4MGDREdH8+qrrxITE8OePXv+0g7dunXD2dm5EVr01p555hk++eSTus+QP0tNTeXhhx8mOjqauLi4uhjnz5/P22+/Xfe8vLw8IiIiKC0tpaKigtmzZzNy5EiGDRvGSy+9VNd7OWXKFF577TXGjh1Lnz59ePvttzlw4AAPPPAAUVFRfP311/Xq//nnnxk+fDj9+/ev1+uUlJTEY489xogRIxg2bBg//vhj3WOBgYHMmzePESNG8Mknn/zld+rQoQMtWrS4u0ZrRMaWH5jc1av1UGxsLI8++igA+/fvZ8aMGaxcubLucRsbG3788UeOHj3KxIkTGTBgwN+Wp1KpmDNnDo6OjqjVal555RV+/PFH7r//fqD25rh27dq6i9zKyopDhw7RpUsXjhw5glKpJCwsTEO/bdPq0qULy5Yto6CggNWrVxMbG8uZM2fqHm/Tpg3Lli1DpVKRnZ3N8OHD6dGjB/b29kBtErNs2TJMTExITk5m+vTpfPfdd/j6+lJRUVHvpni7fydDdKft3aFDB4O+DrXBkNs0KCiIDh060Lt3byIiIggLCyMmJgZHR0cKCwuZMWMGX3zxBW5ubmRlZTFy5EjWr18PQH5+PkFBQUyZMoWDBw8yadIktm3bxmuvvcaIESPq9Xa98sorPP3003Tu3JmKigrGjRtH+/bt6d69OwAVFRWsWLGCkydPMnbsWF566SVWrVrFxo0b+eCDD1i+fPnf1pmRkcH8+fNZtGgRNjY2JCQk8Pjjj9clrBcvXmTmzJmEhoY2bQP/Sbt27Wjbti3Lly/n4YcfrvfYiy++yKhRo7jvvvu4ePEiDz74YN3Q/KhRo3j55ZcxMTFh/fr1REVFYWVlxfz587G1tWXVqlUAvPfee3zxxRe88MILACQkJPD1119TXV1NVFQURUVFLF26lGvXrjFw4EBGjhyJtbU1ADk5Ofz0009kZ2cTGxtLp06d8Pf358UXX+S9996jVatWFBcXM2LECDp27EirVq0AMDc3r5cU6jJjyw+MLgE8ffo0n3/+OQUFBSgUClJSUuo9PnjwYAA6duxIVlYW169f/9vyampq+Oqrr9izZw81NTUUFBRgYWFR93hYWFi9bzhjxoxh2bJldOnShe+++44HH3yw8X45LVMoFAwaNIgNGzawYcMGvv/++3oJSW5uLlOnTiU1NRWVSkVBQQHJycl07NgRgOjoaExMai/J/fv3ExkZia+vLwBmZmb1evJu9ncyNzdvot9UN9xNexvydagthtqmSqWS+fPnc+HCBQ4fPsy2bdtYtGgR69at48SJE6SlpfH444/XPV+hUJCamoqjoyOmpqYMGzYMgIiICCwsLEhKSsLGxqZeHaWlpRw6dIjc3Ny6n5WUlJCYmFiXAN54z7dt25aysjIGDRoE1CZNly5dqnvdreo8evQoly5dqvd3qaqqIjs7GwAfHx+tJn83TJw4kbFjxzJy5Mi6nxUXF3Pu3DlGjBgBgL+/P8HBwfz+++9ERUXh7+/P7t276du3L6tXr66b9rJjxw6Ki4vreqsqKioICgqqK7dfv35199WWLVvSq1cvlEol7u7u2NnZkZGRUZfI3YjHxcWF3r17c+jQIUxMTEhMTGTSpEl1ZVZWVpKUlFT3uri4OE01VaMztvzAqBLAmpoann/+eZYuXUrbtm3JzMwkMjKy3nNuJBEqlQqovUGYmJigVqvrnvPnP/q6des4evQo3333HTY2NixYsKDeRXPj29MNAwcO5IMPPuDs2bMcPHiwXte9IYiLi+O+++6jc+fOODo61nvs9ddfJyoqik8++QSFQsGAAQPqtaWVlVWD67nZ38nYEkC48/Y29OuwMalUqnoT82910zf0Ng0ICCAgIIAHH3yQwYMHc+jQIczMzAgMDOS77777y/PT0tIaXHZNTQ0KhYJVq1Zhamp60+f873v+xr+VSmWD5w337NmTd9999y8/T0xMvK37jyb5+fnRq1cvFi9e3ODXxMXFsWbNGpo3b05RURGdOnUCQK1WM2PGDLp163bT1/35nqlSqf7y73+al6ZWq3F0dPzbeYu60q7/xBjzA/2YhNKIqqqq8PT0BGDZsmUNeo2LiwuVlZWkpqYC1A1vABQVFeHo6IiNjQ1FRUX1HrsZU1NTRowYwVNPPUV0dDSWlpZ3+JvoJm9vb1544QWefvrpvzxWVFREs2bNUCgU7Nu3r649b6Z79+7s2bOn7s1SUVFBcXGxpsLWW3fa3oZ+HTamFi1acOrUKaB2XuWNHqP/ZahtmpmZyfHjx+v+nZGRQW5uLs2bNyc0NJTU1FR+++23usdPnjxZ94FYWVnJunXrADhy5Ajl5eX4+flhY2NDeXl5XeJmY2NDeHg4X3zxRV05V69e5dq1a7cd763q7N69O3v37iUhIaFerLro2WefZdmyZXXz9WxsbAgODmb16tVAbcJ6/vz5utGTe++9l8OHD7N48WLi4uJQKBRA7dzJJUuWUF5eDtT2JCYmJt5RTDfqzs3NZffu3URERNCyZUssLCxYs2ZN3fMSExP19l5tbPmB0SSAVVVVWFpa1k0yHj58eIO/mZiYmDBt2jQeeeQRRo4cWZf9Q+2cgZKSEgYOHMiTTz5JeHj4P5Z33333kZmZWTcP4GbWr19PZGQkmzdv5uOPPyYyMpKLFy82KF5ti4+PJzg4+C8/nzx5Mu+++y4xMTFs2rSJwMDAW5bh6+vLm2++yQsvvMCwYcOIj4/nypUrtxVHWloakZGRzJo1i927dxMZGckPP/xw27+PrrvT9jb06/Bu3ehVfv7551m8eDExMTHs2rULLy+vW77GENu0qqqKefPmMWDAAGJiYnj88ceZOHEibdq0wd7envnz5/Ppp58ybNgwBg0axCeffFKXADo4OHD+/Hmio6N54403+OCDDzAzM8PBwaFukcaNRSBz5swhMTGx7ucvvPAChYWFtx3vrer09fXlvffeY9q0aXWxrlixosHlPvPMM3U9QgMHDrzrldB/x8PDg5iYGPLz8+t+NmfOHH7++Weio6N58cUXeffdd3FycgLA0tKSvn37snbtWmJjY+te88QTTxAUFFS3sOaBBx644wTQ0dGxbvHMhAkTCAwMxMTEhAULFrBx40aio6MZMmQIb7zxxk0XsdzMwoULiYyM5Pjx40yZMoXIyEitJI/Gmh8o1H/uuzRQWVlZDBo0iH379tUbf9eWtWvXsmHDhnrfdoVoanId3tqd3jOkTf8rLS2NESNGcPDgQW2HIsQtGXN+YPBzAL/55huWLVvGK6+8ohN/3PHjx3Pp0iU+++wzbYcijJhch7d2p/cMaVMh9Iux5wdG0QMohBBCCCH+y2jmAAohhBBCiFqSAAohhBBCGBlJAIUQQgghjIwkgEIIoQFJSUnExMQQGhrKN998o+1whBCiHoNfBSyEMB5RUVFkZ2ejUqlQqVT4+/sTExNDfHz8XR+cfrsWLlxIRETE356SIIQQ2iI9gEIIg7JgwQKOHz/Ozp07efzxx/nyyy+ZNm1ak8eRnp5O69atm7zeP1Or1fWOsRNCiBskARRCGCRbW1v69u3LRx99xOrVq7lw4QIAu3btIjY2lrCwMHr16sW8efPqXvPEE0/w7bff1isnOjqarVu33rSO7du3M2TIEDp16sSYMWPqTlkYO3YsBw8eZObMmYSGhpKcnFzvdZs2bWL48OH1frZ48WKeeuopoPbow9mzZ9O7d2/uueceXnvttbrjvAoKCpgwYQJdu3alc+fOTJgwgYyMjLpyxowZw4cffsjo0aMJCQnh8uXLd9J8QggDJwmgEMKgdejQAQ8PD44cOQLUHps1e/Zsjhw5wueff87y5cvZtm0bUHt0088//1z32vPnz5OVlUWvXr3+Um5ycjKTJ09m6tSpHDhwgMjISJ588kkqKir45ptv6NSpE6+99hrHjx+nZcuW9V7bt29f0tLS6h3L9edjvObMmUNycjJr1qzhl19+ISsri08//RSoPbR++PDh7Ny5k507d2Jubs7MmTPrlb927VrefPNNjh079rdH1wkhjJckgEIIg+fm5kZBQQEAERERBAYGolQqCQoKYsiQIRw6dAioTcxSUlJISUkBahOpQYMGYWZm9pcyN27cSK9evejevTumpqaMHz+e8vJyjh8//o/xmJmZMWjQoLpkMyEhgStXrtCnTx/UajUrV65k6tSpODg4YGNjw4QJE9iwYQNQeybrgAEDsLS0xMbGhqeeeorDhw/XKz8uLo7WrVtjYmKCqanpHbebEMJwySIQIYTBy8zMxN7eHoATJ04wZ84cEhISqKyspKKigoEDBwJgbm5el5g988wzrF+/nrlz5960zKysrHq9a0qlEk9PTzIzMxsUU1xcHJMmTWLixIn1Es2cnBzKysrqDRH/eS5fWVkZ77zzDnv37q1LaktKSqiurq47iN7T0/M2W0gIYWwkARRCGLSTJ0+SmZlJeHg4AJMnT+ahhx5i4cKFmJub89Zbb5GXl1f3/Li4OF5++WXCw8OxtLQkNDT0puW6ubnVzSuE2iTt6tWruLu7Nyiujh07YmpqypEjR1i/fj1z5swBanv4LCws2LBhw03L+uqrr0hOTmblypW4urpy7tw5YmNj+fOpngqFokExCCGMlwwBCyEMUnFxMTt37mTSpEkMGzaMwMBAoLa3zN7eHnNzc06ePMn69evrvS40NBSlUsmsWbMYNmzYLcsfNGgQu3fv5sCBA1RWVvLVV19hZmZ2y4TxZmJjY5k5cyYmJiZ06tQJqO1JvO+++3j77bfJyckBansw9+7dWxe/ubk5dnZ25Ofn88knn9xWuwghBEgCKIQwME8++SShoaH06tWLBQsW8Mgjj/DOO+/UPT5jxgzmzp1LaGgon376KYMGDfpLGTExMVy4cIGYmJhb1uPn58d7773Hm2++SdeuXdm5cycLFiy46XzBW4mJiSEhIeEvieZLL72Ej48PewSXkAAAANBJREFUo0aNIiwsjHHjxtWtJH744Ye5fv06Xbt2JT4+np49eza4PiGEuEGh/vO4gRBCCNasWcOKFStYvny5RuspLy+nW7durF69Gl9fX43WJYQQfyY9gEII8SdlZWUsW7aM+Ph4jde1fPly2rdvL8mfEKLJySIQIYT4f3v37uXZZ5+lW7duDB06VKN1RUVFoVar6/b3E0KIpiRDwEIIIYQQRkaGgIUQQgghjIwkgEIIIYQQRkYSQCGEEEIIIyMJoBBCCCGEkZEEUAghhBDCyPwfQyi3Ng+5eRQAAAAASUVORK5CYII=\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "m.plot_components(forecast)" ] @@ -3782,11 +9785,17 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 96, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "ds, trend, yhat_lower, yhat_upper, trend_lower, trend_upper, additive_terms, additive_terms_lower, additive_terms_upper, weekly, weekly_lower, weekly_upper, yearly, yearly_lower, yearly_upper, multiplicative_terms, multiplicative_terms_lower, multiplicative_terms_upper, yhat\n" + ] + } + ], "source": [ "print(', '.join(forecast.columns))" ] @@ -3800,10 +9809,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 97, + "metadata": {}, "outputs": [], "source": [ "def make_comparison_dataframe(historical, forecast):\n", @@ -3821,11 +9828,82 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 98, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
yhatyhat_loweryhat_uppery
ds
2017-06-23278.408441251.211755302.945913421
2017-06-24241.799926217.975539266.610956277
2017-06-25246.140241220.527041270.371419253
\n", + "
" + ], + "text/plain": [ + " yhat yhat_lower yhat_upper y\n", + "ds \n", + "2017-06-23 278.408441 251.211755 302.945913 421\n", + "2017-06-24 241.799926 217.975539 266.610956 277\n", + "2017-06-25 246.140241 220.527041 270.371419 253" + ] + }, + "execution_count": 98, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "cmp_df = make_comparison_dataframe(df, forecast)\n", "cmp_df.tail(n=3)" @@ -3840,10 +9918,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 99, + "metadata": {}, "outputs": [], "source": [ "def calculate_forecast_errors(df, prediction_size):\n", @@ -3879,11 +9955,18 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 100, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "MAPE 22.738294605590088\n", + "MAE 70.52942851097268\n" + ] + } + ], "source": [ "for err_name, err_value in calculate_forecast_errors(cmp_df, prediction_size).items():\n", " print(err_name, err_value)" @@ -3919,11 +10002,514 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 101, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.plotly.v1+json": { + "config": { + "linkText": "Export to plot.ly", + "plotlyServerURL": "https://plot.ly", + "responsive": true, + "showLink": false + }, + "data": [ + { + "line": { + "width": 0 + }, + "mode": "lines", + "name": "Lower Bound", + "type": "scatter", + "uid": "d8644d09-5a04-44c3-9fba-b7e2925e1579", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 199.76386150123977, + 206.0496710915272, + 250.73731208479504, + 252.9924641707663, + 254.61657745818957, + 250.0251210283591, + 240.11657189187017, + 204.12356457566082, + 206.55414725093837, + 252.08723940062796, + 256.6026898744562, + 255.1050979705116, + 252.22283153986118, + 241.4304487521903, + 207.57090589640507, + 208.58444306495048, + 253.9618108542495, + 260.3936738985705, + 259.53758511680564, + 255.8124436037884, + 246.99203686403132, + 209.9485172830874, + 216.2547729213621, + 259.52394465905803, + 263.6846856230445, + 265.2953031511334, + 261.98377486893537, + 251.2117550654344, + 217.97553922593875, + 220.5270405949857 + ] + }, + { + "fill": "tonexty", + "fillcolor": "rgba(68, 68, 68, 0.3)", + "line": { + "width": 0 + }, + "mode": "lines", + "name": "Upper Bound", + "type": "scatter", + "uid": "c93066a6-6d1c-4164-8a44-967b8e6ae1c3", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 251.2185217957537, + 255.28754919681487, + 299.79953793591045, + 302.9652809264728, + 303.35652733044526, + 300.4861951468328, + 290.1901916520699, + 253.8780442011648, + 254.80986506092594, + 300.6123925273154, + 307.6109930441578, + 306.1807523242475, + 302.0730443564752, + 292.0176029808559, + 256.32909867686755, + 259.7982833904538, + 304.85095515444385, + 308.63972346112615, + 308.9940127211044, + 305.75397965807775, + 299.058831561087, + 262.9835574036574, + 265.20029289287254, + 309.69908082032265, + 313.9395986991648, + 315.9318746227901, + 310.9446230219608, + 302.94591252445, + 266.61095645272604, + 270.37141862477876 + ] + }, + { + "line": { + "color": "rgb(31, 119, 180)" + }, + "mode": "lines", + "name": "Forecast", + "type": "scatter", + "uid": "b65fe1e5-f2e1-4ab3-b60f-e3ed0b176cdf", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 226.23155722016384, + 229.96346907581392, + 274.5478447257684, + 278.28641116620895, + 278.48911202175486, + 274.70287304647076, + 265.3886859059169, + 228.18032480127127, + 231.94186946582744, + 276.59356616385526, + 280.4354018785411, + 280.77500967646955, + 277.1564815920021, + 268.03750864976007, + 231.04816137908767, + 235.04848302514046, + 279.9544256780784, + 284.0615030361999, + 284.6727762988744, + 281.32774803513183, + 272.47958218248573, + 235.75396211710768, + 240.00675766639577, + 285.15003004824683, + 289.47574733635275, + 290.2838259583567, + 287.1110722330708, + 278.4084414135025, + 241.79992565096526, + 246.140241390823 + ] + }, + { + "mode": "lines", + "name": "Actual", + "type": "scatter", + "uid": "513783c1-cc7d-4e11-abf1-086e2dbea387", + "x": [ + "2017-03-18", + "2017-03-19", + "2017-03-20", + "2017-03-21", + "2017-03-22", + "2017-03-23", + "2017-03-24", + "2017-03-25", + "2017-03-26", + "2017-03-27", + "2017-03-28", + "2017-03-29", + "2017-03-30", + "2017-03-31", + "2017-04-01", + "2017-04-02", + "2017-04-03", + "2017-04-04", + "2017-04-05", + "2017-04-06", + "2017-04-07", + "2017-04-08", + "2017-04-09", + "2017-04-10", + "2017-04-11", + "2017-04-12", + "2017-04-13", + "2017-04-14", + "2017-04-15", + "2017-04-16", + "2017-04-17", + "2017-04-18", + "2017-04-19", + "2017-04-20", + "2017-04-21", + "2017-04-22", + "2017-04-23", + "2017-04-24", + "2017-04-25", + "2017-04-26", + "2017-04-27", + "2017-04-28", + "2017-04-29", + "2017-04-30", + "2017-05-01", + "2017-05-02", + "2017-05-03", + "2017-05-04", + "2017-05-05", + "2017-05-06", + "2017-05-07", + "2017-05-08", + "2017-05-09", + "2017-05-10", + "2017-05-11", + "2017-05-12", + "2017-05-13", + "2017-05-14", + "2017-05-15", + "2017-05-16", + "2017-05-17", + "2017-05-18", + "2017-05-19", + "2017-05-20", + "2017-05-21", + "2017-05-22", + "2017-05-23", + "2017-05-24", + "2017-05-25", + "2017-05-26", + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 123, + 99, + 205, + 247, + 246, + 228, + 208, + 135, + 129, + 230, + 225, + 238, + 257, + 207, + 125, + 142, + 259, + 221, + 203, + 274, + 221, + 140, + 149, + 238, + 258, + 239, + 250, + 204, + 137, + 143, + 233, + 264, + 278, + 274, + 259, + 178, + 168, + 296, + 306, + 270, + 277, + 262, + 187, + 148, + 305, + 332, + 286, + 284, + 264, + 133, + 136, + 267, + 262, + 264, + 267, + 281, + 205, + 180, + 341, + 345, + 367, + 336, + 301, + 156, + 194, + 341, + 388, + 375, + 298, + 269, + 157, + 172, + 297, + 365, + 407, + 392, + 328, + 170, + 194, + 340, + 348, + 323, + 347, + 291, + 165, + 193, + 349, + 358, + 344, + 336, + 345, + 188, + 243, + 408, + 417, + 422, + 441, + 421, + 277, + 253 + ] + } + ], + "layout": { + "showlegend": false, + "title": { + "text": "New posts on Medium" + }, + "yaxis": { + "title": { + "text": "Posts" + } + } + } + }, + "text/html": [ + "
\n", + " \n", + " \n", + "
\n", + " \n", + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def show_forecast(cmp_df, num_predictions, num_values, title):\n", " \"\"\"可视化预测结果\n", @@ -4032,10 +10618,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 102, + "metadata": {}, "outputs": [], "source": [ "def inverse_boxcox(y, lambda_):\n", @@ -4051,10 +10635,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 103, + "metadata": {}, "outputs": [], "source": [ "train_df2 = train_df.copy().set_index('ds')" @@ -4069,10 +10651,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 104, + "metadata": {}, "outputs": [], "source": [ "train_df2['y'], lambda_prophet = stats.boxcox(train_df2['y'])\n", @@ -4088,10 +10668,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 105, + "metadata": {}, "outputs": [], "source": [ "m2 = Prophet()\n", @@ -4109,10 +10687,8 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, + "execution_count": 106, + "metadata": {}, "outputs": [], "source": [ "for column in ['yhat', 'yhat_lower', 'yhat_upper']:\n", @@ -4128,11 +10704,18 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 107, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "MAPE 11.664988008088875\n", + "MAE 39.3918349432563\n" + ] + } + ], "source": [ "cmp_df2 = make_comparison_dataframe(df, forecast2)\n", "for err_name, err_value in calculate_forecast_errors(cmp_df2, prediction_size).items():\n", @@ -4155,11 +10738,1018 @@ }, { "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], + "execution_count": 108, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.plotly.v1+json": { + "config": { + "linkText": "Export to plot.ly", + "plotlyServerURL": "https://plot.ly", + "responsive": true, + "showLink": false + }, + "data": [ + { + "line": { + "width": 0 + }, + "mode": "lines", + "name": "Lower Bound", + "type": "scatter", + "uid": "2de9704e-5bfd-4e84-855f-bcbd5a123f62", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 199.76386150123977, + 206.0496710915272, + 250.73731208479504, + 252.9924641707663, + 254.61657745818957, + 250.0251210283591, + 240.11657189187017, + 204.12356457566082, + 206.55414725093837, + 252.08723940062796, + 256.6026898744562, + 255.1050979705116, + 252.22283153986118, + 241.4304487521903, + 207.57090589640507, + 208.58444306495048, + 253.9618108542495, + 260.3936738985705, + 259.53758511680564, + 255.8124436037884, + 246.99203686403132, + 209.9485172830874, + 216.2547729213621, + 259.52394465905803, + 263.6846856230445, + 265.2953031511334, + 261.98377486893537, + 251.2117550654344, + 217.97553922593875, + 220.5270405949857 + ] + }, + { + "fill": "tonexty", + "fillcolor": "rgba(68, 68, 68, 0.3)", + "line": { + "width": 0 + }, + "mode": "lines", + "name": "Upper Bound", + "type": "scatter", + "uid": "ca3440a4-aa68-4534-addd-e3a1a6152d3b", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 251.2185217957537, + 255.28754919681487, + 299.79953793591045, + 302.9652809264728, + 303.35652733044526, + 300.4861951468328, + 290.1901916520699, + 253.8780442011648, + 254.80986506092594, + 300.6123925273154, + 307.6109930441578, + 306.1807523242475, + 302.0730443564752, + 292.0176029808559, + 256.32909867686755, + 259.7982833904538, + 304.85095515444385, + 308.63972346112615, + 308.9940127211044, + 305.75397965807775, + 299.058831561087, + 262.9835574036574, + 265.20029289287254, + 309.69908082032265, + 313.9395986991648, + 315.9318746227901, + 310.9446230219608, + 302.94591252445, + 266.61095645272604, + 270.37141862477876 + ] + }, + { + "line": { + "color": "rgb(31, 119, 180)" + }, + "mode": "lines", + "name": "Forecast", + "type": "scatter", + "uid": "d3480860-3753-4e73-8089-f9be750d5f1b", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 226.23155722016384, + 229.96346907581392, + 274.5478447257684, + 278.28641116620895, + 278.48911202175486, + 274.70287304647076, + 265.3886859059169, + 228.18032480127127, + 231.94186946582744, + 276.59356616385526, + 280.4354018785411, + 280.77500967646955, + 277.1564815920021, + 268.03750864976007, + 231.04816137908767, + 235.04848302514046, + 279.9544256780784, + 284.0615030361999, + 284.6727762988744, + 281.32774803513183, + 272.47958218248573, + 235.75396211710768, + 240.00675766639577, + 285.15003004824683, + 289.47574733635275, + 290.2838259583567, + 287.1110722330708, + 278.4084414135025, + 241.79992565096526, + 246.140241390823 + ] + }, + { + "mode": "lines", + "name": "Actual", + "type": "scatter", + "uid": "df891e65-67b4-438f-8115-f675005775ab", + "x": [ + "2017-03-18", + "2017-03-19", + "2017-03-20", + "2017-03-21", + "2017-03-22", + "2017-03-23", + "2017-03-24", + "2017-03-25", + "2017-03-26", + "2017-03-27", + "2017-03-28", + "2017-03-29", + "2017-03-30", + "2017-03-31", + "2017-04-01", + "2017-04-02", + "2017-04-03", + "2017-04-04", + "2017-04-05", + "2017-04-06", + "2017-04-07", + "2017-04-08", + "2017-04-09", + "2017-04-10", + "2017-04-11", + "2017-04-12", + "2017-04-13", + "2017-04-14", + "2017-04-15", + "2017-04-16", + "2017-04-17", + "2017-04-18", + "2017-04-19", + "2017-04-20", + "2017-04-21", + "2017-04-22", + "2017-04-23", + "2017-04-24", + "2017-04-25", + "2017-04-26", + "2017-04-27", + "2017-04-28", + "2017-04-29", + "2017-04-30", + "2017-05-01", + "2017-05-02", + "2017-05-03", + "2017-05-04", + "2017-05-05", + "2017-05-06", + "2017-05-07", + "2017-05-08", + "2017-05-09", + "2017-05-10", + "2017-05-11", + "2017-05-12", + "2017-05-13", + "2017-05-14", + "2017-05-15", + "2017-05-16", + "2017-05-17", + "2017-05-18", + "2017-05-19", + "2017-05-20", + "2017-05-21", + "2017-05-22", + "2017-05-23", + "2017-05-24", + "2017-05-25", + "2017-05-26", + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 123, + 99, + 205, + 247, + 246, + 228, + 208, + 135, + 129, + 230, + 225, + 238, + 257, + 207, + 125, + 142, + 259, + 221, + 203, + 274, + 221, + 140, + 149, + 238, + 258, + 239, + 250, + 204, + 137, + 143, + 233, + 264, + 278, + 274, + 259, + 178, + 168, + 296, + 306, + 270, + 277, + 262, + 187, + 148, + 305, + 332, + 286, + 284, + 264, + 133, + 136, + 267, + 262, + 264, + 267, + 281, + 205, + 180, + 341, + 345, + 367, + 336, + 301, + 156, + 194, + 341, + 388, + 375, + 298, + 269, + 157, + 172, + 297, + 365, + 407, + 392, + 328, + 170, + 194, + 340, + 348, + 323, + 347, + 291, + 165, + 193, + 349, + 358, + 344, + 336, + 345, + 188, + 243, + 408, + 417, + 422, + 441, + 421, + 277, + 253 + ] + } + ], + "layout": { + "showlegend": false, + "title": { + "text": "No transformations" + }, + "yaxis": { + "title": { + "text": "Posts" + } + } + } + }, + "text/html": [ + "
\n", + " \n", + " \n", + "
\n", + " \n", + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.plotly.v1+json": { + "config": { + "linkText": "Export to plot.ly", + "plotlyServerURL": "https://plot.ly", + "responsive": true, + "showLink": false + }, + "data": [ + { + "line": { + "width": 0 + }, + "mode": "lines", + "name": "Lower Bound", + "type": "scatter", + "uid": "807cbfc7-430b-4d88-bb56-e1803ecebcc4", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 143.75401748089428, + 153.01129959229627, + 251.34830447964205, + 260.2790882277419, + 261.1248097366797, + 253.34176681109625, + 230.08596611293942, + 149.5977721932131, + 162.78834799954467, + 265.0840428337776, + 272.3200558274387, + 273.4441461675366, + 263.4388462752617, + 241.65297365985165, + 161.92852230175396, + 170.9487337700991, + 279.15210818236363, + 284.77668329495464, + 287.80004117733523, + 277.0146137243943, + 255.24849162497077, + 166.45509990545793, + 176.90217125953606, + 286.80860538209544, + 293.32416315303794, + 297.76857476060417, + 284.6721189135219, + 261.6087978323779, + 170.1418436409788, + 180.10174649673817 + ] + }, + { + "fill": "tonexty", + "fillcolor": "rgba(68, 68, 68, 0.3)", + "line": { + "width": 0 + }, + "mode": "lines", + "name": "Upper Bound", + "type": "scatter", + "uid": "f12fc884-7a5a-4673-ab97-6a8b4975bbf5", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 202.05743957686113, + 219.31858192173613, + 344.4979542177832, + 359.70049846371114, + 360.0316816712438, + 348.90017155660166, + 322.4043280687042, + 215.05322493309654, + 228.16133502410005, + 364.3373640926997, + 372.00267986261343, + 373.3327625966572, + 362.3719786332407, + 340.6616595465605, + 229.77522106601265, + 238.35358619703686, + 378.9774379932814, + 395.2998844763432, + 394.4653456175532, + 382.729256297081, + 349.97233392326575, + 236.65125572680765, + 250.2004239107218, + 392.21570628744666, + 398.57721356463185, + 404.02026697644067, + 397.8732523003619, + 359.10624384976575, + 239.31542277726996, + 253.1233131991994 + ] + }, + { + "line": { + "color": "rgb(31, 119, 180)" + }, + "mode": "lines", + "name": "Forecast", + "type": "scatter", + "uid": "21348d68-cd00-44ee-b766-7d80183e3489", + "x": [ + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 173.16567847325322, + 184.1451222336815, + 297.37222718407463, + 305.62642597494835, + 308.30377323872403, + 297.45815109970465, + 274.94750300212297, + 181.0903183532251, + 192.94356704749308, + 310.8843773047139, + 319.9255316434712, + 323.0639305554708, + 312.01840597980083, + 288.6948531588918, + 190.89311950925108, + 203.1272371849432, + 325.4924284453894, + 334.43158850639503, + 337.13531283449726, + 325.08515572515574, + 300.33052802055954, + 198.75190916818423, + 210.85240227247346, + 335.984175636732, + 344.3660407753826, + 346.37533991892695, + 333.37213268112146, + 307.52299954038443, + 203.5471587438161, + 215.55664281368988 + ] + }, + { + "mode": "lines", + "name": "Actual", + "type": "scatter", + "uid": "24b17791-57db-469e-82f5-70a6477dac23", + "x": [ + "2017-03-18", + "2017-03-19", + "2017-03-20", + "2017-03-21", + "2017-03-22", + "2017-03-23", + "2017-03-24", + "2017-03-25", + "2017-03-26", + "2017-03-27", + "2017-03-28", + "2017-03-29", + "2017-03-30", + "2017-03-31", + "2017-04-01", + "2017-04-02", + "2017-04-03", + "2017-04-04", + "2017-04-05", + "2017-04-06", + "2017-04-07", + "2017-04-08", + "2017-04-09", + "2017-04-10", + "2017-04-11", + "2017-04-12", + "2017-04-13", + "2017-04-14", + "2017-04-15", + "2017-04-16", + "2017-04-17", + "2017-04-18", + "2017-04-19", + "2017-04-20", + "2017-04-21", + "2017-04-22", + "2017-04-23", + "2017-04-24", + "2017-04-25", + "2017-04-26", + "2017-04-27", + "2017-04-28", + "2017-04-29", + "2017-04-30", + "2017-05-01", + "2017-05-02", + "2017-05-03", + "2017-05-04", + "2017-05-05", + "2017-05-06", + "2017-05-07", + "2017-05-08", + "2017-05-09", + "2017-05-10", + "2017-05-11", + "2017-05-12", + "2017-05-13", + "2017-05-14", + "2017-05-15", + "2017-05-16", + "2017-05-17", + "2017-05-18", + "2017-05-19", + "2017-05-20", + "2017-05-21", + "2017-05-22", + "2017-05-23", + "2017-05-24", + "2017-05-25", + "2017-05-26", + "2017-05-27", + "2017-05-28", + "2017-05-29", + "2017-05-30", + "2017-05-31", + "2017-06-01", + "2017-06-02", + "2017-06-03", + "2017-06-04", + "2017-06-05", + "2017-06-06", + "2017-06-07", + "2017-06-08", + "2017-06-09", + "2017-06-10", + "2017-06-11", + "2017-06-12", + "2017-06-13", + "2017-06-14", + "2017-06-15", + "2017-06-16", + "2017-06-17", + "2017-06-18", + "2017-06-19", + "2017-06-20", + "2017-06-21", + "2017-06-22", + "2017-06-23", + "2017-06-24", + "2017-06-25" + ], + "y": [ + 123, + 99, + 205, + 247, + 246, + 228, + 208, + 135, + 129, + 230, + 225, + 238, + 257, + 207, + 125, + 142, + 259, + 221, + 203, + 274, + 221, + 140, + 149, + 238, + 258, + 239, + 250, + 204, + 137, + 143, + 233, + 264, + 278, + 274, + 259, + 178, + 168, + 296, + 306, + 270, + 277, + 262, + 187, + 148, + 305, + 332, + 286, + 284, + 264, + 133, + 136, + 267, + 262, + 264, + 267, + 281, + 205, + 180, + 341, + 345, + 367, + 336, + 301, + 156, + 194, + 341, + 388, + 375, + 298, + 269, + 157, + 172, + 297, + 365, + 407, + 392, + 328, + 170, + 194, + 340, + 348, + 323, + 347, + 291, + 165, + 193, + 349, + 358, + 344, + 336, + 345, + 188, + 243, + 408, + 417, + 422, + 441, + 421, + 277, + 253 + ] + } + ], + "layout": { + "showlegend": false, + "title": { + "text": "Box–Cox transformation" + }, + "yaxis": { + "title": { + "text": "Posts" + } + } + } + }, + "text/html": [ + "
\n", + " \n", + " \n", + "
\n", + " \n", + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "show_forecast(cmp_df, prediction_size, 100, 'No transformations')\n", "show_forecast(cmp_df2, prediction_size, 100, 'Box–Cox transformation')" @@ -4222,7 +11812,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.6.8" + "version": "3.6.6" } }, "nbformat": 4,