-
Notifications
You must be signed in to change notification settings - Fork 17
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
CONVERSION ERROR #931
Comments
The type checker uses the wrong fragement of the expression syntax for property ... endproperty. Replicates #931.
The type checker uses the wrong fragement of the expression syntax for property ... endproperty. Replicates #931.
The type checker uses the wrong fragement of the expression syntax for property ... endproperty. Replicates #931.
That got broken -- a candidate fix is in #933, I would be grateful if you could confirm. |
Thank you very much for your reply and help. I have encountered a new problem. Here is the error message: I haven't determined yet whether the issue with property ... endproperty has been resolved. |
And when I processed the following assertions, I encountered an error: assert property (@(posedge clk) (mgmt_st == Error message: trp_delay is a input variable |
The cycle delay offsets have to be elaboration-time constants, and hence, can't be inputs. Consider making them parameters of your module. I'll improve the error message. |
Fixed in #938. |
Replicates the third example in #931.
|
No, it's in the branch |
Can't this syntax be supported? After the delay should be a Boolean expression, but I directly set it to 1 (it should be okay in terms of syntax, right?). I successfully ran it on Questa Sim. |
This allows the use of parentheses ( ... ) in sequences. Raised in #931.
Yes, this should be allowed -- fix in #942 |
Hello! I noticed that the current implementation doesn't support the default clocking and default disable iff syntax yet. I was wondering if there are any plans to add support for these features in the future? They are really useful for simplifying the writing of SVA , and it would be great o have them available. Thank you for your hard work on this project! |
I don't know why I can't handle expressions like |=> and |->. According to the manual, it should be supported.
Here is my code:
property p_push_wr_ptr;
@(posedge clk)
push && !full |=> wr_ptr == ($past(wr_ptr) + 1);
endproperty
assert property (p_push_wr_ptr);
Here is the error message:
file fifo.sv line 50: no conversion for binary expression sva_non_overlapped_implication
CONVERSION ERROR
Why does this happen?
The text was updated successfully, but these errors were encountered: