-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathvivado_16052.backup.log
498 lines (492 loc) · 52.2 KB
/
vivado_16052.backup.log
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
#-----------------------------------------------------------
# Vivado v2018.2 (64-bit)
# SW Build 2258646 on Thu Jun 14 20:03:12 MDT 2018
# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018
# Start of session at: Wed Dec 14 21:54:32 2022
# Process ID: 16052
# Current directory: C:/Xilinx/projects/Bidirectional_Transmitter
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent16504 C:\Xilinx\projects\Bidirectional_Transmitter\Bidirectional_Transmitter.xpr
# Log file: C:/Xilinx/projects/Bidirectional_Transmitter/vivado.log
# Journal file: C:/Xilinx/projects/Bidirectional_Transmitter\vivado.jou
#-----------------------------------------------------------
start_gui
open_project C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.xpr
Scanning sources...
Finished scanning sources
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.2/data/ip'.
open_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 918.559 ; gain = 180.844
update_compile_order -fileset sources_1
open_bd_design {C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd}
Adding cell -- xilinx.com:ip:processing_system7:5.5 - processing_system7_0
Adding cell -- xilinx.com:ip:axi_gpio:2.0 - axi_gpio_0
Adding cell -- xilinx.com:ip:proc_sys_reset:5.0 - rst_ps7_0_50M
Adding cell -- xilinx.com:module_ref:UART_Reciever:1.0 - UART_Reciever_0
Adding cell -- xilinx.com:module_ref:UART_Transmitter:1.0 - UART_Transmitter_0
Adding cell -- xilinx.com:ip:axi_protocol_converter:2.1 - auto_pc
Successfully read diagram <Bidirectional_Transmitter> from BD file <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
open_bd_design: Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 1011.941 ; gain = 88.438
close [ open C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/new/gpio_parse.v w ]
add_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/new/gpio_parse.v
update_compile_order -fileset sources_1
startgroup
set_property -dict [list CONFIG.C_GPIO_WIDTH {10}] [get_bd_cells axi_gpio_0]
endgroup
create_bd_cell -type module -reference gpio_parse gpio_parse_0
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
delete_bd_objs [get_bd_nets axi_gpio_0_gpio_io_o]
connect_bd_net [get_bd_pins UART_Transmitter_0/tx_axgpio] [get_bd_pins gpio_parse_0/tx_gpio]
connect_bd_net [get_bd_pins axi_gpio_0/gpio_io_o] [get_bd_pins gpio_parse_0/gpio_in]
WARNING: [BD 41-1306] The connection to interface pin /axi_gpio_0/gpio_io_o is being overridden by the user. This pin will not be connected as a part of interface connection GPIO
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
update_compile_order -fileset sources_1
regenerate_bd_layout
startgroup
make_bd_pins_external [get_bd_pins gpio_parse_0/din_gpio]
endgroup
set_property name din_gpio [get_bd_ports din_gpio_0]
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
regenerate_bd_layout
validate_bd_design
WARNING: [BD 41-927] Following properties on pin /UART_Reciever_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
reset_run synth_1
reset_run Bidirectional_Transmitter_axi_gpio_0_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
INFO: [BD 41-1662] The design 'Bidirectional_Transmitter.bd' is already validated. Therefore parameter propagation will not be re-run.
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 2.719 MB.
[Wed Dec 14 22:06:12 2022] Launched Bidirectional_Transmitter_axi_gpio_0_0_synth_1, Bidirectional_Transmitter_gpio_parse_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_axi_gpio_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_axi_gpio_0_0_synth_1/runme.log
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:06:13 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:03 ; elapsed = 00:00:12 . Memory (MB): peak = 1186.102 ; gain = 114.039
reset_run synth_1
reset_run Bidirectional_Transmitter_axi_gpio_0_0_synth_1
reset_run Bidirectional_Transmitter_gpio_parse_0_0_synth_1
startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:xadc_wiz:3.3 xadc_wiz_0
INFO: [Device 21-403] Loading part xc7z007sclg400-1
create_bd_cell: Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 1312.816 ; gain = 126.715
endgroup
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/processing_system7_0/FCLK_CLK0 (50 MHz)} Clk_slave {Auto} Clk_xbar {/processing_system7_0/FCLK_CLK0 (50 MHz)} Master {/processing_system7_0/M_AXI_GP0} Slave {/xadc_wiz_0/s_axi_lite} intc_ip {/ps7_0_axi_periph} master_apm {0}} [get_bd_intf_pins xadc_wiz_0/s_axi_lite]
</xadc_wiz_0/s_axi_lite/Reg> is being mapped into </processing_system7_0/Data> at <0x43C00000 [ 64K ]>
validate_bd_design
WARNING: [BD 41-927] Following properties on pin /UART_Reciever_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
regenerate_bd_layout
startgroup
set_property -dict [list CONFIG.XADC_STARUP_SELECTION {channel_sequencer} CONFIG.ADC_CONVERSION_RATE {962} CONFIG.OT_ALARM {false} CONFIG.USER_TEMP_ALARM {false} CONFIG.VCCINT_ALARM {false} CONFIG.VCCAUX_ALARM {false} CONFIG.ENABLE_VCCPINT_ALARM {false} CONFIG.ENABLE_VCCPAUX_ALARM {false} CONFIG.ENABLE_VCCDDRO_ALARM {false} CONFIG.SEQUENCER_MODE {Continuous} CONFIG.EXTERNAL_MUX_CHANNEL {VP_VN} CONFIG.SINGLE_CHANNEL_SELECTION {TEMPERATURE} CONFIG.CHANNEL_ENABLE_VP_VN {true}] [get_bd_cells xadc_wiz_0]
endgroup
startgroup
set_property -dict [list CONFIG.CHANNEL_ENABLE_VAUXP0_VAUXN0 {true} CONFIG.CHANNEL_ENABLE_VAUXP1_VAUXN1 {true} CONFIG.CHANNEL_ENABLE_VAUXP5_VAUXN5 {true} CONFIG.CHANNEL_ENABLE_VAUXP6_VAUXN6 {true} CONFIG.CHANNEL_ENABLE_VAUXP8_VAUXN8 {true} CONFIG.CHANNEL_ENABLE_VAUXP9_VAUXN9 {true} CONFIG.CHANNEL_ENABLE_VAUXP12_VAUXN12 {true} CONFIG.CHANNEL_ENABLE_VAUXP13_VAUXN13 {true} CONFIG.CHANNEL_ENABLE_VAUXP15_VAUXN15 {true}] [get_bd_cells xadc_wiz_0]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vp_Vn]
endgroup
set_property name Vp_Vn [get_bd_intf_ports Vp_Vn_0]
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux0]
endgroup
set_property name Vaux0 [get_bd_intf_ports Vaux0_0]
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux1]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux5]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux6]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux8]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux9]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux12]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux13]
endgroup
startgroup
make_bd_intf_pins_external [get_bd_intf_pins xadc_wiz_0/Vaux15]
endgroup
set_property name Vaux1 [get_bd_intf_ports Vaux1_0]
set_property name Vaux5 [get_bd_intf_ports Vaux5_0]
set_property name Vaux6 [get_bd_intf_ports Vaux6_0]
set_property name Vaux8 [get_bd_intf_ports Vaux8_0]
set_property name Vaux9 [get_bd_intf_ports Vaux9_0]
set_property name Vaux12 [get_bd_intf_ports Vaux12_0]
set_property name Vaux13 [get_bd_intf_ports Vaux13_0]
set_property name Vaux15 [get_bd_intf_ports Vaux15_0]
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
regenerate_bd_layout
regenerate_bd_layout
validate_bd_design
WARNING: [BD 41-927] Following properties on pin /UART_Reciever_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
regenerate_bd_layout
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
launch_runs impl_1 -to_step write_bitstream -jobs 7
INFO: [BD 41-1662] The design 'Bidirectional_Transmitter.bd' is already validated. Therefore parameter propagation will not be re-run.
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 2.719 MB.
[Wed Dec 14 22:18:35 2022] Launched Bidirectional_Transmitter_axi_gpio_0_0_synth_1, Bidirectional_Transmitter_gpio_parse_0_0_synth_1, Bidirectional_Transmitter_xbar_0_synth_1, Bidirectional_Transmitter_xadc_wiz_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_axi_gpio_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_axi_gpio_0_0_synth_1/runme.log
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
Bidirectional_Transmitter_xbar_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_xbar_0_synth_1/runme.log
Bidirectional_Transmitter_xadc_wiz_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_xadc_wiz_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:18:35 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:03 ; elapsed = 00:00:16 . Memory (MB): peak = 1496.496 ; gain = 46.871
launch_sdk -workspace C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk -hwspec C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
INFO: [Vivado 12-393] Launching SDK...
INFO: [Vivado 12-417] Running xsdk -workspace C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk -hwspec C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
INFO: [Vivado 12-3157] SDK launch initiated. Please check console for any further messages.
export_ip_user_files -of_objects [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v] -no_script -reset -force -quiet
remove_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
file delete -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
update_compile_order -fileset sources_1
make_wrapper -files [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd] -top
INFO: [BD 41-1662] The design 'Bidirectional_Transmitter.bd' is already validated. Therefore parameter propagation will not be re-run.
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
add_files -norecurse C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
update_compile_order -fileset sources_1
generate_target all [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd]
INFO: [BD 41-1662] The design 'Bidirectional_Transmitter.bd' is already validated. Therefore parameter propagation will not be re-run.
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
export_ip_user_files -of_objects [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd] -no_script -sync -force -quiet
create_ip_run [get_files -of_objects [get_fileset sources_1] C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd]
launch_runs -jobs 7 Bidirectional_Transmitter_gpio_parse_0_0_synth_1
[Wed Dec 14 22:21:34 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
export_simulation -of_objects [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd] -directory C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.ip_user_files/sim_scripts -ip_user_files_dir C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.ip_user_files -ipstatic_source_dir C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.ip_user_files/ipstatic -lib_map_path [list {modelsim=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/modelsim} {questa=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/questa} {riviera=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/riviera} {activehdl=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/activehdl}] -use_ip_compiled_libs -force -quiet
set_property top Bidirectional_Transmitter_wrapper [current_fileset]
update_compile_order -fileset sources_1
reset_run synth_1
reset_run Bidirectional_Transmitter_gpio_parse_0_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
[Wed Dec 14 22:22:18 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:22:18 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
reset_run synth_1
reset_run Bidirectional_Transmitter_gpio_parse_0_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
[Wed Dec 14 22:23:01 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:23:01 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
reset_run synth_1
reset_run Bidirectional_Transmitter_gpio_parse_0_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
[Wed Dec 14 22:23:54 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:23:54 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
update_module_reference Bidirectional_Transmitter_gpio_parse_0_0
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
Upgrading 'C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd'
INFO: [IP_Flow 19-1972] Upgraded Bidirectional_Transmitter_gpio_parse_0_0 from gpio_parse_v1_0 1.0 to gpio_parse_v1_0 1.0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
WARNING: [BD 41-927] Following properties on pin /UART_Reciever_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 4.250 MB.
[Wed Dec 14 22:25:13 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:25:13 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:02 ; elapsed = 00:00:10 . Memory (MB): peak = 1882.594 ; gain = 42.566
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
[Wed Dec 14 22:28:41 2022] Launched synth_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:28:41 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
[Wed Dec 14 22:31:34 2022] Launched synth_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 22:31:34 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
startgroup
set_property -dict [list CONFIG.NUM_MI {3}] [get_bd_cells ps7_0_axi_periph]
endgroup
connect_bd_net [get_bd_pins ps7_0_axi_periph/M02_ACLK] [get_bd_pins processing_system7_0/FCLK_CLK0]
connect_bd_net [get_bd_pins ps7_0_axi_periph/M02_ARESETN] [get_bd_pins rst_ps7_0_50M/peripheral_aresetn]
regenerate_bd_layout
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
validate_bd_design
WARNING: [BD 41-927] Following properties on pin /UART_Reciever_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
save_bd_design
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ui/bd_b6017575.ui>
reset_run synth_1
reset_run Bidirectional_Transmitter_xbar_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
INFO: [BD 41-1662] The design 'Bidirectional_Transmitter.bd' is already validated. Therefore parameter propagation will not be re-run.
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 4.250 MB.
[Wed Dec 14 23:02:11 2022] Launched Bidirectional_Transmitter_xbar_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_xbar_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_xbar_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 23:02:11 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 1947.762 ; gain = 41.945
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_gpio_parse_0_0
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
Upgrading 'C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd'
INFO: [IP_Flow 19-1972] Upgraded Bidirectional_Transmitter_gpio_parse_0_0 from gpio_parse_v1_0 1.0 to gpio_parse_v1_0 1.0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
generate_target all [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd]
WARNING: [BD 41-927] Following properties on pin /UART_Reciever_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
catch { config_ip_cache -export [get_ips -all Bidirectional_Transmitter_auto_pc_0] }
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 4.844 MB.
export_ip_user_files -of_objects [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd] -no_script -sync -force -quiet
create_ip_run [get_files -of_objects [get_fileset sources_1] C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd]
launch_runs -jobs 7 Bidirectional_Transmitter_gpio_parse_0_0_synth_1
[Wed Dec 14 23:58:20 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
export_simulation -of_objects [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd] -directory C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.ip_user_files/sim_scripts -ip_user_files_dir C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.ip_user_files -ipstatic_source_dir C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.ip_user_files/ipstatic -lib_map_path [list {modelsim=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/modelsim} {questa=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/questa} {riviera=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/riviera} {activehdl=C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.cache/compile_simlib/activehdl}] -use_ip_compiled_libs -force -quiet
reset_run synth_1
WARNING: [Vivado 12-1017] Problems encountered:
1. Failed to delete one or more files in run directory C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
[Wed Dec 14 23:58:45 2022] Launched Bidirectional_Transmitter_gpio_parse_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_gpio_parse_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_gpio_parse_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Wed Dec 14 23:58:46 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_UART_Reciever_0_0
INFO: [IP_Flow 19-5107] Inferred bus interface 'int_clk' of definition 'xilinx.com:signal:clock:1.0' (from Xilinx Repository).
WARNING: [IP_Flow 19-3153] Bus Interface 'int_clk': ASSOCIATED_BUSIF bus parameter is missing.
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
Upgrading 'C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd'
INFO: [IP_Flow 19-1972] Upgraded Bidirectional_Transmitter_UART_Reciever_0_0 from UART_Reciever_v1_0 1.0 to UART_Reciever_v1_0 1.0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 4.844 MB.
[Thu Dec 15 00:40:51 2022] Launched Bidirectional_Transmitter_UART_Reciever_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_UART_Reciever_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_UART_Reciever_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Thu Dec 15 00:40:51 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:01 ; elapsed = 00:00:10 . Memory (MB): peak = 2039.016 ; gain = 39.309
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_UART_Reciever_0_0
INFO: [IP_Flow 19-5107] Inferred bus interface 'int_clk' of definition 'xilinx.com:signal:clock:1.0' (from Xilinx Repository).
WARNING: [IP_Flow 19-3153] Bus Interface 'int_clk': ASSOCIATED_BUSIF bus parameter is missing.
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
Upgrading 'C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd'
INFO: [IP_Flow 19-1972] Upgraded Bidirectional_Transmitter_UART_Reciever_0_0 from UART_Reciever_v1_0 1.0 to UART_Reciever_v1_0 1.0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
WARNING: [BD 41-927] Following properties on pin /UART_Transmitter_0/int_clk have been updated from connected ip. They may not be synchronized with cell properties. You can set property on pin directly to confirm the value and resolve the warning.
CLK_DOMAIN=Bidirectional_Transmitter_processing_system7_0_0_FCLK_CLK0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/sim/Bidirectional_Transmitter.v
VHDL Output written to : C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_gpio_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_50M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Transmitter_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block UART_Reciever_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block gpio_parse_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xadc_wiz_0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/ip/Bidirectional_Transmitter_auto_pc_0/Bidirectional_Transmitter_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
Exporting to file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter.hwh
Generated Block Design Tcl file C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hw_handoff/Bidirectional_Transmitter_bd.tcl
Generated Hardware Definition File C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/synth/Bidirectional_Transmitter.hwdef
INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP Bidirectional_Transmitter_auto_pc_0, cache-ID = ecea0249ad5cfe19; cache size = 4.844 MB.
[Thu Dec 15 00:48:16 2022] Launched Bidirectional_Transmitter_UART_Reciever_0_0_synth_1, synth_1...
Run output will be captured here:
Bidirectional_Transmitter_UART_Reciever_0_0_synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/Bidirectional_Transmitter_UART_Reciever_0_0_synth_1/runme.log
synth_1: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/synth_1/runme.log
[Thu Dec 15 00:48:16 2022] Launched impl_1...
Run output will be captured here: C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:02 ; elapsed = 00:00:10 . Memory (MB): peak = 2084.840 ; gain = 42.172
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_UART_Reciever_0_0
INFO: [IP_Flow 19-5107] Inferred bus interface 'int_clk' of definition 'xilinx.com:signal:clock:1.0' (from Xilinx Repository).
WARNING: [IP_Flow 19-3153] Bus Interface 'int_clk': ASSOCIATED_BUSIF bus parameter is missing.
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
Upgrading 'C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd'
INFO: [IP_Flow 19-1972] Upgraded Bidirectional_Transmitter_UART_Reciever_0_0 from UART_Reciever_v1_0 1.0 to UART_Reciever_v1_0 1.0
Wrote : <C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd>
exit
INFO: [Common 17-206] Exiting Vivado at Thu Dec 15 00:57:42 2022...