From d2f31860cda89e063e7ede6c1dd2b14f0e9f2f09 Mon Sep 17 00:00:00 2001 From: Michal Sieron Date: Tue, 23 Aug 2022 17:13:13 +0200 Subject: [PATCH] spiOverJtag: add support for xc7k70tfbg484 Signed-off-by: Michal Sieron --- spiOverJtag/Makefile | 2 +- spiOverJtag/build.py | 1 + spiOverJtag/constr_xc7k_fbg484.ucf | 6 ++++++ spiOverJtag/constr_xc7k_fbg484.xdc | 10 ++++++++++ spiOverJtag/spiOverJtag_xc7k70tfbg484.bit.gz | Bin 0 -> 4224 bytes 5 files changed, 18 insertions(+), 1 deletion(-) create mode 100644 spiOverJtag/constr_xc7k_fbg484.ucf create mode 100644 spiOverJtag/constr_xc7k_fbg484.xdc create mode 100644 spiOverJtag/spiOverJtag_xc7k70tfbg484.bit.gz diff --git a/spiOverJtag/Makefile b/spiOverJtag/Makefile index 56cba3aa90..5efdb6e94a 100644 --- a/spiOverJtag/Makefile +++ b/spiOverJtag/Makefile @@ -8,7 +8,7 @@ XILINX_PARTS := xc3s500evq100 \ xc7a100tcsg324 xc7a100tfgg484 xc7a100tfgg676\ xc7a200tsbg484 xc7a200tfbg484 \ xc7s25csga225 xc7s25csga324 xc7s50csga324 \ - xc7k70tfbg676 \ + xc7k70tfbg484 xc7k70tfbg676 \ xc7k160tffg676 \ xc7k325tffg676 xc7k325tffg900 \ xc7k420tffg901 \ diff --git a/spiOverJtag/build.py b/spiOverJtag/build.py index 91af8fec6b..fdd6b50307 100755 --- a/spiOverJtag/build.py +++ b/spiOverJtag/build.py @@ -88,6 +88,7 @@ "xc7a100tfgg676" : "xc7a_fgg676", "xc7a200tsbg484" : "xc7a_sbg484", "xc7a200tfbg484" : "xc7a_fbg484", + "xc7k70tfbg484" : "xc7k_fbg484", "xc7k70tfbg676" : "xc7k_fbg676", "xc7k160tffg676" : "xc7k_ffg676", "xc7k325tffg676" : "xc7k_ffg676", diff --git a/spiOverJtag/constr_xc7k_fbg484.ucf b/spiOverJtag/constr_xc7k_fbg484.ucf new file mode 100644 index 0000000000..a19ba6f23f --- /dev/null +++ b/spiOverJtag/constr_xc7k_fbg484.ucf @@ -0,0 +1,6 @@ +NET "csn" LOC = L18 | IOSTANDARD = LVCMOS33; +NET "sdi_dq0" LOC = H18 | IOSTANDARD = LVCMOS33; +NET "sdo_dq1" LOC = H19 | IOSTANDARD = LVCMOS33; +NET "wpn_dq2" LOC = G18 | IOSTANDARD = LVCMOS33; +NET "hldn_dq3" LOC = F19 | IOSTANDARD = LVCMOS33; + diff --git a/spiOverJtag/constr_xc7k_fbg484.xdc b/spiOverJtag/constr_xc7k_fbg484.xdc new file mode 100644 index 0000000000..ad770d1c83 --- /dev/null +++ b/spiOverJtag/constr_xc7k_fbg484.xdc @@ -0,0 +1,10 @@ +set_property CFGBVS VCCO [current_design] +set_property CONFIG_VOLTAGE 3.3 [current_design] +set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design] + +set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVTTL} [get_ports {csn}] +set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVTTL} [get_ports {sdi_dq0}] +set_property -dict {PACKAGE_PIN H19 IOSTANDARD LVTTL} [get_ports {sdo_dq1}] +set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVTTL} [get_ports {wpn_dq2}] +set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVTTL} [get_ports {hldn_dq3}] + diff --git a/spiOverJtag/spiOverJtag_xc7k70tfbg484.bit.gz b/spiOverJtag/spiOverJtag_xc7k70tfbg484.bit.gz new file mode 100644 index 0000000000000000000000000000000000000000..1eccc628d25a06ccf1999d7428ba9cc18ee74d72 GIT binary patch literal 4224 zcmeH~Yc$k(7{~u<(?x7Gqe-KkTyo1b4hBs&5lM66AU39uS;qa6QNkW7qhuK5IzmEA z?#hfyxeW&6J{Sz^x@2mM&bZ8FJMF&cbo6dt?RsAQp3C=n&iVYF--{pY(Y}3S{Xe*h z><;wvK;FI;a4F~}THVDX2w0i0@&emR10VGUN~-Rk_mEp%i9Q61YPUWacK?mJ$-4e} z!VM!4Yy30w$YZXu4*JU-SWV{`90Vjmw89^YQ#khHWL=t)!sKHJJasB%;{0zlWU5by zdrc!sJ8g7SkK-&5HiT;PM@u#8cLtvGkP|!wc9WoCQfP2T|w7CG>Aswp36^4rsK-|s`L#=MQ>aO7E}CW*L){y zFq~m0#_b2}GIq9*HF)^^`kg;Xnz|dCRAhYSWfj9mXB$mrTF|jM*Bu^ClehkGb0Jqq z330kY*LH&Mly5gNdb?GV@@@c$)Hg^UoSOSevBCFW#oU^w+jV3M|Cl)ZIv z-60?nUhzDs+3ez~8Ctx+Ar#d~p>{MUCdKas-g0nvZ^9Em@LiwDx|;9PZ9&sWgGuE|Q6aN#>PZ=4VC8eeY(P`kLd(aVmQHRO>H6^0 zlS_8JnzLrSQJ=h3GD)H=(3eX4c@NAxm)#raBu57Y0m#)UhC1}H&2fXA;a@+q>)Y%4 zNe8PO=V&PsAD)~2ZSEi6cpyc(6O|$6L?je&=ga#1pDxV$3C6}3R?P}11ZxTCi4N#@ zqyS+2`Wnwg6l$BwgiF&QR+9}6YRGjIU z?xA}uDSS^001Bponzgp$0lOl5P~_YcvF}%Eq7L$UjdLpWgZroEz^C<)Gi&@=vgiSE zoQv*(?KSe%`@V758;UZ7t;!hg^9o!UvJdl?Z|2GV=I?O?wO;Z_(C;A3Gj9eww; zym2H3vc2kGkG@si^!+IYHXe8*K#m0>1_i8gHt|MfXnuW3UekcooH9dC1s-Z8L&qFd_6kE*Y{OY3dq$vFJTZQtR_t>ewpe zbe~hRtN3_n@)EPLuK}HuKwCQu&ta`Bt{6bn>FV=@{xw%#|G8)d?+%kvdoM8PWP}}& z7#^XjQzZ39cX^RubOz8`FzXp6+PIVK^Emw3ZYkoa`=YF^@S1QJBa4|dEw5C8qofql zT6=Jl8Dhn2aW|J|6c(tV;VO6lzsrW@zr_`Mm40hNpN>xC*WMf{=~$xG$k zr^LQ)k;T{v`rMBE{Xm`#+<~fLjf)N33a4=*FSA`?5lZgfHpFml`^r(IF+(kDQ~1~- ztLYRwm)iaDY{UBE;Jf_p;G@y}a#dg1qE}8Q*n`uA%p{$Hz`~J^MMc|!~ z2Z9Q0<-{SMGEiS_8L8)`yMhLr83^E^2tCQKGCRi|0y_kD2<#B}&k?A2aqRqBXDJ