From 8c2c3c72301e78f5937bc9cd142554fa8fe2a2f4 Mon Sep 17 00:00:00 2001 From: TinyTapeoutBot <139130078+TinyTapeoutBot@users.noreply.github.com> Date: Mon, 9 Sep 2024 14:44:37 +0300 Subject: [PATCH] feat: update project tt_um_urish_charge_pump from urish/tt09-charge-pump Commit: 890844cda103af5fe1d2ed15b4f30acbfb537631 Workflow: https://github.com/urish/tt09-charge-pump/actions/runs/10754504270 --- projects/tt_um_urish_charge_pump/LICENSE | 201 +++ .../tt_um_urish_charge_pump/commit_id.json | 8 + projects/tt_um_urish_charge_pump/docs/info.md | 30 + .../tt_um_urish_charge_pump/docs/layout.png | Bin 0 -> 52139 bytes .../docs/sim_graph_stages.png | Bin 0 -> 108019 bytes .../docs/sim_graph_vout.png | Bin 0 -> 34131 bytes projects/tt_um_urish_charge_pump/info.yaml | 65 + .../tt_um_urish_charge_pump.gds | Bin 0 -> 698464 bytes .../tt_um_urish_charge_pump.lef | 1489 +++++++++++++++++ .../tt_um_urish_charge_pump.v | 20 + 10 files changed, 1813 insertions(+) create mode 100644 projects/tt_um_urish_charge_pump/LICENSE create mode 100644 projects/tt_um_urish_charge_pump/commit_id.json create mode 100644 projects/tt_um_urish_charge_pump/docs/info.md create mode 100644 projects/tt_um_urish_charge_pump/docs/layout.png create mode 100644 projects/tt_um_urish_charge_pump/docs/sim_graph_stages.png create mode 100644 projects/tt_um_urish_charge_pump/docs/sim_graph_vout.png create mode 100644 projects/tt_um_urish_charge_pump/info.yaml create mode 100644 projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.gds create mode 100644 projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.lef create mode 100644 projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.v diff --git a/projects/tt_um_urish_charge_pump/LICENSE b/projects/tt_um_urish_charge_pump/LICENSE new file mode 100644 index 00000000..261eeb9e --- /dev/null +++ b/projects/tt_um_urish_charge_pump/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/projects/tt_um_urish_charge_pump/commit_id.json b/projects/tt_um_urish_charge_pump/commit_id.json new file mode 100644 index 00000000..37d0af6f --- /dev/null +++ b/projects/tt_um_urish_charge_pump/commit_id.json @@ -0,0 +1,8 @@ +{ + "app": "custom_gds action", + "repo": "https://github.com/urish/tt09-charge-pump", + "commit": "890844cda103af5fe1d2ed15b4f30acbfb537631", + "workflow_url": "https://github.com/urish/tt09-charge-pump/actions/runs/10754504270", + "sort_id": 1725882272380, + "analog": true +} \ No newline at end of file diff --git a/projects/tt_um_urish_charge_pump/docs/info.md b/projects/tt_um_urish_charge_pump/docs/info.md new file mode 100644 index 00000000..764a4316 --- /dev/null +++ b/projects/tt_um_urish_charge_pump/docs/info.md @@ -0,0 +1,30 @@ + + +## How it works + +A 3-stage dickson charge pump. The output voltage is `Vout = 4*(VAPWR - Vd) = ~9.6 V` where `VAPWR` is the analog input voltage (nominally 3.3 V), and Vd is the diode drop (~0.9 V). The output voltage is divided by two and available at the `ua[0]` pin. + +## How to test + +Apply a clock signal of 2 MHz to the `clk` input. In TT09, the analog pin voltage is limited to VDDIO/VDDA (usually 3.3 V), so the output voltage will be divided by three. You can measure the divided output voltage at the `ua[0]` (vout_div) pin. + +## Simulation results + +Post layout simulation showing the output voltage `x1.vout` and the divided output voltage on ta `ua[0]` pin. The output voltage stabilizes at ~8.7 V, and the divided output voltage at ~2.88 V. The current draw is about 1.2 uA (measured by adding a 1k resistor between `ua[0]` and VGND in simulation). + +![output voltage and divided voltage](sim_graph_vout.png) + +The following graph shows the input clock, the intermediate voltages at the output of each stage, the output voltage, and the divided voltage as they rise during the first 10 us of operation. + +![output voltage and intermediate voltages](sim_graph_stages.png) + +## Project layout + +![Project layout](layout.png) diff --git a/projects/tt_um_urish_charge_pump/docs/layout.png b/projects/tt_um_urish_charge_pump/docs/layout.png new file mode 100644 index 0000000000000000000000000000000000000000..de36f42885bff10044b27523a876bf4bee188a3c GIT binary patch literal 52139 zcmbTe2|Sc-^gpaTE1v{)XMY(PS#bFRQk`r16)`?xtc zICyl^8gm_}{fRdf?}q%taO}m4Gp>{yR#$KDPdvgTwVh{GRo9C%F79*I$1T!t@b| zks%fv6T&nNT_5Z6Uj8g5t#Vd8Aaz*ssjkI&|J2S?e|&yIKp=2_!`dCpQ%gYu8`LtDMiT`tK7SN^bkSy<^{R58WOflbmqiD`fqrC%8gkpy0uOrx3>^ zH?O|r;ApD;bw+{n@IQySJ$(BkvAqd5IDgJ)d$>?SSev2Yp&qWa+uR==py{mN^7kR{ z;phBu(Y4q+D?UNrEfmA?N4NhWDQycwZq4Io3pW4pc}iO9Rf!(V)1d%SpU3=s>km2Q z`hO)b;HNErjvQDZ{&Pvk4rv=^-{zYYpI%UplN7a?`Xmi0Hk%jwsZKh$Hs z;Sbqp8lK_zzxDVo4_g7&Z~jym___YS%IW5%Z9gwM%ar+Zn2&WI z*F4*HIrHDt;h#4LRL)jfdM9OF+@}yz3y0Qk^SiQc3tE5fDa5lozMK9SChz4fm-mE; zr7Qk+b3mUjYH1~)*Kc`;R|NCd+MD~MzYY0U_1#Iyy8p*8#iYglx1~Ox|6_%ls)t^z zKkJLouS5P-eQCaBRaJC_2d3zfY-~Zsptzhul{!XrO@2qFXIgwaoHyb0%nti@XAPgI z?Fr}Le?#u~=tHiEhYTsN{!Nxacf~vI;rH{;=AsXxx2=Cse1lHnXy@^>{IY#=0Gm=( z?IMI@|G`I=_3oEiT-vtpjE<<^`fVz=a;5Iib3ZTB5+Bw4@5zL)w+iWMGs;oDRowGR zSnP>euVz?^0c}s6zUk-K(l9kQagXu4c*kfMO#O9iX#)0&vYVD^($rbEk(S>3%}-9V zjjUH{GmnLKbuUqECN%~6VSNPnfn}l95!CbvkFIpI(I-A!tWviP+_gGF9PQ#{)nBRm zH`m6C)p-PUGjtc@gck~SgPs%mDA8nYGxltBC)>k&(_~5{(q(*` zH{UbcwiCpmL4Dv69?tOA07@hN>EmuqJx0{}Jj};_kz^j+TurjBc+Z;rslBVidptR< z$A^k_+Q)Ce4_#lIjdYuht4lLKU{)U5jd9w;JESDJc3%``vSmZ+{#E7s{+eXCp(uG=^buB;zbFL0RSf%!)=R*9RA4_hC3MDQycnty%0>7PovZOJYC2!R+4kMH4Mq*|};jms)WX?{@`1_QFL^ zV|EbBU=BBLSgtB*R_%uI+jh9QddW7 zjPtnkZi!UuVuE4Ht%*V${q#nQ7dQ6X%wU-dmhKK;skN%3nIh)_%fJZZT+qC$Lb)f6 z2$GsExA`^#m8%pac{_Q`nXBIxBIXJ17t5q&an>y24ZR zEj|zPNM>mB6b5Us=}yZtA6noIMUod?G%)CD zx(GCc+PPu84tUz<^wT*s-@W{P4*(5{p8k92`q7vtDemG{8XJP6Qhh8|JNnOQSaw^0J5?y`6g?bd)!3GLZy`mT1;iZ z`~h5^)V5#(sr`0xQYL+L0`INinQ}=aCBnOzdN~AX)IQTcgrl(*YvcaDq?opo!c-uk zI?C<<-eldN(X^P@9W8I#%%hEBh$j-qEjv3pkL~OF>2@YeQG`@nROa1}Tv9fRG#7fwkq)lO7C*~u^Hp{FsM!obVDkjvSDd12&KpB% zvW)!vx&9bC!wL~A{Fm~TyJ@5)k&&M_VInwwtZSQi##_$EL2TpD^?t9_9zKUKE9*BX zsJ%hlcdlkJ(6u8{vhZ9C`g$I&OL2Cx%6nWTPg`Y@k{Ji82z*qqOyX2WqHcawdg86? zJZvWc!j#!z8&ao1OD?HEk)+gj!wNy}y$TnzIP?zl5sy@Kf+vkvc{V0#7*DQDRUCAP zG3J!%4^dCu zWGxPNFgW(Ief$LrJ`t1c74R1K^(1q$&&t8>OMrpC-Fl)0jz|?P^pP-EbL^(WyWG! zrRi>sLr;a=Y6}fNmYg%1j1JhjlUgMvW}8MpmsC2$XD+yun{fg595}D}MdiT{RE8ba zZ*rQh#O=WObzJw`$wqgh=Cq7KH@B}}-)WO-|6vnKV4MZY_c{gN?_p!_2>qFYezQxP z_o(};nTrt+ucj-+5-M1)7N8_%d>>lj`+_Y7dayqABaEaOQ&ds$qt5$`T zAy@+WCIlZ9yJ)cd`mDC_U|I~zgRheHcNWRRzS~FFf%9YN(84DS9(3q)JU2Kcpk7>>3ifPa_Rh6GmGlf)E14!eliLG9?uE@rPooX z74SF_O?swsQlR6p)g!Kao@HEH+oQv`%AFcy2?QY)H4y#|)}!u`^{ zhkL3PTQOFBP1nn$&8Cmf=pmgw0v1Mk8~u6-enJsy#_r_6+b^Ru^${LdTNngM??wy4 zXWsEw)_A!x#y+DJQpa}9d}}39+gRESMZrZ zk>&5Elg8l3ui=LQKV9s2b1WwJ9AC)=>Ajj`-gcS6h2}55r)sK)XAuw8<9g%3*|wT( zsFqCoIa_O=9OTBIVg`W975V=9?eE@8o;5X>3^9S~y8Ab&IlSypqVm5Yt2+~I_BRZP zMH}=E={!Al7mK-v&1^P)0&Q_G4sbX=tZDlg>ZTSE>Z=+3%T4b&jPgI}unEAV6gpRy zb%)2mH=TGkRk0B!?oq+wUzoVuBYQ3u$9+vxZQM=RZGW!fU1jW~r`iQ?p=;#N(ojY| z(aI;to!^^wRj)f_3)CuYK8#UYx9tz-5QP#cz=Sya?A{Hm$c1qvgw){GSBa-ctfYkC z-NoF@5Yf`J12=+q!LH>+WCa|M*QRA+4rr-gH$H6?y*rfn(H@6J_48ArW;_!}dtAo^ zkta@dL=|Y>Xz;U4(K^$w#d%g-+s2U19qKI63Mu8D3M{R6 zXC13*4t5J)KuzW!wL^9px{BVrwbCw6kWFmP%eQ@rK8EF+7P6Th2o}>kFCh8%}D!H;iAV7hl}Ha&N3BrJHh;V|of+#ALVLYLQd%_Ci9PE?&LOGiq0*BU zC~i$#QH{48igKDeJ`!IGVq2Q1X5;9C`j-hg&Q+olBma5Gz7l_*kBhY;JgfRK95}yM zkkermKVk!7&LxUu1@1LIYBmt#)T)rLx45gm+A#iNo^KuH#V|sE@5+=^Zef-ytU}}a z{z$2+O?8B*xR6}tP5&?8#iIul`yVDU=KL*t5)QHK7Nv&V`$#9~tw%KvI z?>NQct7d;`96Gbz-6*v$#Wy3-Q^r2ll|JN^ED7iW<^W(+->cQaqV zG`9)NaiKh^NY#yS>)MERNXk7{K>N8@ELmE>UF=1&a@@mwc(!>=`G%k1(B)T_K#t%S zsUTkTlFcof@p~9YijixYf6FRY&_dQ?KU^_LXXT%jvFnah^mMj%^dxR{fTJ?n3NJeH zC~B#XkfHJ4j^lj``8uvfV_8pYIpbc}&r1nr@~y7aG>|WOw$Z~G@7fnuV?{5sZPCh& zC8v5Eoy%6-)9Xq@yC^gDH|-DJJ&)97a}H!IE1uv6etc;&Q?yL$cyz z+i$(9#%iN0cjTp0!dk-Lpgo2y!e1eoa5WH8Y`s5XksRUcdkD1 z4QxYdtYP51_|`h<7#?=Ku5YcM6UB()^zN-z^=;}du{BDwy4vdgp_KbNY{I*%*i@^> zXZLver!6C{_Fw0#Q(brqGZMpJ%pEmUlRIbNR6b58e8y4rDECtr!aBYIUE32Jn<=y!4pK z2S$*86#aWz3*%wjo1+Oa2M_lhF7g^zZZixSR@i+f_ZB8ejIW;`U+MITU<>u1u#Rr$ zx1rS&A=cQH6Am|)5uYJe4ELw>kmsQvB1Lam5gswL^wzXO1oMzJ$U7N?HMaB$n(n0F4!_lV=>F$~1fhtF>} zseRXOpHQ2_WqnhAmuFf7`C2$1^u^-|)M}yZFLYg|4{gUU-1S6^f^GS7U~%(BTEJgf zNy{HJrXHqEyiCHLsc9?cn~WD}wLeB9%6LalcJC_unn)%tr}E==ojqt{!(c?`sMpW&I7zl1@j7oPK>IG)%0pa}c&@R#Ld~ z%>6UHWFBF*W7=J-kn&_;Lpm7KZsRml<@&lF+AS5-i!>iB5WqV%y zW!&)&qX!iAWL$_t8g{xNAyL+oE7Ur&;g}L!QCoTQcs%SK-ith;7m%N1EZjoyL|ArGZ!NR!DcS(_gw_beRBzn|F*m2QBt*=?GW-~}q|2}o}B{cN1n`3_Q zat!Iz8;m$iBf~+P#~`AVdyAa5+Gww%0$#H`_z=aW*90Sg^k=C~9G!LuND6@x3RfKB zN;3n^18uspp3EvnLvJ*z_Rg2gSH*I+1H2Fzbt$k?;^TNk9H*_aw$x-aw9KEqMv+S> z7i&WmqD;PsrTrll$WZ6vyKaREe6`baTeWTPTFME&Z_(fThgwGW&fDoNqmL4njhxN< zTikte=!`MAY* zL(1C;hzWd2VeoN+#q#1dm!_P8?Y_5LF2Nb}f=p_ZtvRo4*87%gmTFb0^7qrP$KHYR zu`{NCOG-ezerA$wTxRrjaqE1W4ivsoE>5Xv>17JG&_B{ybQaw$e=>yQ7Z$-$5I>@RI3i`j05RX@0>SrJ$@DL#8C|$OE`3Nnm}#}Z zyqyt{&2!KIJ=()dC#BKJ>V&#c*bZy+twh0Td(3MqJF(^RxVPBCO07wUn;(!4-fuMu z`aV$`-$IF^Q_~GyjAirtyN}_YaI~L+8|ddGl0tOwUK9m?RQ@#O%J7Yh1z@6Kjx*Jj zC(|`zVNRKhUW^MFX*5}lEb@0aIDGKqyO!+NPj`KIU>B14Xh8wFSjz47B!+ORa_F;9 zkK=^CIzKgAg)c0U zxs16nZ|xvb>?enX-YQTjWZ2P2?_f<16?6w9y`}x?&h!hW@C|Bxb*kNqA+JItv{K$v znu49X8GiD)I#tR&?2v?QFs5G_UpDiN85o#wW@%J)#6-cmO9ugGuC z$7b~LdW>&C(pwilTs4L)$KdWZvCh*dc|i+^dAnERciW8lyEb=L!k6#15Zw=os>`YD zIT$_rBpD!J>$ykW29+;KSy8TO-p= zRbNXNKhK-h8jG0`u0Nmf^U=?#e)~KDkv;dle9s{;Wp9lY+>rXnaYd!}OlhkjNqjjM z&rMQRx}om$gG~spZK^5)x8+T*InJV}R^pu3-3P(E0DUe!o>)aJ5}rYzA^NXR6Wt9Fyx^-dVKkI<=Sv9jHX`KD(e z?fxgF`?wiB%?Q_^4M%Q*6W9dgkMA|-cN)b;D!0a#J&ZjxVi$Rnj}bMJrBiG+o@bvC zO+1ht9MoRYLvcvuR$i!rQz;JFkHD{0<^RNo0Gc@sra1+$BBD9zSmm4BXh1 z;Pp9TCaM5~CTn+oF(aew8tQ^24n5shxU!un6nCt7Alzx?sn)m&*}WLf*Y9}%t6;Nq zBc#Kt5XJ_KPx1SU_6 zfd`3Mx%)LuS;gPVw=P)4pYvq)uv@r2Gc+PpWo&X+Io+CNQa$&IsZcmztR%wYz@Izf zlu-oef@Cfqcf}=p7oUtolO-24Gv$-Qh<&69CbjV74WfG(`k1f2{BJ06lW%fg^Q-At zx!e0awtJ8kvmVtKhqV+-ZL1)x6wG`oj&rAPiFWnHPAwXi2~T!v&c{zX zeJ579d$7p9|7-4r5~lehj20QEv*5+7JP26rf#iD}91LfGrjRalFH()D;icO6rEa~l zh?Zi-ZP>^~2o0Ra$dbv9aRZ8bu{j^%;Q^tnGd+^ZqcynkBZQREuE*vLFN;<>7Ou~? zUfBV4BGQNXIj?vXn~foGeYc8zYH`nw&6+voqfPn0Aq3J8nS^g4 z8qUVtP_sw*m#Znh0}LoqILAoTcVIc(A$?52arsE?LBK58cQldPcxfvreYi#7Ty|x&#cU8g=NM2ne~r+ZtZ3-E#Fz1Yfi@vtm_EeU zlXn~@hAHx#>TlOY*4`~ki?Iw#< zC%IJmo=8dU3yQ85;APz6T-6!}Tzq^FmIgRnenZ}pmXV#U)ZQ^cb+QjWgmX8NEF1)A zqmSse?!0MzF*hUi1(<;z#jSwiO@k;mOGS8Jy6`hS19<4-$Q% z?VOq%>q&Js)(%a%$vhl%bmf{(DJ!+T@Nyz(e#rS}N2P1#Zk=DUa7s_$RV1s2X(mjOGd@Q^yN834OBVqqwXk^8a$TYj4%CjHTKS9vFBW<)W@_t zSi%+3^Pu^IG{a@YqpGY1o_H zY{Ev?lR#RfR&0zV$5tRY%5nD%!BjuzVxN06IoL=-e#iJ(&253|#>R4Gqoq7{i$atr zZ>aQ~m)^&C54GN^0Q(zafT>0u?6l|#*$a)U_;}TBDcUM-@o#S?`I@MLy)Qr*r;muY#Yev5

;@(Jgwn^lCFjwMa%g&}50A{jl?U zyt6d!QS){rx6hd;HVbrWDw zWb(n_W!hCfpUg0f!VjvbnSTE4{kxS%wTb2@K4uSW$b6v=Jc^HS@Ql^@mCDmjJF?#u zF8A=k8st$GF96`&z$T!pE7w!x-m#@6<4nZGd%QcG&%8JkcZGr-dYw2&PI^{o&^r0V zjO|;D7UnCY?3Ioxmd2mjDoKBinO1 zb9sof+7bo7rwGC>9*B9-VF>Sm=*@bbz>_*hJGmL&RACFPj zNy@zj|9h?biSRE(y~tQqr7Ljw;aT*HBm2Kh?y%y8YF~iE#hPLZ(fEMG@hAkkJJU^M zx>AhrW(hsl={0VxM7t@ND+$;~?y(r2w2M33#Cn{7mM9LS=ZcO% zPCD9=O`Y`M8s`-6GLHNr)NIzjOqnshTPey+!KCrkK(XVDu>N*Isbhmu?EVOiQT04h3S= ze2SWGVNpBbR-tP5QYEr$v_TYh0=4^9C?ZHFStOo^t<=XV4H}#AU*M1KefDmVIAM+- zyF>pnlh;Li`0$N~=+|y{)uIpA@K##$c06tcGJHMv=x$GY^3kKHtQuUxww$ENwl;gG z8{_Jo%f+K;dQOudG%l5K1dg6U0ehAlklW9kE^J{34;T8SZcBB+(dil4vMS#8aMzn# zIrRF14VkJQuJ*zt9C|srHw8}AgBT?i->I%frUA)JRg_-lN=md z-gvg5puW@b8lsUg_|-+k;P(D6E*HbS)#MZ@R76rYq)2P$V&z!RR+vXctVQ&Z%E+YN z!%w(kz9}SA+E}&-jjSLQv;4Gi3j{K}Kfmx}dFQTLAv*dX+UzI40?FIORxY>FO+%s1 z`p;BEgk8>sK)uza$j6qwY{qTz7@5JsoaMd-lZ@|OkshOJJ-l@6 zCryz8d~UbX9gq!kUMz_NWncGnCz{)j1ChTj7soR`ExcGIS4{WIr{=rOo($;{OmHWk z74qs~t{2Xg9B|wp-LC3Zi>Tx`8Fz`ZXu4TX1}dKhX)uGny-vFxp#dzxec{tTODoF! z$7&_mRpNE!qLw*a)LWYYd zWDxN6FlP7R5zHO8Mj+m>Muvx8@4S`N{Mi7OGoJNeK&0^In}ayE@n z^j#v|R$W{lDG;jil@#QrAGiEgtGjB6ByL*A5}4y$3pu_U0?BRiLS7we@BQJWtXqAK znOz!=d^fNP20nFRZ9S?-M5gLPBc>3WoL;B^3Jj#u1FIH%_*r>^d}c6XLDt#1rzvM` zvj*i;?A0i^U3p`97k+^njbgiEUa2-BNnMHZq^`Wco9G@i^&8Gqug{wzGTE{sIfhF;Uy?^f;elq&i{LR5aw^G`h=Sj%Uz>Z%_vy`ah&rkMa*t;ycD~4V^P4g#n$9@ zT*lu_WZ}H-5Xc-;A_*QfpzRwH=bd?GB)@5Kc>a<=FDXvod5 z7Ng+Z{#`WLBi=9E6ujHn-^Y z3n-)8%mK#0|6+l#^xq3*1g&P2Zc!v;ly zkl7`Ik-c1==-77~J^eI-hm*tWyk>(UcuuhN6#-i(oC&73^iWFF(V^wzlA9^3VIlIP z9E!4|Q)>6qs_>|xSl@RunQ!iPLFUW|4=*Ow@lRiTO=q=J9`UI&-3vln!srUO`&b7r zGJ2E<0apki^5bW1V{KApns6_UV60;do~qSy2(5C0KF!ZFbD6Q047-$*mh-UFu66Ik zn=>uiy(-{j(hcTbd{$wj+j&|}lqs@3I6bZ8rarIk z5U`iAsY>tL%=B4I&L_7|qjcSY%V5MH2N1;BU|kX8no`}ohVSDxPhio$c2M3ATp! z_}=mKYKra~CnhWSB)dkhc)3)!Co6Lr)))NR^F-OLvU-fc@6lM7AM#%x8T{N`SE5xT zZ*#`fcacInQomA4^0eDypumdkqQQFI?CDMh1JnCp`JIPzOE+J~iQRd)D3z?5U8S#C zrO88J6{1<=2mOfgP@5u%r&$=FLJ+Vd>*ZL$_`WCIR*gG)9^`PMS`{boV#Wo_=-oi& zU(1*=^2Gwpxp{X73j|9v!<&O$;`bPPUO%UPaayBJsCD;K;eoBB$Hq#DHS&p1xqzP$ zvt;%K48|Ny{{x?p&MkO8N{Jk%sXI-1lpJ?^;*@W{iH4c6X;LpP$xR^F2p?u};hTGs z0v%fQ;vbK3b^)NrCAey{DQ8*X1-GPlAZB$}Y?eCwYLVlY>KZ^<$Rfw*j20KC|2C_3 za|@?DpxPM+xv{nn<(|Hb29?EHqu5wV^uuJcUS2U^^|52in|T3R{Wn-M=StVy)FKha z5U~nrlZ~4pbMziJ2>mVo=${E}WhH&eD8^#T zgQx68Xxn_oA40w@cE^EwmLoBF4^kn>y5TNL;x8+G2jz-)`~~CT-jL^FCv(g{caNDD z**rb!>eH5av;d3cX80hgN9h!|@YzAJk&o`-Jp!Z4aB{nVTX;=c#v&SE#ttA+u<)QhF%`e6=~KK_n3zp{8PdOjLP+qE%S z-5`O&MU0Ll@^?FebN+5t{^5p#RJu~8J|NyrZ1Oz7I~k*S?2&$8p(rZA%*XEK#eL)4 zpn3&FPUdySh1sSlW%}5NP;QZIKK<$k)7x1W8EqSrOF0IP^jQ0)$7w&;~6GTDxEU2)QZaooxWJs9K=G}z*Nsvq85fe6`;{es_wy4wpcX5J z!yBX0dJ{>gzli}UUA&4YSzvJ@F3*NdZR8IvS_vbNPNxNyAV z1)z6g`fF0NRA;2Noahs zP${#gRqsolZL4!Qc&p6vI#4z9R|C+jVGX3zEGKqjGS8`U#(paa0f~Q9{Gs3 z^BAxUYA7JGfq!pR!4+4YMLTiy44{0JMVt~A$OIe4;A$5{ab3pb(8A)#$TvUe%E-45 zgk?s2;{(q2CYvNqj!*TBrJEz3KH8R&E>;mx6iK8^le&Oi>?Uzl0=~58tT! z8ym>6FJU(GE(asKXoGeMAEQ~lXMZT8!F+i-vTA8W&X@hNXu({D^?lL$7_ri%vi}=R z=T5+fv3rZ(0z`HBns`d8swAYv&WhvuHVZ8aB~_3;zfl+ZNV>~J7?ic5PyE!7WeOc3 z9C0d=(V#6Dm&JLGCP?pZQI_VRIhQA_jmJlDgi^Ic7A%?|ESEHKT0J>xLXAoZuqu4R zW`OwuMq`&%?xW5&8hhblEq{z`b&wrPz&;bArTEBjU)A_(1?|Uy0K*#J4_d7XnUv3x ze@x!Tm_eIsxVhZd*!7AEcqcW`ouAg1|83HdRYuDNty^7J<7{3u5$Ah&*C}xqM36O) zFuTV8=JS#m%jG3}QOng#?s!-I6oavUOSZ6ES^}dCjwBFDTX%)tPQkL({xbY)pW6f7 z!5mlB*dW|7_f@Y0l$`@LvqUG;KCc!%-pP$G%JDyIhXFZ1mNnCtXX^u~6)Z=frhZ8c z2vI%35nJ$0dM`?>e@jdJ4?WTS?ORVXS6R_2>W|WOP0Yjfn)K44G|Q)nUo^G*7G0xqltYcKukE zvL$?iSQzWvC$@Sv_y)^om4-SoN$#$Wadjn)BbV?sb0Hh<1hf{VZy0|2B+_7_S$!YX z2|9zODE_RVTuKyF@@hGTU8_GLTfX^4Z`HHZrQQmA;P*(ni+)Zn8m_`$1b4`AS$!?z;dpWzchJ(K`Wy0ptUA z&6Fi#H`B)f16%iV0v-S-1`5>K&)SJyfgEAcbAe}Rt5iNW*!DgEB-lu2U;@BS9Ow;d zu`5NwpQZeVJXe_u*!BbLVf~HXrcw)t%Ee@2k@}(4S8hK*2T!tH9Z0%APW&++sx>(A zQFf~L@AVy^bOXSttBN=x(CN2fuFm0$faL9l2Be4^)E zWmb&kH;f=4iBKRlYHHev*>Xu~wae}6-f)d#+?dtf7O8VS25jI0+BM+U;6MXIBrEn| ziCy+-Q-Mas(a^VlF51c74022NkLVL@YXYLa9X}`fh;EUI_LsNx0*7gq;ZzLA`vMPM z6aM-v%_}z>f1<@?s)LJ_)xjDf_!9+yP9HETP+gs%=N3UTKVT5&K)APa1GA89X|0c( zEv_A=o}v*zNJW4g1f;Crg86Nmc5*=E%`ckyV=ZOg$TYIddr8>p+4gWCruQD#tzviM z0B+}(6boA=0gYK7G~QQq_v(1La7w^<7C@Kt70^ylAN7itnc3i#zv$zhmFf0*h<^;b zp-9%9MYkBMisS)EX*4@30Vmrlx1H&$G%rtEoAlgI&3Bq{(U?=gh>pHEW z`f=BQ+KbbZjWUcxIj-8PN7X)UY05p)0RCx30L98`4b6Q4NfNhL%nDhZ3hd7(JgU%Jfv>$5& zocL30+Q&$QdxYyR9HDIfwBu+asO8q=yXN|)m*G+|r9sAUa5E22{j>FsZ}Jyo1a$O& zP%O3LU(8St(o#IXx9;)CD#TQNGc#QgU(Ist$O{!)mBaI_^ezE{M$&%APHS*vQ9X#D=fsyl%Njds6zB|Z?p?8FW2gNk zxUOr^pJq7yGYuT12C7lD#u@0@RbnJq_Fqi|#QtC0ged=j*2h4U0&0O-%^WcxX~pa$ z0Qi!)qR&K;S4_p7_s78=$r=#Qz>%`?3vkgNFg)}WIe!~JG=3;s<0 z1KxQ}|UTMdDA6X*lteyZMz zeoZf$yQcI8(|*W*)m1zMn<-btAe)u}tp9on1#GGODSA-Vl+Eft12HuRi1qUWA}K#q z&2)hfvI!9kq7Cf^n?!i_%{E=>8{~?MT za%A-afS&*z#H+Xqw1lu-KnJMqv&07AjmXGC1YnxKqzA!ah#DaE<)@>sfcO9owg&8V zt(u@7YBcp5OOs*G<;+^gE3inB?M8r0Sg-76H;sbG5U{RCzw14yZa-!G1M1mm9ytwY znGyL*`uajEQBew;+Qw8bdUAk{?+sM8dDY5zX%GhS>B+rTc{V6o!B7AF+Ys7b|lrhKV88-1ZOu^urmm zb;?uf2hjl1qcJ~S+c)&J|3x`L7y$WLxwl|{Kmi+4=SUzh5=Zw>cFdUr-M|00G_tX? z!{otIiCeNHH8k>1L|kH1h~JsXPv5+%w*S?#Y>m^u%;LwY$pfb~0KMu!-|VE=8Bt9( zuA-ohx-gH?9M5h04jATY3@G=%{Fz$>SVEmmvFf5a7<-DAJr7rs!OO`xWmUIkLd(mC z%?jUpF10yo5H^Plbub71)Kb;(|3YO?R&*saR^OM}uORSh!nvzQzQ9zus_Hb@+brz} z5>8XoDk$PdmoQsVLBI=;rSiA6$qfQaYYdNH*pX5NMN3GOoAZHyMhtdk zQ^FtZ!N`Y@+p`OaVG!VJAso(;dO6q65{UTZR}9C|PqgoF)!G|_9)xzlM;k~Kdi`di z#89S3PzmjIl?PP<=W?Y{Zmx2gF?2ddlI-DUsR6FP+%TByj{;fzV<3!{2gL-VyzsMZ zpeyMFeQpr+^}l>vYpERJXQ^ArB?Pn~mrZ~n#zz}EonGe$G*~Sp$&Y%Lt6)Umtt`!$ z$1-O!3D$i}OeV6bu~${R!M|LNO?Qqo)ZMtMxve`wu}5Pq*VtDIva1o zRNR`tAxe_THdCpc)9#lV3m;w1W9t`?faG7EKhO7N^M|ucUGVsbf(Vz8&kiDXu?-A4 zJ;p!OR!^zVUfn2?DF6vkdpLD;i_(h2O7EVTbml^#ucL%g z+cMAD)v7a@^wzho9E`_|zO8H%rLl@BS-r8AvKy9e)hxKg9jSMcrHWuqup^9TKx&iQ zY1a_ou(*C9=@(T)VG+_meVGvM2|FRXaO5+^hT}%yf{^a48>oYx2b3=H^AI=yZItG^ z^H7sWPqO0p^bttM$!&|Vr~4K4LvCt-)FZB+ukIcxz<2KqiD0v`K!a^|rFD1^ef!W$ z6xt}tr&y!Et8H?Sz!@@hkQHFHddNk>zsPToew17q|rq^f3fQ_K9=*T z01nR>?md~+IkB3H2U0YpW%@v{jwY_#R_KgH)5vE_pO*~a6b~5!b`i9>TB|*4#~?5> zGk^_opUPvOkq)QUYxO1p@3aRswqqLo#5bj@L}rD>2hwA?;tK6CXbWGjRK#9nN*6Um ziPgsP-pAHvS7b_5^vd+qHzT^sYO)ltrJlj4fxXOY0Au$U zy$q|&+&v2LX22y@HUSj_oLxKC13x2tMQ8_Z!O!wva<5Z!^U|+pSX4~{-PwMYTVG`F z84(v}ot76TXr3j}mDt(3+DsWW?$J@cx=)AuFeN`4de>51L$jKZ9zkPcg1}r{P!ok! zmY3eO$6v!&cqm2Mb%{6&Io0=NTDULYd}`nNOG`gMxX*>cq{n7J4}qGP7fH(Sv-B8s zQNIkyF0U|nNA8cl=nw!n_V1IP)+F|`{}W77ai;q67LX%KotljIYj*Z4k@&&G+aa&+ z_%e1&=*ZXW>2}6~j+Q0+S?YEJNTkmEsN*>369Y+}kFgw9VjqnG`p!pgNyq3bCJAT_ zKkb4D50%=*ff!5fqKptC@!HU8GEi^)Zj8Z^x!B){16kz2tmZ020(mDW;3M!TQ1b57ND7ax^X$S`mwvj!>a2T=c!?uHGZCr*|MoXNW z6(+2naL^N!&d#1c_7Xk54l78MYYd;AENu78+eT4!NZKvrdzW%4U{6m6C``owkf5`f zz%gr4HS0`_h-u-A?h`8Sa3|o|C$j8an)htmKZ79!K#34zBz@PzsWnvSqa`bi-n@`v z#lD-b*TPhx@8oEeI?A&HZDPhwcL4duLghLnulS-WsF>={_e<)g+}!`(MaX=FBN&8f z?I52snpN!cG!TwYX~M_fS^!^{Usr zBQ-F1`4dx!ue3E7g*T!^p<4DbUj8&>-)v?K`cT1r#bwwHUB@e8rb0; z^U7MCE8yJRNc=-T;N{P_h@I`@GX>L?=4`p-w21fM6+8{?ywoIb6KYbs{|hUc3Ftc^ z*BDX;@7U+(7!>~xxcUFl>G6hr*XvxPNk5WncS*F*S4J{g0E8{}Sxq{j+GiiN za`i~AfJ&;tJHb<8q#3`8W6rsKeEr_c`*Q=JJN1U{X^RzIwr<2YVOW4Q?)_8?C!$x} z=(HkSG_Y?l?`Z`qd*TGDz=#~5X#admAGjYg(eTK+sZCItW6ogls^WbV;2&SHLw+`i zQE3iPjFfo7xID@yf>|TCYrCI(U|x1x#%GxS}iQe#)W%h=gU~m81SqzD5lE2|8 zpgV5?vG;Caweh%dCoIqKsyTGzoR^M{@1+Z$=kE`B8aQTo_o9Fp0R?Oj zInV%JrEsxQ9d)cf?~oXMr%Z|5K9EF{dQFzjY#RosOZHqA8}eLy2~gh-G>QN%YU?t0 z6XiK|@TEvN=O^5-;6MATkb5;CX{54$0H6{Q1ZtdOAgwmAR4oBWmBS%CF5vQ3*e6Rk;zUU~5<#WQG6VO}oXdn-o>lBME8|_A_S>v&P4*ZGK0q7Jmk=e$dqkCg*-yI z438Brok$n+N)h0I4?mO@n=^~2fa&~aY6gLFq`o5~zii&klV!g`LSeUM&C&Wfr<^v5}YLq<7L#UNyzd%=$(5-oF;5NXlwy3vG=V@qE{B@UjZkf zy(_tJZu2FDB<&H7=^Zm=g6q+VM@pBnXhduj=FT#3V4wIZB_8n70dvwoAKr>1m;%)d^- zWqrgpy8=tnwFn0T{19`u`&X4?^^>?p53|qivzg8a4TgB5TxV2aDKFxv&tuAV8}1Ah z_$4cSrbuioFvYi&ZN0xRS-^a=k}0~G>)Q+^L*cPNa0P@ezCXz{a2Dde1Gj(V8zx0C z{G%UStu$Wp9r-210yAg{K;sr*X8nmT4Bi>8=4^U@&l{GM8(e^40PYMN;ey%E-}WEJ z3G8q4vdKK)+<+5oM$bP-UeT1j0IDno0fv!#*-=|lsh6cDal<9(Me9pjAA*NANsoHS z0Wk*!ILXZC=T^R{#iTF6yhN?mWaGl;hqNK}>o18W{TdM42f!g)y;yG_U%ygakSvS4 z0mp5P9iMC<+Wkmg&=wAzIJ-`0*}j#Xc6VWCn}Fj89CpxDUVdL+rg+JHg&P3EVZ{68 zZ@^RP;W(ZJ&M>OY_9%BxayeC?-+O}^uU;YgbgY?g<@jhzQ45#|*fu-}>^ZNLG8YI# zO^hXHWO+_XUu_XP+W;s(uxswP4AhgHyKnqgysIuTeKVkZbTQmoRv2VF|BIW9X_Oju z4QBXmxG|8&WHMWaTz3IG#R)fRRjLt^|C00F1opu0tuRPcyF>qFTZabi5?r9zp%U8C z`_B}WYNi0~LwWd?#8Jc|h(w}bsD&IDz3YzG@0ppNs@4aX+;x|kKH~NtX=AVs0+{hU z7jBCAOimQ@G*6!mHA7rh-d4*qOTdQqs~o^y>Y|2db%hW7SHT4=DL9fC>x4-L>G9gK zE1z5RIb6F+k00%~LIpJ5>Dmm$Yn@HI_Am0@UzB1k9X1$2scts?}1Jxs~aain`pYg{3FJ}9H*n97|Chzrq zTx+XURBA<0L8ucDH3BLdTCE#FrHIOif`SUjk|iOjrHC>WL_n6CDoX@efus&V2oMq3 zBSIiSfrJnsgskuVJORX>o_@|}{Qmo$m#=zW=g^QmdB5**-Pd*9K9OddH}*}MD^B5{ zJUWZBZz?~c*vDtsW&#a82u!5?2r(go{y7Q(_XNfxl>a&fc273JQ?`u9k8~%mb#zqv zjOD2P*Y(X`lfvI#K6D_tikA|5aXGK&FG%!s><0LSai>4cZ26G0Ha}(N*>!07dJPF4 z*V=wOjHYFJ4Ujbku=*vRj21E!esusM7FKWeuO`rQjK2J{!rR!lZ(vpf+OvHWoiy>m zkHu;bNCBgf%97JR$YTR|qQ>@env!b`Gq{x=ZaA=+gytUT*WBpVOztb>>dn|`|8^eG zN`~9dqgNtDITMbFf28%Y^nO~$0VdeE_@bVu#NT*&{hIDf{3L+? zeM#i0erd9Y>5?$fPE=QSbBNdS#q8SM$aooBE$V-gEP-f4k#Z{%?cOde-%IUGJ*I+G zj4B?pc72pXDj9m3;+;j%IWhLunw{;MN*_@18JU zou2YMLgsQ$6=o|zGy0eNRXaKGc^sfwD<0Zp8fAAg_GY?1atp_$VjNDhNK_)RzggUU zrW8}%<2oOKiskXaO`H1|5Ll@qBTmbiogJ|g+(Y|KX{)X{Q*J*ts$IqfP$ANBg*}oe z)-%oVR({p3v!w&{?q~ZmKloNqx-kbLD`$4wBSip^Wg+0=jE!Q=O{gm^o=?2lfWhMK z)0bXzU}%rF6H{yr0p~@QS<%;n(R1nLe$Q0Ng~bYTFp2@{1`4e1c@3w;`-{X*?UAb^ zq}F#(km`rig|c&vv}SD5z~90rOgm|{Q(e=t^(~fPeFk%K5+%=W$_`!F;Er~ef27&G zum8a^d$x8*K^WEg<(RH2IRlr`8gl}TI+Z@$*H=jP3evfk)!`VD#eyG{HP}1XqlE@Z z8Ny}6bg)#4GV}y8*Ujb9pcpDP%YjQCN|F)RWm zr$0|zWALdWzy780s&1*=1MwoQm#uGJ6pAv!{^oo0Z9p#^bk;XA#71}rw z59G3CLpGVbM9%5(oYI>pF4N;JS5>VXcH>|X(icO;*CNFVCO+6-T1G`+Z)O~tnokVZ z(xWetWPlb_&bi#`e>1Z~&D&9_d~we#3e@mLse+NM(qDqWrNnX-`CI6KO-dY^oWvPC zhyN#t|FrFxEc|=_1}09`oSbe?3o0WOKMN@NI{QLkF!GF*XeSiUMmg>UUVo7WAam_+ zTNl6nau~(@exPr;!IS63E9G)rPQ91DQ#e3cFw;dw>pMLkyfJ*dN`5`^`2K=clu`CLTW*dB+WQdx1KLLPKtwH9__5ZsX zbrhI`jE^!)pgapmTLI-+N3h96M1rP4k@@O2CAw6o$_2al4N{m4s{JU0Mu(6^W@9h? zj-z$a)Vx`h1PPk4WnkbpPUX(>2{}{Np*BjSACz1qCrj(bwhlGMm#}I|3>Z78mw!!d zDnIdeDQ}YdzTrR!e)Va?jGq1n&=Vq6?|X~YmT>~wGP?fhM-9?;Xo*)35os~97f9VM zGXD6N#*df?LjmbjV_GysAj#e$UiSDh!l86uW3<(w80o6!ZJ8Si2lSn?oVj#N+d7r( zCSu91*UUrrvQUU{abe+D(6Tqs51j17qTmi)JU-eC^CkU*<4}^!zO!imRMdyo?+DfB zCrFDrMsEI*@9OnSSygo3d$^N+{;9`!pP$&utbQDCto!tG@|GWE;sF2EyE4IoZboP( z4t@J~5*|yLFGx*u(I@FnlrM0C0|wF=~`1B$SYS>bPfo*!(*V=$TjpjqoHU#4^ox zH`=JLVO%Q&!~2OD_Jo)ggiB|yzKB&?Mav)a2}vRj(Ig0zJN6qyaHLsFvWw652@$b; z>x|p8Qct1d3_#)w`GDWrdMSf80zA^IkxBSN`#8jfzkWjm2j6Nmu4lm)i2^vwM!V2} zbl7s1w97p8VYho@+gCOZTkGLmBO(L1c|cI2?0nlyM|Vn~#3N{W19ind>mBMpe-6O5 zqz{pWJj8p$+yoFpP^m<6Annv~=H#c1CL0|$pdFQgnK%$0-63v9n;8D6fHIOI*kUZD z1*uEbJE#a%Z0woY!hcPJqfg3_G{`>#*LXHUFSEm?HjNrFhDq5ZOLz>3t|n zjXTU^1x%kLvNxwhE>a?1`gQvj$mw0&M-;wW^BN^`MX=w58t-^>(NPI~NQ=meyX6!Lrfn$D znV>3jL}TqHc4LM7@x@KVA@3WU-=aNdYh`WjDa(0D2l#Z75OH{tvc}Mw^>$e~f?<5e zQfoj92Q|gvJJN%rZT8k@hRK#U7G)r&5qb=+eDi}g7hEf1aPMG3n{i@$cfh3brs3HN zyEl2x9F;lotg8E*8k1q?NhsLIjCvs1RK;KC#0V*K3y@EH4%E%sx>E|#C}vRx>f75@ zU5DFy`9DaGxZMpSD`_V`WO8BPpEZK0F{(cE?>c4W=?|elKWz-WJJ9Y%Vc^fW+P&1I(^TJb{hs?}v?31uF#rBn z+MaYgb;I1btols*77Yyz3j>k8ia94_zg{!et5_=%$TPJm{lcFrT+Sd-YAh3(%nAbw z;hx03n>nNV)yi=o!HJh&O!qq4Db{%CzhaF-thPBtGlgH}C?+xFl?T2zZTYl`g#)

9O`)!3;+azb%7gztn*xGWfq3PQ=k zkN#v6j{m$kDvqIL+#u|_Z}W1WRY_@*F|bw?Du&8S8Lag=_NQbfKHb0AApkwBOhGO* z&-5Tkm;6}lKkr_w@_P%8@77qLSUl;fwZ?lWw;dMhQiw&B%4VF}&HN<0OD#@^IwVQI zyYyj|Z&evOtL5- zm}GOSqp1N*VP-8N_3CL!s& zuHuZS*@nfLzw?5^DPiSgMuYutf^pSpq(G3?uKFy|K^XnOa9+M3WS6bvLI4gMw+Iu8 zTrQ_}a(o)r6Wet1MTI7PL{5SzZJpYIm9R7U=ZWaICiU1Qv~AZ(u0w+$ssBrU<8|b| zyphJoRIr7E{D47uL!W7(04-ZE#ETz#<6lcT$wq_T=nwvT)rpGXIZ#D^+VFS+AVODa zVA<@o58kMG>m|uC6Z^sgVUdVW^tYHS^(HAp{=TiO6+)1Cm##Hod$QLa>GQ?m7JCybsg1hfLH;%m+ll z%eEyy!^4TGw>UB>sA+lLIIcfe%_F3_Xmx7bYanMFLD$f@?X!Wg(1NzVrR=~u2pC^Y z|G0niF>u&r=$q$UWR+#)9TZ<0j~7s+5v^VFQw0#mXT%~82c^X~g(cjOj%zG?hd@|i zR|Zk|!{ix2sDIg&I2`ua#8<0CfteP+CMQ}ag5CZlQE5t{k_XYF=FHV3CLGKMc0e z(Py^44g)^ci*>rC{VPw45r}A#_}a5jG{u+qd?!-bKY)M^8`9DqOwqG02El5ZOD~FA zfb5hf)f#SkAG0>~SldriE`i8k4aL&3mrE}pNk;nq$0lZj$k+5=zWFcD_dN6-Xm^3e zX4bSVN>W`-x~6iQyu%~bN>sGfMS?yJJ}x3}0tym(CGxIl+95lSLNLzf|q&keuw`hg6X6o>Q zJ02Tq{>iq@P6KM00ho#@~=V_aJX)ti94HuQgIEt zh(;%Mp{`6B-V)qnXPVsuu&SlxS(%l0BG$yQ3LzA3i$-Wg-R#c4e+fl=SYbqZ|(#3 z&9|o1jD_xqB&luSTgUjF5!AHzG_|!?(w9xU(2zU1k(!sf5Ux?ekTxTmAS_KWGBo0k zg^Kzd7Pg5&QH@Ao8RfHu|uJgIek_3d9#@9D5nk<2ZV2t1>Roo(9_0lxvA%#dnTzsH=U- zuyU7P?^Y8Z-!R%6Xm`fA(b_aS=nTS}^n`J=v2ZZ(aG6MCcz7->bA!nV$Cpl-7{Az8 zi9{kcLE?Is^LjLeAWn06@tmS$R9~LT&o5W7+IiS6Ej=?zO56LU-TSvoUA6tqpFZIP z{R!o~vbYo@$xwor-zm%}46qN=XwT0Jga13TW9t=*hvzN5q#c<4vyI0u&ixw;&9TH)`AIqogeoyoNO2AY-#7-MgN= zf+Q!Cl-m`|$ITYV7y_H-#tQ`ls30-`xgBqDIS?j2t2 zfdk~HQ39ji(LcP&)iPpwEU@Hk%xT@ub%_rrkGF z@i^KcOAX_ZDxE)1o_8U(Je6gfy?Nr(lauooCG5-VyZWfwE5`V9X(zP`dZTu$qxvj~ zaIGll2adR-bKf_8+(mX3-qZ^ z)s{-amK2<&=`OG1$pqRxl8jt-BI0w#NP(UXgx|TJo*~s1WA;nobYUz;SCZf^iL+w{ zRYFc(Ldanx()W85B@I@N=Bb>6o3}o*L)Sc*}f#%5YrudtQ4b2V>P?t-c1h zL=`W7jYSBzUjSAXw`lLf81Qy1d~DWvO%&Q752ll&e>BZDNjdS8Rhk!37PRHImVy?a z^~~ApTYNYLv8|u>qlxkJ#0~Xy{ss~LU_HdzbcgQU2hl<>M=5T5Yg|VhDJQm)!hU!z z@hoMGV)tzD#W-_w*GMjLM`YX!QJvnN(ojO#JcAL^8h6Q#k^?D-yNtiykM+oRg9^jo zyI}~}KcyJ*N>CoTBe(Fz)wE~@xjtor2BR^z*^~Y7eBxQYdFu@$!V27{KEE61i}$EK z-{XAKtndBSg;wEN=O1wv?Tj;bZXV!OUdUPzb|b?Bb&FDLnDGRW&RvZYtz!~GMft|Y z-TvtOC9+Fq`EL!TmK_J`bPl(f8FFmf?&o&UT|;h{ol4_$3nKoULsJhn@Yk5;g(Ph| z>4KL#CeDzmksmGC%>(GD?SejDz}XclC&ceZ*UL+KKA1-;&r~4E^Hei<70e;w(Wlz0 z3-zNiw}(7&Z#r*HV$W~cTg3j>peslIOHp?v5`bf$r$}6CT=htpjotnkHohF|r}`c+ zAKTwKuW!F2OHu}!OWZZ$g-e(Aei{!@t|+^<{e8W!@XXlVHY_&z(KSviWhAX+&pxh^ z?-_H&t>t$2aVH2(I_Zp}4a;7I1USCmUF#XLAo+e9xXyb{S-F-XlT}2FOn&bpPJKOx z7gV_7-FKi&*Xc-~V|%{=P=rHe2Bi+AVP)*~W!Ty5Qp5dy0eq#^^!m=!yG<0$#V(bi zOS^bU?g}GO0ee0KvSW58mw+r+8Z%$_!@0KVCyS=tK_%lGb#4CVR;cVxmfu&GbI+eM zmT>P8JRt)JT%mP+Ed3)qgxh7; zZW)h!2|@G6?qg5qaI`#()G`gXu*0h{5Nmexy6uP(7Ha=*90YYE5Pj2zqG ze{(B4kds1@Q3$TLw*1Brc?g0MkDHDdK)#atg%yc*nPFI8tuGMuD)A8-KjnL6rqQ>2 zTN#KLE!i9#(J}dcmt)MYVhi5Ty{dlurw5wXYn*Ye@S^Uk@5pr}h>n_5i$%UY2&S!2e9MDD2fyI==5}%h_nb znnd`$Golc;EZCg5r#DSUlE5a<;qIKcUjh7xvTJ!^V$#t#urKi9wcyg%oBrd?B*#EscW%3qXwmfRNFA0cE&~dIq`V@b> z$|@_H7?QPY@l!0%Z#iLKgX%=(WXiy=&o zoJlu`1MF!*A<6F}WyC^$)Vq6^ky!I$8TOraLStmOJ2XupF1A zIPGenQdJ9J%s)?r7m-{$qCXkuXtDBSYpnk%@&)0U4`Jlf=WeRIyts3$)?t5=c~z1n zL^$xz`SlwF6S&%J8IFkF3Hea^LEu5-BrQIcWR3MY#ZIZYZf^1_w=@3e?9$5Z=A1;k z@0Hsqe-W~xwK+w@KpMD;`Vg!`Dytr7;U|6l>HLSwjTHy$E#zX~*I#KfvnU!GIDb9g zsMddOO3B__8@`tIBu<7tTBOGyeHt=_VReoYbOuX@q#1&KEs-N1L|VmLZ0k+i*SK38 z7(I26UUySfT>H}iQpu38QpR1Sm0ZCNN8zr!u5U!YGkwT5@1ECw@IM0p@Y>%H!;aRO z1>~Os06$nNaFq~I5pM*2InKpy-6N33s-``(k=q_}*XEunp7?cMZN2^N1lO-3qLI4m zPt+Cg!Vgsvr{k^VV|o9(>cz10)ct*$?u2hoC-^1yebUH~S8iQL z-Cw?PN{ajGEw5ze*+3Y`l*Ix2LA`;>3H5~vu$DJ5%Tw$r0d50am}Y_%*3dI3%qOSrx%?A5kve)nOD|cxmbaW>a*JJVIfH@jRk-dtv+a+{Ov% zL?VVf{H?BJlr5+4b0TxjRzT1uL!xyrOMj9WVvH9?FG9FD+Q-B|)>N)av8t#aA;7XU ztdH`*E_^=8=3;}{jiKo9XMUo~1J728cUKBLZ%Op?Ulw}DJFkJ;*(@{3FPxa0q4r-O zgu)jcFnDdAp0l1@0)D$3WCT}FT(m{W{pp)Ms^>RIfT*@L?p``!C$Tp@Wpz(^`1ekt zevCT>`R(5GtR+Jl18x~6M?l2*_sXeyw@n&ut-mE??Hk{kZ7~rO|491{55f?GDQc=*l}n1(O8!;dQ92{J?;&~Iz3lXLSd&)pJ$`OLd6?#Sx zKmWQFRVyxIFAwkSOQ*KKxf|t+wB{l0zb-5rc&T;iOnlV=i!Dln@DbolX1;b`a%U0B z@-`T$FW=3hvd4cN`GpybP3x``UZ+)}o0snr{WouKyYcnqCVed4zDmdOtAQimwd_)X zTeI7xyYhDf|5I!^Pc9a1D{pO^pS(kB(qQf)xMndAUts){iQQ*(P7>Y?>fbE!nd&p9 zZ#jBP%Bm32gUjZ$RkLq}7o;y2jb>{w-~q*}#VPxU;XK<{W%$fBY}|c@?(9NxiAB2P zgjeEwr^LR5<2nsqW`Y}jE7Drr5*0V9`(f`h=0(I7n~0(i;za*t@iMyq$DTwxRccHHjL(nBUg)3?p5xHDfrQ^Qr8h44yOT0QNwFzR80y|e58wX-_AK2Xdk=T3s zuFd+^UN^pC&lP(22k26k#j9&wtuu5-`Lw|d9aF=){1@^K=X&@OzGR81rG0Dh%c{PM zDecw9KWBJOu%xP*1>y22&nUZF{0XDWtm0{?ywQ&^3krY#>Rt zPSs$zN^p`oCU#ybS;Q69H*HTJJTFvhI9KeMZhu$$ohEoSvcqa`S^h!dm-C%mTONME zwd`UFgS_31-U#yeO*+TChXTSiV5;4Q{ndMlu4eICk4Y-3K^}y5B%ADqlLTW()^XPH zY1wlv$1?mbs8l#MfA>Im`*nC|VaPl(V>z?0{zDY)^cyxh_U@de-0hIrR6SoJW{tE7 zfmW70lwIk1R^Ecscp_P}%aPw>e?rnNL$!6*$ulFdAsWITh*8lMzXna-vyo<5W8rnX z62#-{-icl5o~%%>jt<3zWv2Q0+QESuWjHZa5-=t#?c~a*NVo**!J($v>ry0GiGVNJ zMywHYk~T5AIQE{TO?&-pyh25+?Gh1NvZ?Y?*EqcLA%oc{3vc-K{RWrDbd}`Z3qQ_* zSbbJLX>Y{2@={04yOpgwr-l(f3*LUmOuZPz984qyD$Xy_RSav5cV6h95ZpJ&m(iCD z=I)h@)ff=mb>hk~;)G4yPO>DcM{!g<&sfNljEqS>!aaOtqI5OWzo4YV&)x{fy}9Vm z$ZEb>uq^F1)BEdzR|E+b`5ZiWZKF*j#qJ#q)EpdVCtX|>F?CGVwcHJ5WNj_cBAU@H(gNb=XeB78pS{8)_(gFSFz z4;nq+8Yh8VU=9i>jWW^guIV^C_8Q@iiRZqX_HYrZ&RH{JW=x!8LHU8ICut!8Wp z72AQ;Ea(oH*>ZWZrqEm@i0Vir&Z$$-_iEosL;e}4!Qg~S8jMMO$}~efht~f+xp?fE z_MAFpydS5hrHNrwb5~o`yK29}pQ&4z<)!#d+_9RTg@T^a*g18PjcQ?aKy9dO4$6-v z-i9*!Vv*FrWSq8d%UA1DTs66oKOe^L z-u-8%bsAIr`zkax?sN7Weq zk-{{9qV^Z6r>iFwO41}rU)xvlKWew!`BrA&i0bMs4%s$M*m zikU=S*?dBi`>EoOIDxZA5T?UWaU5&e%Pqq_jW1;socL2yJhHEI+qDGcAuC;|0CYBA zODrG2wK#V6Xd{gcL&Y06C<)+Q0^sMK?9YmA78(xbC{aM>{ND0Po zh{lDetZ{Fytc!cqnDd*s>cS$%(&Yw`x_eJEJQDf*n#4$of<1h{4?oJ5#2>`vJyEhg z+x!U$y{-)ldQY}(Hn?wjtD^XqZ|F0XD>Mdti$CsHw4AwO>Kr|jGr&+m-Sa_Dhl2FfUJf!4KW;2V7z_3%gUQrD}1I+k<&nws#2xZdTRN6!GVuM5YY9rytT9y zRkSh0<&%q~CY!hodp>yv*jLfSd~qt3Ed0pDNFW2bRt0M_UcDmvxGsz|jxP`PVfQPN ztLmH9TaMLrzDju2KQF5+-Y&_3(WV|EJ&OpdI0ihO&Kq8^S%4QbE#t<@XI05nMe0lX zSobv;N8JW&w%0T;TD^5^a%BXeVo^_TK)Lf*$tBA+>hnpH8f75bWtGf7t1uM+fl~k7 z=pDlRHaNYjXRA`1+&?K=v7qbD3llgM zpB4gM2aKyw`!e>Q1u~@T?r)4+({hu{`}@O#N~M61qFY=?5ysm{#IARY7cI+J#2DJL(O4>hQSTWXN)Mk#}LWt_YZ zZu>wIhOFT*=w0g*Y!<3gTyk#OD}R{^6{-X zImBPDCD|+2qkWV1cJq_2tmg)T(3&AidG4#{n)_BtB_^uAwj{sea}gcG!A`tO4C_=u zf5~m9wMe(PNE_)pLfbb!ICIx#-mb69Hs;9)4*ayXe5)~eqTx9H>rzmXp1tas$<%qH z#-K*VNhzI7oaUaW(vCYEFP^*R-7y`uEW8Py$iPj^%p(efm>yC2@LJu{+)}JNztEdw z6K8JH1z67ZkN8gzuRm`}0G)dn&t+c`*8{m%YZ!8Ce72!1Y5&LSLAKCbUnf2}>E~hj zaidwLc2bXaw;a*1BMt=WQTG1g&t_Z!j|T6rut)5KGrHn=Slmb$>kRJFKnahatbcxi=wQhC*=LsCvV0k8Z_F7@jKvGzKlgZ;=qtGv4VNR{ zy9mvaX5{((P~oJ&4!K%;q+y`YGb>1}fPjDWqW?7FuA|&eb==S%9fNvIh4PT2 zB2q_RxGF2yi-)>m;(z12Gr<&RYgya8%o0jja|^C@b9p?pd9`G+VhKC$;Ga42foD`` zjRp@D{0r6CTmHnasx^X0(W`99P;CY>2A3%%{YTI}|2~wW!1>kbU9N?q79MN3+d`Zr z*O!a)1$1+mzC`oRmfzVJ<6zOW?hme}X}Fbzkxw(Sqb(lYtm$a7^d5~24hwib3b8NssmD=w48`z{FgH{LVH9}Ohh zIl68P6CyMOUsLRxkC~gY4h3){xs4sU2VU@VLu>dl16{c5JQr@Z`_uktSfwOYMPxBJLfXWWbmCWTXAC;tw0~(PO_eKLEUKt<;e3C9}IFxbB^EvK-?*4i z+7mQzZ@YK{1=Ejlc)$B_a*40s*vZlk%qRjE@%t9`t#=;$Wio%kdg&`~yfYejwWTD5xNJ`rbj2NWhdZ9&3}kq_;Kkg`mBYzS%qv8dfi%WezJko7Z|*g)(I zIR8zklf1o2e$(a^5W$Ky7(V3+T9Tx7f&({(92^LofWeZXp`2T=GTHW>(LptYY%@5v zHn&u_QB+14>!P?S(Foizu`CwH&+rpx_~E%-rBJ`qMpJ0TzNeUDXEMvS7ru0@dM7PX zNEpe{h3MUJqigu>sucEeMONWu1MliK?`ka#&_3t*j4YQzE+(ILs}OvZI|~>DOXCFz z(vXtMJvLGO@6i7DCAPk`dh~lJ*#PN`_>I|R=69Phbp*3 zxh#CzRK4TG(w2vZem+@cM|?__``N>U%k3k^D?~&m!~y|h(pmCTqB?o5ZmE#J2rNO- zdLL@60w}Q_U8e1e`)}0ENHF$r=6QyI;2k_sF?(WXXI4#Fsjr5RfS7OI#)Q1s{ zKhSVs1+SDZOQ^}GJ|d_MwZFQXV$-QD;v)InvyG7ObPBj(pDZJL^t#P`8g$6^Scft$ zUU0$rt_@md{OPTx1i4ba<;=72^n_n&p84@3?ZTfr0xTWAb9-|KtQnCs6KdbV)S3N9 zr6k5KX_ik~#Ze}5Uvr=(rh9iTFL`Fdc(`Gi>=@D+(+|dqkBx)FMyh4|9)b3Y@^9XL z3tyGuH&luO42x?@G>2(O8Gj*pFd)hz-|G*R-~d;INz1r)+*$IaIEPNC!mex6PLg>4;?@DBSi@sZh4ylv-EmE(KN zzFgS_h-iW?b`QW;S|}L?i*_)?;*%XEaWLUtuY(BJz|+yht{$X7@; zwpK^k+lSkhd5+@g-wXi&`@47TwZS-t-MnEJ9n%Z8OYb0al%*AJwjCZ2pS73n#%sVY zH%P^FUu^s*z-jc8K&f!g{1d;GtK3E4yEw6KM3Bnj@c01SP*XsnmE$l|V{rzzw|x$a z#qs9hRZw)S*xg4|bEW(R#83aq;F~<zTt&)+_W`FUx<5m( zaW@D7T=n0?e;IPV7HQ4Ce*tfH`HgmgC(japaufPBU3p=Cb~e&$BlY$0drrFDsp503 z^W>AuO>#{=`Bu@B(8!K|iukO?;f z`A9knut;HPg_+S*?Rfs4dw_WfuXrC`0^zI~d+`?5=+Vl|m}|XAu?PqgDS&Z$$b828 zIg=dDGiuWcaM>T3NC6&jyJ+1+p-cQj9c6G%3<3V37*{*Ky=9J8+1V25-fyI~fwEk< zetH)XtsAuwu>UM#Wc1w9az6s{UO`z*80e%}n7#3(+|-qdI@UBk`R4sJuu(sTD*t zS0x4?h!_YwvyQF5yijoxh5?cU>?#>9AE9<;feL_+>ZpJXIQTD<19N7R2atIG{0ebF z0jO!^*fR9QdS)s;tJj6Z*O9I;EpE8nrEmCg4FIpzhX;#`Zy?K9D%vuU1gX>>{%`7O z{}|W539y*~|9OCo{qDrnQasJ&DRjXrc`P1kHQ*GYm0L9z5Z?rO3~9hvk|z-|N@euR zF`nDRQ*r4- z3N5=VgwJm|5^9g*`Ed=#{FgcM3K0XAH0`N}Yb1a*JV(;Czq=Q;^Ov+Yezr!^zGYbS z$+gFM5GrWey>yjK$>1y5QhRU{0c_LE;C#Dx^`)+Btl1Y~zJg?*?l^C2-014|Y{Rc7 zzqPRu#CGL9^)Zy|*pz-*Mtf+pvUj2tvuz$$I3zx~P=+j5_?=^j*X_r&+w=Juib#Hx zFA}WO7C2pkf;^=@q4+K`qHD$03I3@Z&op_%E(BwYr*?$EAtZs`?^|eD#u@#Xt1R`F zf8%W{uKUCM#?vhwxh_HFrL+^K*{1X)`}o6HTj8a-@N2W0+I_Z2G|Lu{ggvEc6{)vo zbIC|Hn{!LMOgQ>@$$uT1#k!-X`QIQeZk77M$Y0(U+fU6Y1w*+3f=CnL#08uUMeu?Z zR5b@fp>%M7%TS06DmJc))S;2<&$ewg95|9|)8-THy0%i%ueyga<^{XPI8)m z$8HLZj4mNBzw;UQAcEq<60{sKrqZ1hmJZ_8djTxYNdmd#0IkAo)r7_Eh7FTtb!AkiHG!3(9jRSin;>=@nAw{M^RN=? z5$gWp@wR$E_#U=NZTIUXroU-0gu@Chhkg(8R+C$_ET?I6Kjs?%428n+3ydrFg0^Q- z%O=(^ZCwInZa}3AhY|o;n=r{S2sC5teb%I~mBcbKT`#B16hSH(g8GmNcU{_dwf@eE znl_rHtXw~-EZbfH-W)80q+oS67HwIdN{jO#WJ2Dz{sXVgr2(f+xb8#nKo@tR zX*Z73d|hJ-Px%~MUKH5)?#|j6?e}TRhW?G6IL3DAeZ%d@^jlFWu=!>E_3sBB*1-=yBTM+?@wsCmQ22O z&)$Gcz~WksX%Z;XjRV9zNE{F650>G4eR-_vPL2w9Y><_dM2{_8(b_$apojDIgfg`r z0U@gr{dc*e2MNV*k09)@a&YwhZ$c^m>(Gt5kU9t#;-v6^uBGhXL9BUik+C_HxeS2v zkmpGdpT1y;gpf#9SzHcJ0drj$2DN~O{9eA*-o&-a{bEE(9-bq}W4~2mms~X*&EAxG4hb5|NX{E8;focK};3vR_F-`T0swYmk*rskpuTKie#j zsR<4y;OC9mww11L)Esh#RI1e+FgI~+LElRo`yk`h4q>{fQ;!8jP0kr2d49~Dgbl3v zjGNdS`)7af{voV$%h`E|`|==LF2}ROmdHMrfN4`}P_81%=V+g*&hWetgaLu+mf#>_ z(b6uYRrA6gd*j-JP(2FXwj0Wi*2r^TzucsNxDolT2PiY&ha@bEd%^CBKTI48?YlK;^DGz`7|vJggCH{M7)@Wp9sz}l^3`93t?a`WN<_xZ#=?Xb{=i@p z*Gdq(Nch#E^3ceZEz2pcnU-;fk(fQ(lyx3O$VZP@&MQfRiK0@p_#L=6d|Y%qVQmPc#4pG7EuAOgA53e15VQipW2@;0k&&gf{|r5*Ub`c^-g*rp zunI5G0GG{U{SK=({ryO?qMGtm?u`G7K>e>Ang{wAEK~;eRc*5Ro5QrhUhSadNH;Nf zr!n!R>r#Rh^k?Ow{JDaua$uo@P(65I>o2!ARfrYKrJalChYQ=9KNVm7o7wQ4KzHI`nCZivU zN{LmZ>7z=d+V*zO?9Pa_-@fkP4=LD}=`KDS`x{)=<7eT1>w_n#NF~b>e8`Us*C6iUSWTsQBeP?ahP^g(I@Ry9+y>dVDtRFEcDFJh5 z$%ew;=<6`%wpvxC*Y6^m_Yze_k(y=As7pnZxQg-@FjJ_9 z3fpD(7GoSqqSN#PLd0@28o1{v-a#C@5WKOS8xvgWxG5I#w)%lh0-{lK%*09)WES6E z5yL7@OtfR}okUFkGt3+vcgd$DUF(yM9!+WYg;Ky)X8MdaqQlRt2I*-~UsJzNX_0ay zx71^VE#L~<@%y&?n!m$Bf`64#eSyZ3EaT4%^|R}|6%M>0yg@WleNPb1Uf!`0mX5r} zy8E4yHq9`fUjF1~81$=Ox(Qc}1&mBXF#Hyg!DvhAm2Dyrn^rtd12U!)XIYta zXq%-zOApZG9mHN3mJq9bog;%L7l|S+1@8FqOWlcMf=~ZEJKN0*+B6Ya_FVL*mclX- zHo8ne>v}8zXuv{na}B*~vma3B)0s9^e}uOQuRCJ;lk8A8SXXA2e!9YJv%AX?B0t)9 z_m0}n1+Hf6(Skt(hkITt*oqX%f{#IBCFEA!FYwRn#m=rAMA*`AQ8Zk$c{8b1MZ2w_ zX=1$Pv1PZnm*I>U#8IWOgk8iFQ+^hg85rR?>7Z`}Weg|Ov23_(m|R?-QKC`8?INKBadi8mNQ@N@0nEBtv3g)^Kjz#B0jv~BjJz+3ar z6#hoDNq$A!Wa|?KHbM&!21k>tfhG>S(l9tc3V$0QWisysl3X_r2Wt2vT=?xuL2-#e z%3YLh+C3oTOLSDONffV;qtd9S=O@Q1N^26}*TFP%7;3-bN>W)LlE;yhAV$c( z%f}`Zsij%O*G9gmB( z!FAZ&jLm(2nz%M3c*v6C+FH1tA1UV7Tidf@*9{yy1{~$dJB%& z;lfiEapA*G32|y-v6qlqufV)2t{JTL$`bAwN5-E`qKjL97foa?(Wvh$`pFf(UVcV9 ziK>^qYb(IRaPn10p*sU-oBmH)%LCo>!H60LA|}69swLLV|6$GcQ>U+3lWRSjFsE7U z>UZb{ zLTg>>9&X3fvMt=BjLX8gw_m^~t?g^uf*(MPay|8u_DdIrY|w2Uf2z%Jf0g^R25O85 zC~^hc$o!HQ1Kt)w#WV7#b|SIp`=Y+D!Gfu0%8WJUeSO=&hLR8Xht8R>0XF#p(ZgO5 zR$QplSDf`|Zuqp}wN_*O2mhW>PiNOdCPysAq{3oDlkussCF)y+xYA#QDxm4*!DBLg zVPfPKj+%p$1{*aZaL1*xBFP2%rOwNfYt?&T$rOIMAw8y`t@f68*251+8~_Aof3lI!$Y_L7DayN&3QwX--FQxZv6^$h;`*nL+}ki zion`!8QixB`k8iRLbeW!J&&+xB<5?(EBIZ=?DkpB3zBZ3A%f*jEvNAUb#!r!5m=;q zyr`U9{C2*vhQ0QXZLaQiR{Aj>=ks($bG>Vx*2r*%z-LuX-6-?c^;drnYpD_Z{`g6&mB1+ z$kF>^fqWxIjOW37J5W<*;UR6QvmmU!h@o@VzYf>P!^8^&NOy~|?;nP-Kenu`*q4JX zgU*)4Xwsw^kd(u=hBrEdxvv{I;=RCoJlBEShSADTPU{nv;aClPZ0wm#{CR>%l6}m> z+IA>*YTvt)x-pBxTS7S4BiVV};AU)WKC;`UkStCfQKpY1Fv;Q(v3lVxjo+MB|9a)@ zhQJfh;_h+$Wpw)oRh|~n`B^l9)+8|!dpIg7lYPO2GyEgF%HFjq$q(?>>MYOX%Hnve zm1Ovj`|7T-&-=3ba$#uex^#_6ZjCg=2eoybBi_0%{*I8p-%yj*i#DhIUdwA+BWc*w}-~ObBy<1)UdWEhI#|>+mw* z@qj+WvA-gTl*fo^?2eLcDdeq@l2ggFcv(pnJV%0h1gs^0j;l^_krvohy z%Tj7G>tYa`jX=*hWsNVcF>{JjGqz>6mX2WF%l4>E?2FHOBqR(|*;@g@t7PX3L9SB= zls;EUi(y}-_)Ic+>#J?81b!ptLNh=`33JMpS`~C?n8}vUcyAVRWBZJxpQ{ME;?XjR zz@ctyejbUPpW((A`~grVF7(V%yk*72YHtjIHxw(jVPb1YqXM7SBs+Y(K39hqM%mFJ zN`qs9sJZ9k_pW;#>%Wb6`d*SyW${7s@ae7sNe+RTT%H>(^fMudq8ts_n<*P3+cv%a zyzbsZgFvOlF(g)fU65@G}hYOU}i&}&!AO@CGl(SEJH~l-@%nD zsi{a#U~k|f-&rhKn8C*QtUV__K4RLJ2azVpB&d+wwqe0 z*Kt~f?_1ZeY9;BrEjpY>bfVfXY&e(N<2Am<$8!9m(@0LC&>CNNgj2Q4VtcatFDtq@ z_unm!WDE^ClXU5^KgW=bV(-6eCf!WM9qj3$WAx}*kA)sqy^-XeA@6z1S50?N3%`Qn)x29Q)lc+|gijiS7toSQiynyqeq;V;ot6@#fYDx{$p4&k1 z@mok>X6h#&?V_bN7`OX0OxPul_NLr*4K?b>-BQ^AFX>?G*jS>yhH1SVsjT`u!4?Ao zs(cRqcyR$Sk4Q2DQROWP%-@AxVf`M5oVQGDegC~r=?Kr|%k)R-i1$2$yrryq8wvP4 zDDhmkz57mZ2eoEIGo251t<*jZ$Y6p|QHY9cN^{rFnVH2`#19q;|v;+;`+mZ0C zYY8q(<(zTIIP;PmPxuU|k3MeFEiIeA-`1=>e_Jx79jZ?ZQs&u$^7S6r=HxkH;9D;4 zFKwOq3u>a$JPt1jmQ3$~~`c)imc~Nf18w3DQBK6NZ2#HG=C;FkCFl7_de;9w45YmH8 z!xJ`op&8WI)JH@-PTrXO#>Og)TJ9z?$~TKAvCSS4#_u+o4fyLOZ_}ZPe-WQ{=5y{e zrH&Y9oK~ckwnz;Oz}y3#4U&eGN!nmRyn#@I)9)ha(3Mo9%4qStdH2VFd+-*@Rk2F@u&f60;S=N)XcX4_NvLamUhE`aXT{0GatN*Q75qKn=VBzsaeBLP4frD5_j#z=Wsm zHff)BBg1tKA_AGXh}7kT!@UM|MNTQLsa2&vG~GHky7?)1*xhQbW|*w)!P zN*7Nky1qhwzFV&KIh7%&MHY*;N=}$+_^%*{0)T{x>~ae-EO6{?1^Ti+vl2bzDaavT zKo*rarcmk}sTJYI;%zJB6w*D9;aLI9lo!Ly8K1Y$f|uT7L0*|>$ieQPuKtqW{aeh$ z5=iMM6;%xHJk?Trdiyq7H~xgd7rT|8C1Ik>t9-fDc6FMV?UNl_eBZ7a=kJ2|M3>j7S^3QfArbl3BIthY z28D2)ZQI%hZ!WLWM!ssA^>QkZvVT=X9t%>hBR7sfsyKo$2jNe+V2rrWFuT(-;DSkA zax}L4TZIK73mTC9g1ShtP!Q<<3Aq_!94QU4?m^sN+EU3*DGGJNY&pU2a6Jo6LAL&8 z+I{9{evjlG=p(6ygkbhdo7M$oTif9__f)_0J(`8sZ$wOODw7^nV`!vbvo}2NS(dlM z$YH{&GBYPrP7l0ckj=gOEo>}6)KfiBNv>tviNP|XqG&_1xyf`y9^A|32Pi=N=7m1Y zM8%rroHFoBB7zO#OgV&Y`Z;EidF&17$0_&eM6L$pjXMZ+X6NZXhi980u>w|0 z>6$@qt`<%o-sN42Op^kj@J8_)wNS#m3kzr#Q8GutC0M$x zUFs%+I!e0cWp3}5bAo^Rek$^qWx??HwJNSdG_vPe|7j|9PEY$2wI^p(|7VXWvEWjCfF)mP=s z!yduQr2kjlnMO60WO3X+&H)#MGmO)44v5++2DL$UkR>kQPLN3>nKL39+pSF_BqE@M zXd{|N4K%3G2!wVa;t~Wz*@Ol=U}P0kRFj6koJBh-qy z?i7WrZ=gRWaZp6@j$tO94R2RV-6VRdVT4j8vm;YW=&U?mZy*0B`qsjFZExA#&}iGp zd9+nUyRvW1MNxyN1Pd@z+yo=lkU>~y#;GKkNX7~T6{+>fdixekFF(51cEv&Ggg3Pg zx^0VJARSPPv?tP-W^BM`M+IPPWS5Kzi5f-lDj-e_X0OYHO5RlhUWEfI0LElC=#tc>Rw~kTI@-A0YVBk z$$_S*@*9XXk+zD!ChAXg93TmIl^dkIbXQhqE4wvk)0pw)wTijNHJu+oQ}8V(JZ{e0 zeiC1IYxL{!g`3%N-`7~Z2+9z4xtd@b5)lJ-jjd%Joo5$p#~tTsu8xB$x4WxS)O5zD zeg}ZnDAb)AtIX@&voeFp4K+7Wh-5EBYAZpb32lYK+A>PT6)+&zR1BXjN-I3^HyCdN7W zyj5AlePdV8C2|b$W^8WLY@SQd%Y;U?N^9$aaVH!b(iH0JiIA%VX_ZkmPcSMag8 z>1VZ2wkcu4NoZ@$Ue4S4B;CG5nbQ6)sr*u?(KnD;9Tn|KQI>pdA3o=8gRVL~Ai5IE z;?kIx!ISH&d-iY-Zblb@da1lT%a~)A1S*;%4wS)unaY7eWwcg0^2Z5;2jh7FK%*Y5 zQj3&@8npz+;G;^|W260aP40Gh637c(rFN{tm)K&6eJ6aW9Hw*mMhr%z=IzgJ)CWuD z!GbY2h;07dbgQ_ue67@xKM5+wEI@Gk;2$DZ%{M?;E@#D4caNqwdXl!lz!?2y&`3+_ zD*$us_&8^c22h3e#N421UfUf5w!IO1zafBVd%4y&W}&6hvZrmcd_~YJwX$pT7a@@2 z?8x=!1GZ61x@|~7{+0RS>f%|rnq4HKkvWVs}HS5pfZ-ni<-L}Tq*lnlt!oO zd^jx&qHDEVOzcTe`J=Vvjxbt&&)Cn43`z;hXJD~;xP@E$y$)6~17oJ$!?1wR7M$)h zOP@pdu-vh0{@x2|JhWE?U1jL}Hmkv|ed4kQ4aq4T{TU^FeIRa^@uiS)U#a$QS%7qD z2(Du+7xsWDU@l56@yI%-G)a1f7#-+%@D4bGNev#>M#y5m_H(c;2rQrxI7B~u zQ;;Jho_=>MKTp&96_=sSDQchuy^jD!dPmh{L=&25)F3VQP-I0_S6>UQMs^hes;dlo z&s&`YJ%Ed8{@jaHOUGn7Yq!C2d1SP+g-K!MEp7;8;b9o%81w7HKag-_&&N5pe@o`9 zO=c79y5-1G@%0Ok{4H+D6X0w!6K=?1UmIE}I3k5Q%g8BBMH0c*?hVv>72%FUhnQ2V z4@sa)n}wbpUyJ~S(aq-=fG+(Gi3V~XK9R?Qh ztpKtm1Fm4JJwv{ayE9WlslNx0ijT{vTDznF>VS=f)e_dp+<1`vjbfW$*0Ecd^ML!+ zkXOIj-d07l$!DGKQuhPYxtb+{hRG{hIiiG})5NVkU%hMFr|LQJ?#xe1v*f(=)uvsip1{BbioC;y>=1#zL^H6l2OI%YqMa!pPZ3oY z^=#3oETu=n43>Wz+Z$GVFFjgYUjYM-2lG+|cX!f|fatJY#4k|17NPpR?w2hd?bG~K z87nBLFH)C#j_nXQX-TZi1g-}HBMura(TR;CC(K= zz-1*b23kkPqg|K$#Bx*(zll!y^h0CxFKm`Dmy?c-O9d*8Ek zBpBdMS;8f+_FbXV5IJgY9yKK@xmp$ILf~9Gp8#+loi}#sm|ivl#18(xvoszbRN*uO zKBDE42}CiB+rGSJ=S%tajoH`@fswTlnV45VtY*MOI)Wb7`I(#d%eBceWwC?~B6KXc zuLb5XX%_;Q1v%v~KUaC`Arqlv#~n54XoZKgG|Pyd$3<|9J-%dh`$Qmd^JvOR=Zn~R z{l^^3VFC~ho>GC%HUUB+6tlwc6-@IKr%d&Y{BmRl1Oegk+STIl<}=e0V77_B0ik(U zq}5D5R_iR^LQezw)axL*1yXROex?^I6Ab+BU_H>3_YW>xW+D6wQNjrYBz%#j9RPv@ z`8?Crbnf(tFX8hjC=`gcSSSPilkt_6i`RW~2$NntgSt8VPg3LJywqedjph&lCtuV- zh6DNB0fq|ld80X=3NW>BxQw-PPk+n*ZqCI2;a5hZ`+=Mn`O{QTNXC{d;0@hVhMr{d zOSpggSxNl=W**~i^2%3pAjgp`M)#CK6pQ#^H3ugjmCBdcL`t{py#MDv))|m5dJ~gN zR}3fq&lY*)rN-5fr&yN1Sx|Z7|Hdfz4We`UhJG{sOcNL0bR^pf)=;6^eZ0M|a7jBK zFr3;xb?R}A?c|s6t>d4Sv~bosJFf!0$-4k+Q=;q}fC3?ZS_cY1Myo#0`~Kg%-nG8gzA3e5s|S_4S(7Hk-7xKE1A`^-1mLpTbX$MUF@R`^az8s-Lw|o=2@9e!F`(?ijJAJH4T+~9{ghgNJq zZf?GQdr60!w|ox2q7GeSvwZVm=QgGGy%c{Zfm*%n8_w^Qd-J+J=if;<|G1k(yS(=F zu}R?v?|%C0#Qc+l`wI)VUVlFK`r?!=krx-7J+ot8dcny(kM4e#_UZFAq0b0AmQFc4 zk(atrYV_GrgJsKpp$b&HVG`+#`R0>j|SfyS#S)Vd*Jo(!ei^f@3nT@ zzL_!f=EsE2@k4JWIsC8O=CY8k?x#m)cVx{m>;7wI)lYBubxd(_ti9G#anzoi;D0^O%XPvm}cVbS^UlcM$ver%3}C9=yEX6Mcci@o(P=2ZCn zcLo-h!x@{FnOW`PU3_2+rc_V>R|sQ()oLvZS;F0y7!$?I>Ah}Z7hm(2R1g=(VCcK{BdsH;U0DY_;79O}<8jaXE)-fAO8<_| zJ;$T>ga^z-V~-6{^~BkaGjF?NP&FptWS%y`qDw%K_z|Lcoo^l=l-?)F?;FS*JKEAX z>%H6TDEG{5T3}IldtORtU+r&8Ei@F*`0I%t@p8YVme!Bco$)F6>(7|aPYvo}@GskB zTX$xC12_Nqab6}&___MLW18vS?VaPh%cuGrY>S8EChCt9-_Kyp3u?X+8bMMNkZj{? zE|O#kq_z4%4S(c2Pg|9}%!?@TCq`Evu0LH=XeCa~r(QnBCp4aO(2)z}=b0gHb&{MU z!mc~`^liHitmuAXLS2xGr;AOtQ#s_?NFMz>xp-%7#Ivd7911&;plm5>j3&nNN<8Fx zOJ31uO8bcFzJ@M3EhGZJs;%&Mg_5tPxNsy%_LSMFxH&SFgiT1r`RQ76pDl`$KFe3F z_*-mPqZ(6(lm4|TLNDj_7_jeIZN%-qu7oC&s+yAs#7mY+*<{(gu09?1hHqif~_4gZA`q^k_3T;z$}b4nf8)V zdydDYTgjU9Ywx{clq<~cFYF5)i&OU(Mo#s8Fe9jC9r03#NJ1sKsO8f|hgWsy8(A#~ zdaN6JmKUlesrIvXp%hTPLn&-McK?&2sr>$e{Mz2Q!*yXrL4ug*>O(K>ib4sBHwL8V zmGrTx+CFW>uY7P#Jo=L~{3Ef6ONV^_n;o3stp{P}8q${2==o}IYQrk3L0CY>U9{_0-zV{N4QS6#Q2O_9DclsNHTTA9?G@bB zUBB$t<|${wxTkY`=LXg6Wis2EY8~QaWepOVS`ukOlNJ}fj1}KA2tG4vQWu|YE*L9Y z!QF2g^59|U*jc#wy>DP&?EbR!v|ev`%9{(=(nN4ii)AMy|K9WpHV@^SQQ~YkeLc!mR*1R$D0}Cq1 zkH+X3ly+=wEA+0S8;1o<>}vBMn5PC6EQCHkri6T*944q7ql!r#y)qITwr8jr6tggvh7nxcOQ~E{(jzkm+qWyuB@*N%3*1r_JU2#mTGln-Zz5i4h7jE&tHz0oxDu zm2Wc=o7mpRi;Utz4(eD2%yFPR*xhEA$-1bz7$?1$uX^%#sq4Y(B$syU)5!XZjI6rC z8lIbrY}>LXGhW&gEK>!kLLV}drW8gh$SbURE^-W1dB+MZnidpUYg?Y%b+BmG8Wry^ z6Lq(VQ3bBurmZk4e0l2#+JActtHSm>e5rM${E2X- zGyb`axf$}QTD!*_3w?6Ntm#677g6r$ViMdgB#~de(jiB7GSz+T_1yxks3$u-V$Sn; z49VWW6UZ&G34H1EK*KazlK50f37&tM7y6N^3nqfEXu=y|!7q&=dS`o)D1 zTbRO7hI6hK&W-PtJW-8#Q!$~vp~N`cE-0qw%WC%SE!G_jz!3&*l)o*7jaf`3LbwQy!oA@zlVZn}%c(#jE>XqGj@G73-k5-b2-3 zuQHHE)1=YA+@>v0-v&FNe9N|3;x{YrMW*5K_h@RBK^3ge0%v@8)&WDcb9kqSc{OI} z`QAJpa;VHw}Ud)Oa- ztgA!z^CkTTalpf{)Nh3&n7D$`PgFc1+O`SB3AMSg1XB-=AD<$b#U$4VBlZRsP@jdK zR_}il(s>kT+oXIjRj#+okG3#_O1vVNlyP(d%SR^+2Q;bW<5m<`WSjj6nhDMk7|9r4)6Wf&@|CvB>pH zjZ+EsZG}gwn0XL=#q~e?vX$ZnmWV>CixZ((<3ey2)#KEMT}Zk1R?>!sXNx$tZPw%K z<3tE5=`u3d%JwxX^0XjvT3k%OAdR?n?70Y z--RKcbp{r@F0d{F1`E&u|C)C!8S}D$l?JbtiGZk9e(0+v!ZnHpH66J*5X0}$mhZo3 z-cy!nwKx@L-My3Dw=;}V?)>r1BJ5}AJK)|KjoZVwybQ)iKVSAi1{7idZ zXmm~k_)1HNT&H*?Q6`j@br7xCjZr&wUS;6$F1U}YDUzBZz^Rcu_UDyWKc%+F_dilJ z(^Y$2*yH3BX*OG)oo{t2ReS7wR`1^i!6}pFdK-ubo=ga1UA!+yCq`4YyO3nco{(0D zxV38qJ|yzax;RoTHk}K^a=%Kq=viX9E6s^VpL$pOn4OLy*&{~3S zzTd&q5%U`6WAN7#p4cTF=G0?Q+i<-8#}~Bl*C)EF>(4eRhemZ_dbJgHJ89AjJ7an3F>$>rKE^nS?d#nqcLaZz z|II1`7?0DzS3ViD^mgrEez57Hhas0pqqwm3bmAvB(^02-a7IH-*0pB-vU4DY|ezsL_K;Fii_>< ziIZo>t@D0h?Ega_HaF{NM3mj+H(iTdzgAJ3TBy&kfLtVu71u?EUt4B4aEIe)opzVQ zEG?)$cM{eT{_$rR+OHlE){Ah;Qrs*xxQ25)_J#fghVR<>Ih~L53Dnz+O@cTYwY!{2 zU7RX7jh6367E_+ZT<4q9RnXvylW%t+d|D!kJNVSNXeQkvBB%R2(^e6S?<)yMJ}0y8 z3(qS0IkD@|Y3yG0pWnG*{HvT%6MF%Wu zbu%IFsFQ$a|MCsNO&yl<=0t4IWr0C}t^mbW7a{=}F`4CoH|rMgo|fUSTdBI}M&e+} zbrZ_IZWdq83R8*#!7CT(#`Whnd|`k1XBRxZBU2EYFFU1tfR|HEs9oWa_}s43VKsA? zcIE3v_B=N?NmbV~wQ2o%Yicj2;TCz|<*L`(s_#-6M?B&v0WNrZ-|Y7L*F*_rX|a8` z^PSnS1JwR5coy~bXVmXf)mInGDH#%Nl~iWiC(i<~U$d(wgG7uVcwpI3Tb8IQeGay7 z?{q)5{%K;(;pJ=u`H?*>o2WLH+aLORRlc3E@7eJ*T_{Xaf}` zXVhNe(LErW*c9y0dM9t(I+dKJ78NNa{5bH7CTlQv^kto9*8b((cN37r3}v`;Z#?1{ z!dNM2whO>L{IwZx(pLDDqiBrYuCq4TJA?A3)}H0jGs6E3o)2_*>iaaL!!K@~toE~M z0BDN(xWqV~-E213<0gNb!yte^<%-}7(KpS56ALLgtmV_O+NIkM)sIm^mVu@5T00bp zZG_Urm%)B8v%zracR(sFY5r>_-4=sOY-NTz3KH(GSjPT>m_S3ZNl30RB|8GclC)`H zclUVe1EuBLh%tyG39IQflQu*~HmB~qC~G=a^DLF|BNoprlr8oEc^cM|sJxOpLnuAp zS^Jg$BGbe;YOo8(mHSHUtd?<&q>_^%O^f2zDD0BnkI~C~*Y04maA5%lF>kr-*Us?| zmuukG_vmqwF77qbAd=f>(LZGeihyb;ak*!n|AMgzOOuwC3vF%-6BG6Z&M)y@O3`bwvVG~;}~)%9e$DZ zbb=L_?_7r8b2Fn<0p^2wIRJs|yG0YEFG8)(^)oi9C=bzt8?cv8Ots9GUZ63PZIze@ zerboFC9XHT8dJSO&*>bGNgl{dwT_(EgXK7Z#U|c3-?h_a{&dEsm?Roe6W?dR_CMNI zD5+$u%ii~G`?v+Y3FlZ+b*@OB+dxz`g7pIpX8XVl4B*f9HTJ=q4i-)iG!VPCv{{q0 zrC2V-&uMlg^H$2*Hp5@Q>eMr{lyZqt={T6vJK(nWkJrHa&(Y5E1dO~BrN~-ZTUQ_c z{JS2uTB2T$c6P&!t7i~rajy2~+^T8}`F)}^N_&#L+AE>l1+sqDdgo)FxcK~+pDPTQlN zwDuyFA?M&C`%igT%uMaVUmt;&)q6`sKHM@k)0#$nhS&(+W#A>k_-Q!FUJkivRX z5wm~&9;&raV`}3A(vC4URiB&v2y?s0)Rr~9Vk=+il3ywixW%YAky<6PZzJANFxXN? z7%g@Mj67+es*nNN`BJ>=HV4CL|Sc6Y&5Uug2Q^VS*f^Hf#OOJqyGv{UT_Rqur5uv z!%`GvkFlj@Z+_R+krO@!nCu+I6S^{T8Jmn^4sCKF7?fKFJUzFMH>9pIC*~|A(m&yh_tsdGwXS_NH;skUC~pnqAb47HW8~H`xev;17e>X z#9594#25_v3bUCX|25Jz?Oq4g{xB_0*#^v075j(&IYjB&gY~EJhA6AhRrj~oo?D1W z}! z=~Yh3aLoR@=vxF)6zW3XKZ8AeVL9>~=g8ibhfasCtK*PZ$bBqYmqN1IASw+KDoN}*= zx^RQ3eb7^fh-R}F9IB!kaVR;hTbOI(o#4}2S3!#S1tB|ZK(@e*ztoV$FKl7Qr@bVt zt)wqV)n43|5XsM=y-8J$JxxLm;J2~!SGN&6LzI17qrmC|t6T`;JOZB!9G{VxLIXz8 zq>EdLxD&10C$ad$ioJ{D2ehYi4Nb*MX9u6zatLL2Y?Y85tLW5L?{^`nIMkdNWxu7o z%ilhvP8S{xP0^{@7XLc?CSh|ZHhcaWEQv5~1L!6T&6Nq~YJNExa8M9S_I2_5dyUa7 zs)*-Gw`(IRLb{&l83FnG>Q!x2zYyRnIM%ICFhuL8uBT@j``SD?-4jxR)M; z09nCQk}cZl2Ep^u{6v0^%}+Vz2A55*KIf>hcC8?=?`QFNYn4E)h$~V{32LJ*qQt86 zS8W5aVHFKR=zB2coFIfT`vnWG4sF3-E?nTOS)umC@CFjLfbO}P|^G4(=_U2-F^ zt%Z>$n3qr=UQ{7$&B$q-SClhZ77Wao*0NMEOn~XrvE|PnM5J0k@9b@Rtv>p3>EdZG zF>W=K+8nB5p24t5j1BgTAh^4?F*Xe$!y+V`Aon|(7S1QojakNSxVvqyGE5-&>p30T z=z_c7hJiberkZn(y%LZpV&;Ve{q78R;`jk}&8ME~Vn960&!zU3t}-Gj>hvNdxf0eI z)wG=#TNBPHdD)oa(&oSGo_QKs($R2>sPyc<#LY2GWg^f{>+a0cHWHEf@(7dw7a<}J zgD4%j@{R^jaMpE;7NeQKLX#4Appq_16Ygy*+$cbqnAYxZ-^`d|-mm_1*`cos^X-zx zA@{c7+gyvEnSepT4r{oYfd1zyz?|b$gdfH`S&wn$pPSMO@>PIK4+>UYWLVyD)0Ujw z1?lpdQ3P$rvm9j0-{}loQM82_3^`Y!nF$cd5iGLAFrzlus~f3cpeg*31%e``;wjTW>N-f06+dMm2bh8mXOwWuz^1Fpou*q1y+(wOc%t2I`8LZg3I{?VvAi8!#B&g z3uo1vN?U%P(tp>Bn*t#P+s?dw&zA~s=Qoj5O(fvxP9%Y|E4inZ)6}GHGFw6hp2e9| zrQp$(+aYxS9T|I$#}jUm2jj>!At;tohyn~8-RXs#cIG5`$s%mzQDX;)Q?1wI!AX3h z5R=pa3wskd$TMFPm82>AbMUMdyD)W@^tnj!Jl`D>UX*tqM7Xtd{d4NB5=<^KDnvNt zjsvgcA|eY?ae*G{!ll-vio*{4a|_wM>)7WM#caj8Zl<6Pw?>AkF|bBKR&D!6631$&Z(a_6O{awAa=u1lUi*)pJMAYiSbFpsiZ z7`etlosT|?gl)iIt*G73ymi_#PHEN8MDg?jM5o>Va%BZIp%r*|@bzGT&PV;B?>R^)KF~1?pv_2 z!j%3VxSti$ICW5WqY2Hdh1}yU05Ibbsk?s3471i7S0kcXZEi(K7Q@zW)wBaP2lKwh z8=$>=P$d-@d0alQ(uETU63s81SW8fJF}nGPn*{SzT$)OKLa=}yWD9&{$ICd?A!M2P z;CvFH$^H8T|7F4A)vl@4Un9fu+h<9b+M;`O+>#Qk6hEik^?SFA7#)QF;#MJEvNAc5TAi}zfT&Pd>b|H1o&+V_v7kypcD*t%xM3(X52^tg#lmGC{M13)~E${eg zW*qtJ4I2+{_bvtJ07CM@-olx~O0vg^t$e)=F8J$h#7Pt+u0|87K{}T1w(2f|sxUuS zgkawAg#xa1-U0USNFyLmbYXZcTLI!GuBla2vmafp71w?!e$9ek2O@K>6vY3<$zk{Ha!T-?wzY0dspJA58+ zFAsnHq-esnU4Ph^XO1<=`7yOJ&BI=E{pnAS_xLUm{kOgxkDd9g{>1u(vIpM(%_}Ss zxi_2rJTMKAL1GmFFvwKjap|($p8gj3QbEoB+ON?UJE0#z>Z|g9p8Y0gD*D2x5Ky~o z6vE4ac|myWOEO2vl_XO1y%i?9{?A?gezPX%Rb~g0 zblMx{XEnz+{IN=ZO+b6qd0?;h^-%;}y6pq&rlmFa3T7JxZ;X(1rg;JPjv0rOfBBXo zBv!(#pbV*!O{3|we^uHle_sFA#~1jnJ)LKN4>@P-b?5iTc`o6#=a!0cdUP1N_7)3Z z8z7eE;V0S#(Yp?=sgoS{92m-xg#=(Ae&~Twz4h($FUM+w9_u-c8b4iYLle5E+dID9 zvFFVmCPE)(%HEgST`7UAIjKQU<~aYsHt>3=^QAU+JDMKyu^7>v|Fy9(>x2bOu+#W<)kIVb(+w;soih3?HCeK+f$>PD~P zj45wfhUTh+Ly6jO_{2(ujk(sJ&(MXQzT?}p$H3QOSAEwyVXGH2VdB684O|z^Yv~Cz z@PXIvFaG1PzGW{XtO%Ko=Bn?S4$UNO-9GR@gLhu{gN4n^cTWDlAM3lOLo-Qp<_=u- zgBJXsPX50i>$`T+|1*^LZK40$4duIl$}fz(jf20s3F+U+ZI&NDbz^4pf*Xb(w9XSY z_&@K@l<8Z8e;L{@?{)y=!+huZtm?Hq-l^L@#_0Yo5?e+ zM((tSttWgBH^dj-j=#cvBC?w$t35Gluy=Y^;FqFdm>2Qb&gzis@dBF=jWg2R;7E)~ zR%z<=QVDNOfvQg?m@~oBsO|%<=uvllo_x=7=QxGqdShAb+RedA8}kou)VYN7jL%yC z`77Q{V@wV&??!|+rY>P<8v&kae$N&_3Lm}%G`L7q!J5iKm9PlQn3D8WtB&KjZUfG{O^N($Fx#bKhARpmaZ9mujX~Mh5>>lPQCTdOg8TsXAw%Z{pl4B1%fCo)K;u~c$$sjK5Ap@XoiOuqj_=bbE+f@ikfEk+m} z$xoix4_*1sdFmMtE=u~68hlQc1!K?k?jd~WgL0?} z{R5-WQ1X;7YOiIPV+8|@6{K;~m+b!zDeh=+Z;t61nxbl%_?i{}zX5I=jUzwHb#KFf zcVC6Tm55V^ylRZ&CzNN3(pp}{$#x|d#>uVBGl~MeF5l|o@zfo{MW*S`0fwI#m|*_D zh2u>`fdm$js4fG_ z78ZuZlIH~0266k-1L5)GAT2ZPk#X5pnQE0>m!KBXl+1>q z&VjI4uM>!pdpkRss7(EpGPHRf@)V(2?zLRK^E8p$HL-9b>;+j_utm95){nn+-kA*Q z>e4}A{-de-N(z|xibg(pa*{F_K8EeHbo%^L-&uG+_Z3^zPv)$~>NQar09HhT3dVFS z3&)S(-8x!tG1rSl{5Tu)S6DLiHiA7dS9T?ZR=wRjUX=7uveJBU5^^w}ma(2Vr#ww` z;Yt`=iE3Jzc6+^yo5h{lDT? zLs2Hw#OCBT3^RpTINUuJe*d(M_dTyw>P^(uLZuH~wA z*mwpmthaK!{!@*b10HGOqtNp^iwQ5155y=W45Q#PQP_-*n5x?yh`-j9ks;=(0hq6# zZaG^m*Hqak+e!BEf=eJmI7Ecvs7uV`ZD~LNqLL(h^?vJv!sHCYfF2>LMFsutB(4*tJ={Ir|j0$wpZ2aB{u%Wsdf%ZtVuo6H_!O z1(DZI(Ab{`@^z@?4yH4}+#M;q%2-it~* z#+UjbEIt4v{*yVJn6CXw<71!R_~3l}?|kqr==QBtJ5pvtcN&9*Kd^PLNmJA3+hZ#@ zFwIj6?C-sokXWJ0yJl7Dy6x90{|(rpOet8IT>Rlp$}?7ku678^l`PyS@4JERn)4gm z7ryOuHFOO^f#%25&^zJ}I`@Ok*ryp#Nqr-lCaS zEpOMRj|?ghrjMs?6n2mF87X@px(_8-nsHZ!t{RL1Rjam5{*Xhu(^h6>v1u3ve9%OX zxFw3;`~lH*V1I5|nO=Njr1PG%d<5|`*F0UDK8zYZutLKVcVvbmWeM zfAiQQ+~uVB@=!!IjY&?i$05h9@!0>)ieciKyKyJyj__Us{`-TLxGY+|;TJ5!@MI(V z7`WT5Qy=n^!HUos0KyU@GK@DNOB{q?ADsI?3u%*FnkbanL5%M)Q~bBL8evV}Efj~& z0F(_OZC;XpBX@0*X5An>eeL?6dcpCN<$2=)bWEVoqsp?ny{?yOg4cg!l}Gf`wXFw@ z;oU>eZEU|psi^%;^lE`>Y|*qr1Iladzg;r$yelZ*BeulyqSH?+Bjq z50$oc>)nklEu(Rt)=u7r)WcL@Ve! zW_fboH@~FAaW%F#T&ea`rRlA*5VGPyQiFoRs zC++tN{9N#H(!>wsf4wVnqZ_KlWp*FHZorpPjVz1YwpLaM%z)7C%lcr1|zOe#9%c!8-}W~67C*|i9dd^|A0d=O*=dHFe$g0 z`_r*zvOQ;{)j&1;6h-Jb!>X9DfWmJn_G2~*ZSU=je9wB-HsvY`mK#uGQ@;{TT320~ z$Tq2EKFKnb)v;Rog{4Npb8QBV8y0_YzxH(7d*-_BXN+aBBY-jTuWxP3oDz!4@HagI zXZ!KMQ?ojnU-I=tTo6UyYr#fg#%GRljuK zp(b{q)3%(9iz4PM&m>Zp&~#q;e5l@dTWZ;Q>?wnM{*09;#njVDlVA>nz{RHkh9jH* zCaUBLJ2%y5S7H`F!(K(^`adDpcd$6;@A`^)oq$$RiP*a4GG z5>GiedAdwE^;LoYO*uv0fQ=ciuNFFQ?yc@CWBcaa@CRvXh((6Q+HmwKL#FuT(_?^* z?B5E~Zc*2Zrztkk`-2Khb3A4rh_0-jAS#c5{V}K3YlSAgA4-RAEN`@9VW5`Zfg;R;*P5L5h_MvkH<0+em4oEQymZ4) zNZ3-lrLZaG0U@~UoN3?_vNE1%{q@G;-upCG!u5fXK&y`Re{#7-(rnwSIk(P~I31w% z@{E1pp=2xA$EUi$(Sd&sE ziwwP;f`HNb;*ps3?RG4*Vc*J5_}u}OC7<}`lBY13+iFC755H|WP_HJ5CuZkbLqxxV z^3uT!ZiogQHnX-vo}^Zcs2p@I1G_dV<5u>?KRJQ+5QuKdm2$L*36W~&UN3Io4M>xF zQ4%|lTki2kwT5s=1>Hbg->axal}!y}iTytxELqGopptI*aV+tvW^e+94m%JXVW4f* zzxyCoFiOfEV4;4Tm5%Q_{x%wnVhUd{zFqyTNmEPmZ8o zhB#+1^Mq@%gxG&xs+jNHHI5S7wSw>WWvQeiLmKQOk`~;}MD{&^Jx~UJ3p=_Xr0*8d z?uQo#DxFmiFCTH}clQJoRp}g0a;k_}T1@Ed4`o zfz1pHCzWA%7>;nh#Hp+H_>IhCH++H{xwqzzU@mTVU`GL{l@cRSh`>BjEmF(XI`RWl ztRg%+s1F@-fg}m+h=&)9ovOmGI{)eVYDdPaYMoN-`v7o{fy~x zc-wS{{8_gb*T!8cX-YXvlaEs>jrA-&D19khmq9o-K=j*;*~B>}|KFq*T;%C~+~b8nPF2DA9I&YO){yyy!Wv%xcvS7T!=o9J+oa z+5T2Z&epz=X2upaeuA3P6BQ-688ezPmiSjTWY2ppmz}ap){Jr#$Ly~5&-7Lb(|7cS z^z>b#_#CxUOOC?Uyj#GB+eo&dx8Y?SHG{o~m{JNpE*hKmUr+U+XYBQi&BXAaS2Hi6W-7_(3 z9Igz43cdqYSoP08zwDz}^)a@n71osv97|UR`MdAklRF=n`6ofdxYQ(n&6Exg)+fa0 ze)f6mxI*{aJ*V~M)bxByFKJ7VsKUt~ z3i(FqF<4F0@RyRCvGz*$azG=dQa>;ns{vKRVx%cJ$a9#*hJ2p`wV29rtFM2Q3^J9- zX!mV=AxNDp47pmEOPqIrt`r3UF!g)Jv-S4{P_#fFQlK_vlg!9S^?7bfER1!3GPT|M_4wH+!gZfx&lIPL#ZofcO zGlqtSNlAv>U2RLxr^h{oeN0Nb!8(4!E!Z@i$lO<&K54*(4e}SaL8qBMnl6rte#$6Q ze4U1{2hjPWpqEbvL%GOOhd`ap$gX3R#EVgQuAEC{C9L?TlgL8tJw>QN4+^e`((}YM z-nnn4=FWg7M$JhFuAf|ux!l)|wUpi;&}9ESMT_)|ubHxWsqBWhbQIMA!1+FY$20U$ zAz~p8+Ad^OPR!)the8U;&;tR)U8u6V85ZERp{4oi+k^~5{*&*06mtta*eYP~Z!l~6 zs-`%FW2v5o)68pCwK7$+q3L#K1Zu5V1C8tGd>RZ)Y0fRFNxlq{S6B%;-JqZ4ddAE! z=%32QhL!DhHvw9V7{b@09=x7s_@&lYkipasXE58QI=MAFYRFg(d>RpUmrYK`Cm}W% zHx&BtIUIgS(mc34%(@d&R(lO(>QVHDk`fTBi_Wm%BX zeT8QHDf9fM=#3wOQAZoEiVe458o+RXge*;&=4oX1U%50xdIcxD(qN5~ZRm!UVPj~M zkrdfe7fQpRpr8RdE$kINSdncBRNP1QTVfpG>*r%hIbkC+vFuAx%U+!48>E#tlDAlK4nB2gr6xl*$K2KHHPyP8)yn9|^3ldD z582iTJ|8lge;TjPAt_^?A+>sQw^uo2TaEF=SCisJTlj~#KIG=v)?63ZKC`V+7V>tX zUlhHHu^A`>;EneTrt_q$#lCkh9Hx09^iju>jblN&CtZB?77|*(hQXM?lt)PB(&+9LNUdF0lr=I#=}aJN0KYH~gp2!fv2GmaFuepHogo3}B1VLDYa zTabROB>}IBuJa zMmre|`UO%i-y+zq{!)r}l1{z9z=m%f=E%f68aC~V~k52Kz3xAWi@JVJZv zHW122aCQuNRjqerwXVpnP%U$9myJ75oP!7hWR~x=hE7rz&`C$vuEGrlZ$!`d#j!uq zCHT%}kP&Z0q}_JnUjcR)0|f7Upkes%!v^xp>mU>k3<_gNeGd6l?>E~FWLg9FrtqZ1 zaWE2oV2X#*+^fsl?V<3W~u`t)a>l7LpcO!Dev8fo}{cnx*AOgJm=M0 zC3ZiUV0(EeA$_-{YH8hIwTu>3E}ow6yY}lVgUkP(bsl+Bk~F)mDg4q6|KO?Ib+PkwixlwmSEzM{ovmq~K$Y4EZWhF0xe%RA)ER{dJV7$V%; zch=6&uDt6YC5nKc0|ogdLoMe;4dmn4t~;Lc1OcSugGlae1$)e>rwYn;r$x2>qT-rw z?%f4?YHyR;>i+eSY}&hhEkuBkwo=SJ&)=J*R;93udt#DpeEPm(JNsZ-)CYFKsOQQ} zxsP{}Wn1to*OqPNbPCIl4`~GN@;;;2G`F#(8^6<5(Vv8%+kF#R`Zzp=|D!a0Q!^5x zXIuX#h5;9;3aP)YI{;$a!>P%6c6_`oHTheO$A0l&+CIfE(+}jq)qH200wz`tnfcQf z7%YGHw7zfEV^b>Qre^}(oQl}NjQC;0MFDdNG7Qw`4EmW{Hti+HzDyU!5AEsVm*q|F z=Q2i8FMu@Mn%@<)9?pJKvck4K3lv}tVB~inf+mH}N0{xEce=M*57|jreP_IQgr3%y za#WqZ3X$^QN5Y5w$a@#(&X)?t$xWqx9R?%CJO|Ozej*vLiX&i^+|j$}UBl7T@b2s) z89vKl(n}0U4t3g?J8sz__!&qHkqM`HeBhy6HM7&GAbNz^#DbRqO*K?RI&lCbK* z`Y!IyB20c69wFog9Tt3u~1Y@deAVAqS!3U zK7O()@!Q3=9CwJ}Q*w)KvKMO#hY;EXu66*&>eigS1S#aEY-deb+XzVeuFIz}=G9)I z$E~GtH*A!ji$C5{=?&axF3;! z%*ZrhmqvtZ7)fW#(#03U0)#KKou?1ts;4ioR9T!yVx464e-t9-v%!Y{QVKX#PhP_VXeS#cZV9VsP^EC%?lRaa5foId$iv1tZ>lG&MU(S(xBUlpE?Ik zR&fB!w)eu6%}l+YM^#b(%p0Q@0Y7EIDoV_Q%PmX58(Md5g9U^&|)wU zL%n?|75L9&4P0--s(p`$CNRbKyt&2U`sTCJg-}E|R5qri)n?;K`hF1kpc}kueoC@w ztShve$94y2GQbRIG7kuI!Z6?Q&ur*P#%%XRrR&F*raxUlPujC1WgA~=A@w`6e8gVR zdbs|Y*zo5O!aB+W7omx9q?RDxY6^gV07IkDSD{;@bsq2N2N0ih9DKGXY*tndP?k zUjEkCFLaA9EIWs->#=4>pIO0YjOP~jU6S-wm8HCGHQo4K^Z3JtQy?rRz3}FX$qA`g z&gXdB>#9lZExY7msHYm7C5Y^O{n{~C(M@iF@yw#JaxlBj$Q9OeLZ8Nw&B4^qcXJlq zZzfkXj96(62nSO=G*nnnAWUN{s^?KTKbdBQ_~j78ff{{6PEYc$9GN$zra~zPqsMEp zE*##*jE4IAPs@cBffR*wgVc{yc-M)!NflH8GQy=%g-J(ZVB-%$^Ad1>Idf;Kg_W_R zxtO7}U6-4$Yr!?B;bmL#U1w(1=IqhS^yloH zLG+y?r3Cc2?T`{VV((pv`(kMuHNj?tYfxWFLVlIyT%qffhMYnJ7o7M$*a;4&Qd~D(8ZX#7Q621wLWNLoq#GJ_ zuU#N6He&bMSKHS`j4LEiH{RsJS%k^l(z#9K;*m2WvGKk$7f~p_1+XchY zLCT zSaQGZ#*FHx-mHFBQj#;CKe_$hvTc*o=MS`u3~FXrws+Qn?B_XHy2OyT8HWE4+)*AY zlEyZ`c~ktq;Yi}D{3bo>8$CGkCluOVXJRB|X8ZkD5o6~+_zoTO6e(mvc|M$#7*UfQ z2t7!?p;*_>TqCvNGpN0_=?fkx0L7;0x=PqlV%g<9XH>>=O8ue?yd3zpyRprV=TF3v z%?GAM%;XdJ+v7#$A8V+wyw(TCpg`XkL z7pzaSTfrXEXMbZU3DB7OzBVFz(Bw3P%UvL1@dk8@?$zBTaFR9<&iQb54}*94(BEsq z_~v4sYa}|3H4_~S%Fb}YIy>*|QZOpOZoMWasj>zX(Tq%HNy!gsnMPP)!4R#WvR*b2 zfgo@|gxJA(A#9K&fWJK@Iu+pfCqk6irgqbudoh)qwiNu=yqV?1=z+pIQwd}SBWJD$ z_c0iIf?Kw;VX*DjH@U^Z$DNJX_{Hf$w-AqeFVUPq&H=dL2Lb9cm z;Kz0bD7+7gq#S_fKa>mIEFF|tdWi2CWV)bm=b+}S2fO#)r~2HKpEZlP`xzeI#}MnQ9sbS2l@2pB<1Eb-Q4P}-{A z)5U{HvV&4@PoKWzN<~(BQJiamduxy4()H)*sI^Gn`BrrmoC~9$0cxyV=q50g`j+%O z;ueaw)G@iioT@Ubj99y5uX-daZxdD?>X21%xT7^nJcZ(OGfr{{6yU+zA!Rv%$;6~u zYqRQeOMCy>u>`_&tqqfX(HV6;J*R{sWm=;kxBo+A#b>#jXC9`(z#U6?pag$N-W-xo znUV+E=@rQusX0!-o$H^1RPUZn$Hodn+L_)5=@(n8i6&V0S*&)h6_u$&V^xqV62zH( z-kwfV*+{B&w<>eY(8#)AL}_K2ShYZ& z*Mz^~W?K6CBrKJ1i#2^=jFgh9-gb^Tzc*xN5!*v%<>er(E}*JS7pK6qO6&hSHjxbN zfb;9DC&M`jBO3Ky%Bt;i88ob7!aXrofcyR0yLQ9i!;}vT@W?j2LO)A{`iKvkhp;+< zSzMqBY#&(15LO}OM!K&LoV=BKQD(t*PxA9YH5j4X*=Gr>f~%`+{X3Y^nt}d>Oe3I0M_Iw966N(Csy<( zg-nY7yL1%_IOil%#)9+}c8gcoA;S16P2IW)QrlB~Hz=zkv9xE5u!~zfoP=64Bl(Pz z6t)}GzOs^ zOg1=rYyKKV?XbAKeZp+qakRohQ1H5&B#n6IfQ4#dM_O}z!UDB~IS)esC_mb#!EC!N zRlz}}u3mp(A@Okl6Ud~^P}}ygQ{HX*c95E($3-lN0(}u6&%1gZw@;9MwhHpQP#D|F|Zn^_Ix`3+=EU@YlepmMI;@9W z>qz5S+Wwz(+spnOSiW8~PX|M-sNPCTLr>2lN%>-Ra5_|F0(mfE&@Tg7vgdGe9Rd$O z3x#!3eckr^0RMr(sTdkAQUgn%+m?YO>bYQzS4UbSD3c)z{tw=0S^#fP5kXZ?FvQ7T zgu+>NbI>V`E^xrH0RH^UvkvItFP@)07yY%9NYqST35RVTeEf*8%p+bf>8J+#zcogr zmbE}}Al=8$J_ip)+|^7faV~r7mzr{l+l92%u0R=_9Wd*QfiViMC7Uo2V63N7wr)@% zOeQtQF_VFLzUP}EVDbfLytP&3`LrrZ+z?xkJzh4%r1Plc-Vj%{p{s3DaP z*otclhEnU_`ksL+62|gz;Z=R0_$sdtCJz<(UJiFFyn9Pazx8ut-b~?!7GOj`6v#Q9 zVol2OK7qrZS2+4RL1Lp}@#EBY9-++D$z-Z9ETz)6mp5f_cVHV8xF7fUgoy(vy)3I@ z)$L*|Pm=qs1E%Ah!V(l@hpw!+@;69_Ku!crbqdgZ8vZ|gV*u#g+Gl_?(v$=x1zbFx zqFA{(n@b<9E2{S#9VQfpll(`)_sJAReWDIUG!dIvWZ3wMIHm*I{KK77yUlnEAn1m+ zkM{@-ghS))hOA;UGa9ALdX@oerRm^!9q+`pJHua}O0wZ+kAY2%5mJ4p`vk(xqZUo; zg*z!LXK%)!`*z3ps{z$amG|i|;gSi|#~_JyFV~8q8L*~7NfqKWn4b-FitbIrJ2`8F zVHB6rO5y1783QWoduPLu7-t0)6`EY{lp~IoJ0DX;hl=^J%xk>|(wrTz76w!i?Q^IR zf2~TH$rvhEPgyuH@&K0i?L-meTd3dy{NTFelwu2Xhf|K+VZT>ERK~xS( znH$swjN;U*y0xpoijX3tnXPiM`?=w&dF&p8d3sMuq*}H?6?9y)7=LePKTpMc0H)xg z;K9-ak74^+wo|qI)xeaYl6}*x2gZwkM^RZ3`n5C0S4V4hy0ug=dA4S%T$M`;5nDb$ zllN7|NstD$aAfzgkMuJim{vr1K@C3lQ%HVSWWRJ^J8QTm)5G9NHUN#bsCTIp_!}pa zdh@F>1E!8yPVV0{eT;Y;=CzKPlA$tTa4u55R=J-89Fa(6p=b>ax1Hb%{{#-uquIZk z?BI~?ToAa@d>%4zjYQ;0xvsXjd3xkPF=8`d9NBLV{PDnR0Ztf~4TO7IX(a!Ir&{1M zAmhQ@<2j&EV0@_)v+s+PQeg8}*l<`UKuJOX1J-V&HxgVO6{m;0gpbC|RBQPfR_$2f z$z6fiZB*Yg)iMAXPr63la|BQbCo%#Z0C2!_L$eoK-8-p5Ux;;zyn&w}pbc7aF*E|L?oL zZ>?`F7E2NHJm;J}{`PP0qw(4RlC|-ffV2jBesGY*-%~o3k9Q~-k7qDZ;~#Ff2s25+E<1d0${X^s1epsBkm7*BAXwtYZlDMM z+-TYY&_T>wqC#H<6#71!_;ra-&j>v|U^#ksC8+n6*@9WZxED0`Y-!JBC)0Zy4V+O8 z25iQT8!hY3;yDObpYC^HC~ov}cGbw;Hg%Jmjy;cpCKkuF{%)rMixJBDSTzOz#WUz;#bj)b28yc6@;}piZd0r?HFNL8( z{##R__sr}!YE(o5)IofEQ$a;E%yF-=-PB*xVX1_UJia& zVMMyX865O3CPHLyI!wI$mcnN{Z1=>PuuaPtWG*mXTh*+A9Jzl?w^jA?yyt^UrWI`(zphyqPC?8jCL>cK9D!&Jq(FMbJ z0e3c4CJ_?u%bJ1MD&|zub7%VBx^2oDs_I&USH<{vDq=`wZ68}E`#p5*8c$DeHsp5|N z2887{p5cf?+Ly4rn{r&p*xCmhQsW*EP%#lt=StZohs1&p&j3X)m|D^`VMC98B1X>< zy!_s3iZugjjiMfZzzGcz$xAMcW`7VbrV7ngBbk+8nB zKVjKG$+X*Z2BWi;H}6+K-m^184F1bd(l86Wj0Z6|7#eyQMzYY*?fSD!`h;8VsZ(pf zO8EbZod6CBHCaCXVCb@MjogNUN2KnR229C483=@dE0Gla zZ+!e9mF-KqHF+0A1Qdu>4d5LiF} zz1X&+6CiD*zlaRPj%NVCsD}YznvtXJU54)&nQyRnFIy$u57PNwVXZ8?BCn2|+}@mY z`iQ%#mfz&Rh5Ey2yMdWN>2tz=fjdk2I65&ncw%RSscrWYu>=)W8P4sdFEx6&!FH+- zGSd;V+oZMpxdoXE#iQAh3zD<@;9;LnHAX)EM~GW$A@9WzK|~@;+RKf>mPj1B#B>kK zL8Mz_QpDt-Wi5wIJ!vyScDW{Or3{+wQXiCFnDm!%0@2SxH%@v-3aYAPgiY#aeP2de zi-)+_FOIizw1ROMcjsR(Dvy{a4Ox{&${H#^54k^d$fJBrTwxO|q-?-#Qu&VPdD zMo%bKTWNz=mj!IXPFT}Tnu+cL;U>lx$f)5?cxW}@E80XBf59wGwhDd`AhgE4lUY`EJ zL0MG@!E_e)fUTZ}d&z9pT1!;88wk`*_?-dLQ}lHSR@l@?{Xz7N#|3%N5W`ov+4@fn zHtWW?quU2Pu}ebKd2Gai!*6BMxu4<%Oc=S*!JC6eEVm2VZ<7|Ra0?mPs<}X__yT$# z{se79>Cxb`tNCm2+5Mk>(&HX=!Z$F_C(M3=V0C^Kw-|v;SFAvzw%VhNwnU<;G@POv zSN8*!qocZRKA{Nr)?aTFp3&z=uDRsNRy&9-fnp*ajd20YC=uyStxmtgh?tvFg{@yI zpeo@Db58lhGAIZ?+v3y}Q*OdAz=;Z{c9%JJF zQcf8YeLLYZ(6cjuXKvrjyBX3&I+D}S9gqbJd;#izG6cl(9qG7mdVfnj(gqv$13UvZ zkJFg$cw!BvEC(J-9l1gDJ5mKx!fDQ$!2`u{eQjAVwS!g03;HuF^(c74F`k*vnzq1N zAFt%ingFS7#3DmJm{i>bVE|1|j=yq*9G9EA7qqZ?| zs6HZTyr*|+XC9Zh#;KY8m6?e&F4c^-gKSun6!x|TaYF&G4s>R?baUJn7nF_$OtR)E z26f3FVx+3su)&X0$Jiil2v}$6BrKQd)QcfRTi5K(;GB*xA#W(3<Atr&2k29$M6Oe^FW(sBb-d^_D&LPu`$XZ+NjjJ(}i^n{{joE9V=yYsOUyJ(-Fpy4$e9@R< zn9~E1wLF&hbfl*h^sBEg{SX8MT5b?C0c-KZsEzmz+NI)zw0ER_bb24Qfu`gI!}@$& zOFC3x0Y)F~HaM&>P<|3L*DU+5J=t&BzZD}N!FAoSr=lafQ*L3`IP*3yw->t)^x-751@Lje$P#Nz-`tGmdsi2!4_rXp~aHmGF-7mzm4f9M!K`O~AMksFSD!43jqAjQ)5QsD*2 zChm6we(-@2r8T02h7**u+_i8w&seGf{(UZ48J85!=@8hQ zSy`HD&aO>I%C*oqVdM81;cvm97>Is09x4yRhG&ADVBu?~yv9ka2J>i;NCQL*DO#`M zLiP6?qEZ@v`-102v$jN>3Y1B^y)pSDTrW&oja@l=xAW|qj59t4yg3_T+)2G|x-f7x z#%BdJxr91h(O?h%jZnc)F1NL%O91A@Nt*>FRthtLMehY*W~q6{C<( zSJM_OvC(Ut3PjkfL1>%|f-G~_MiHVVry|v)2M6`l8qi}<5~Jwh@eJB%$fx-3qB1Le z&*rCKv~f_`n8M*sBCC_D6X@d`otVMB2ZO)skiz)Ty>N-x2z0XvOs**U^6vMY@#LJ< z=Hpj?_iagNazkCs2$aEiJRYzcuCa{VpaIX>mvf4s>XMA#XrRfa@k72^i37w33D&nE zvCM0MZsm#lu`mweRYMP{;joNUz0aJpZEq;Bk}0Aom-OQ_WqvCuD*@8%Ew~9RmpjH<-0Q!Rp$QiI30?bf{xaNeW-m~MzakTsTsRZjqhlr>fL-S?r_9Y zI*hoDf%ACa@C-a%L~?&|(NsejcR>S-R%%kc8|OR1t2dUCzry{|AS2Y!RC69~OP^5; zH%P&q^0%1lzK06#6Gnfu{zRk4-iNoJQghDkPH~3_ zh%QT7side}zYw$G#C#j~TTQ+6gbbd56-&zv>W|b-+?ebhdkYOIP7-b5rIOjeV!2qU z<{ZveW!I!81A({&YP*ODW12yLm=^64dl+Nz5`jk=K@Tqp=wJvOm@3JyVpe2l37;mo zDHkDs8xRouMvAFSjWp4TqP3D!mo8K_(*5Ty1|onfAb z`4|gC3#ng>T_%Hf@BjaMV;b>czBio5f2%+1$}4 zcm=1*l~19alJf%nlO&dlzUnp~a#57iw5Apgcpbewl5_t^$YYg4a<=P!$vPO60uw*N z7kXy0UuYK6B?-yCv&nTTIyp(@$-+2xrU}`vKTs3Tho@2eDR&`+dGeRoBskeG%=vHr zGbXzKttg=xE-wobTyk_?qMY(Gp(rCHneqAgJ7@xoXhNR&^%@hbQM|If+%-|CMqX*>MhX-f%8Sdq2cg4ugDp8n3>IW*Yx9 zHfto4Sz6wsV82YDdW~9ZCc=eCB`9_~T(iM(5?qXX4T^%dB3Kw@XUS*ZF=!(MaimR3ORuFGR>Ld(@T(~nf`6S#IRSeffpG*iYw}T5j zT}bqhJceHPrLgHk;|P?m2EU6-YonPB`fv%(1b9$@QnuedNXF zH$?X<0%H-k=n7r8{KSqlY&tpCr}Zec{v!-1bHl|Vo2M%Q_z;6vjiO+bioT2Z39*aG-%liPc?HAbgJEaz>Sua!Xzg@NZNqn zgByo!23nhu3^1tA77fUI2L`8O+KeXP9vHW_+kRpAhdRNuQToOH6Z*brmIHI7aH^i1 zsi7ZL`MWX?Gn$W>Z{F{q;vLW}x!_$reW`e~y(0p0S`Dohugh9-JZ!6`KWa6`>_K?n zyVc$L{&;bnTiB%fKJ2`_4wnno>1f5jOFWV7j=K%a~Ls$~Ia(F`};=$`bdWlZkxT z&FvhTdZkpIM?M1`O;+|4|9FJ24gb4cLZ#kMM`({{0OpGt#oqcFb+(mPa(khCOny#> zkH}_4>vd50<`1SD0@MnmDkFDYAO!jE;SXlvHj>749Tnn6`Vj4YR;6oWSbf9GN$D^(Z?-XPA ze!%`GBy6~;>K)4@LIDY43AFiG1qdTjY1SJ&q1mCLry0a&fDAL+io4-Bgfif@@Yc2e z<%Octs{0`_WhnKJ%~ncU2eizwnut|!= zA70R1aGelT8UeSHxt{|MWZHf6gC1b_98p-7faii~SjOh_|M6#>J3znsDLrs>tL4?1 zo@3E!5XBR4#<59s3406Ea6ZQRKT_SBVXchN0)T&&z?dAUubb0O1_bo0ZI)ImN$x9w zDz-+j5@Z0Q2ul(7f2eR`COQW2>lWyM9Kwzv>>yoq_E6?HM@!Vjy3zLyiMSXAy_U9=VEH3;17XN8_=w*z`eQ;AL<9kn0Y`$QT14AsbVZ0k$%(w#xX90WWsGMd( zp%Yh=Y!YK8COJU$d{Ybcb2emxk|Cdprte@IfmcpJE+MRvFE@l$kPH~38>EwlWN?79 zRCto{k;5mlb+=MO94$-6;I!~8$TsI-fghHx!be7sq+E**jV5PD5lW=6CY1q4HOPk< znpN;f&^^~eysluuv;_Vn`ct>+RVYPnCZuKgwjB8$tNIRXhEZZ}s@OWsALU#Ct-(}4 z%gvxqN8K?44>rG-^U7!q+@6GB+r4|{_@vC@PHE_5ZiJHS$}}gRBYqEn>mh)(L7&-^PmyL_LXqKs^5M&Y=B_A5!FHUqjY+%=hW~?5K$Oqs zg~97@168=u!^USZuO(TW2Xztp~WB&#^6nD$mXEHKaX#+6;*A zucj?TPV(O_aVzzGtQ%pjtizM@CjrT-H|J@wu?>3=q3$J<;r_z%PmH33Z48XVn6@y- z{r<)TJin^Gz|aaFm1YT6L^pk??}s{Cw+Egn4#0Qg!9ZC0<>gI!zIr37*Nlfg44~;NH!S@3Q#U=i zkc_gkLKv&^9a}Hiq}#Xg;bM$=e^VE^NhX!%b!I#nc>yqU?rqXc4Zu@(l=Myf4dsx}G?Xudf#+GX^b4#`5-LCKD{;fD_TM=z_YCb6rf*$I3NLSu zdhA9B)9UV(jPn+fVm~v|gSxeLqwrp`|Hi!B3J!pH5|a&IS3sO*T8AI=0|D8iQ`hTC zuEUZsl5DD+cNn)^kg#GhX>vEHYB%7C%!wV1Ya+e8Fp6=7DAFa;%je8WL8=3LY!a5G z<`THZXv{PR(?C0Yg^?BSjKej&P}~|^n|1lAus*Ht!YQ<;|IG(|p*|Ypftq-tY|E;| z2d-83OE<^1ANjuI_UyGN|Ej`_bh&A%n8^p+=?UB>G?ct%ipoE_Mfj>_B_hG?ttee6 zKK@UDR4-&!=j}n!PCsUfkKm@*C_?q&F#qm!-w@d!Fq0?x_uqp+;N1ylCI?3f+9u4K zr@tzD;iYkW?*{_W_MA%;O$|Nc_{^B$02z}l8+et6dM##Q02*UqG1);z2#5sFc)u>D@>qF z;dDAMB}o;!PnK4G+C@Iow=Zc7pXRTfvEz@e#sywyY#E22e-8}O+|YKX()mVs*Vs@y zEi$h=vO}UysLvhdzS+e<0siQP< zBIh0hp4!BKy&9o)?%o2oq;j6Fv|e+tR?kdQ4P(n{sor#{z+cy z?5f?*D1_>W0+z2;%kTbuQcLdy$x~8iqfIs^s%pU!Kc_#5Bz-pDqe$)6ChXIZbOC2O zcGK+)q+e&I&Y+ZVnH`Elr`(nNz<%CLiwrW9w%8p9Z+Yy97Q zhl=Jmc!yS}42DFk4)f7>Ftz#ZO!WeW4?id52($P43?FWPt2GZU3DI?SNE}qfP3YDG zrj||8u$#mhjyOSEpXOjg7DOEO;Ggz(wPIWR+u2Q2QMf8DipV>|8P^b?n63Y!KbLzy zS9Fi4nHcLEdN2M!bYD2hA9gOKCdBn@X1PAvmD22k?c_Xb@|EJJ>52xABKTR`Q;JhG z@t}vsj>vzM)uz69wB$yMBKlE(c}4Ps+_n>)>m}@|>59Iq9{e(|ko?k+jqR(g$hY%m z$65t{I-6Y>6?k;M1t*zIUH-L75|ogpy%Z$34(li^5I9t}#w8U@f0$C<66*#J+ClLg z({@>|_kxqeU#7Zhe1O&B_6Wo2_IcE$#7+@J9*bo!%A`8@r3B@w`coEhQkpP*Pk zSWwk@2|xZl)Re6kE!L8F9#s>5C?EQ`M$PwQQGaEa}9C+B5Jf@9}uGb4T}X=*N++4E(r zjkjyeT1SrS^YFII2|qY`BsZ;2m^MXHU=V1<#E|lM=|XajD62S#QePaOQtJY{lo>}J zPL)mN#IKD%w10jF)#k8`>UrFVu%PSl$@>HQU43h!QJ+f4$?#z>_3(9B)VEi zCOsNk{`}V_R%B0RK7Y3M4BR5o!~!;2KEG@QeqhJK^B?q7_ml*$3A`n}?@r?#c!gTQ zD>)`*+F^%sY_XssSGDv(rkyqUSnrMw`%@p2!#`;0P&IMh4k0dq*Xeqv-6u?%T7L=` zP0yCCcA&_D1uo5}!;dMGhYeXBE`Wi@7Q0aD)&4o6i6J>rUUKRmE)*hv;)}bDwCU6M z-Jg~<$@6K$+RH-WA+5vfYdX79>%}?|gI1Uw9H~gihk+Q}Z#248FV zygygdoGk2OZR|N&*V-;%$1qq^IPt}W#{~}eGK()B{w~X|Db9sKObQ@}7pxMd`yNzl z-|nFof{qAB)l=ynR^*o4!6KkuU)+R0*WWfJURUBMYV%`cOpsZJ!Ty)W6vq&T&yd;J zKk?vqcjvvEaKudZD$DR!Qu((Dza?tEW3`@jV&z{K5o$@W@cxX3mhbFg$jkFfzI&CDU1o^(QDcL zu8VF(Qja=zQgXbP5E#T}l`Z<9>B^$E(xs-qD(_1?0t0(EN;^Sb5|hB{)J&kT*#R{8 zUxkHzL`@>Osg}odQE87B3*8D2YqQl>1Ap~Y(XOdHtG8?1B_UK@S-70Et0kD+;NYdd z?T6E<@2(fzFNbt z*sGSgC(v2=FVeW143;n_TSC_RHR$RtYtwp&sv?I?L4^%81{qteR$BbM?4FmPSQQC& zaoSnNxS&k?P7beFC~T+62CpA2xQhAfsg78|-X}x0Z99WpUNvYJ8~B^WWF}>fNUc`1 zmviU2xK|ZQ93=kaOgWoZ93$)Rr-q2dXEU?C6B@A(cSb2oFhsGF;M64JgMy(AC0yzG*VYqA`h;H4|3`Vt_g#)H~dvr{Mt=_g_KRz zxCemfIojLa8KY?lNOH+HNy;SUEwoK^*pOQn?3*^rwX61yz$r3TsgQR6J-bOzJY?+3t{@bT3NC`rOg zl{pl-cA>Lo?Ogr*`drLTsRC zUkh5c?ylx%Nk~JO;a6nEXn$x%CA@Swp+Y0j_mP-dv93rT$d8|@YYW!3bs%z%D>)`o zr(iO*wPEE8G;jgUZoW|Rm$#E^OzXXDi!&PwZG;{*plA$!o4za%$xN`;dyzM??SWw1 zuit7VhhMdExjiVgVVTQnCeKrcyvwHvzh7g zeDLvtWruBs9k!X&n%6cd6Lx0_SFt3Y@yuF#$rU^?8VQvT_W*M3$u;*#x>i!M7V(P7 zHEVHa=hs*9WDeM8#;uepa9h%1p;JaGxASfx^#@6wuhH%8^AjxcldXN?0e;%#^6}WL zEuG@|rMrf+h^t4j7rYhb+$Npga_aP!x{d3_zR3CS|Cs#o$K=}^>P=hHRpCkgIH;yU zchWJIMZYMZG&p5U#Y1{G-R!fWM^Ev~SJZmT<8~V39aBUgSeeHbA5my5(AF3t9kdIp z58K$kgZtOTU*%4plAAhlWphVo7(*4t6H?aVE-g?yLw}1gpDY{2fX;*6h1qa(k!8HM ziY`{s^TmDqA|Hm*mk}D%ytWm~L}n81v!_py_%n({_i|pmZzm{tM;j7dSaWJ|tUZJ* z5pyW?Uc0^F<@TL(ByP2dd$6~)6)vr5bv^nG3Jh66R(X4!ENms?Z_LTEf0Q(?^Zp@t zPdq4seL0B&rFKmK_~VfE+nv7M?qv7dprAngFvn(?or|WbIVSX|<6p(8bDpwj1%b)+ z#T_UpC&x)Ec-QI_vR%P;g57NwQ)(L^T)f-nXT|fsh$5$m5Xy-gAlY_;uY$J8M#-yp zzR5Xt;%Qm1E=|#|I%2Dh>IbKONLV!LIIkD0%oyt=!9NwUJMe*{v=fP=HIwho{#l0+R z121zmD9C3<0eEj3|I07=dkXN_3=;#%?g24yGzMqQ43#)ZOVIQ56s44;@9Pk2XpG>( z<^z2ShK|S6Rp_4+mXZaF)hUF&l>9)tGM|~mRz68{=*ehe>eWnUpj=-Q97(|f-wu>` z*9vVqr=UG2vMp9wX4K4uP`0L6Nb2m3Ez8zAHnqj+Mum&3IFvQ+##C@12Z2c3^H^w@ zPou&gy|XE$!R-*3B3uv6w-)JP5XAW>tK#z_ScMicn%{!opQckNaLP+`(}Kx*$goox z2U=9S<%#!#KcT(>oLYHk&tmZF!`C~c@^jWlC%Omv2vx@^Dw+Z(NME;4C~p$HV;3P&RuG> z!80kDs%eAC*uQR*XD>(TtGq_Jjb0b;_?IfsEn!cAT8Ihn>sEZXt;CeefHAipL1Rsb zu#tE>If~*ZXP;R3b#7|fxpE%Lu-;5y2Ns{eU;1r%aGmgCN{wI)GNF%2U4=?ObA0<8 zpVF;WwKGv-1siZ|p#VJI*hyHL>zE|n)<|o{QprKeie*klWes$S=6^Tg3=#uE?0}0| zeA~Nb$!4>Y7Z#5_faAV-faHDOmtzfiW z4jN=ukv`s7mlS%6#lhUD1f+#JX@cG z2=YYaO5rt(Z(_b$610#yg$i2p+CW^wr}3WMmF&zk zm$eBYIkU2c_4?OlZj;SvIsB0K|JCQ_izCVoL(d;cH(49|hlQlRMO4~SSR9^IkqsHM z&~71~Fn^gv&11@o>D3fAnS{Y5B7Id7NAUPQfmPlTNu<-~ zP}r1@$Iw>n3)`Aud@ z`)*b%3jXiE!!wK6gp}%KDoIKCl>8WNRX}D4x&cVj*>5 zEI1avhaXtj56FwdT~m@>PT@kxzhB|o6~vRK!&y8ow6S-a8n_90R5}yksJOR#w!hqPjD741t>QIHbdXmznf9CUj&Sk#;1ps%7d!+zo6);Tn3Iz-@sR*Peq%gg68LdMVoYyGWp` zf=CWubbI0+1|)zz^JF%|9PLf9J64?B+NA}0z{kio2TMKECoh+ z9v;BIQYX!}0Sn5|feZ=)%{Ib2XcZgDPdz;j`Dk06ToqBY! z%P9(*DuTp<;#n@3gM0_T?4$X6y7N}qv)XnfO2#Vh|#ggnNaysxPI5+-wu9(7LIZIgLpsMUaE#v_En4d@*@q}d{Ubj~EJ?~8ifhbEpg3$MubOodY zW!&kM!0myKQE&uf_{xv|XC7T>QIWv!-cvx07f@dKY^o#azrt!xDgCWM@WYg3K{~|- z@CHb}oU&w3uSgp1|0$gOCW(;IG#NBkH*tvx8!@B{HFTZI6HilhlBdNKw{Uaw9E=2qxId_0P1l)4QNIX$CKrh#G_Os^?F9Z9Ys zHqvGwb%Ob$K3GW^H)oSxmn+;I0FH;6f zrW+DIBq6aD6_-|DwTaaZ_kCv2AIx#!`g1-pSWTndBPzbLmao0&^p_$JO3~A|CJE*Z znBdo8N`}Y)y&-xnK=BPKwhN+R>>rC$WO5hx?;C!rD)*T6MeZt($fMCa!((mcFJG~} z<|5t6VpNq6Dr@6Fl+=pwGm|hPb~k>g&^>NF9U8cs_05#8upJ%gt|y}>!$3h z9aU`j-0Q7^-uKhOT&FHr@g;wqP|*ERZa|DF4lC;HdaGAmvD5G4-B#MM$94!x(h|wS zeHa-4HwPB25mjQ*B}i%aQVCxKew!R?GwK#U(n~FTuMZ%NIfm0U2ki!n+j^tUA!qc_ zMXugj?u=?mqeOzR;IvHE3DvPR&&%je)+YpFgg!l>YT}*Bb0Q8IF|Lv-ixvqIfxn77 zNFJ%4(&XJae#85)cu_^|uoEslt(e0I1~}jHVXO8~!7wV3zXHlcM_b93PcxUW3Jj9= zDuipOV?-&}ah;A^MnGWXssNIhlay1ws%6R7*Az+{&I%%9BmBlbiHy8ZUD7qh?A-Ta z=RQRu)Wq^z6snUHC-*xf)eg~8z+@oKTW%-rQiW!4l%t3((cdK;hYaufHw){YAP$Qu zf;2yKLy|Exf&>j8b}d`1&*m1@H3pdovz;1vj_8Cs#y0y!Yi351M|yh^8YBY^1<$Vn_k?D~Y?V1?mtEe^zNg$-Bz3 z4xhd06OTc(#;nIYO@EEk#dPUvkA~Z$3N7gyPnD;?%@YasSg0MqW~{zN6_>A~gk&QH z`>s|Kx^RXIYYr_l!TUSl19fGW_CKKO=6b{*NF>WiJ~W=VY9X&XdIFrcx4R=Rqtt!N zgyWKi+Fff)Z_W|g)MOB+3f3T{e>8@l+;JW4=O8HUH9+o=gRfZ-ARfyLDY-qK8hz-& zeCv`{!M%z!zcE{ruRbXYCLJj*;oAc;^^OH0ItA43U&$^TNt%D)MH7SS6X~B>IelBp zR#~$-5;?-1vC>Umc8a9Fc}~{IzZCwGtCu_3y`!amCKKvCUoNXAkSX89vw90}h{c!^2ZL87#%VC}t&8 zpoaaEmzlw$|BP3ja5yc53bK?sq#2X zzvg7o4=fqMAfn0_o(*7ZBm<~uh?kA{IxiQ`wZ{~S<&H}@qVaLlzPMUVi;Ps;oW(Wj zhpoPpA4r<_;eD5NB%;LTv$nD|8x8osyQ&>Cqd6Q#@eAs0U9q9a)b-RZBy2eOt*$bM zew~B8c(Pd3I^yfx>7N4ze#m``X!#p%<6bi37@H+&`QC*zRX*tiSV^~_6M`kP;We(X zs2Sj-(NQZPxoci>7 z?P2)&zE&0gBgtl~218+i&rw%&eZL#qLUl^lVj8}O1YzMZTp%B0gXUA$(rWSnR4wZ% zD=9X<-}YUA@QxX)vsQob2vv5! zeEXT=5k`72SSigFiw4qH5`X<}QD5vtCgE#VzAvUc+LS=4;mBLUiL%6^0>RR?fUvpq zG82r)ZLZUUi4_9@wLvb4Ql?j6C-HlE$ts`Uz*;J8W_x-*K8C}lD{zVY4nJ~WeO~-L z5+%&v9(S-dN9#*0H0!m$?#@wK7p3S=dNG2XgLCzv;vxh<30{@-tvc!>Gx+ZHF z9y}S7N<5u3vqk3VWQ}70qxOE)v&*xrG}v*IBIj71V$pIzd;h3?bg^7{0-bQ98Zu4< zVPf)wd9S&I*h?8kYcFy*VA)pDn-BHMD%n%+lSZ+q&ONKgE?j+|5`NWS>+cG44D$G$ zDII!UWSU(dv)SB&uX&@Yk}b5WTV+FzC0>0I@GxFhZ(%C?%weALY5fEMbTjbi7CNzIDLA#&OyT2(o z;_-xhQvai|zKjR&MQ-Ki?6N?R;NaCn^{%b&cKqv98l$#}zf)2V2-MF@S)s@Hp={fQ z`_1I^?<)xRw`%OdbLxKN|3zCdO+weWIkdIMTf=sK+US~Ck1y)DLmHm4zJE^=0{?_I z$0QWTb<`&~;mOtZ((Nv7qfutb>an#?xKFIsF%B%H_DK>0M}~c0V{r950$pfc3l8DI zJxYpR&)+iEKx#%7GE)rxd=v8EtzJ1f>W@Kleo4B4{3DW#XB2;|KzHbKI?BM1gkk9( z@^NoJV>)7bZ}&T+jn^O7#O*24@jHsRT3HjV`E=j)84mL_t=0^x67iIL394dVjjk64 z3U#owLRO6qom2;+a=4bZVVo^-iEMfAHizE{0F^TyuG6gz>JuO2D=B4^#ibx#QA-dk zX^6UviQh|XF^~&*%TqGR3s?CZ{-A@r<+9)^N>|Q_iaWr_z8@oAdl&HBFW-Ja z0iwrA;Cf&}h~i5eS&zyMT0?b-32tgV$~?t~2)2rQ&R5@cD`A5ZS!35?m(&abQjz3B zF$GkJ_Jpv%m-uqHHP^=l<&f?u7md!qTcv4Og%66*=WH)p5)? zZ`BVV>Eh(736m`Ah?+5zdZcZJg&a=Uu)n`5Y|zHGR7@DB`wz9!J>6Z}6_82+)l(-a z36FM%H-9HgQ^K5u94!;-ZKpoE&O=TNud6-7@^maX$LMOmgPBNUm$inf;O~9(h1DKg>lGzi@j_e8KSio*oWz`xRV@p?zQWoDAr*SdeL<2u zsNx&<^CB-3OVX!;b9+fppM8b(fSC4m7j=J1+QO>!$azhSQb)%>i#~SdA%%QI@=Y}r zDt&)Etj#n{kQEIU9x9YWKBX8rLfle80R^&w*B0QXi{y$FPT36C>iGvA`0HBG>6uw(ewYR7~l$VH%V z==c`ok3&_Z<T zcBggl`*Q+;x~&pAbL4jotn8*bqFvJUct6EY?$QOceqF0Z+HYAkmIuFQAr^1XlyjmL zB^^vbo~#6z(E6wJKNKbHOAH+ap^Y|^d#sK|qib(g&g}KgSWVei628|9o z$oY(Jhjb{5b?z@*s3B^8x|>|qUrYm?D5<4RYBmz5_d6yncP~Z%8ZfKSKp_XB^b+~0 zM%Cy+4G8#ifb$O@EoWm-+ioCQBbK=Wr}aa_#T~v6bPC}7Z0jElggvrUEo8W{LKxKL zJN(=`L?wdAc6xLt-X>9-ti1gU5Uhk5A~$B!W0kd-RAn*d-Tvr5hP%_{^hANTzAPqC zpq*3L=O}+GS@5HnYIvIphvJCnq_G;~!iTn3V6SmQiFXhz)7pjZG&K%9Y2`ITIjkY1 zR{GTN?%OSwwoeoao3XZnT(l6_eY6}Z^Ljb!>L3O=-o|0xqcK|aUSOayx&?htQn41v zi`f3Of!zm|ePjmek>N1p&|uf@!ddmNFujKrdE`w zA`z!!0zJU;d7`kSJG#ZVg&Iw}KicwF^6-foRP+ewZZ;D%)8k&ZivkBh-W)pK6^Qr3 zaQIpvD(1_I*a4QgJXJ8Xq~NV27P4o|qCn|#Zb*`sCRVXnUrFjG{7cj_#$CH#B@!-X z6~+j*ly^SFnlDtlh_PCQTcPKx`c0tmpuEzo34^6YA?3opMne?Q;S1359C@QyS5TVHgLOh?8XxUrI8{K1}OTnOe;S7?e45{iX+kePha31kT z%QgRv_6eaC>QZoMesjH_ZGx_cp@nXT_w?5o>jRq^da+)WAE+z&L z=@v%IN6wQlGp;lLVGV>r5-T5uNP~r=Vu}{bFL-$C_gbr--((7}2`@5jQ z0kF9f80{BznWD1uL#V$Svc>0a&~$X4lh_h+wEELgjU5KSz?3r#3l0IwAUcR=CtWn*DNAJU}RtsUhLxecK`l_~yLBl1cJvN@tK%+u?Pt zRq!6BgSVf6#RKLiiU&i3(r8myke{IcgYev$1dhMt?|tb`xPkVKHU&4dF{_`WaEu6q(` zC8Vd$$rJdYSF*De{u*~^A!tuelsu+p`#5818Qu$OXzO_&T0|jZK>1Nw46|yX zZvYVv{G%nze7wv&>LL#n9MR&;f-fWVgvkcN1I)hgE`qizk&h>c&uWh_URc+ zpE&wJ3$%Wx62_lb&n|V2c=Sm=7v>K+;0;87*RIhz>(f1&=k$u!kv~V*+|@lk?nZOD z)mmJ~yMC{g5?z$!qEa+M-iN6GV{Iwlv$=vuxxS>XcCC08l=Ofiff`V;hL^e3@)>AJ zZBq*=<*{IUJ(R7SsryBL=7QC+Z)Y!(=L5_gf_V@)8SZ|u1 zp5P}d`?b--lBEDc><& zaMr9*4!X^x*N8bAS25r)K?w1@^`#e<5iS({#0b0f%}H8#4*2mBW@R#F0rwJRyYhS7 zHSRoa{j^o#7w%Nkn#?w*>a?2pLeZ^neU} zysw+e#`wR0n1US{;${qxK7i!7q)xsghbf;X*3+1>c5rIfD2@r{$#_E_#fe;Ctl!<>I zY%vG^Y4FcY&^GMbHp@cGJ#uZVWi`xOv5H&eMiWYdm#{h|xsoybcb`xL>0%p^qF(4* z90i1+b4jsYuSw-?_^z%xP6QxlW}D! z3-mb%8QoB#a60Z(2D-gIdamKxx9rAA86QlJoJ=zdOHC(v5|c^OUrm1)@^fRSSnDj5 zm^21`5YRkvOXN;(OMZvFe*3NN7~InIJZI@Q7cJ`R-`|R~IRwGg16F3BN`K&Iv0nBf z#!!TR{#?HZcD6i zLc+F(4S&A=1WopOCqJ$Wf|V|TO>SZfn*nvIk)s4BsW4mNmi=#&?LI(F?x7A17! zR%zQGUHfNit{miTRNAghE%Lw(V=04U4W+S)Ki|aYoTKZ5GX#6#W09A`E41+D@MWNy zLEMkEUl_d0Aa2|%7c$Tt<^u$Y*7uN2k){5@ulc3*Jy%DEtZm`~hpEj1*?UY8jbtLd7pIjIG;>j~6rBG2K5>Tz9yY36@V-=d`WrgMP5)=&##Ey6e zea%||Vp|{xjxS!dVrxDVuO|+PWboh?zC(O#`m^~ThWy;vCNKrUcS~@_9~LJ3%iZ?9 zAnp1iT8I!!e8P{g(>OYK$9NZEPUkij^)TY*HfGf^AXb;4}ecNiWHh<$>0iQgrgIJWQvSYyr zrakknwFv4^V2);`83M)s5o_eW#ofwz$5HkqkCx+$Z%JY;16Dd@O)*B0qY0LgFr|=CL0xp>LZphCK&CovYgURtozBAq^l9hz}U;MxC zl}ZvVpe@arhmw>22tXc{pN^mbL+$N~M5x!A!EgH{UIYt>3$~smSRWdS9==XHU-xs9 z)`G@XwGPY9E!+>Y=4PlPG&^T0rwCdj_Aa|@%Xvl|EnmRf44pJVwv zbs64NHGs4ZxC$egdf+F<9L4-62$@A0=Yj)+#HmRsigMed7FrQ&eSc5bPy-09;ykU9 zJQ)$RMppoWI5hBsSy}Q~o*d;r4a#;$6R{AC7Ua)wn*JKNU&a(~U>8jlQaj&)O03Skz){z;f0vT5=*r_LctfTV_7313s zg?O^#fI%jUsCS+<*>nkk5y!Ckf2eyCu%@o90rd8Eu%qa;)z$%Ot0GmQtwjWZ)Y^)m zfJzmat5gsoP>BpN1X??wrAjL(l_69S5g8)0VFCi55_FIA`W|;(;|)#%Nq)&|@N!O# zyum(m9r8`Xzmq77drXk;F>F|VkZdvf7B7n&V=hz5w=52ff$V!1H~ zb-dH}xa*qL?<78}(HlK&5a>~x5oosn*3~o6fs;R&V+C1va+0A=QN4UTq}?L{l ze@e6Fi%bZQVj?ewn5S|>oPHEEgN4Do#yjMzh-cJ_>>-bx#fmZ!S@{4PU%E>dtkp!m zEi#r(@`V0LBf90@?>+zeS31}B)3u+2dr$r&X=#n#ztRu}1%oRu3}U3^nD<+j!3jB- zRkQq+}xkwJ_4OJ*xaP`QL<#n~NZWZiDnILLQitDm})}HP)a}1xgTmH-! z(#tvZGA22aR;ZlTQX3;EwA@$^p<_TL3K5~qc`XMNr8p;rLC^~=pDah_AJuw9+7G(U zFnoTp>r=Q=C4q^{2{TxcZ(awzERmcM5t>3hEi*b@5<_z!dld7HTTtnNMy=3c>#y7` zzcLB1d*`m;D_D#FEz|xtOnylHm-27+NH2ff`ctWygwh(g#)MWsu-qPY13)mJ6O|W= zjLtEj{}2#N;1Seja+jRrm8+8dTl_|Js<)xkB^3<%{hEKiwoLcbE8 zt$yF&-wtWn<^NvOSHP+hTrv)y=Z)12$@yi)ROi|?aPc;=>L8l~90mmzqxGk;|b-4qK#JFaDg zKuO)d;c$#J)|^x%!g#{oRJeQGqUUkfr;uQJpntL~T0Y~exw|`OlN7IdZCI1BgiT_v zinfx?Cu|D!kryp_ULz}zbOq^g{)O^;BxIh)Z`0_mUbUYmEMp&cU9-GB2X5UB+#7W^ zGp$wB=xr)6c%iQ~J~8R&ziIUxaS;px4+DZc;hc+qtarSlpAY<;hjU%;Z zH}#VCG%TczaQ`l_t#^;Xzq<&p!ftDq|Jig9cobo_dfpVB{b%)jS}ON_$xPg5naWEW zo4HMD)=st3(`qyLF!dT{NsqoXQN0l4u#QR>eldFa_mX{1=c}>66n=c$kD;E@#N2~h z1a)X1^J`JLSI_<;v{T52okGff2hg$FZ04!h=ubruKOYWaxDaL0I&}C4oiimqg+;v+ zz5;A5HOe3P{UiC#JA;))Uj|yd9PZ#IXzq~2D_QFO?9bMiQ0$#Aog?`{@Qd_&XuC;B zDG%l4`+j;M*~$X8V`1aUY5d03I1gM46TmS6tT9h{GV7@~%u%pn9A#g3iTomQ7r)k zGb==oVy`h2OK!YxkO+oi5vhL5?xI$8o&6+*52CH7vXBi_OHxzPP0VL(Qi!PGD!h#oH z+wCJ5@v zpKJ8&>?te{2r|VU7^a2C@?4&KPNX;+XqSUb;=Q{BUz_JKQlj0@lFpvFGEk_mTaH-b zzT}fBjL9VZ8^Zb4_0DPOXDhml1NSx3BH1-DNrKn^Qz%X#F)Lhrrc(OQ<=Ct7!GYHPd_~FhNvToHLfyE!F1fvI$)^7hhrtcW|)~Vi; zG^ga^M;G5J5DpZTv;>}hh_ODlV?w%T9-4sdEzG!5$p=^a%x@`fy(17aQdcQrj>}XL znEys^u|75?d~7N*4ciy9@p6&_#n_8d1|g-IB%`)kZ`kjLUBttJCJ)@Gjvdt8E+j!DjICfr}&mYQ)6Sm_-d-wcvOqA#}E(sK^5?P({f+^Bxok z*D&^T{bCtd07&u2O0D3eV>sgYzxEab&daMUV-Yq>Jg!K^e~~{(C3Let}N-94&^WGEquw*V!h{~IudlJF{@j4 zpnHH()m&TAg2jYkBd}*kS*FI}IkDo~|0(mR+lQR)yJejml{-ue+b@6SydRwrhVfZN=quO6i{rS8bNIm z{%+HjPuq41FUyNnOXZPnAj}?-t1lKsnU(z7&a<+Tg}2Djmi9JGl7$@c<+6>~4*H9I zLsWjQ#62S``xn`vmr;t8B{;Ja{MpGKF7EtJzU^_>bD>_$;fz3-n&qMB;6$H;%n?#dcaE>Y)!-NMQm_;UJYpebiuH}PCz8yZuVe1v+Ld1)<1T>x@!$RI!alBFV#d;zLoo!%33{4<(H~Mrte+684#eS6aITL zJv(7Wz%+k4DQs0v+M#-2piC=>5{s1;&FrXA3F`Rpir1q*dYvnW8{q)|(Hr!U8@_KjkxJm6Lkg^FAhP=uea z`Ld@?44K64TH)8(gTtf=G|^infp%6aU>W%{D5456M`1$P>^a=p=Tkkny|0_G=D^P= z`vO-(nuISP?;RPHQr_9)s&S`LW$F0P16t=!zVf(>*md1aEr1y@$2H}$s80ILVC9j@ zels6cN9ssi0i5zV!s9YmDPk%g#h_}w?$pul=3;AQw+J1E=7{zm0OxZF-?irxW*ylx`KEr+-r&lSr<`@lmIJ( z7XB&F7@V$e`@14c1ABGDbUXeV(SCD~$j6nzX6P_IP-Wl9-8V#>OfSH8q3d8X4(Uz%>$6iOnaN zZ^@tqkE*+wFqARc(CbT3G&f`Jq)+AO5S^{|Dd*aZDETU7v)7~Vy?!n7@q-ff)nJH< zSJ6oVrIg9qO&J(ixY8Q2tg%d93Z?Vvqw^^2QnhN$$x!+~8EM z{RR!<`}I6Tf#TNk+6o!gHfOKFeu4p3jW=b1)s*NH2i=}MskCt1gvUSj#5}6*fut^$ z)D|=THIKF{pmWVWsL!eA9k4F0T&MVonZpvck}E5MNX<0&$luFHW)$V@E^8GCX~O-D zrf}Ydm53V7?_93eJk42>@8zCWHAeB;7=Bp53VQ!7>gLK=Mqd23D6HZNGCysqhgQMx=yJ?N5 z2416jK%8(MJUK&xyt66%E+$4NathYrh zYE=AU1WLFY#rKc?mNEz5J^^QD$_gov#&WKl_~EI8A*J0OZqt?xoN0Rd6B+HGvFao0 z`xdc_7^NIkZ}1%KkAKm?C`22)SJ_7%pRon=ob0JjzM@6P1TjGSLh7!KpSGQ9v47=a z`OaNp1I4YkBEnU0L@X*(e1^Sj$(td@0Vs{RADtL7KFvq#G@}obeZ0w6a6emHx`~4Z zzp?cvb|{69>JP6+uN0^_l|wU)WwcmkG(m1*I@K+ zudlV_q)RBa9FJ0Rqo~rbNCEUT?8%6PhKG^8Rd{FBND#>X0Q3BZ3({%Z0S?VS^nXwY^c zYTrrg$cGqBTcGRjov+QJsG6c{??4+g`^;FhxC{6E01L&!dizH#TK1S#%#;h9KU?x( zX+~OQf0hDMMS{HGBp{5NAmb*84B`Pl&j9kK7{!X4;bl`f^O9OjpT}h#a_Am#&p1BG0@ck<4P>yHR}4N zK8}5+AySU(N|I)OMZSEcogh86^3lW541UWUvB@M!MZ!8lwd@(7+|kDm3YqE73c$9n z#v^}x+RAuY(bA=G8@c6X6ndkA1+izkY} zP9~sMSxo+F@|8bRdguhnb8GgO`8|_hwy9U?ZD8@Oo>&D9aZR1M?t4Tl9GqR=70;ac zuzc6S90qSxUHA8#o&t+~{m&7Vko2;YH?6e%)J`2``~e;T#54jlhKz!8@@63C!DuQL z2AaeC3%Rq!sSN z*tyT=zReF?d4~F+Re9Mre{^McCfG@9ia`F=+N6yww1c+=ysh+psER@L|5!hSDT05G z^T8hn;`G(ZttUPZQ3ig#lDNh~89YbC+r0_Pr=AtESsHlyiuL{_Lc%Ml$bBdXqv_4T zaPlTKcg4`$SfCJ|N(>$9E39f-!b|;A=PO7f2>rHmQRnIikv(09t)tiLf&h@y4 z_X^t*bTxNp`#g7KwHPDj;lqO8z7{x{rLRJCso~e85A&uq;m1|2?McpstC(TYrhlmW z72^3Q6M*_NQ-pZ>#y}rW#*4wS6JGNBd8Hm$ZC>u zIdo0Hn2ws{^#SO*a4%1eG&1-tWyl_L&L@kICK>P3=M$1*?i|G!10+ zsOM^>zaV!6~?dnUk)JP-o zQnpOJE&3O%8F4c@>c!WL%~s4s2Xk0Lo%84^!8#L3wu;t5o&NF(U(%HF{T8&T9?Nk2 zo6-{=Ih-F`C?n4^s|G}73>@U&@wJp;@Rb*vMN!`M+=J% zw+f@8k-4e&_a8SM(4Tp!u@ygI892wYDT1z?UtCT7GNSiNMCdGv~-AKvJU*G=jI~Ua}3S7Iu?zFmQwVWg@SUri+VbgaA-vzoV-nn$SU9kNnnitI$>RN%QOg>K3fuiU5Qv-LcWH9g;;+p8 z#o!aO9O^H3c8W<^ASmoJ!tw<2c2&+TFwww+`GIzf`m*d_-g@U?7DHsJr<>sNpYiU@ zSX59~Q{(8;dKQ)h8k;o&`7GG2hr7pW9sVR71 zA>K`Ej9NB`3-tWXv#$$wRS9Bm2Y4q8eEU4R>;FV2u6}8kyrupQt9q$gTdm#N)VKUpY zml}8+mT$=kWR8m3pjI&PNn4?HJtfjS3u2Eb!2O&$olK)*Kve#Ko=M~3odAOisOZQ~ z*A(RbC3G6u#ylHL!8|G-`S<`swM#86u`DvkFyEz3@Y@&URfe#s@&mFbEo5|RF|Muf z^{W>9xKIO_6I_#5Kq6xI_;MhlX2Lfh8t^ljMX{nyy!OcBhTf^niY^zm<}*l=e8?WI zEGT8Kg}9Z&(cqQmFUik&qIk-I(y>Fv=0GYhaQom_kY>Df7wcWCA1h!P+4YP5RnHbY z@05C|WEXdXT{a^_^FRA)?(v^@kQ`I12k#vU=z}g*b7r&FMHq+X0B=$rx%avPpjfMD zIOD0Wo0LZk!6i_9Z(|;D$zo{kDcHregI8jGtl?F9&{wfQlls<-Ir|&s3qLA?WAPte zkTJGFp)1L=>!fO4|1O#NQV3~PqpVLjwrk#%TESk-7YN-4GXTWup$RSKSk|RA5n*`! z?k&AV>gZc^__EL=2t;t~vHynwR6wh2@Z=_wqfK3bSuE1d+m=@}z@d3UIywSwCE$c+ zJ*R9tuGyr<%-73&0AE(xS#Rx4M6W^(ve#&OK4Xi6vUr0}g8b;)^agr`e7A!FgH?hp zXJ?*hryg~CzNWxjej#Zq`#Xv0kd;29>g!u4NQC!MN#Pid01VTER}uw}V2F1&WRs7| z{>V*<-yxYV{@#P~++ov_aFbphe8IR%*~w+X;;QpZ3JWTlTJKfl$=+PMi#1bxq@wD@ z{}7Lc2lZO52XtSt*(pXdL;s^!$I6%XR=^jM4-CGq;KH5OU1@o;xx8kvLpp7O; z?zIUnZo>^1=m~@k0k;aBCe4V}ATKq>g9t#f`vEaFFa&~n4b0TM{gpB)3>Db#*;E8y zkYZq3R2z^0+cim0DF(Cg7JmT1z1u#I5<7DdXMTTs6XmD3^STbj{?Wb+-hlo`uQrgt zxq(OE3vcU}DRj6q;--za*yZS1I07GYyh6J<(YM?bb5dWTx0IJgn!H4-Y)NMCp1ZR< zMi8EfWY#OfsV6;|ewmS&CF_A#F9uR`FyIi>#{f8!tGVXTbR?FHa1E!g{R+@pp$l^0 zA-e6ZBXXb)eJ%b!TEM+7%7)HLHxqVoBf#H30sPWMJhsCdy%_#47=&4SeS0M_9q=@i zxR|q?Y81-iF3R)i1`%N{Ic&NSiA015f zAiD)uJ(mR=`_sv=vGFeGA;ru1Yu2GdERLWFMP# zj+8h7|ARi0KJQT3x{VSGhUf(P`bRu%x7jU^SiZQrT|#*{6lA}PRSgP*f9y(PoJ}5= z`3%lDp-`ypcB0p+@_8@W_QjlJhzyo2Z>B`P!t6Uzt#0g&3&?)q<>4Hqhil0~dP`w( z473bcNpr7|dc%Ngpd-Ml3)~-*V|YaU_keHF0RP4Ykp2u&KbQ*e6{sZc(!6Vy0I%uB zY!{@Wobj6T^XUHP0neb>$``}`vAgJ0a6ZEt+wX(PPe)(x!r_%}@0}ZDn=c#Dk5IbB z?H~;RhDsLYb#;Ie48rTlQ!vadrm%x9aVxeRHZ_DkJu5a!Y5aq9ig&P!H|B`mF&7gkOsHqLSH>_Z`o75QI^$eL*C|8~csqT|t|M^DR@aIR z*&k@&JZu`|vWo>;((S~K0yyS}pZ}#;{z94Ay}_C!4znxTPD(TE<(Fa?WlQh|6#4+! zENPHOevu<&4aFX-P|A#(d4@H_a>HtlwTtI{E8Aje^}yUtinCTZ?H6AjuCZPl*3d~= zQG{@>>Xl0`a1TKO&Tb7O#gbpAh=}n!yb%+<0)hz>2?AbKLkH^g1PCFV8-?i0ywrDO zwQ}HW5nX=jScTvvMTs$Xu>!`PSHor60M~q{bW7MPit99OPx~@RRk0C+N^Fb6?RYGQ znqR(N-mZg8<%P&sLZ@{qOfgjQEe-OzUf_?|XF>;}ACj~D0-#Yt7nF9DAjv}iBV90? z!`!VNYX>P9#~}HS(TWY&XwRA}w>t2eQ6IA}$wy?1np;d#7f}@AHpIzkV6DSr5x?sr ziqk6ID7!`p1{>*;s|(bv$v}YvQ`6yYPb^cDQkV7A%!0@UlH#^QaRQ^4_%m$YZ(i?C z2(GJL@{WdL?U8bTDoTujfk7|`94UJM^ON<0)V9Z=^1k34wWi)#R^{MpFv!2$_>~C&#yr`9Z)yr${R8I21{ZpHDqaJJ;lcffMc=V2 zLrPZjOq(i0)Hv(4a%`&H0-|A_)8@U86}Gs!MQ@F=?lX$mCBH<~j&#EU^zfU(N5VuH zOu)(F+dz@3VEZxyo810fyo&9?OT-&`(Bfc?rb8?ex5q(?@aIlz+)6A9k55w$@Pd$k zW)MwZ95I?xcJ+4drO_OaH=Of+W4-W2t`F1=eRdB4nVLyO9xDp#TdazJ#$avNrnVh-uMj86AT)w|F<8E-n#1F^Uj;QKZid+rpv4J&F(-bv%L(`?IiIu} z3A2I)BsM*bQQEbjV<to1Z8m)EN{nk;*Dzc zga~i~iMRmX>|E0 z$KBq#($Fia;A=?_@!#!Ap)q14Gax}hA@R5t(#~%|XD{Lwmi<5#=n2X7aAFtTTDH23 z*L^LmBlyj^)hDy5p2jo`r;iTu2oxNr~?DGmk%)(rl;YCaL$U^*y{}|vM)?Ijt z%Lm#EFGi}e*lTKshx$ZPccGxf-BW1JGffC&T~&4s@M0T$to#C8`l@%^yPW8}?#by+ z^~#eL9p5dt?#NdY2$+Aqi?)}TkDoZ{&2qne%2A2$+o!$6?Sbr~$jF+`B3haUshi{8 z8e~jYZV2GSaZYs7;QZj-E=PNJr;N(qAbPpEoDi>@is_&}_k@&r_)^kru9y(@LjD1J z#}a`nfnOe?ViXGlSXZlzO1d!AaUTrUl-*)VHFE{fKF7Aa3id;zl`8w!un9}$hbl*J zBfyws;V3D9+maX)>lDcHK*B}8-JKA2iYn4#f4BL$(&jeE+A*LhgH$c5P$|~fr@2xQ zrt0hUQf5Iz54Ms)FjG|U6%_@cIfABK8Hpex6+}Ip28B3Bf+D=7G0@GbS8wEZy_-DK zzJ&><8xzb{A82j3V;>`w2?UEGQ*0Pk++leaXLMr#H$9oSkrIH3Ix)kmbdMMV3!CMlk_oTROekQ7)bW4+d%Eq}<&aIvqf zP3v$s=yD_Q-I*L(P(CFWIZ`gn?bvI|uXZQ+`f)9wY6CF$E*$QUI5^nF>BMgHeB4f! zsjd+E9dGhT8wrw=?3=}nf%-0#E1~sSIntOZDnuS=G zn-B~JxCGbQp`FYj39FMxK@K&DRQ_^)QoV_pA9tx%Vrzmogg~vzFHs!^Cj+vS{1Jfi z&-xxc!59?Kw}q=n8UO)Ix?S6R4#ZW8WgsODfZ>tW>t3oo=G?$!rrAVPB!YS%9!I9Y z;6AJuZZV5#TGAn%v0Ot{)|%~R(NN%>BchTpyo&ue>7&CoH4N*UC6P=xeM`^Wxt^CF zk%OM^^adj^i^t_SP0%xA#-{75(L92*%16cXQUF^IXF-D%5B`uxfi&@5JR$F@*T_{= z8#L&s8r(RWQR;RPAqTqI6sZc^S&=v3q((uxzsj$1wEny@W1GS;OR96n6kn7Sdz~*e zPlg?7q?BuE+Gm!CrslbBr-K_V%_q&>reCqM!Y4nC867)%^{ zqo~n=@cUiYI-#QsceL^Ua(JIs!my3%LGPT(M^~FGl_e@^FG0pul7M*xkCH0okf2C~ zNQs;chw5W>O=W&QB2q`bPdA5k#D*N=Bp3kAmM|vL*q@IK@w{>dV#EUDAufT}sYX2XR520|UENO5w}B80R6uG- zTRIgcjUcUslO^wIfkE9rG&08%>=ojsw2CiYw>6uG$iSTZX`i$*GVbcUkW6Oq-I9jR6u}dnE&GN$f0m+`X@AVlppILpElrF4Y1~p{+0Hy- z-(APi4#(Q!qM=CC*~3hc6GSCSeWRql;Q2w4tpo4KkvLAfQ+q4Yf$l7?%H*PG5!GB4 zeD=F!It+2sLRq&h>6Ff30}G&23vQrb1N8px%pzI5Sr}6BK@zTsuV^R5tF|K9XrW*k z09fEqxxdjzN4e)mOhf&guoU6|rJSG=_^NtSl?4VvV2f4FE}r5^?J=ZLa~=tyJw`#L zWKHEtg%h;LC?H6^39IndjYKa6uQ!9B?1pF4JvsNT{n%FCL>b*O&{Z1^-bR3syTZ5P zGf!W~+L98l6P?OVaOK3J!J4dFJ|w=(uOR^mhd>g;#-6u?JxeP)JN-)RrU8SIY@yqoaNl5*D%;r?Fu_!keOm%>eF zSe6G@5&y_qjPAEq4~9Q{Y?TCVdP%)J8}P5zfD}H$bt?${c@z>;@tbUu$j=3EzH{D)?x(^>{HDh}jIP5?zZ9N?H`#|5eF^tB zs|Qm*T<4>|HpvvcYw-@W{7o@nryuX%#j4@-j=&Fl3qEcpYxU_>s#b8LYZAXR^h1BK)przF|YHtK+NDYj{v|4BUI{+JpbbU(J z=P>8yz{H2qhs?+)%py-HVo#7#iccK2@H9Dhz347ogJ6Lr) zIJcftx25YID+9K=0W6oe3tb0jX|-lN_XN`>cNSp5oLea00-0z(!GQU3z-f8b}X@BH`GlDCRLR%tmZU6d1 z^=LRK7($0277Z_3eU?h9_b(oC;&aX<*o)P+Y?IFwqG^)&zdK0@4q$A$8x)+@Fhu zUJWC<$yU7EUH2{RiL-#T7|RV=+N98N3u;1u{!kql-Q7$hmY(z_V>vtEKZO88Jpg0Li}6vZ3?UE{?k& z0*Dup##(2SB+h>!DNAR<7F~bJS~x@tz+u1`+~gI)r#167pM|20VzPs3kCc|du*kBV zV(uT9Akm=*0LG(BlP|RX%Gyb^)(~)J*e+UZBx*>Py#TLtqDEGufeDVuJ>L8PI0^zHYBN=50Caaerp8 zG4Ve-FXUi>mhFyCf+B$yeH7wJ`ERGpd$f>OD)%_?C2+06a|Ny>EB5WKc>($sya630cu|^re&Zcmfl=cE?FZz*@d}v_<7L0;g3kprYOmFvWhotU{hfAkN*-bNX z8<2RLw-qP_=RG`}{3@N@?8KkBb4ZE>9`XJP1Z>CJC=$G0eY z&yzNn&emIIR8btzo0|u3%8BL7Lf5^W7x+7`7omYede5)JKy1uIf1UGqtB7rUE9+4mj}@uWP4R)V(&>;MFnr`0X?1_cSm&7Hr~o<_`7P?cDq_; zpBdy8=apUC#eKif+STz>d<^WZFfkZodeO2pY4f%!^w+7--3``dA051R+NW+)m3>3V zBPWY+zZgZdMcb^X_EM5Ko+oZRQAfG8z4V&JmE1a-`R1|@{Zl|JyZ z;nNJ&aq4rI$IK#9ckT}y8{uJ~;FO^EG@*$!@h{fsLz9r1j{f;B%y|rA2Or>YQ;kGSPv4lVO-YL88xu)AiiHRPUZw>FUnwdif zUh#2WQ1-(qX>(Dc&lesifl70K;Q4a>6Tb=B4WJO;1T177cH)t~eq)YloX>kfr|T|l zwCye`J4`14?)1wfMNa;}iMzr+ACI~$zZW>ib9hDJ=eCxvKeDattdE*dLLz*D=(1D8 zu8#rXUc7Yv7gs-HojG|U>74LFI(5d%AL_081$ix%;s!*ot&|DO-`HG;7+-2yb*s_T z45l@7`@lPhj8m|lE|a9sd|f@c+{-7VYj3{kAiI*v4pcFnh)Bpp0qMl-b-nM2PCkqC zly5z7I}~=!U+2tieHzM@PWQMsyjgxAgL04U>sWI06wu8`XJe?ck9sbm z0wJy>!>#KUlHbv}lSJz5{uQ%h?C2gDn4CQg>N2N$AhhxOTGymYDMgE!22=4N=c526 zBfT)pP9*c+=*OO~#@-(4I3@Jav%(uN8}9os*X{M=G*@yR?b&RkG@Qt@>_Z*|ml}>* zh6&n@iuhS8`jv;qs|rlF<)8HvvR9;6V0;RS@{9k55%-*5mw<4|SR=!wO>8rP@k5q+ z#6-WBPTcg(K;&mnLo%lYrOADV2~}+j97_>|(-XAqI?#Wg8+mxY94}jzPkhtWAjj}D zuIg~U0hXAGLFTUAw`HZ@*?}dAJ-0fM%IK@bk#bKlb0X(u0*7DDW@K$wRODwF0Nej< zQBw1R__6%Zc=9~4|NWbZW&TC{Wo5%#%07JBiO9z$@{3klFjRmddy7HrzhKA;S>HD| z1~WUzHr*Z`r{IvTdW=e1oo+R4hZb)S5#GpRE#Cewhszj#6I0I4DW`A_>-E{gEp0}c z;5Jg{V7;}bPRCv#1)<@Fx)(9ae%$Pr=&dbay)R)(xbZDqOD zr;wJd^!x>Q7F?rubnh3xXJwWlrF_eg8Y3(<4Md3}`x=l&Hm3#f7 z!`&M{ zJpY`>d+#Bmn;kyu>g96)*Q?07Cx!K;Y*kcPG*+q2&PUGtdpk{Mg$;rK9y(N@*4|^R zhB>pikA%C?m~88~YDf{gdTGsI!9DxWEq>;0<*mV-qgvc9rSy0M2O=&`%nItika)Ok zxDYAXX3aab>Q9H$aTjy~d*Ys=iGv^eneE8$bm<54@qSNX3L(fE?6^f1)5}Rci^TIV z)G;5`ryfOGvkprS;4a)p(TKlBVU6cl_BRxbXyEedN3aSj$&$d*Lw8930S zg&B*}i9g*Pw09b5Hn~+>`Ga{O;VXxVs8`jf^p>sA58Zaa-fiW(VMm}s8)pH)ppILn zV>NL%REJTKGmk$rC!fzVNu+M2(5| zIPO``xq02g8`c;&4`O_+)vJgd3YplNM7>%WZ?^GguHviJI7%NWHK}!9)q?6Fu2*Wr zKwRpkfuE>LLmu2Z)$!nVS~WW1v*X8Qxf_ft2J1Syl7bw9`3wOqDL$7mCnG3v0b$zu zQ?0EoeR)Jv@Eeepf@JFOrSFMy^0>Jnx*%$o6WD|iZzC0efE~9A#n7cFw1*;SeY=$4 z;j>1gKfU>FC`q7Swq4Dmd+30M({=50DI(W7YL8nZBKTrYvm%7$D~@el?;q0(6^<>h z&oK<^I_bM)pYpY!@O8(0d)H+;SM+SNr3nZsxovCMrRV1?GIU7ZkXzbweo%mhU(v~1cI||QQnispc>ga-vb`BTV>oT`#y@%TePH$7p*842If^z%jj~*H=c^X&X zPsiwQ3a#Tyhd6F=@7f$)lx`K^>`C4oJkU|y(ObZFu%p197tJiQQGDcegMxMiT;n`654WqT2imj{Cqe9$d3G)S`kU;T#szAN%!8lR;rhN)PO*92Q6mn=h%|eK6rK!n=D94h?h>E8AJ-`12Ts&SwB6=fRwh?-*OU&x%NY7)PYmp!Dci(%VCZPBrKrLww<;GP%W#eL5LI-` zJ2x@gZy(R72)i-VbUcHo>X~-j?P{`bCU!K1;s~5A^zr?SW}>hnft7OM=f3J5d0?obYX0u9x98WQ-k45P~2R*|Rr)QCV8IMvA zd_%O7!ptlCLR{HLhi-ARO+Y4&!5_hi^fkkYpbZ)itOQON;5yOA3(#M)q<_Up5Iwmt zlk4pa1ax|msUBr^fovA(XH+8(1gDOA9^7oyV)I;|${p*w$-| zL<_(+c|_a0JVsU9w{TM3>?j!xk?i7lY@M$4$xw)RqI%Y`Ias_?SL0$X!W0VIuVt9D z(GNwwn@`|tY~00DM-nC~=?PvAimqdZ48@+bYTJ6`uAX5@Td3PsUcK~oY~)Agp}jk{ z@(SkkcXhAX-$RMqn@7i^o5;1IF$0v1VgfM>4VdY`qrpMByrOW zBHm{V_hiLg45Hs-)rUQ3kc~9$@`81>mIhsYE|%FoXPM_tawzK`+|c!^@Ld@lbLr}Z z`2~|aa6FVf&gXqo-%b?ye>Ys*n)^SkJeE+=ySv4m#4@#|ap7cU+sILKHre4n^sxS` za}4zeBVPqK?@m~}kAG@i^f9+U#$x#mXXdf;;YPZHF{9%c=8E^3wE*8jNtcyyL zhiVbh<&GXg;p}mAb8acX0#B4JEoN{0_jLP=_5boX+{S|Nb9KzFUrUF(V9)MvaKgkT z2BxylorLwF@L?vA+BRNcmLl+eqHdeaqdQMsE-ITnSmUzKj<KT_M`rm>o9S#)}9f7_79NiNG;`X$64BRwEn9jc705) zeCL10>w9t`JkhtL&t)459RImn@K=74Iv$9$ZbXl(SBb6(A`L-6%xoX~JPQ9#v10uj ztglvr-KFGv3qNmQUb6@pVjaN)?6*Hh3vyG%lAgDId@4_1l9E)1-~5+&m^pU8eLjbJ zB&4S&X&&yYoz5JdtyktRwQpGYIv!8{bL}>X&9IGoU0&5jg-Zizb!$I&Q_K}MG@6;I zu_Nqpv&{aoO2}zgIs5P0sfm^ad6q^R z$_Zrw{n`5A2^9s^EH--UZj?#|3kZJ*?3KM&adv$GyWy{%|0~{CYgIn${;AL3g4Gll zr_)U4)pc81%}y|BjET1M?+&N7fy|_;b(tSRq7-tmQ6%v6#h~R>E7CuP9d>K<78ftU z=eulRqs$Nyp$8N|?|JHx4?>1E-%@X&>BhN-u7~cj-Hzti3J>q%@5(zj$O}1S1yNrNUH+Q14Sd;n`#|Yt3!??pJt=A) zM))(1cd)ZDa5co)4ig>pZ0Q(0xDVePpA=nZ+x=jj5Y|ST-~^USB)y3d?i^J&U;w1- z{-pcN{=rjPkkMNwkB@|@`xEq1Y?f$w@)_$(r$Buz3QYstq5_RXDWBj@8pc?srJ7_B z%#kz5$#_MJ2Mt$DF+Q4J#w&;}7@^gIVb36|@ofjoEJ&zxI6M#;f~SuCBN!akEEB#9 zm1wAKWQ7@%HH&X38ox)3|Igr0sPP16@mTfW;{;1S@7sUDjM(Rfe5OJ5HWk_(S2G}X zWsGGtGN5@}LmF&l4v);yGK<=QN(z>!>FPAJTwm(LqGmyQ#do-#{AZ>$ku6AcK;M@5 z+=|_Fs<%d#ME{dHQA945Kb_t$T0s%bo2QnN|BYbvLLeghGX;fyIxEOnE20ziOe|*# z!f3ck9U~bdAyN#uKxjR~!X%BbG{bUkM~qbF`I$3cW27~TPopw$k$DQ?nnEF_lltF3 zUnhI>*-qsR+$VUlsZ5~`C$Q@TNsh0D1PnXA_p6W|r{<0c4}ji&sGzBOBHTkEa<|IW};4i>}XrTrEZ1+Dg z5>G`x+=Z(?+iHpIY3jB$bM(HA&n-&YM(O%Qt2nhLZA?D3CiThZKY<1J1GQf8^P(I= z4i1XNPi<%a0T#^20%qT!;H}$_yt)4=3)8y(3cxzD>NG^PoNc^h`Qqq^KIOz`DU1@HTnOP zlxgiUjbSlIFDc-jENi1e6{@!VQ~Q@sHA!{6aPJM)N@oz`0{fB35I+_F=q=onn@RyZ zsb@VwNsXD3VVKf1-cebaK$$pq%s+lA_@_dJHihq2AkCNxityQhD#dL{r2P@KfBFy3 zN@I}S|xQIK?8f@q*73OxdQ^fXYf6`Lq=eW_1`{u4(@x0prP97<5b!P6-(054ni>k2z73t@IPgBev`q??Yh*BTfQ2j&se+1I(bn;;kqQyYEvkZa zv5uxZZ(Be1dtJj!kHmStLUz&@T}kESN}oP_x%*F>g0Vd~(*3VarsL5ooR$$A*zfbJeh1s{?J^}TM$>*-ga|{y4d#EBJ5}SuNdOhe=V}*aes`7Q#_0& zB)5jP1fZvF2*bB^gj{>)v`tucuI5FHL`2vd_lT5t+R|c-OP3y2)Dl!99hasVY|3R0 z_blpjYjo#3Yo6}@+6bjN8)=?CL(Ku&tc#w$xTH0BBlNM2SWF(u@=9?Ju3lVyJIyBU zepRfYASKLmav3e&?G-?6}mC4*2>Q!#ZeslfZr7mT#g~+VMVz`SHY-@y81N(63DR_37PH zpUW-goxXT39g)K(R^Ofp87NDf-S>SK+?}4V#Ec(73a(lZwn)x>=0q;zM{Lf5ALa#a z2rTVB+p!{uym0^-N^(m}`-u)O=V9nM&ad{?m;8EWKWp0~^Cf<5o`a|7ELJHhRY}RK z_r3F$aPtlJ2e#gdbg$v`MeHiVXnzMv#vb5tnXAa$vi9TUBb_FWc3ju*F$CQ7wO&bn zcxhT(#H0NvU&e%7z42IVM8~_fw2_GJ#H@#;Oo zuQGbhXC1p3w2egdvQ@BUQ7V~Xx_~zj<#Ihvb(vlm_68zp0uq+$gvyc54pTbW{#aDb zr5({*5oI@y?%XgM@}W4qYR$2__7CKMhUJ>{<3*~(%!}gef?B%)LI$)`M{!1P&a)D_uO||L| z(Y&DY59G(a38%TzXSdiyHaEOjgr&U???Xw+Sc-`KtE#C6p%-9X!8e?pH zj1W5@sBhtqN*DFb(@cf1_o9GCIfiT$88tL^kLbTzojTf`x`aF+u)}$~u8F4X^)-aX zz0Sv0BXu^ApHQ(}tseYevx-j?O1i#|tbJonQ3wC0W70Kx>5Fb7R>%4MA#iyLaQP_^ z@DZ=s){idfErC>cZ>9YQ7~(rrvi5m_7Z+Biu0dzMCB%C=T}IL=&*2Z}EKDO*NY zBJtQPLx)8aWzoYa@y(+n);2=FFeb--!JoVrfu(e_LXQlY%uNz1?071S9p#eFdHhG~ z2atj=s_=P{P_;<3Z8TaK(KHxS`6#B^+d$EDx?F5Bde7uoc_hchcX7HnZywxrq?44Y za6cx1oXh=Nd1Z@7FWjZTA+5+(B7L}hh5)>E#3dY!{tzE5#vFdRJ>Mg=-I70G()i(|vyb!heV*t0d_K?V zd7i^u!^RZFvA2BZPxD2dEGO;lZV!AQ zh`oON-tCK)?vf-vu6R)8pEEY$%yEP|D}*v;vy6b$W)rAs{6bXX_WEg+D1SAjf3a%6 z`!#Ra5f-ZZbWfHpqw#%mQz3pPk&i5@Rkx9d?#P2WQa!$+hrlTNkvK5b1UvW<;y!`I zedf{PZgoZ(%(Z7zQOl5a){N_zf4-Tp<)BJilNjzi+7(PM za28DnO#vmFW4D_-=|4S|vX8Mz|KV@eOimN-@NFc;HD**684`PB)n+2pNh@Xe~@VI zbm`Ez;uD^ws{M&$gJEy$02d2F|4a{Zm!l=`SH8@Uxw@bCM&|nsRCI*eU(66j3}=n_ zSz0~iFE$SOk)4jhT#NA)oAT#&UT?qJyJ$=sbq6-&EV%;U*mXheAN#>Z+|DGo}QiT zYCQRPv^9@MVfU}^wzXiq4bdU5F165c^X=5FigorVKO+8LJaIe1(=g_l3`TDTQF~E^ zZmHFPIAU7h6k?S%Yf`%%03hf*=flgXh+am#hpE*sX%xFq@37pOZQ&1;#(aL zE;H#5p!01VzVqnc1Da3PKCnpBcy&B{<1cr57vHs1lmipMobw|Ux+=b}F;G^{(;1sK zP)25&*$_hvDt zFhSo;kh|m3{X*?sG8tRCp6{GP?~^wmX9rR+UVi!R+q8{awHa2T2Ge~D4NuOLOsv{B zbONJ{Y^Yg1e1xb=hV?w3v}t{8$WBYJZc72*l@kSR-!(GE)k8~uPhoA~wmED0V z?@7I^Qgs^7pb*UxTBV2i%6!))Q|Kd1#wAmW$t18qPKd(2+wn3fh<+--P`}TC@y#J% z?c#_Bk9jabSA5mR)iWDp3mfE<5>PGj+ZL)kWkBmHOUHaJeAV7 zloxM{@Gjb2a^sRYb`Ns_5Km$j3erIk5l=F#(;D17zDt#oebxj zCd&IW)YH#WXNEjV0{cPdxBDbTQHs(U$m0I8zF8tOuL6qH7cdJ~5=6m<*`0>=tWZZG zf8z+;5tY}Yd>1jb>SRR?>2w4G6}X0&C6rs`3L9+U#8)0HL7HIgDZ_J13;%0n_JNrU zdAtTj7#zEm-El7%pAYhd{Azl$07}^1XBcg@r>Is~a<1k#iD7Acu{jrUQp@tIV!lD3 zfr@*BvEl>c>7cOp*CmG^(X53G@x}*RL_;cz37T!9R+?yp@CLLY<=$LbOZ~M>)2Rl) z4@LRF;x(GNA<5PGM7p2!-ghev>9&F6t##7Uq+Ipq`9uXCnII=%gV3n;nbF>dk@RBr zU)!B$hq60F(y!%Yi7ax5K8S}78cs?azhp^sl%%CWbuQtgmQ3LR%M{oX`{-La)v4A& z03OhL(z*vq5$W~D=4(Z0G_AoP%H3k8bQ&T&nqd7HS%62p=itH9j!NHdg6m`2eid)S zzdq#tkdH$!ESm!qv4sWPqJYnGX_RaF+HTe2;aU;Sh;U-E-rq?gSZe@h*vGVup;ri4 zrhW{<4g802i;yXSe H`#=91a&UxW literal 0 HcmV?d00001 diff --git a/projects/tt_um_urish_charge_pump/docs/sim_graph_vout.png b/projects/tt_um_urish_charge_pump/docs/sim_graph_vout.png new file mode 100644 index 0000000000000000000000000000000000000000..4a421b3b4e98ed15c56433f22ec72da924220792 GIT binary patch literal 34131 zcmeHwc~n!^);LvPvCj%VZKcYP`dWuq6;y_Z2+>ljs5lfAEkaZ($QU&;Ln5_GEhttL zlpr`D3MfGlkSVcML4^P^$V^luKp0{SLqewCKKBNsEkWOU@B97nt*@*uyqA0L*=L_U zojsl7n>MVT{P&rE*U-?IY_sN@?=&cp@*BWW1dL3{v z!F8qWN)3%$k&|S*{|46|?O(Hls-dADhW`H`vQ@YjZcaV4YWpEOipwFt-3Rw-tUkDR zuQzoc<&e|XiSWu#Pi($fxy9e9OFlWhb-;HB6nxAGR>1!L#x&DjEor(!9YxB;{ zEE4V-cRX*Z$=O}DUY#G@Su)k^^upa+3B zjd`5~^Sf(u{gm_!86CjZ=*r(d4t}LO?D+_O-Sa*^8{N&D9gTi{ju={U z0PnnOtUY_M+O~cUGo;&t(Uw4GNhAqM$sDgsgD(h*7rZM3`99-*Qol3lnXFVG+mIoS z^IAkRsFD@aB}R!6V3y(907iYb?sy zp7wCo6uW~H@084%a<9&O!m^!Op&Gm9KRCFM5?INIUCdQ2~VVRXV0_ld97Q<4%MvbIWK?VtBh3-dMK2K=!7Xp+gS7mD6(4A~rX* zS<#}v~nHDzM$bf*8q`LXpiMn`-m-XRU)LM!y#Y3qxj)}J5lTlyA78w*KaDmoGr zZX$wwwQ_S*7F8*v%Lqgxw(Ov>Vx4vU?_WtRgT-V;jPj}^TiMSw>l5dGODC($n@GmYyzXO)KxG}SCuh+Ayy7X-fk zO_LEdccYnG1|V(l_NLIaB1olVWgnSRNb!_E-blMGX(cOKc~9muJ^7;5ntf&W69$tL z+)K~;D9!(-p_j6~=eYIfNzbS3dkz@dsuc=rprL6P9rOm_nsbgSUsn?;dlU&|CM#y= z+cd;;3>_BA&uLY3l9hwJ6O>?QAs3!HX@?e)^z=!zd>h89Y%VRxSTU>PWw?=dg>TQ!uoY4{ z_k-=9&~=;s*-><8fAi~OM3a`73`G?E&Bj=vP^jCc1m4;$>hWXe?Fc@RVSdB7T6In|hT{x$@vdll>DXy$gd|?P+`M{DLeeEc4-Sp$ZBHfE3!Z z8oWIUcLsJ`wXH9`&^cw|(uuh&vWF|r<8@q1@GhiGv79O5 z5!pG(%_uMkC>F~j<>Qy?9Rm)%B8_y9-`Tp~IiAo;Vvw~X2O9!fwFxWXW zj18Qso5W?2!c87sMMm?bbR}1rEfIn&aS`S`Mwm2fQQFuO&>A@Y(#l#oVUO<82_R2A z=Z38Sjz27#UL2Csb-OrLNdB&nKI1b2LAl6Wv95tGCMd#&;g(-h_9mDmD0;6k*vbJV z&DbE&2}Cl7NNXXQIeX^|3zJyQh=wUk9hK$jPgc^M_Z^<(CHwG^v!YBXQhHYS>W76) zG%;|Jlayu3N|}hRC}RNSCQNf?i7eO|K$5>3$$ob!5tp483bz*0o8`X|WGgfH2f|ip zl%L(+6K?%^)^977>zE*yCKb;*W&OFvF7BQHr$Tm0pv<}@K}w~k&0u@B>d=KcjNY9~ zJq5vS77QQl9agdz+Qd}FRX>@Jqw>j1z6Xov5xZE^XW}8He1I5f*}px?EWFU@%F=*0 zKfu3$-5Gn9e;mM1z6LV(TA_8fkM`=6`&JWX%CFFSBV$RDD3Y5(mY@`INQxrm-DJ5q zUnD=6&Xru?y75~y_K}*@Ar?7 zE}Jk@`Fq6T7Luk_|E);V7J;%Ohe{YM4+Hj5?xe4hg&VPIWhG^@^>%(pKt2@*wkWmw z4ruK9;=!AIAfYJzDt7O(3FGcA!j+VU=I7CEdu88dB*Q;@Do=l)^E;A? zF0wK{{pDQR<(yZi*To98wb}h1>}L_|llJm;f?7J#1!?(jp72bW0k$GXZl5Cu3@^WpMMnhkEknFZd zSbVmSJ+*+=A{8#`;819y(|!e=j+}GZ`K=2%VlgrJK1k2bh_oDDEbnTJlY60Z+KrwR zuJ{CZp8;JabScSE{32z`vz5NaiscdHo<6eNUb)p+L2VtN2?k<^Wh0RMN~P$vEhW&- z*hTgxUud-UGcwakTjTD6-}i>(&>aiVrk`mgI;JM3B?WXfq!A zuQ}`V#esy1Z>O@vv_F* z{q-&-DcqV=(3{8z30sL70=;poT3%E#m4i%Tf~3SXR+wPH1|8RULn@W8l#5=UWd`pR zo%GAUB6%>^>S=`1^|`p^M%j1`!|U4(CWfu>ToSfI;`3G5ihEo1#_h#q%anDy{wW0k zz5uQ?GUl+xiX^C)|kabCY=}3 zyc(Vb+s93V`GI9S>-g+NzY3W=`E4WV?b&4UQF3i!n_eROwT|LzJFYT?z2`Fxjl(zp z0fS=WE&cKqyr!`$`@vNBb+~EjI{2mGyKVt|R-<;||NZgbL_)DmNF_1EPQ+AHv)!%a z9UF;dR#Hz)Gsigk?uc@7CK&>U^V0Hq+~Z*lP#QgQ~GgBW*q9BS~&Hgz^E z=&hLJRaW$we2UtiQ%->!!dt)88@EvR3gNQapNe&5Zm?=MvzzN90;CPx=7_Kb^j4ea zZVHozGVp*^8n3;)JZ^cwZ5xJYbCg+mcexsy_e^BU4&iN_=4*;j8ga@7`vy{ z|M^N2Y%DqFlrpe@7BcBzAcO_mo6m;J-S`$)hLMBh9Uly;gBd*Lxqo2XV}O zZBpZM;e%-$|Jp-MJ~gIj^Sa@}vTD6v@?=tqjV_?$u;njk@h7`iAP>r}$UWxA*|Her zK@n@9fEmzV=gJTkGYtaUFS#t&o5@~zDDY;E2eTk+2DRk`(ag=luebdvM$v@>N|~sP zSQJU1AcYQiTzTC_w|$ju{R$)acHju?*|zO5|0rz5kx_7oy>Q4ddj0h|UI30p|GfA6 z=g?aGali}Vv!}r<)dBJNr{PJz-#s(JGkZj4A@(<=`N_W3xn&JEn$ER$UzX*!+VYL6&^ruP>a&pME!an% zd6&G2K9A3cc0@)EAc7`SHzR-V9Bkd6|DMXuN#hUX=?Xw`YdsOSO}3h= z`iny!V_)|uf7zwvQ;wzf!1Gzv;x^r1@VUrS+5bw*)dY#YttEjnZx6QMrCaD3*p~;F zxJb&@mpnNA5%6D}n`|<(8RSOq-jX*7=g%F-h$wK0;@fS4I>Uy3HaCryPum&h(O}i= zJYcjn^@AsSEq926MYPU`m zH?C0Hd{Zhqz{v!~Ob*PE?1*izf+-6VIqDb3X^XkZ>uEbY&A@MGI|vU*ku-tqd$kY6wy`L2|6 zyz&K2xg-E}e*rM;&J;6TjmEuDx&D9my z@9>L(#T_ZQM+%$TZ$-+5#JXI9YtxB=)Ejxlf09|)i_3J@&Dj!V<{2eX9p}MM^I3^Qsz$U;=!9|1Q;#iwx@H zn1g}g0;CPK)?=eCIx0NH3%*)|K(odtw*Hae6H4j@p$;eKd?p6OAI_mHS7xrXFgn+I*N?%*on<@ z|0y1+C}?v+w)lI!J7Y{h(@jCm*Xb~YF?Y4#bJ9#UcoVlS5ZMg1{x~)y*Tc+Pg*nqBU=c{2Gv z4^4Ro4&*ZarHyCf5rJ9E$6(yP+Ojvf0%9#1yIgUMc3uy&+8U?@ce-wD-@s?EIvBA7 zU}tbDH-Z&&L5V$Mh~AZR-eKAz2S^lPeKE-EqRfI(SQj@8C$x&c51jR%KyG@b9w&j-zR~&M7=+m zXo7_X{Mgba&N-p5H@0)>!B=ajFCQG|J)WE3>0QwGj5pZHq#)ynmwYYW{Uq$&6Kj?e zfNd^I%APK(pn?**sEc+G7gqwCUpMH#aT;62rk`8C^k&QZd95*I??R)plsx+a{#H&A zNg?F@rb+PRdpi=F4%r#=_^C{9eh=(dC{Dq`hrE*(Jz&`(BQc!6TeaHdC(st7{Nfz1 z?OU{iw%K5`=?$9(QlA~EIv9C=o#cFbqMOA)16$s}5w;Y;{-9`tzkR0mg$?n`>k>H< zu-K+o-;du~L9IKa2BEmEcx;s@;fhaU+wG3g$-CGl7~5%eY^3K(>cIcXGm>9rS4EHw z`k!U9GG}OqvsxWkS8bR%Cy`%&$H1awT3!7^9dcu0Hx2A-swjbxXvGpE0&Cy4VD5`4 z`!+?Hm7EA$zBMFRXbyMFZvq8@a_Wqo8}ENPIY1$4V-VRA4upydmX7WyAvc4XUfAt?$;!4wR=2n1(kzEb6oFwf4;Db%%2I`#|w>g*D-vS54K<7+;T38y|d$$uJuMQ1@)j=2ZsBCA(9*1 zrX7-kiGg+}*ljU49;yj%^tO-`Eu3>k;vbFnRrGtJJrx9Q?%dg7LJOX|G2XL+ z7hIKS%hel%wY+DA?QuUeb*m(TvlJwlHf%L1vO0T0H?pao+6f z!jXpwVM11a*X7Yw#KEb^$6FU6xu(%L$?r zF2NUaEkIC+UfaGCIPLQD95pk@{C(REJHri4gx(<0EW26v$wZ! zD)<0XQVc81Pk4CzC)gW#Hw_dK7156N>aB`8FA;%yI;$&JJuDpWSmpMzIhJVL?RJ=D z(3KR}Zg;f}ZfJO5<}0IV%MdZ|vc)iw!5G$QH~xj`G+#SRr`7t5PEkn7GMY@3x8iLB zwer%`tMm*8kMwL6=A7tKfinlA{QW;;y4!__?fws2tB~**^C9V7##DWstybt#JM~te z3z0*=5ngeu`A?3Dk@l`{rK~7-92!E72cKFyv)& z|NO9Orc~sG)|iG9Xg3eT)Eu0C8#vh7tp6M%kSvDv=g-EXRc{fY^75Tfum?u-iy$N3 z#ezd$U{jB{MsorFQ9Dc7jH?Ub#{PRadE`}DCwzrb^U_`T)E$RORWBW<62rdoYirBK z94W7a{;_LR{P@vaF)GuZ<9lBD+4QT(3f})nH8{?olU4JF#0vPM=V8^TnDcelc+8aN z_(C>=LXEwJd^}mjvZC~PUZ0O)k9x*PB6n}bys2R-bU;85My%CP%^V9B-1|_qI4`lq zFyT;fsxgZPxIouwLgx`gLOGrYY-OAD*aESEzFUsb5AzHPbj!`({CdNCb z#=wMGgUs0qEb2#NFbcyLXLh3taFy+9Rw;9~RsWRt9V}0#*ofUIYlTT7 zC#rhSy#?9SE#o|o(0Ta|EP}?Isl_LFQ})@ZrZv6z#37V2Ly*=M#nStjimg#uK?k@Y z1E$E>fH<&0@ax}rmNLj9q)S8|lkz*wB!cyJwjLHOv4NE77# z1g~*-Hu%TMCw+wvb%<_xup!7euQ%?%>(Q6C_{6Iz`zkq@J_u5SCGW(%p4?PSqobIJ zZGF$fWV>szL4ty8lr+-h>tM{QSZ4&8FC=ibvjXI|7pD#k2K0i(IQow}7`TmVv_hi^ z+BXGr!xzs=(2ovl(r%TuQiNUHTQ92Dv{(wFS0{JbjxKF;He0<8)i%KhA>1(cIt+4GsgN>?41#U| zTD6zIUO|2H>hT<}($%*0p3#z`bBRa@FZy-6_a|X10wWi9avowqZIW!i=)ts&CWs2Dqxkq4oK2WjqZUzk z=z_6Zaq9wz7ImmHPZsKuAOlZH6ET&2Y;Q2>@mTT+VsmX`cjMD5s~LF$Rvs2HKv@gs zG?_oO^{l;FhpE=rXtI)`EZp9hJY;!#eux|Ut8leqjH{J}Q*n{`8?IJ<#nsBkVDbcy zp|d%Ql(nFx;u6LX5Kr1XYav7|M_o+`C>Be|Et{Je*fqg=r~^}bKU{#kv_(HRGyGm;*)~$pT zjc)=xujA7^h7-V^_k!lYi!taiX|ZxUFofQXF&F|Z1+F5^wqP{B{yxrhx84hyyJ{2~ zMp!D`YHb@`^kRnYOi|=s+_KaC3S)qz7dQuQe;;T_JN?JT?ev6icK!$Gr|up$vTJej z80F4g{%+*;47;M9DX4dO0uiL{J>NgZeoU)I76R%FF<&vxd|2i$I8*Tms8$S487nc$ zpx(u4Q48O=-fx}qGiOAAbvVkQa=V-F6baeV8lw<~$qSGHev0@quv8xiZkAPz?%tK)XdKREh-@7O09}rHqVE|A_n)+^9 zFt^W=E3)LALlJj3mQu=QOM>VEfhmP9ZD6paeoAkMUhA(uspqyKZt2tIl2=h2lxDD# zF7M-5Yg<+=@2j%oAO+JK+Xi@kiwZob&v}cfDDi0{$!VT(f@HnO4*Z|C^#+Ly@7&}W z)V^waqK}`XHHK)!67QiF>HC@Z__5q8c>B#K?4hbzm?+T65Z}LVN>2bWVHhzwD;=Dw zd`(c?cUk^}={MA zO%%c%2;n$KT0V=Aw67-B%bsg1c3~;s9i}yZwmI`5gM~BfMIHG;)lA;5$zp>-%;O$U z8|-kA&40l}DRFJIp0?P<$efIFqUvAUaKXK&V4xY2T}}unC6F&ykG!)t0%hrg66@kp z76B!U9NZ*Nxl!KWJ`{PDlfO9?DUR%5pU}Fl!oetlr7TKvb92a*#D5@#GH>sk2PuC|DLu zm{sp3ml6*rQS2PAHvaCC81SH)xdoX;S`F@_cGcbjj7bX1VRi3KAIh#kgfv+TznM+0KrT(XQqB?EKfT7etZCqX(Hu)n9U^-(utP_4 z8>rGL-o@v0g*Ul_H#z4}?i3&nC7^TcHdbWZ6TqXJN2lfJPbN zA?1ydpt3N#v0|rRlMj~UWp{0Zsixfiek9JHLumU8mki3F*n-zhp$Cb==;AOAmb=dJ1U&Qm$W5NP|DTLfY!4RGQfmb@vJEfHFA7N z(!{dMKw;~AJNhyprN-OO;kMyQH4Be$zC{uK_p18|n=$W>1D@odwR*_A1Huz~WEZ}v zS0`{V%kj5Zc4UT4S?DA+5TocVHJvB;!o~p>Y}Y#6hWRZejCAGB-{4LbuJQF2gpuH? zJQUOT=G!rg=i+!=%cMgZbIus{lO~9Lurb!Z0|aMW$2;I@JzCM2CTqtXG%)^({~Q1R z@c+M-{%AaA03J2F7me>6M0Ug5ono9TCE&-#xzhI=%<_#(2oxKy#Ta)rZVf8H7TsL` zyNenN4yBQFmB}(s-#N+wd+aZHiYh~}E)cay5QDz=f`WcOvq;z2MQW+vgCZcRD(o%_{n zgjK<0sWJT~$a2Qj(JlA5Z!9Mah7!b~JSZce_7)OZw_s$Q_qI}1=m6PPyLm`ic17Z% zZ~KC|o1u#5<$TsBi`HaC_{ z7)0eXL>Mj0d%yvSne;pQ#Ld!oxgdA8BOl~byw*yzQSq4M29-=BGMgXG zIz=;(pSs^;zqi_n^bP3;d+B0`cJll3nrjw#>kw2S{H@!%@xVR7jxaYymouyURKY-T z;f?0bwx`3uX3vd%eJ^`$N3jXzyY@IocB ziJX6OKbwh2?dw~Of7c4_hf*N8IAC&y$544vDx1lieXnr8hG`vq&+Ud$}*BNm?{$su@ch?551p&^a;3ub89GN z;w`Q*sR@{G7{jBMK@!z`f5t3*#~5aqN|FKmUAP|Ix$WBE*RWZiR7BTI+$ z4p@OOeEJpKZ$`(w6Dx||eMP}M=({t<(km{CnKq#L#(?04tD^BsEZ(M%Co*;>51F~X zcpBi1q8Hi#;QsG1^$La6h(AVLH84ll0?3Nah?h{ox#5{L`t~2Q#shg}j%Uo^n=9tx zleWZ!+u_Rhx~ip(;mGPMNywY$wJT!`U*9uhdJn$kScW@CJ8^Q3!`13Lt{(J{;l@O$ zMOt!<^h6bYVDjb1v0cOtT+Kbd^Ilh3V})leMQtd^V`Veo_<_+c zPQ$ir83vfNx##v?SDx&5l5~3AJMjafYh1vC@6a^>HtQN2a6|VUS9_%IBQI+}x@j!f{%M};$P>C+4dr%qXdk4OO?bNas@fYih`4-t@3YLRkVAXF}F&yN3 zahoE@@jjcvR{S|Lw9xvxMbF(Uc*+}2viOpyVv28RQ1`_(8vj}>))K)mxBW5?Iut&IVPr5!b8Q^dv3u*6PLe0~W(39SFh+vxaRSs!!36$d z5ke`NTU3^Ga|T~nUq)D4SS25`{LQxGty$>b-KIU;nNL%n=n0O@q+XQjDSNH0+;2=f z!ov#-^?PTPkI3n)mqG66J3fPDj3S>FV)R=OqR`!8Lv%_M*R$ zU;pjtU8#=pCE3JTi8>kB2wFT1LVBMf@&*gJKA-ZjCHG6WNeyZ?Ir$eMJv!Bfu~%e! zIxF3AbXG5(gy-3cI4IRHao~X20GCb|)jCH46Ufb@p37WwqaAkdLMNQ3{^JT$FGuXi%U09`hM@SrJL{%I_%Zp=mocu54h)OLO zxmZF6bZ!B<-oN42Fx0q?rT)_TtuA|UFO+VJ|E&ml1h+hw)r>rwP_kU>IJ%B${gyg$ z3x^1TI7>~+UCz^ImJ?>OH}{^?rR3iNzv`9Mk!Da2>L=>TC^H+yB-~-fg~%YxP?SuDZr3!{FSOxPZR$9j)d~6#-trpy0MzIbOE*c6E@c_>QZe zo5rZ^z-LvvVRSTNnUN!?1B($BQf!2c+d&lnCs zs0d=^Q2YUx3C6^}a@Q?>>FO)-zOQF&|hoP%k!m80&f=d z9?5zS|Die6STMc12;~lzPQjzIaXI*ws%r<7Ib48h0FH8ytzdHK16;Wu2c;tB_uZs; zS-tr+(ga1AMX3FT8;YX7r^j#vQt)V=F%joe%kb83rC2|v5|aFy@(y@lBEr^>@E5u) z56;CJe1Vp9_0}8r6dZ1&7O6u;d8}VJza`ybbfzgj(T@uFC0(whhDMsS+qOQf1^gNw zg_LhD{3$C^wGM!w=O1G_4433VkXyMQB|Tyd;A+^4J`QyDF*3n=!zf>oBpab{tJDA0 zud8EGVS-!6zU7AkAt=ufwybZEUpJ@XnVN=)O2YknOS)X~Jr9YUuJB zVnAJaMBeXF6P1`Nd}7bKZ4-Uk1M%8pPoRIsZnu84=tbKaQbopy$)B+jmk2QU?RsDP$kgvg=L$ z>=Y(kZymTYsQ}7}`}$~G*?iieOMWf#6k4^s{cAy?bZbZfxtf_vaBN49!+W{qc|zJ& zGCZEzvsx#R*v#bHH$@uudgqg?YouG{DblA$o1x(Nzw%ivcVaX38184}m2f)9H3@cv zux`^lla5=fGKwqGVDwHL*o(dZHS2#An*Vr`2>&lSIAHrD(5_-lAT7nX0<`v zB_^pEiqnCly5h?A%BWL@I*_<}N7KC$Bug#NImQM;u{u-?rBkh9gk>u+ZyKmRNlpLx z$c*FI7q;~k>=o2pE4b*f3prGqd0U86M6o1al6jeGE(jBjD5a4 z)&&|?z7vMab$b_f+4aZ) zH(&EqyiOHmcf)i8S(^!h%C3Xk@QPL}%^WP>;44fL$1ivir!xd@9%~8qnp%3b%((W&MtwMZwI}LR4Cc1k#~8iMwY~clg;M;J3oFW86(&tJWKVn@&Q` zlDq{ry4%&b{V=jZ8#J-YImc+M;V0YS*$Pb+07A?mU`xmwNvXullwue-cJMh|~%Ze`4P^93E5m3t(>-r-j93dF2>l z;6c2zNkHZTlHhBG3bE;nu{pf`;C{$Z{zL1mw{2*QnbECnj{7o-Pu~eeweD&>Faf5I zq$7Ys$UAR;B%l2jjyS}?7#!(Cc&pux_+R9{gVwxz7`9triKQ}GxdAnK&DFAIf!s-i zb$58Q+NuI;7)pISJI16Vv)?(m04r8Q{lHN+xw&uGcIv}V?M+Z65GVip=mfu73=5iS zf{IwbjQ4u*fs_la+OU%NE2P0I*gjnLV596C5$Z+8hE#Jdo@M)<9Rx+e5$D^oUpj!uPr-H zoM-1O5Ez~L>vyR;n#uDO+s+GDj(RnM8?@0K*#YIriRUt zZ`tq$8u8w4HPLfoy-$rJ1j@1~(yTdNW9E|sW?%-|#a*|*^a2b(vrSZ0+5Y>G1W7mD zlk=++>J?3px36XhnWyPkmxi_*Emg^O9LOVYfV`6i@4TBcs8GXZ26d6nJc?mzBr~x2 zx84lK-h^T-QCw|{4M{)5U7%L-x)qBbpjlbw9UWqbXN{|?TWo7U+u|qU)@=g1Qp&iN zK+DBT=`3B13TyIE=TT^FF=d_rCFp4Vd#N~30C;6koYJPho|LzvKL^i%|MwEv8z(Yp zeW_SUBV<+48}naGrtGVr_G5WHg#!>s^Ofsbm#O4y?Xp{q6SYE9@<|xeBPz_=uwbsw zt4F``V(5|>2G*XKrRap*?lNv8t&ZTKjXF{J2farCk{uQ5lJ=2kqK(-aMJlfJQ{Sac0~!EZU(p4Rqw$ z_1D`=E-e-jV_M}aF{QF|-{@|Y+0$m?tAt65dq>sA!10eshV*iJAE*y}Vr?EnHpMg0 zclhTLm_xz`HIdqfL&q8h8nuxc`N8#Sq{GSq&0XyS^G5!2;|lB+M>A-i(P0Vgl|kmMlPP<5*&ohvYN#F+N{@PQoaDLt_tN zt_$@j!!bw0G-%R07VQ0=avIjg=AeynoEHo)HFbEYgRpggN$hF^6AqvLfcwz$mx9K} zmtRngp~OxNZw^h>9tMZ+|t&1`^Vykw;g9Dd4v<`= zHCkK_6$3R$6a*hH2u^ z7tvATLg?p(waLSxbAlkQ6pmsDnRp1Fl|F)wDr*k!t+bB5l20CUdq_8nyU}KM+d4I!MB}EaM@P@@O z0^VQdvxf(-==G~Pwg$o`Du9t#{-pTCORzCZ_d+uqlw=$Moh`JaQ`-O3^*aE?!JvZe zE_9)QqEnv|Lr6*q99^8WetTfz8NW81bJTU}`_{b6`XVZ&Lv6}XR#skjmdnU0&YkkE z+dmv=Fi`Fsy+;Us*X%0l{sHE$L`dxex_syrB%`YD(G6|u&S1?u&=k=jCq2*#9_k^@ zCsdTF?=OCEJF3J)Jv$;3QWG4b7kD?cB!sK&B{GzSb>d)c*or?EUG9IOMu(u*BPvSW zRjGzTbX%cYx%&3sokM#a=(&h>0P!HB(b*;_u8UXwV2`J)xTTt0_0yVtEj-<#avuaol z{lBs1lJlAQw{4gQra&#E=a5!71ltU}1Q|xdBY9iFH)C5L$5CP6I&yuWH*gHC!0Ut1 z1SafJHvyDDU+RLGG<~5u4Txg_cW2>#bT{x@qp~?X$s8gr3G*YNfBmQ1m5&)_23`k`EybAOshcr%j@r&Vn76$ULt4i1R#m#TFpLZ%~T%6X=$Gtr>x z1ELDJ1F;D?0HM2&iUywV#8BY!RJ8_6V=xeQn5b}2im%CZ4id*ZMi4Ws_qLVak)&6tShSnE3mqH=~-B6tHf?Gl7qM2tR|TUqG8 znE){_jfrSoO8xV%N1~p>6A;E+mpfGz?T%5)XuOD`@WG{Br`3`{+`B%G?4%#j%#96< z_$_duu@Ye9%!t(KJ5W1Y?WD|GgqN+f@)Fgit6`9<`FICwdoXJ0cF!Dh!S{qe#G2#8 zS%YysmGh=r!0G3MOX zDectr?1IlxHI2qI=vAO+elQVrE)~6s+Gv*D9Oyxn_tg-!EqgbKs_ZtJqT(kwpT#+4 zAn!>>3D@Zqio!rcP0Y#i$4?(nZ*4s@t(H2r9^z4q);Or^tvTY0N7Z!$-S86SE1{E| zz~ZnJKR?2G95okpJKiGjJ6n04?P!2#3EIOW|IBk3FQy2A+yAfVs1Zp??fnfDiW5!J z%EL}!>GwG&#*VW&sb^lXT=x1tXWGW) zPaDw@C&-%|xAeeebLhYf=b7!pj%h?^!(eBh`|9|{K0`*1wtgfXjuY3uuF9rvs4$xZp4jskZ$#f!$2 z^j?F0;F+rPG9^+a?ebfL>WxN8$S0|ZX_lQR$YsQHXB@bIM0Z6#fEw7QQLUN zt8i9(cq}^Y$h(kRLmGKl>92ad%Ed_x~id*y z5>6vMjUZ2#pdAp$gDkC2V#Sx~_&)tpl}pX6Q)QaIzhS4$IK$~aw5t;6{HEXuk6L7*B zN6eN6!QPJ-A_yWIyEIu}Jfi3`t7dYOn+3CenLP>S9b-P>jpX+n^9h$-wL;%?R)7^? zMF@O8WgdesH@Lk0_Ac4|*AQND#ltIbXlCPqB7NJYn;t0x>&W<-oRJG>Drdt{f()l7 zN$~ENKUdHw>jXJkp}|(^oI?6z0{i3VSV7Dk$FMTZtUA=S5$m8M-aE@@0CRsUbFdSn zeA-|Zy}#J!Urv_$x0A*I-d!uS9Wu)kv}H5?0{piZRShN!xm_EvhU?2fvYd+G&hsu2 z?{$Vjjyf(;TpB>>jSSRGWyio2pq+*;=q^OBjZiL;qKXeV#x8}l;KoZ)sF#^S^gJU$ z?unnf)R2QtmGeejO{$7QtkP}!gC-s-XE!Ge_E}U*Ex)<-Lnztv`IMinaQp^ay>`_b zCkX~{FJ6%5AD=x5)o{C1Q(kVBm#u;%I;h+!b4eMP^!ROvD5)oyl|BhhQDi~s#s}^8 zaGaKDOG~E#d}bHp1b5;g*@t(bT@kltd4H`l=UgPM_X-C)^Ri{PTZknh7Gc78>?ld> zNKQosd2k&^+`1b(j7yl_?f_lkVXtFFsGWn(j%z1V?Bs(N@WsDpWVV0eH)I6zJw%_d zqkJPNHx652F%U3q-E?(lU!fU@+N+Rzjv}gby zIgr$sywMcZc^fOvzQziSsiXla905>chvv}rBU75o^oaL=c{~ZrFl(0ah{O(49#GY& zDDQ=wcgq74O&+w3r)q^B69?~t)XxNMOE`>}nn1ku!*60E5dYMTutnG6(|bV%m7Gx4 zy+ol+7g?WpFS&oOZD48R7Pj}bKz3I&Iv){E4wVhm*RZmZ^L(^1a>h;sn~}jUJ`BgR zMp{Cc!d6b2gb3Y9Iaot%iLr)r76e|%%`PEQv<{5BGjiNAn6++eFkZBzFBT&Br`$ca!=4(EsBNFUK5{?I1wM0emrZXx^4 zA(_tr)+j(1j;ozx>H2G#PS#VgcAId2TOO+uPIqQLNGoiD3U1})8B*-z192{_xeJyr zyO1Ayru+y-FUu$`Rj1%xNUAFft`5#YGIN{8M4etf#;+eFtF4qT~kS^xsO* z%?oxs*U<%hpBd;fLoB!yPT(A7M`#1vW<}Za_(!RL&7PqYl|iHWd*iiDu#WUDnBc@5 zQhy1k*#P06D5UfTu;6sIUBQlsR{U6^3!HOX$p+?wzY-+Bx~!L5|A;^p>--SGwt}4G zNToQE=v=7G*cIHU4#(Ph<68AY_D_5z3N=O)eJ|kv{QD9JK;ItGoahC2B|HXWF^a$0 z`JtosL@1>logr%H*BQ%~SHuX5?F+e$Sd=c6R&XI4;ku2kXd|M&@qL-NJ5Ra5AFs1& z=^O-B4S{1eJdCl^E1&@t%B;qWIqWD%Awp^fb|hvYd+BqLl|TfmA;pfHwo4e?V#nT` z3$uJo(+<@dtZCT7rU6-o&5K^}I{btq4+PdEBw$Z19c3<`vDfongdysE?TZfDhQ`E> z*m)W#KrBA-ZD*$=m1D|TXH-yyn(W&)>Gw*HCMwz#0{GgP0d47j2#hoV9q2HQ;9l?tphbal*%yDQ&^?W>GUm@8K zJAo5*l7PcO;RtU0najcf`Jg~92&~DAT}%lmc7PL>v5EqICnB*Uw1Sf)pq_E;T7|N^ z$@cmN4_(dIHAI_V7Of~*^Uq(u{BF&R+VK{Nr@pkYdh+OS=$;j8&efj#dC3vt}5YJz4Y78Lp;+Fndb(@u?FPi4!iDs9;8x+L?7` z$oqM5=j~bOvi0<2WKqtG@kCt$SLFO)jqW~~t&7aqO@?*I$UJ=T@iBCFj8`|Uf%#AF ze0FnE&iS>SHB4hJt3Kd|qsS1w;IbjVt; zlND_K%0)w?{x|@zY0cWtH4bkphS82zRlqxkm1yLniBQhGFB3g5&%&?gV|u0miKbU^ zfZn&K-K!z%=(UXr#}+#m{xnI)@F#78)lbp^LD=Bn;Hi^jR&ap->*p)|rTtz@Lk16h zw%mXJ_2sUm*Ee7J9nN2|{wk+JV&s(n*_@5>dIy6x1%+wp|J^=q=SMJyw$clephx$l z>ICf>OTL8N*O!T%X*oIOiJe4!ZmKIaP$M&XMRI-<3bhC{$`B!3DB^W+ebv9gE!gsCM8F=IRo46{c zo9ixyj@LW}!yY}oJE1^b6@iI1vpVAJV$Y4X5FoGrP5eeU8NAN3k{97xx<@croyujKr+gGCxE z<^x+D{`$Ldax`-M@M7HAegD`ggUBbGEnmTs#FccCdm)z&T)H}YQ^h6guv2M*6S~A>jSDpHe2wP+3X*e7=(&eWs>bA`1oXpY;XC>K3dhY^xgLn zG2D+{=Q`TLaWqs@?cxW^rh0KS8kFTVrYFflgxq!+f7VJ76>sq<`%0_B7?~R>&kTT*?37=J^TsKff2cA0$h8Rn;dI;iYyqTkP$1 zzM_AqaXnNeJ!Y2+F2ag^`Ck=o|AAcm>#H-Bk{0%Z^0H4Rw-%s={~9){Hhh!z^{yZP EAKrN|IsgCw literal 0 HcmV?d00001 diff --git a/projects/tt_um_urish_charge_pump/info.yaml b/projects/tt_um_urish_charge_pump/info.yaml new file mode 100644 index 00000000..a096d2ed --- /dev/null +++ b/projects/tt_um_urish_charge_pump/info.yaml @@ -0,0 +1,65 @@ +# Tiny Tapeout project information +project: + title: "Dickson Charge Pump" # Project title + author: "Uri Shaked" # Your name + discord: "urish" # Your discord username, for communication and automatically assigning you a Tapeout role (optional) + description: "Pumps the input voltage up to ~8V" # One line description of what your project does + language: "Analog" # other examples include Verilog, Amaranth, VHDL, etc + clock_hz: 2000000 # Clock frequency in Hz (or 0 if not applicable) + + # How many tiles your design occupies? A single tile is about 167x108 uM. + tiles: "1x2" # Valid values for analog projects: 1x2, 2x2 + + # How many analog pins does your project use? + analog_pins: 1 # Valid values: 0 to 6 + uses_3v3: true # Set to true if your project uses 3.3V (VAPWR) in addition to 1.8V (VDPWR) + + # Your top module name must start with "tt_um_". Make it unique by including your github username: + top_module: "tt_um_urish_charge_pump" + + # List your project's source files here. Source files must be in ./src and you must list each source file separately, one per line: + source_files: + - "project.v" + +# The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. +pinout: + # Inputs + ui[0]: "" + ui[1]: "" + ui[2]: "" + ui[3]: "" + ui[4]: "" + ui[5]: "" + ui[6]: "" + ui[7]: "" + + # Outputs + uo[0]: "" + uo[1]: "" + uo[2]: "" + uo[3]: "" + uo[4]: "" + uo[5]: "" + uo[6]: "" + uo[7]: "" + + # Bidirectional pins + uio[0]: "" + uio[1]: "" + uio[2]: "" + uio[3]: "" + uio[4]: "" + uio[5]: "" + uio[6]: "" + uio[7]: "" + + # Analog pins - make sure to also set "analog_pins" above, else the pins won't be connected + ua[0]: "vout_div" + ua[1]: "" + ua[2]: "" + ua[3]: "" + ua[4]: "" + ua[5]: "" + +# Do not change! +yaml_version: 6 diff --git a/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.gds b/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.gds new file mode 100644 index 0000000000000000000000000000000000000000..18c7015740398b448a5e037e8cd0975ac1d95f07 GIT binary patch literal 698464 zcmaIef6#5$UElZfJl6=>_=jv{Tb6|_8~>25uaImDb0HWm4ke0{P=yc>4vmBYK^Pm~ z8b}<6riv!hQ7M0Dm}Ex1p{?Rc8kMFQg^=dvPD&L8lN$t*;t&uwP=pP^<(GvaiBJId z`&xT{_Ex5|zMk>CHJ`QLYpuP{dCuAA^PKw}E;}3_P8_Z|IzD{Q;rWNl4~N5N9j@H| z`z43B9j-aP?7P0}_V0fG?ce>uZ~M->ZvS(4ebWcO^;>Q~``zz9dpKNq;^uRMR_1Ap^9UwgRbv&{9p!_nbIhc_Qy_n*!6ipws$>)XEduG{~@2j2Hx z-&C`_?*rfZfy3c>wd`dtIzIdths$1kT&thD;>6+brPmz}AGq&u_`^@^Jp161hc*7Z zmrndYbj9KD9UnRzzVeeh%_k3Qy!GFI$Kmi*bxA_=WnQd&>VNSwhr|1BS^UsE^04yO z|Mr^>hd*-F;Sic9^J3*w|MXLb!+Xo+hvt!ol~4UIx_CHzTb=tY<%H&uhn2Vfw|??) z_@lMw&^(zJE1&xBc<6BW^G_^(XdZc3dFy}6`NQGecN`9(c``3nKJ~xw{=?y$A6Wd* zJo2#e*8g+&9u9x(Lx)3Xp3IAtPyL(kJ{;~myZE7bhr=JgZ>Ra>VU17M|M=?vM$KU!oU+egE{a?Iw@qV+` zG!OFSVdYc*ZFSu3*DdRZ=8=b$xBj2K;&Ax#vxh@yp3IAtPyI9ZF5Xu^ypt~vJO8QE zi}$H@9<*Dt5LJr@s$cb?g4K6zNv+39?`zh1ke_{FjZgg>o<1DD z{_bV{(0uZ+@~Q8ApJ&~WCl5Q{I$w9!@_rwhC;M8*+x2;W>e^)<&nB*Ti?3R{l9rKFLvwOr?uU$ zgyzb5t>e@6-S_Y~q5qbLl~4VX4=&Fg>w6AmA9C}p<5S;#6VEw^Jb768)HnaFPcQdN zp?R{eb$seuhv%^h`PtVxKJ~A^Z+XpfpXRj-%_9#hpZf0mc-9Yj^04!*^VJV8_iLef zvafZ#U7zP?F63ulSozey?nBFazxy=zAZQ+WSozd<-^sIn$diYi|K>W*y_a=E^T@-> zr|Ub;z30t$?=(;5UB{>Xn{HY5%D3mtBRB6lKJ|S*;rV$B?OPsJKJ~3(zh0|Do;>W% z-*Nu$Jts7e{|@WFr|Ub$zWL#E-F1BGyFQ*{?o&haU+K9*?-8Bhm}u#k1@~wL!LbBeEaj-f}np4M@%81i#n*72$T)ekT0@~xkF z<&2eCm6@;63;MJbBppUaPt8*+ZT@?0oxlkLi9rG*8ZJ9iQ(1 zb#?5!&M$sw9(h>#)OVgd$A;F=by>%!zVqbztQ7KdUDolb?>*MK{Lnn|u=1(zJip?B z<$gajPp->4KJ}d+j}!XuT$gox>f0yJXWfvW^IFHJ{}U*g$wXkB^O`R>)Mm(TjS4s!lFK3)HBJh}KEd1j~A0D0J*|M}Jb=;|X+ z9(MjOT)W)+JY9Qr4}_kJ^04yh{`C}p`qsnYQ*{kO^T@->r@o%Yeg1Er-Dw_qSmRS) zPvt&cZxxzP9#%f}f9d|^G5WRZcA7^X)?+yHkFGk5_v)iJ*M950daz#eM;=x_^AG>J zCh**I{X*~g^04xme{^NJb-#1;#h=`1AM&ude>!?uty}jS!w=0T4=bOp|I)MLeSY}$ ze!tBlH{Uuw^y7j4@)w>?a!@7>s{r~-^$G!K_kJRJ!4G$d#>viWy9#%f}FFmw)pR9fJktYv3 z-#Yc#UC!Lq50%t?;o#oW8d|9H)wr%*t~v@{YYrVS8$A9UTopmoa^03CI{@Gf; z?)`@!nok~9KK0*s-MCJ}uj{qVBRAhVKJ$l?56kN(~Ho#x5B>-g0Fq0{5D!qGpg>*f6d%_k2lpZY&@*SOaj>)-nH zz7IKn9dCW>{_+FM`e>fai{1LS)qcIte9MD7%_k3Qe7gSE-L|~XeCv}t%_k4L_ph(L zZh4=1@8vtaZ^^^%{p%mzx_FP&d3(P?`<92D|EI24-lx9(+MVW+hxI--J^w%X%<{VX zzSBFs@5#ekn%7^i)841vU;7Q~`0@H3Rz6+-hwmDnGmrlH`JMJH5C6zjbN{DnUHkl{ zdw05S@~}Jq-+yR4&c`plY9~(~cF&(@YoGNvAHVdDot`)HuzUVIQ`f!D^Z0Y`+v#~D z4{LmS{rwdJ3PuKr9wNKtB&hGSh z$iwdO|DC7D^X~W+PwwQ&!|wU_+vU|hk6&5Ghn{!xuzUV|x~^O8@Ay@9y+Y?L54-() z{=e(|;pjW+@eb?w@%#@fpI$%L*L~8DKCzRR$7LO#`Cenk=SIJ){qnQR?`-Sy*2u%k zr~YftFTXqW`=T$qZTY>CdE{Z`Q~wad06?>*V{YJbqnoV9#%f}>%D%Qcin4k zr;hpLgF9V^T$gox>N`)q=W1vlxi0JY)USJ_aoz3T zx;YQIdDijPx32HHgyzY-Sozey=IqkdUVq^v)=RfOyW}UY@ta+w>y+@7ntoO=|JbBn% zzj}=y*NyMIGmqT$TgRvC`@N&%JpQ3|atRzh3Ldb*}gNjpmVu z-Sw-_YQwX>$1D4g^Vjj|`Zw2c9{0S~pn2qB_xRU)*YNG%JUI_Je;uE$fAyJVtvBDX z(>(I9_A>Qfb=~qiC%)f3WgdBXhjo1FbNt_Vht~DqVg2{i_quHz=NR88p z%lVzIn>?)X>H2@;$;H#VnkPN1+-vGOKJ~3*-@fx0nkV~O$EUuZch}0#YiJ&M*j+!5 zkKet$>6V@5$>X|?PuF)W-}<5Kx&NVLyS%dyU%lj|VAxz1x9Z++|fu4!nV%!}Rn zS6#9E?#%BQ{SFPyBM&Q|uK$W>mft<`Zn}2y%_9#hpZeC|xqhMSx&QC{>PW^q&fj{u z4svs>uk3eEEHA=sa>=*7523FRyicN6YVPL-WYP%BQ|_ zU)jjyZ)hdlgFLM9>H3bd z-*3NZr};APIzILNj?2FJq50%tw||e%$p@F;w}$4))c5;h>$v_QPaal2 z^?h!)u79f%nnxaX>$^Vg+4K#ed2(IX@#*@m56^pU$j^0M$EW^F?_TabtpBFE2HA() zeCv4Yd+*f~gyzY-SozfVIPt1$+GziIT-Wib?>gJ3e{&S_^SG?zQ(tdjzx>cV^04yO zw}1auDl|{##qRvCxNCXub^W{t=Q_&Gw~kNO|FW9@x!<+Qb(Hhh@u`33*~Pbi^W;3_ z{B?Zl+n@JLJx6GsoYy)&^c9B(@|wf*+LL+Y=3B?7zU#yD zc{8;CT-SAc>bpMndG^7b{9M;{eCqq$ZJ+$meDbh6f1h{l`@ep8r+MUIjZfEief-Sp zNka4Gx~}6>|3%j>&so0bZsw7jZylfdt}oAbwnFRYaaqTwzVo!s2cO)@&vjYHr@sAp z?p}Rnr+wtS*72#Y>v(S0r#s`z!y2FZFT8wt&ib6^xr^qLhm}u#>+sw+hP>=+9iRH| zJhOPNKR=Iy-2T_`sqb^U<6QsH;~@_#Z+-jy&Zn32Me}4{tnsPu^QwLGLi5SP%BTJd z%JZCc-9z)p!^&I#>bh1wuZHHyyjc0vfBuup+I)M+Jo54m>-f}n-|9F$Q)u7vu=1(z z{lb3TKZQJb*qy)Y%=_-kck=SMtmD)5J>Rab{aZgYpFFI5>ifLSvwp~vhn?^Gy5>G7 zhaQJKF6;Poeb<@idWBcle)71i<5S<~e(U{(Yj^VHVU4%Gb^qe&WqmYX=EWMH`uZ`x z?>~m_!PBd)<5U0q>g(P3>EYz&S;wco?-_cZ`_#QV-*tZZ{$W_-GymuXPmQke=<1tx zmN)Y7D;}8pZ@hN#-hSIoo;>V)>z}#zaP+FHcGmIRzSi;S{@-+Z@vLv2>_cvzb$sgk z{j1~t%o989JHMA*$EW_EJuKb_?%v6lhu!&`-@bj{B($IGYaO4ie@8uTJnM&id06?> zcYW&~VSL{+G*2Fvb-eZKJ@V)kpB(R*gXYP+SmRUw&9{#C$fKLj?zFxwCPvu73VP^JHGEeCmJGEsN**%g^&z zZXfIT)c-&4U!Lc_|KRza??K4_@Dqolb$sgo)V0gc>BnpTeqPb{C*)z}Q~$Rf9PhQq zSJ(0No;%2shm}wLr|%p49A51=^Bl>`8?3zbYk$YDK0Wq7=)Bi?2fO_{PuJ}i&+K#^ za$VN(>H44g(D06*xA=p6d06w${Nu~&c~|3O-(h(p4;z0xzMgL%{p9le#X3HZd&Xz$ zkLRh^i9cQY4?Pdp=WWJYzdk!0eeHGQGsK{I_+gE=etqT{-?t0R#}6xSeXlcr@|orJ z2F=3{Ykcaz@!I9}$NT2ZcQ5asUPt6%=@vYC#KIHs$ zeCk`LepfQQ&^+1KIzIJZbj9dukKT4>r+MUIb+}Xi#r3#XM|kws=dg}Hl7}_k`Zr#F zIJ&9U3(c2#vGS>JpY{8Kqc5oI5Sm9GRzCGzpZYz)(G5@SG><&2@z#IK!-u0&7su}( z2F;gwvBsyq_qUS|9*$1lztep3u*O^e`uh&!z9TeW=EcgVzWYwzn@;cK%frrpew}yq zd`B;TVyF4!VU17McU<*dTfh2}asL#WC-Y+E zQ{VHudXl4eJh0O|^04V&#?NVe&OZ90^W*dOp!r@@Kfhs(x9eYh=5Tbno`0eFtRGfB z^_^dR-W`5u9(mY&ejblgJwL|JOK2XCU)Vi=yuS1NyPuFJ4?Exc9M8Z13B4c6!_L3+ zj>U7|$(25<9yb11$NvA|;^|j z=lzR1KD3`audwpz{?A`rp67he_sk#UJ!I=5fWwANzCOKmW{f z{^&e%URdL^^~d$O`R>EfzpQma^X0l?<$BKQxa#tbFP}{_t{~eLFtqA-9ip zy!Ea7bG6UVJee1}_3hK=lpn9>d1#)T*E&93|DRs5d`{r|ypVb1=3B?7{$md=$JxK* za~^X0SjVTn=b6uY&)&7u^DxiLb-eYh`@6R;>!bNHFV^_fx4#=NKOFtri#yGi^IFHJ z{{MB|@;T$tJ9fH`^6+Hy`R6C^TRyj0-{-FELvCN|_;h{aHUIec%At8O?>av9f8@+z ze9pP_&`$Hn!|U&xUq9E^aUQ?W^;@s|VCA#*kFU68d`=v%8*h1de;tu~U0KJczSozU zfB2z!iQfXUoo!VU>(2h>o@Oc`-9`>pI^LJpWbO7^02x7 z$EP1&ysvs@=hxkJ7Vdc~7_rG4d`2VD?7a!~2?Hi@!Fcqg8>_=7xo*!U-|zGCse;K`lkjXZ4pW8ZaL&f{3lU&m+Xf8v!-E&f|C-&x+s!*2cmsrLOx>-FNF)p>+< z{INW&e764ar3Z#L_Fc#2JVwr6$7lYDmp`=lZ$G`Wypf09`u2ItO^4%0?%U}+a$f8B zZ2jY(y?1zH-!)IpW8~&p$7lYDEAL^JCcjk|M{*kK=$N#*3{zB)I^TNuf z{*!0N_}F(Hm-9H5n`a%L`6oX2?#2JYyLP$`@~~Uq{`}tYM<3qlJl1^=Hot#7{+Zi` zH}+k}|CiOi>-U@|-ckDvT?cts`P6@DJ#NM^He&k_|&-Q=(sk@fP?f358$&-hTf8r(A z4)4Tk&h9L4aSl|6-Xy5X%TmR|17w`A$ zeEGsL=SK5vBP$-G$k)c3jVORqbe_>!k~+P6Hc@u~kgPmafVtY44! zwhy`etm9MvhKCp5`uyxeZeQ#8%pZ@l=Z)8o(Bqls1$NJ$kJLWD@c!lb7n(;NRz6+- zfx1pN-@W*udE{Z`Q{U@u^|j;qADTxVRzCH;PFlzLhxRQGE1&vaCwagBz)sgs9(KNU z?DG?M>@;8YwT@5M_dd=8>Ck9iRGt z^QO_$5AVjy7vDVcu=3Wg-xD1F9~Z~(3kJ=Td9lW){<*8h?+uRs_xpF6PaZbk{~E{X z$^W;zmcAV8_>qS--mZW0!Nc)`w=O+An$P-SjZgjm@i2bxF#PJB z{ct_r;hXO`jKA9%RzCI3|E60G$A9<3K{$o$?^!Ulc8lU<;pL?Ep z-iMyI^00gUI?nU#Kb_rap3J+Bx9i*Ivo%j>zRZi2&;0TJR^#J+EA&2=_eE^{v5x2I z@19wnuUN;AJgo8A`r~!N^Yoc|9)#xe`Vm$>^}RlNp8or1cA7^XHqZZYo;7~rs>L64 z9oF;Cc)R||2M@=;eQ|ldqy1!GSmRUQ=M2wt&%e;~Q65%4_1zzMzPo=6?MEI~KJ`Cw z$KrXvBKIeM<^7w~!{K&)Z^~>v=*UblS-D#e@ zF0SL#$6r6hw|>Z%hu!P1*Y7u6bvXXZAKGc2ysod~)Aha2@a;e3%frg2{tw@_c=pfF zdC2+e_|&&QzkmCl`*xZq=e3Sc{r};q#rwWzcJk$6^ZT>$cc*>N*6&ZlI)3C~<+Jt2 zzw@Z^@$WZ6-lsk^_LK3c@9$o}_WbhqnnU}Lhm}u#>(uvr$G@8idGfIGsqgPqzvh8u z{m?$-VdYc*>3ZC1eEj{_u+|&@?k4Pf>s)ho`Fq1*9Y59&E1$0aTMv%!36H;DTi+KR z$pLl zJnVeyTy@3r_ku&;d(OVr@#*>dJI7a_S^j=<$d`wePyJ_3kMAjuzu)|->z2RcT*r^U zzZ_OR^`E_K?0`}DcR ze$nfuJgj``|HE2`=XF2i$-~aKj`Q{TD>P5`wT@5M{|8qrp7r_Jhul2t_|*T{Gt2vw z`yTIC=zU)vRzCB`eMY@ckN!0*Z@VvXA5wYi*ZiYD4$ZTAgU$M5pRTj}&#;akd06>$ zeLZoFkKbQ}_8|`&f2`v=f8vhidSe~G?aOs+9{;gUji0#ofpOfRePmzQ`8VIXcz%Ck z9^}iz#vl9iyz%)ZtmC)yvj57b*H6D+<0n4>Z z*6||`E1&Iu+-G~8@cA|5x$h1upZViFYkcfKEN|ptzC?2VZ82y&PN_rKJ|S*wT|B( zggkjzdFxyEGfyo0K=Wi?Y}Oy&GpO-#{lZ#zG{&oDZJgj``>-l*;FNQpM*!kb}$>qMu`tHB754ri)@#*@1t6ryg-tR-6 zJnZhjF0AW&-{zy|jXdmpJyku*7IPx{+0JHp7ravZ69*`S;uGoIM1uk9LD;g=biHpE1&t}du}}IhxYOEbwAkn z<8`_o=MyKc8jts29Y6B0#;5DQ@~OqMzIn0_dHLgegpEJe@x1xO9n13v>-g*|9Y6B0#%BBXZ;TGy z`mWeUo;>XQ_g=Mlf3eQfJjj!Wjem6cL&F>Ye!GqvEN|pt=U;#K;(u}d{PK|}4?F)4 zE)H*e-@A?*R)!>Vf?LP?&sDyXAZglUdN}tpA*N`cilGHe}1mk@u~0U#6CZC>rQ@t zuGaCHKYmWiKYB&2AC|xUT>1H`eCqqV5j;OPAzvOg{`kAu&fosSI)3C~<+Jt2b#mS7 zyL#jLqj_>&vBszV`)*mhzjW75zC3LFqtCAMtns6lFY6Dw4)U<^$MfT5%N*lxCfBj! zJo3E48lUdp`SI(!dK=BLp7&tqJ5T%!>wngVmcNzEd1=jo=97n&PyPCi z%<$}=pYxFO*YTdwF{j`&TAc?`u4{=bMH?3$a$^f zQ~x{a`diQbL%uw0uHX1MtK*KYJU@;ftmokNbLr=>#%Jpvy``S7b-l*xSLo+f9#%f{ zkN?$E<8@;6cJ+EOSg(5{4=bPgzq9z`d((B?AWt4P{&<~r{$77W=i&7??9Siysp~sl zw?gx**KM%7{?_67`zIk^9yb1XoIQWOr>=Kc$B#U$e0Kc~CvI8()^V*h{^l{7M;_MS zK%V-ZpZ-R2eOGWJFVE|BeCmJTzQwbD^W;3_=2^#Q{=VaWshIM@A9jtun`#G)W-0;IXe*FA~l}~-&7pe0bepttk?~{bx z`MXYaoyY4=SjTVIbse9s@BX~Jv3|&xhm}u#^Vj1$K0k!^k$tV>Q~&9Do|ZTIgOD!| zE1&s?7w?PC@8rwFX8rL#RpZCMvdlAB-pIqw|Ft^j8Xu2e z$diYSKOUzSJUyOkhp>*{9#@ZV<+Jl2*O~WMo*Ksu^7FW0=PL}J|K#F_=97n&&(-dp}mCx2cdj7@Xjlcg^#|@S@^04v8K5KmZ{vs@I zHc5v)bNhpe%sFSj^ttEkAASm$M?6x@AKI48Zw2v##FZ;sg@jL$cPmb|%zfi{w+J`)B{P8^T8teHV*74(iVdb;) zA3x__EBT>${C8OY-TD^u`t5(neeVA&9{y+7uOvR}`0zdTYWdp33l6V2{Gs~q=N~Sw z@s}Llc6ifemwo5A|E0IS{ifUh{5Riz_Jg0GoN|n!=L%gPoA#UXQC%$*_ z{#8A}J&wqehn@eis~7KMAK%H7hn@ege{k`B>VrFZ^04#&&6h0RPap5($-~b7=^tOb zpZWfsJbBppPrhgIe)jb{dGfIHfA&`w@6r$L$WER-?EL@tdl&Clzh);-9(Mk( zUA=g}_VJxOdD!{C{)3D68z0=slZT!En_secpE};jlZT!EsUKgwr@wzEPabyuZ@p*n zKK=TgJbBpppZ?Xw`|Tgv$&-hj|2rREynp|$ojiHi`TyYsi}xQswv#6hJO4kPTfArP z+{u%No&UQh7w>mJy^|*oJO9}Wi}#=I*~ycKo&S69UcBFb*-oB3?EK%qw0M8;k)1qw z*!iD%FR$)H-?oz{59fY)&o184BRk#4$-~Y+{@%qq@ijYn^04!tclF{u@8dgp^04zi z>jxL_vJdX$$-~b7>@Qio%a3>RXQS6o`WSAJwCPabyuRqtKASG{c~Pabyu ztDarFs~_3PlZT!E>hE2=YrbYDPabyu=Uu&cpZD>dJbBppuld2nd+i5z^5kLXU;8DC z_qyYqJbBppulw=Ed;RzC5{|&#ocyIinojiHi`EUC0;=TD@ zJ9+Z3^RIis;$8Q#ojiHi`PZLYypwnCw6dPZExGjlZT!Ewr3ab?T_r_$-~aS`Fj`d z3%_P3PabyuJFZ^5cYJ&&Pabyu7yaPko&MlXo;>V){nrgYy!2OL9Y1=pu=2LQI`!*I ze-+;F!%Ke^p8EB#2D9tB@xTJ6})5(_e)=dD!`S zDxUr-1f5Cl5PcPsP(;g*V)Jrz%X74qa^=j*9> z`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;9)J`m2y9 z59j{UQ}Ogyq5C-fRoMA@DxUr-1f5Cl5Pc zPsP(;g*V)Jrz%X74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&cFWL;+?#6Cr=)B zzMjgu`m2y94?F+Vg~hw!o}D~-*!g-Y>*}vUo;>XQ8!s)M{)&%0dD!`SDxUr-V)Jrz%X74qa^=j*9>`m2y9 z4?AB^#nWGfywPi|?0h{HPk$BiN3XTA^Yv6b{Z+`9hn=sd;_0tKo;>V)Jrz%X74qa^ z=j*9>`m2y94?AB^#nWGfywPi|?0h{HPk$BiN3XTA^Yv6b{Z+^xz1GUk*HiKIS0R7& zS}QwWPsP(;h5XTLt?Ya~6;FQ^^5tRY>#2D9tB^N(t(Bdxr{d|aLcTogd_5IUe--lN zVdv|qc>1f5H+rp=ov)|j>90cm=(SdMzMhJwzY6*Cu=Dj)JpEP3lZTzJr{d|aLf+`L zR(8Igil@H{`J>lb+4*`Zp8hK2%frssQ}OgyA#e0rD?49LRpX<-3i+egS~>TZo{Fcx z3f;%)ufopPQ}OgyAx|E5zMhJwzY2Nsu=Dj)JpEP38@<-b&ev1%^j9H&^ja%BUr)u; zUxj>m*!g-Yp8hK2jb3YI=j*9>`m2yH4?AB^#nWGfywPi|?0h{HPk$BiN3XTA^Yv6b z{Z+`9hn=sd;_0tK-srVfcD|m9r@spM^04#uR6PAv$Q!-Z%FfqQ@$^?AfAm@_J6})5 z(_e*rdD!`SDxUr-1f5KYFc|ov)|j>90cm=(SdMzMhJwzY6*Cu=Dj) zJpEP3lZTzJr{d|aLf+`LR(8Igil@H{`SP&y^;A6lRmdB?*2>P;Q}OgyA%FB*D?49L z#nWGfe0kXU*PmOwlXvdq$-~aqQ(0Gk74k-}wX*Y1U0A#u?%Bzghn=sdvabFr8<$4lZTzJr{d|aLY_SAd_5IUe--jZueGxC^;A6lRmhizov)|j>90bbJnVcu z6;FQ^^5kLX>#0uu^`*ZG%_9#hZ@+(a{ZB0YRe18RFa1?`{ZGt&Jrz%X74qa^=U=?A zczP>7^5kLX>#2D9tB@xTJO9y3i>J5ZBTpW7zMhJwzY2Nsu=5{#cJUs6WG7D^cD|m< zy85e-Cl5PcPsP(;g*V)Jrz%X74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1% z^j9HI9(KN-il@H{dGfIH^;A6lRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xT zJ6})5(_e)=dD!`SDxUr-gPMUxhq*IQN&Hil@H{-N)&#!p_%I@$^?APabx@ zo{Fcx3VHId^Yv6b{Z+`5hn=sd;_0tKo;>V)Jrz%X74qa^=j*9>`m2y94?AB^#nWGf zJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;A6lRmhWvov)|j>90bbJnVcu z6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr-V)Jrz%X74qa^=j*Aif8NqxZLIl59zJj0KYjFEJ=ec<>94};pSSc^;dNg+_w`gf z{Z+`5hn;`%!s6+z_@l=vU(R2~=j-dKc>1f5Cl9;zAHB4AdMiHi#3}(zY2My*IL>6dMcj&D&&t|Yh~x_sd)OUkS`BAUr)u;Uxhq* z*!g-Yp8hK2$-~aqQ}OgyAx|E5zMhJwzY2Nsu=Dj)JpEP38@<-b&ev1%^j9H&^ja%B zUr)u;Uxj>m*!g-Yp8hK2$-~aqQ}OgyAx|E5zMhJwzY2Nsu=Dj)JpEP38@<-b&ev1% z^j9H&^ja%BUr)u;Uxob9Ypv{jJrz%X74k=~wX*Z|R6PAv$REAd%FfqQ@$^?AUmkY8 zo{Fcx3VEZ~TG{z}DxUr-#2D9tB@}bJ6})5(_e)=dD!`SDxUr-1f5KYFc|ov)|j>90b*JnVcu z6;FQ^@QkUx5@m2-dTsd)OU(0!c#D(rkc6;FQ^^5kLX>#2D9tB@xT zJ6})5(_e+W(QB>jd_5IUe--jaueGxC^;A6lRmhizov)|j>90cG=(SdMzMhJwzY6*C zu=Dj)JpEP38@<-b&ev1%^j9H&^ja%BUr)u;Uxj>m*!g-Yp8hK2jb3YI=j*9>`m2yH z4?AB^#nWGfywPi|?0h{HPk$BiN3XTA^Yv6b{Z+`9hn=sd;_0tK-srVfcD|m9r@spM zqt{y5`Fbjz{wm~;UTbCN>#2D9tB@}bJ6})5(_e)=dD!`SDxUr-1f5 zFAqCkPsP(;g}l*gt?Ya~6;FQ^@<*?=vh(#+JpEP3mxrBy{kg?EdFM`^JnVcum38%3 zA#e0rD?9(xg~hw!o}GMo*!g-Y>*}vUo;>XQ8!s)M-inVrdD!`SDxUr-*}vUo;>V)Jrz%X74qa^=j*9>`m2y9 z4?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;A6lRmhWvov)|j z>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr-1f5Cl5PcPsP(;g*90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`S zDxUr-1f5Cl5PcPsP(;g*1f2<&8XSd_5IUf3>l^k%x`1 zr{d|aHkLQ?u<`X&JpI+i@#2D9tBvK2 zJZyYD6;FS)vAmIojjyNT>901HH}bIY^;A6l)yDEh9yY$7il@KYSl-CP#@AE5@tUQ- z+F0Jm!>PaYR6PCF#=4)=U%8(fY#2D9tBvK2 zJZyYD6;FS)vAmIojjyNT>901HH}bIY^;A6l)yDEh9yY$7il@KYSl-CP#@AEv^j90p z8+q9HdMcj&YGZjL4;x=k#nWGHEN|pt1f2<&8XSd_5IUf3>l^k%x_c{kg?EdFOLj-pIqo*Hc+nf3>l^k%x_c z>cZmPaL;pC-pIqo*Hc+nf3>l^k%x_c1f5Cl5PcPsP(;g*V)Jrz%X74qa^ z=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;A6l zRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr-rWZxwdFo{Fcx3VHId^Yv6b{Z+`5hn=sd;_0tKo;>V) zJrz%X74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{ zdGfIH^;A6lRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`S zDxUr-V)Jrz%X74qa^=j*9>`m2y94?AB^_4*%O z`m4}9^04x@zk1#8E&Wya`X62TtMGNdH}~~aJpEP3lZTyu@xtQiulUH5hn=sd;_0tK zo;>XQM=vd&-inVrdD!`SDxUr-1f5Cl5PcPsP(;g*V)Jrz%X74qa^=j*9> z`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;A6lRmhWv zov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr-1f5Cl5Pc zPsP(;g*V)Jrz%X74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN- zil@H{dGfIH^;A6lRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)= zdD!{apIf|>ckblL!_L=JSyz7*^5kLXpSrMkH{7$6Cl5PcPi0;GRmhWvoqyw{#nW5y zktYv3Ur)u;Uxhq**!g-Yp8hK2$-~aqQ}OgyAx|E5zMhJwzY2Nsu=Dj)JpEP3lZTzJ zr+VGZOMkVo<{No<^Spoh=(&2Wc;C`rg|EAL>94|T-#7R5R6PAv$diYifAPZN>8<#q z$0}dWU&iO_>#2D9tB@xTyY(Nvw0L?eKJw&Y=j*9>`m2y94?F*{XBY4BM|Se$Vdv|q ztgF8Yd85}_+4*`Zp8hK2k6vqK=j*9>`m2yH4?AB^#nWGfJbBppdMcj&D&)z-&ev1% z^j9HI9(KN-il@H{dGfIH^;A6lRmdB?*2>P;Q}OgyA%FB*D?49L#nWGfe0kXUdMcj& zD&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;A6lRmdB?*2>P;Q}OgyA%FB*D?49L#nWGf z{LyQz?0h{HPk$BiN3XTA^Yv6b{Z+^xz1GUk*HiKIS0P^>cD|m9r@sn$qt{y5`Fbjz z{wn0l!_L=J@$^?APabx@o{Fcx3VEZ~TG{z}DxUr-1f5FAqCkPsP(; zg*#2D9tB^l>t(Bdxr{d|aLcTogd_5IUe--jZueGxC^;9)J z`m2yXdaadnf9a`s`m4}=oc=28d_5IUe--lNVdv|qc>1f5Cl5PcPsP(;g}l*gt?Ya~ z6;FQ^@<*?=vh(#+JpEP3mxrCNr{d|aLf+`LR(8Igil@H{`SP&y^;A6lRmdB?*2>P; zQ}OgyA%FB*D?49L#nWGfe0kXUdMcj&D&&n`Yh~x_sd)OUkS`BAUr)u;UxmEUYpv{j zJrz%X74k=~wX*Z|R6PAv$d`wmuczYauR`AFwN`e%o{Fcx3i+egTG{z}DxUr-1f5FAqCkPsP(;g*#2D9tB@}bJ6})5(_e+W(QB>j zd_5IUe--jaueGxC^;A6lRmhizoqzqg#XEWDPM$pMd_9$Q^;aQp^ja%B|I~%WyWyUl ze0kXUdMfMcuR@+Y?ED)qEuP+rk34zU`Fbjz{wn0j!_L=J@$^?AZ}eI#J6})5(_e*r zdD!`SDxUr-XQM=vd&-inVrdD!`SDxUr-1f5Cl5PcPsP(;g*V)Jrz%X74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z- z&ev1%^j9HI9(KN-il@H{dGfIH^;A6lRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9 ztB@xTJ6})5(_e)=dD!`SDxUr-1f5Cl5PcPsP(;g*V)Jrz%X74qa^=j*9>`m2y94?AB^ z#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH^;A6lRmhWvov)|j>90bb zJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!{apIf|>ckblL!_L=JSyz7*^5kLX zpSrMkH{7$6Cl5PcPi0;GRmhWvoqyw{#nW5yktYv3Ur)u;Uxhq**!g-Yp8hK2$-~aq zQ}OgyAx|E5zMhJwzY2Nsu=Dj)JpEP3lZTzJr+UqmOMkVo<{No<<-C9T=(&2W`r}J~ z6~5-mrN0V4?~l)YJrz%X74qa^=U=?AczP@T=&{O|^Oy1Y`g$s!{wn0j!*2aYFD;(l zijO>b*!g-Yp8hK2$-~Zn?AgV8{E?kJdD!`SD(mX6Lf+`LR(8Igil@H{`J>lb+4*`Z zp8hK2%frssQ}OgyAx|E5zMhJwzY2Nsu=Dj)JpEP3lZTzJr{d|aLY_SAd_5IUe--jZ zueGxC^;A6lRmdN`*2>P;Q}OgyAzvPLzMhJwzY2Nsu=Dj)JpEP3lZTzJr{d|aLY_SA zd_5IUe--jZueGxC^;A6lRmdN`*2>P;Q}OgyA%FB*D?49L#nWGf{LyQz?0h{HPk$Bi zN3XTA^Yv6b{Z+`9hn=sd;_0tK-srVfcD|m9r@spM^04#uR6PAv$diYiuczYauR`AF zwN`e%o{Fcx3i+egTG{z}DxUr-#2D9tB@}bJ6})5(_e+W(QB>jd_7f-kNzs;k6vr#++TVsp8hIyAE&#2D9 ztB^N(t(Bdxr{d|aLcTogd_5IUe--jZueGxC^;A6lRmdN`*2>P;Q}OgyAzvPLzMhJw zzY2My*IL>6dMcj&D&)(<&ev1%^j9Ho^ja%BUr)u;Uxob9Ypv{jJrz%X74qd_=j*9> z`m2yPdaae6uczYauR{LlwN`e%o{Fcx3i+egTG{z}DxUr-jd_5IUe--lOVdr0e zZt+guxsxXkJ6}&_UHw(a8@<-b&Odcw@ou90bb zJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr-1f5Cl5PcPsP(;g*90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr- z1f5Cl5PcPsP(;g*90bbJnVcu6;FQ^ z^5kLX>#45(ou$7D%_9#hZ~LpOPc8k`#@|``tE#2D9tBvK2JZyYD6;FS)vAmIojjyNT>901H zH}bIY^;A6l)yDEh9yY$7il@KYSl-CP#@AEv^j90p8+q9HdMcj&YGZjL4;x=k#nWGH zEN|pt1f2<&8XSd_5IU zf3>l^k%x`1r@H#o(qC;XZ{*?BUwSH@{%T|0&*`t+&kZ)do{Fcx+F0Jm!^YQB@$^?4 z%Nu#v_1f2<&8XSd_5IUf3>l^k%x`1r{d|a zHkLQ?u<`X&JpI+i@#2D9tBvK2JZyYD z6;FS)vAmIojjyNT>901HH}bIY^;A6l)yDEh9yY$7il@KYSl-CP#=rjD;+?$nIV^AF zVdLwmtgFA;Sl-CP#y@po@ou>1IV^AFVdLwmtgFA;Sl-CP#=r5>;_0pUgXN7pY_xsvlVTtMFCdy!2P$RX;HI^;A6lRmhWvoqw^OzdXHF$diYi zuczYauR@+Y?EFVBE#6Pm^Oui2dD!`SDxUr-1f5Cl5PcPsP(;g*V)Jrz%X z74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN-il@H{dGfIH z^;A6lRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)=dD!`SDxUr- z#suhaeAw;^Yv6b{Z+`5hn=sd;_0tK zo;>V)Jrz%X74qa^=j*9>`m2y94?AB^#nWGfJbBppdMcj&D&)z-&ev1%^j9HI9(KN- zil@H{dGfIH^;A6lRmhWvov)|j>90bbJnVcu6;FQ^^5kLX>#2D9tB@xTJ6})5(_e)= zdD!`SDxUr-V)Jrz%X74qa^=j*9>`m2y94?AB^ z#nWGfJbBppda76c)Y4yt=8=b$xBb;CU%2#F8-Hr)uU;9xaPI4=c>1f2<&8XS{EHVB zPk+T9EN|pt1f2<&8XSd_5IUf3>l^k%x`1r{d|aHkLQ?u<`X& zJpI+i@#2D9tBvK2JZyYD6;FS)vAmIo zjjyNT>901HH}bIY^;EBX;nH7iEN|rD)L(ikp8jfM-OuT-+|La*zMhJwzuH*d$iv3h zQ}Ogy8_OGc*!X%Xp8jfMc_R-SUr)u;Uu`UJ*>uh8`yTLO<7I8|f=Ntp z5|d5hGoF)^Y&K4Ws1Ox$g{TnKC>5eYRETQi3Q-}dQ7YsLQ6Z|4t0PuE;cVt4#Bt&` zcH$5cCzu#}!Nwkglk0O`_xFCcdH&T;`d@tByBUdxFK6&N6{la_yWGCFgV(7z{p#N3 z_PrgvPQ~e0_b#{Z?cjAPPQSW$xqWX3uTydQ)xFE@dpmfYiqo&|U2fmo!Ru6+`hMi*Qq%D>fYt{y&b$x#pze~F1PRP;B_iazq)t1 zeQyV^Q*rv$z02);J9wRn)35GbZr|I%>r|Y6b?~j0w4qm77UH$6b<@UWD{6|m6=~n#S<@UWD zyiUdGSNATr@9p4qDo($;ce#CU2d`6c`qjP5?Rz_Tor=@1?p=pHx^~^ie)Z>n_VlavyMO5PtM|?J}ar#xuxwn)5Wc~Z)bgPzg zZzr!)ar#xuxwn)5^y#>-t$)9~<=orJ>r|Y6)pG9bPF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi# zU$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%x zoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcF zQ?2*)gY$Vg_x7PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tq zbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`z zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9`48S4_u|#_a_;Tqbt>Q0 zuUgK%o&3wU$9?$vc{%rX@;a68>Q^o2-cJ6br{i=h-g55k*ptCn+bC$Cd|*RP#^)%rW`?YfWs>N|h;^sDx} ze(m(D_B(&~vDc|M{i@~M+sS|O_Bj2Dx14)Bd7X;WuUgK%o&2Xy$LUtQ<=orJ>r|Y6 z)pG9bPF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S z+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUh zmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;W zuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ?2*iuUgK%ee6%C;`FQ5?>POcoxD!P=~pf1 z-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{lad zoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6 z)pG9b*ptCn+bC$Cd+ z`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H z#pzcq=iW~KgEz;$c=f!TdpmiZ%6IjvmUC|>|MKl|AHIHG&b^(yPUXA$Rm-`zlmF=H zINgf3oO?TYor=@1TF$+lyiUdGS1sq>PF|r~(QrPHtOU4L)i+b=!tPhWkr{&&rfpMKST=a){uYQOm7kG)RC=~pf1-cJ6Lx5w#L z{O(xGyYuJ$@%MEqPQPk7_jdaJr%%V}R=nlh+sW%xoPO1E?(O8?y+7`=kDr%wZzr!) z`L2G|a=U9?J9(Xo)2~{7cdctDuTydQRm;1#lh>&@{i@~M+sW%xoPO1E?(O7tDo(#@ zIrnz*Iu)m1wVZo9d7X;WuUc+*t!pQ*Q*ruL%kQpr?c{YTPQPk-_jd9+6{ladoO?TY zor=@1TF$+lyiUdGS1sq>PF|r|Y6)$+S*T|0T5iqo%Jes`^FC$Cd+ z`c=#Cu66C?bt+E3YWdx@uARJ2#pzcq@7_*cr{eUhmfKzH+R5uwoPO2v?(O7tDo(#@ zIrnz*Iu)m1wcPGn*G^ui;`FPQ-(Bn4$?H^{e%12s?c{YTPQPk7_jd9+6{lad-0oV} zPF|r|Y6)$;D`b3PQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=#Au66C?bt+E3YWdx@uARJ2#pzcq@7_*c zr{eUhmfKzH+R5uwoPO2v?(O7tDo(#@x!tv{oxD!P=~pekyVkXn*Qq%Ds^#6=$?H^{ ze${fjYh62eor=@1THd{#yiUdGS1q@@*0qz@sW|uTydQRm<(J zb?xMJDo(#@dG~hmIu)m1wcPGn*G^ui;`FPQ-(Bn4$?H^{e%12s?c_grbKHwp&&#>D zlh>(ySHEhx-LPX42(<8&+Da_;Tqbt+E3YB~3I z@;Vi#U$xxsTGviqr{eUhmUnL_uTydQRm-`zlh>&@{i@~M+sW%xf9@AgziRy*_jcXK z|NiPbzIOUm`{#b~^sDwezV_JbRGfa*a_;TqKY4qcZpB;9y`8*H#pzcq=iW~K)2HKf zE8cSM?c{YTPQPk7_jdB{-XHhb$Ir{Tx0BbYd{@6}Irnz*Iu)m1wVZo9d7X;WuUgK% zoxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7 z_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*p ztCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo z)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>)%`|ejQ=iWZ{r&DqIRqJ=0 ze$`H1r{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1 zwVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{ ze${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC;!2l<6gXaUe3LpyiVo2`c=!hx08SQ_P7sUKQHIrPF|<- zUHz)%+}p{2^mLqV#aqt3oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3< z$?H^{e${gB?c{Z;@A%y5SNE>Jx9{!e9`~oOzFGgf?gvi4YQN)ir(d<-{sWJ_PQ~e0 zE$7}&{*$-I=~n#iSj)Tf=l${bbt+E3YB~3I`u?X+$LUtQ<=orJ>r|Y6)pG9br|Y6 z)pG9br|Y6 z)$;D`*ptCrtg>)OfdRGfa*^6u^Abt+E3YB~3I z@;Vi#U$xxsTGviqr{eUhmfv0L+R5uwoPO2v?(O7tDo(#@x!tv{oxD!9-gm!h`Q5dy zee6%C;`FQ5?>POcoxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%k8dp?c{YTPQPmT-Lr|Y6)$;D`S-P_6QRGfa*a=U9?J9(Xo)2~|Iy`8*H#pzcqx4YK0lh>&@{i@}6*SdD{Iu)m1 zwY+;fd7X;WuUc+*t!pQ*Q*ruL%kQpr?c{YTPQPmT-L*ptCrtg>)OfdRGfa*^6u^A zKX`N8i&xLfxwn(oseD(zYPsFDuAThLx5s_>`gwWxcJexv@9I}A=iW~Kqo?C^E8cSM z?c{YTPQPk7_jd9+6{lad-0oV}PF|r{XC zr%%6X{T=sq-N*m_>d*Yj=~wNa{pr)M+CTFvkG)RC=~pf1-cJ6Lx5w#Lyye{6$?H^{ ze${gB?c_gwI!?FZE$7}&UZ>*ptCn+bC;#sKai4wsyqtSGd7a94^{bY1Zzr!)ar#xu zxwn(osW|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi# zU$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%x zoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcF zQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYooc=Be${gB z?PGsB6{lade#hxo?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tq zbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`z zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*AG|s4#jEG#+}p|PRKBZUwVZo9`Im2x z`|$Pia_;Tqbt>Q0uUgK%o%}~n$LUtQ<=orJ>r|Y6)pG9b*pt9zH*_jd3)6{la_yWGCFgV(7z{p#N3_PrgvPQ~e0 z_b#{Z?cjAPPQSW$xqWX3uTydQ)xFE@dpmfYiqo&|U2fmo!Ru6+`hMi*Qq%D>fYt{y&b$x#pze~F1PRP;B_iazq)t1eQyV^Q*rv$ zz02);J9wRn)35GbZr|I%>r|Y6b?+`hMi*Qq%D>fYt{ zy&b$x#pze~F1PRP;B_iazq)t1eQyV^Q*rv$z02);J9wRn)35GbZr|I%>r|Y6b?+`hMi*Qq%D>fYt{y&b$x#pze~F1PRP;B_iazq)t1eQyV^ zQ+?Zyo_^K(JG*OLyY6GZ`uxwIe${^4kDh+je*Whld!35YuUgK%o%|>3-!G?IwVZo9 zd7X;WuUgK%o&2Xy$9--6`{ga?-cDYp;`FPQb8jdA?)`C}ef+$fdpmiZ%6IjvmUC|> zuTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK% zoxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7 z_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*p ztCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(XI zy{{jf&&#>DkNxRWynfaC9j9Bhlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1 zwVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{ ze${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p{2@aDJ|ub!84Zzr!)`L2G| za_;TqU%ox=!`IKtxwn(oseD(zYB~3I@*h1Nr(5xsb8jcFQ*ruL%el9c*Qq%Ds^#3< z$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYo$62j`sr7#zvJGn``E9(^^Z=!YX9`F zpMKST>mNP#Iu)m1wVZo9`A^;+r(f}wb8jcFQ*ruL%el9c|MclN-HNxIdpmiZiqo%J z&b^)dyZ6U^_VM#_?(O7tD&N(wTF$+lyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZj zJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E z?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL z%el9c*Qq%Ds^#3<$?H^{e${gB?c{Z;^}hR6%el9Y{pnPke%1ONr(d;`*Qq%Ds^#3< z$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3 zYB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@ z{i@~M+sS|M=C~KHo|kiPC$Cfau71^W?(O7XzCG^4*U!tjx0BbYd{@6}Irnz*A3YtX zTk)22Zzr!)ar#xuxwn(osW|r|Y6)pG9bRZ2Z`qjPb@9lg0mB;<*t8dnCg`YhAs{PiloPO2*sh@o8bt+E3YB~3I@}ImtPPgKB z$6DT*ptCn+bC;#sKai4wsyqtSGd7a94 z^{bZKUF+J(>r|Y6)$+S*T|0T5iqo%J-o2f?PQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=!h zx0BbYIQ^>S+}p|PRGfa*a=U9?J9(Xo)2~{7cdctDuTydQRm;1#lh>&@{i@~M+sW%x zoPO1E?(O7tDo(#@Irnz*Iu)m1wcPGn*G^ui;`FPQ-(Bn4$?H^{e%123Yh62eor=@1 zT7Gw}YbUQ$ar#xu@2++2*ptCn|fC$Cd+`c=!h zx0BbYIQ^>ScGtRg@;Vi#U$y-1TGviqr{eUhmUnL_uTydQRm-`zlh>&@{i@}5*SdD{ zIu)m1wfyc{*G^ui;`FPQcW)=JQ*ruL%k8dp?c{Z;^}hR6%kQpr?PGsB6{lade#hxo z?c{YTPQPk7_jd9+6{ladoO?TYor=@1T5fl(YbUQ$ar#xu@2++2*ptCn|fC$Cd+`c=#Au66C?bt+E3YWdx@uARJ2#pzcq@7_*cr{eUh zmfKzH+R5uwoPO2v?(O7tDo(#@x!tv{oxD!P=~pekyVkXn*Qq%Ds^#6=$?H^{e${fj zYh62eor=@1T7Gw}YbUQ$ar#xu@2++2ScGtRg@;Vi#U$y-1TGviqr{eUhmUnL_|G}H%Uc7o<&b^(y zPUXA$Rm<(Jb?xL|zCG^4*U!tlx0BbYd{@6}Irnz*A3YtXTk)22Zzr!)ar#xuxwn(o zsW|Rr@V}@3GgZIQ^>S+}p{2^7c60inpA5J9(Xo)2~|2y`B80Psiz2 zyye{6$?H^{e${gB?d0FRKkl=SpOPF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi# zU$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uT!n}-LG2Cy?yLYr{eUh*6%p| zs-3(}#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@ zIrnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%D zs^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9bRxwn(osW|s^xaqx_0t96{lad{O(%UPF|r|Y6)pG9b*ptCrtg>)OfdRGfa*^6u^A zbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcqx4YK0lh>&@{i@}6*SdD{Iu)m1 zwfyc{*G^ui;`FPQ-(Bn4$?H^{e%123Yh62eor=@1THd{#yiUdGS1q@@*0qz@sW|r|Y6)pG9bS-P_6QRGfa*a_;Tq zbt+E3YPsFDuARJ2#pzcqzq{78lh>&@{i@~N+sW%xoPO1EyK7xLd7Wy#?|#+tyK7zh z*q=_t=~u1aar#v|d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQ+gr|Y6)pEOQT|0T5iqo%Jes`^FC$Cd+ z`c=!jx0BbYIQ^>ScGtRg@;Vi#U$wk@J9(Xo)2~`?cdctDuTydQRm< zPF|r|Y6)$;D`S-P_53 z@aDJ|ub!84Zzr!)`L2G|a=U9?JNcJykNfcT^YZTP~$(mziK)6cJiORJx;gcE$7}&UZ>*p ztCn+bC;#cwak>?6Irnz*Iu)m1wVZo9`FHP+`|RWA<=orJ>r}q0U$vZjJ9(Xo)2~|2 zy`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@ zIrnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%D zs^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRO@~BtCn+b zAN$j(IQ^>iJ5Il9C$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi# zU$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%x zoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcF zQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+l zyiUdGS1sq>PF|uTydQRm-`zlh>&@{i@~M z+sW%xoPO1E?(O7tDo(#@Irnz*I@LG*!Rc46zvJGn``E9(>E-EH_x{1@SKrjWeC%~9 zPQSW$xqWX3|H<3qbSr-Ea{Jy6UZ>*pt9zH*_jd4~J{_l9@q3rs_jd3)6{la_yWGCF zgMat_xX;$VUw-d$``!*-r{eUhdzahycJMkCr(fN>+`hMi*Qq%D>fYt{y&b$x#pze~ zF1PRP;B_iazq)t1eQyV^Q*rv$z02);J9wRn)35GbZr|I%>r|Y6b?*pt9zH*_jd3)6{la_yWGCFgV(7z{p#N3_PrgvPQ~e0_b#{Z?cjAPPQSW$ zxqWX3uTydQ)xFE@dpmfY>YHAkes%A1``$kEr&DqI)xGQYoPOo^+}^?KRGfZw?{fRz z4qm6?^s9T9+xK?xIu)m1-Mierw}aQIIQ{D0<@UWDyiUdGSNATr@9p4qDo($;ce#CU z2d`6c`qjP5?Rz_Tor=@1?p*pt9zH*_jd3)6{la_yWGCF zgV(7z{p#N3_PrgvPQ~e0_b#{Z?cjAPPQSW$xqWX3uTydQ)xFE@dpmfYiqo&|U2fmo z!Ru6+`hMi*Qq%D>fYt{y&b$x#pze~F1PRP z;B_iazq)t1eQyV^Q*rv$z02);J9wRn)35GbZr|I%>r|Y6b?=i?5=g~x)1$o{nq-g^{dw3JN@dc`(yvNUL5zgzTO_MU-9StvH#@lasSQh z_P9^hzia-yKlZ=z!^eI4#r8P;ia+m<{ijdIeeIXp3yg&B;?N1!{?#u0Q`W1iP zANzOjkNfQ7_PBTJ-!*^UAN&9A=Z^dDf22K5zv9pPWB;4qbKGzKR(qU&#h>@b{(t!8 z3yg&B;;KgzO;Op&i`W1iPAN&9P?Qy^Lx;;+6;?MhI|9|}Oalie= z_Bj2DKktwIZ$BOPfBsT?oPNch_s9PK`ibNI;g{Rv^eg_nKlcCd{c->I$L(?Y6@T6z z`~T3yg&B;`1_Ch zy>D)h)35mR{@DNCZyfheexW^1zv9pPWB*To?6|LgUwfQ>#h>@b{_DSU-0%Ntdz^m7 zpZCZ94}RvjfA)jzarza1-XHsa{`uqn`ERzz=~w)Df9(J8&2j(YRePL%#h>@b{$IX0 z?q7brJx;&k&--Kl{_S!9>UDdZe#M{n$NrCg__#m*Vtbr^#h>@b{*RxI``5qJ9;aXN z=l!w&|9*m7|Fd6ikJGRC>;1v+uk}Ck{SN!$<(0}W19QUVx zp*>E&;;;9I{_{U}+_!ySdz^m7U+)k7xBbp>U-;GbIQ@#h-XHou^E1c&*&l3=)35mJ z{h|N%&mZ^gzu6wAU-8%bL;oFbj{9@3+T-*q{(67tzxd*~FMho}PQT)>_lN#F-yZi} zuiNAFEB<Pl=ANSo~Y>(5g`0M?l|L&*b{>@)%kJGRC>;0ksw|?TdzwqVuIQ@#h z-XHqE@cy{(`M5n!zv8d=hyLIGx#Pa~N801`EB<OvP9QPN0t36J?;;;9I{@?lK zBYLC;e`0M?l|M$NCxWDwx?Q!}Qf4x8Sf9W@l`@UajkJGRC>;0ks_kZlTFMVHo zoPNb$?+^W#e&@LV;8)w@^eg^)f9U_ipE>S7`oZ=%{ffWdANs%i`Q!fbZ??zjSN!$< z(0}mexEHV53dVlEu%8TRv%GcZD^eg^)f9PMnJ?_KT?Q!}Qf4x8S|M3qW_t6*I z3dVlCYdOGeu`K9(a{ffWdANv3FCyx8_m)qm?EB<)`@-p0_x|nc-hSb+*QYrB>fYt{y&e1~Z;#Wj_`S>Rdpmf2 ziqo&|U2fmo!GHR6oPNdcU2fmo!Ru3;es%A1``!-z-TUJ{TmOFfz02);J9vGH)35Gb zZr|I%>r%*_b#{Z?cnt(PQSW$xqWX3uTOFM)xFE@dpmf2iqo&|U2fmo!Ru3;es%A1 z``!*-pW^hZdzahycJTTXr(fN>+`hMi*QYrB>fYt{y&b$h#pze~F1PRP;Pt70{e|^g z?aSY=%k6vn&@cD?IQ`1s*}Hzv?Rz_TeTvhs?p%*_b#{Z?cnt(PQSW$xqWX3uTOFM)xFE@ zdpmf2iqo&|U2fmo!Ru3;es%A1``!*-pW^hZdzahycJTTXr(fN>+`hMi*QYrB>fYt{ zy&b$h#pze~F1PRP;Poj^zq)t1eQyV^PjULyz02);J9vGH)35GbZr|I%>r%*_b#{Z?cnt(PQSW$xqWX3uTOFM)xFE@dpmfY>W_cu^sCn2*`{i`2mUC|>uTydQRm-`zlmGPTxUa2$zr5w# z+sW%xoPO1E?(O8?y+7`=kDr%wZzr!)`L2G|a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo z)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7t zDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c z*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq> zPF|r|Y6)pG9b*ptCn+bC$Cej_ua2r&b@u?Pp9H^tJd#0{i>b3 zPQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I z@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M z+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQ zb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1 zTF$+lyiUdGS1sq>PX2>8$Gv#uTydQRm-`zlh>&@ z{i@~M+sW%x|LWIHziRy*_jcXKe)ax$Prqva)vuj?)xQ7T$6lx6^sAP0ZzuoB+vD^r z-g55kuTydQRm-`zlYjUAxX(U*Ue3LpyiVo2`c=!h zx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq z=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1 zwVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{ ze${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b*p ztCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo z)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7t zDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c z*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?U@58fR2;??tV?(O7tD&N(w zTF$+l{L8n;efau$Irnz*I+gG0S1sq>PX42(<8&+Da_;Tqbt+E3YB~3I@;Vi#U$vZj zJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uT#DM(&<*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YPsFDuARJ2 z#pzcqzq{78lh>&@{i@~N+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUc+* zt!pQ*Q*ruL%kQpr?c{YTPQPmT-LS+}p|PRGfa*a=U9?J9(Xo)2~{7cdctD zuTydQRm;1#lh>&@{i@~M+sW%xoPO1EyK7xLd7X;WuUdY0t!pQ*Q*ruL%e%Le*Qq%D zs^xaqx_0t9)q3Cks^xdry7sX@or=@1TEFA;t9J4_6{ladoO?TYor=@1TF$+lyiUdG zS1q@@*0qz@sW|S zcGtRg@;Vi#U$y-1TGviqr{eUhmUnL_uTydQRm<(Jb?xMJDo(#@dG~hmIu)m1wcPGn z*G^ui;`FPQ-(Bn4$?H^{e%12s?c{YTPQPlo-LS-P_6QRGfa*a=U9?J9(Xo z)2~{7cdctDuTydQRm;1#lmFn&aW7syFX!G)UZ?V1{i@}5*SdD{FW(;b;p^w+-P_6Q zRKBZUwVZo9`H!BC)2(>Rxwn(osW|r|Y6)pEOQT|0T5iqo%J-o2f?PQ~e0 zE$7}&UZ>*ptCn+bC$Cfei(fqbs`Yo=+jSrR`>Q|v+UZyAzxc(|ui8KS+GDR%ar#xu zxwn)5mBBcJewEr(d<4dpr40pN`Y5c+0uBlh>&@{i@~M+sVIsf81vuKQHIr zPF|<-UHz)%+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq z=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1 zwVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{ ze${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6)pG9b1C_RXcf|iqo%J&b^(yPQ~e0E$7}&UZ>*p ztCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo z)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7t zDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c z*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+l{0DE2d-3Xd zIrnz*I+gG0S1sq>PX6WF<34=-yqtSGd7a94^{bY1ZzuoJ({Z{LZ#nmN@;Vi#U$vZj zJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>*K@N=hM-MjwYzPF!y z+@HSsX8qRvfzz+rKm6S3SM5LlfyZ8_;`FPQb8jdA$=l;}D}HyZ<=y%7{`mVk6{lad zoO?Tc|I?@AbSvI+?(O7tDo(#@Irnz*@7^Ex*~ibzxwn(oseD(zYPsFDuARJ2#pzcq zzq{78lh>&@{i@~N+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P z=~pecyVkXn*Qq%Ds^xdrx_0t96{ladyn8!&or=@1TF$+lyiUdGS1sq>PF|Sch|ah@;Vi#U$y-1 zTGviqr{eUhmUnL_uTydQRm<(Jb?xMJDo(#@dG~hmIu)m1wVZo9d7X;WuUc+*t!pQ* zQ*ruL%kQpr?c{YTPQPk-_jd9+6{ladoO?TYor=@1T5fl(YbUQ$ar#xu@2++2<9oPO0#UZ>*ptCn+bC$Cd+ z`c=!hx0BbYIQ^>ScGtRg@;Vi#U$y-1TGviqr{eUhmUnL_uTydQRm<(Jb?xMJDo(#@ zdG~hmIu)m1wcPGn*G^ui;`FPQ-(Bn4$?H^{e%12s?c{YTPQPlo-Lr|Y6)$+S*T|0T5iqo%J-o2f?PQ~e0Ew{VYwUgJWIQ^>Sch|ah z@;Vi#U$y-1TGviqr{eUhmUnL_uTydQRm-`zlh>&@{i@}5*SdD{Iu)m1wY+;fd7X;W zuUc+*t!pQ*Q*ruL%kQpr?c{YTPQPk-_jd9hygBa0tLNq1+sW%xzN=rg-0oV}PX6WF z<34=-yu5omd7a94^{bY1ZzuoJ({Z{LZ#nmN@;Vi#U$vZjJ9(Xo)2~`?cdctDuTydQ zRm;1#lh>&@{i@~M+sW%xoPO1E?(O7ts(<#=r(d=Hj(fZA<9~nk2fuRqRr}9=`t+;z z4}Rsb*Qq%Ds^#3<$$#?pINgf3oO?TYor=@1TF$+l{HIUH=~leu+}p|PRGfa*a_;Tq z-@QNXvyY#bb8jcFQ~9ob)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi# zU$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@{i@~M+sW%x zoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp;`FPQb8jcF zQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{ladoO?TYor=@1TF$+l zyiUdGS1sq>PF|`$lS^sCnIIQ^=fyiUdGS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tq zbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`z zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1 z-cJ65H^;qr^}L*WJ9(YTclE25b8jdA^6haSzJ6ZLy`8*H<-7V-%el9c|LEyB-HNxI zdpmiZiqo%J&b^(yPQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRKNe* zr(d=Hj(fZAW54?S?>+tM-rqj`>i664ee88APQSW$xqWX3|H<3qbSr-Ea{Jy6UZ>*p zt9zH*_jd4~J{_l9@q3rs_jd3)6{la_yWGCFgMat_xX;$VUw-d$``!*-r{eUhdzahy zcJMkCr(fN>+`hMi*Qq%D>fYt{y&b$x#pze~F1PRP;B_iazq)t1eQyV^Q*rv$z02); zJ9wRn)35GbZr|I%>r|Y6b?*pt9zH*_jd3)6{la_yWGCF zgV(7z{p#N3_PrgvPQ~e0_b#{Z?cjAPPQSW$xqWX3uTydQ)xFE@dpmfY>i56*^s9T9 z+xPaNKb?xxukKyH=kzPT=k^X>r{eUhdzahycJMkCr(fN>+`hMi*Qq%D>fYt{y&b$x z#pze~F1PRP;B_iazq)t1eQyV^Q*rv$z02);J9wRn)35GbZr|I%>r|Y6b?*pt9zH*_jd3fygBa0t8dul_PrgvPUXA$)xFE@dpr1-Z;$)%^*8Kt z``!*-r}AC>>fYt{y&e2VPsiz2{NCmEy&b$x#pze~F1PRP;B_iazq)t1eQyV^Q*rv$ zz02);J9wRn)35GbZr|I%>r|Y6b?r|Y6)pG9br|Y6)pG9bbcJewE zr(d<4dpr4e?~nWJPF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a_;Tqbt+E3 zYB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|>uTydQRm-`zlh>&@ z{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1-cDYp z;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H_>ef{8kUe3LJ>`$NK^{dwJINhq9yiUdG zS1sq>PF|r|Y6)pG9b*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|P zRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUhmUC|> zuTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK% zoxD!P=~pf1-cJ65H^;qr^}L*WJ9(YTclE25b8jdA^6haSzJ6ZLy`8*H<-7V-%el9c z|LEyB-HNxIdpmiZiqo%J&b^(yPQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=!hx0BbYIQ^>S z+}p|PRR84HPrqvY9rt$K$A0yDe{}j)`%ixT^sDyw{^+sSsW|uTydQRm-`z zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;WuUgK%oxD!P=~pf1 z-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YTPQPk7_jd9+6{lad zoO?TYor=@1TF$+lyiUdGS1sq>PF|r|Y6 z)pG9b*ptCn+bC$Cej z_ua2r&b@u?Pp9JatJd#0{i>b3PQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=!hx0BbYIQ^>S z+}p|PRGfa*a_;Tqbt+E3YB~3I@;Vi#U$vZjJ9(Xo)2~|2y`8*H#pzcq=iW|Ur{eUh zmUC|>uTydQRm-`zlh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wVZo9d7X;W zuUgK%oxD!P=~pf1-cDYp;`FPQb8jcFQ*ruL%el9c*Qq%Ds^#3<$?H^{e${gB?c{YT zPQPk7_jd9+6{ladoO?TYor=@1TF$+lyiUdGS1sq>PX2>8$Gv#uTydQRm-`zlh>&@{i@~M+sW%xzxS2XukKxcZ{ORmJnm0leY5^=g`YhA zs{OsMoPO2**ptCn+bC;#sKai4wsyqtSGd7a94^{bZKUF+J(>r|Y6)$+S*T|0T5iqo%J z-o2f?PQ~e0E$7}&UZ>*ptCn+bC$Cd+`c=!hx0BbYIQ^>S+}p|PRGfa*a=U9?J9(Xo z)2~{7cdctDuTydQRm;1#lh>&@{i@~M+sW%xoPO1E?(O7tDo(#@Irnz*Iu)m1wcPGn z*G^ui;`FPQ-(Bn4$?H^{e%123Yh62eor=@1T7Gw}YbUQ$ar#xu@2++2*ptCn|fC$Cd+`c=!hx0BbYIQ^>ScGtRg@;Vi#U$y-1TGviq zr{eUhmUnL_uTydQRm-`zlh>&@{i@}5*SdD{Iu)m1wfyc{*G^ui;`FPQcW)=JQ*ruL z%k8dp?c{Z;^}hR6%kQpr?PGsB6{lade#hxo?c{YTPQPk7_jd9+6{ladoO?TYor=@1 zT5fl(YbUQ$ar#xu@2++2*ptCn|fC$Cd+`c=#A zu66C?bt+E3YWdx@uARJ2#pzcq@7_*cr{eUhmfKzH+R5uwoPO2v?(O7tDo(#@x!tv{ zoxD!P=~pekyVkXn*Qq%Ds^#6=$?H^{e${fjYh62eor=@1T7Gw}YbUQ$ar#xu@2++2 zp0eNj5>~^j>A~Tajl1O#OZV@DhH9W zfGL5L(1olq+?b1-7$F7>lu|^>BIbM^pU?00{pQR$*Xxh-*R%7!^4Q-dS?aZ~PZIaE zc{>$nze>5eYaQCWor<$xrTpBr4sG5}#o4b?-aT#p`CR)|%FSKt z(B@B{U+&D6dwKV?c{`QQwO^&2d)oZjqvh;YymIbo^L8rEewA|WY4dg}&VH40bJsew zc{>$nze;)cw0S!fXTM50_q2IC6=%OnIrp@AJJrWux%R8nkK>+>d;RCHKKA~#U!@;^ z<=U^(kG=nRZ>QqyS1IS7Hh=B;}Xxu?zBsW|&p z%DJb_Uw3J_i&yXE+|%amR6f^!m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vK zb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|W zY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahD za_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rE zewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOO zD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dif@%6c1rJQ?uyk9#NXTM5)A7{Txo3~SO z_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPM zQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3 zo3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc} zb5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQU ztCVw3o3~SO_N$b0Pn$n^Zn;xe?B(3k=IvBI*M609?rHO<&o6i8%DtR>+Pt00=i0AQ z&OL4Z?9p;|D_%MGw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!f zXTM50_q2IC)yFPd`_$nze+jxw0S!fXTM50 z_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze>5eYaQCWor<$xrTpBr4sG5}#o4b?-aT#J zPQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?Zthx#HgBim>{ls2cdbL4w^MQU ztCXL+)}hVYsW|&p%FkWv(B|z_oc${0=dN{V^L8rEewFg>Y4dg}&VH40bJsewc{>$n zze;)cw0S!fXTM50_q2IC6=%Onxw&f{+Ps~LvtOnB+_esE-cH5YuTtJUZQf4B*{@R0 zJ#F4j#o4b?Zthx#HgBim>{ls2cdbL4w^MQUtCV+7o3~SO_N$beyVjx2+o{Ia=YEy) zbJsfbc)xZk&VH5pKF)rXHgBim>{lu0o;Gi%;_O!`=bkoir{e5aDK~emLz}l#arUc} zpS#wf&D*Is`&G)jr_I}`IQvz~&0Xuz=IvCR{VL_%)8_3|oc${0=B{;U^L8rEewFfb z*E+O$I~8ZYN_qFRc{>$nze>5eYaQCWor<$xrM!FEyq$`(U!~mKwGM6GPQ}@;Qhx4Q zhc<7g;_O!`@18bqr{e5aDK~emLz}l#arUc}pS#wf&D*Is`&G)%UF*>1?NproD&^hN z=IvCR{VL_$)8_3|oc${0=B{;U^L8rEewFg>Y4dg}&VH40bJsewc{>$nze@SJYaQCW zor<$xrM!FE{K<36ow{N#=bkoir}DY>tCX9&)}hUxKEK?VEBEs5Y4dg}pKHHLIrp^r zvq#I>t$5|!)8_3|oc${0+|%amRGj@P<>sz+X!CX|&VH5h?rHOOD$ahDa_(vKb}G(( zm2&QB^LDDg{rR$nze+jxwE62UEqC$iy_|d6yq(JD+OJa1 zJ#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@; zQqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B z*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43 zPQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuE zZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b? z&OL43PBp$h_p6k1PmlL&r{e5asqf?LS84NhD$ahDa_(vKb}G((m2&QB^L8rEewA|W zY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahD za_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rE zewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOO zD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKC(kW+>WaOb zd)mC6%IDgzQqDbX{`C3f&Rn^db5EPMQ~6x`Rm!=i&7VD5&Thpk=bkoir{e5aDd(Ox zZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>Rdm->v;B_2amw<6ifx zkDOln)z-gT`_)I%)5m)|6=%QNI^4Xc#b0}VIlC1QqyS6hdh_q2FB6=%QNI^4Xc#oMVk`_D zw^MQUtF6P$ds@7minCvB9d6#!;_XzN{c7uQ^PU!Or{e5aTZfzXw0JueXTRDy+`Om7 z+o?GF)z;zWJuTi&#o4d64ma;<@pdZCezkSDc~6VCQ*rjIt;5ZGTD+Z#vtMl;Zr;=4 z?NproYU^u~d)7H_BG>{nZdoAuubqmsUu_-V z&)KhhKQ~*vor<$xZ5?jj)8g$^oc(I+aPyuPZ>QqyS6hdh_q2FB6=%QNI^4Xc#oMVk z`_Dw^MQUtF6P$ds@7minCvB9d6#!;_XzN z{c7uQ^PU!Or{e5aTZfzXw0JueXTRDy+`Om7+o?GF)z;zWJuTi&#o4d64ma;<@pdZC zezkSDc~6VCQ*rjIt;5ZGTD+Z#vtMl;Zr;=4?NproYU^tF6P$ds_VI^UIyN@(vwt-qYgkR6f^!wRO08 zPm4c$w4B|FpB--A)8g$^oc(I+aPyuPZ>QqyS6hdh_q2FB6=%QNI^4Xc#oMVk`_b;zM+Pt00=i0AQ&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43 zPQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuE zZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b? z&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5Y zuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j z#o4b?&OL43PQ}@;QqDbX-cB{XKEC1H%ekk=`?XK;_N&zQadxY;c{>$nze+jxw0S!f zXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@A zI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jx zw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%On zIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$n zze+jxwE2_gmOFLDUd}yj-cIFn?N=%1o;H8_{Bmcm+{?MA&D*JbuKgarUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+> z-SV!rU!{H=_jKIres#;e)_%41U2DI(CB4`2-cH5YueJ_1?`iSZo?p&>#m^2m?`iRN zD$ahjb+~y?i@$KRoZX6_9d6#!;_XzN{c7uQ^PU!e-KFI&j$gn0>~Qm*7H_BG>{nZd zoAs8_N%SK z&3jtBor<$xZ5?jj)8g$^oc(I+aPyuPZ>QqyS6hdh_q2FB6=%QNI^4Xc#oMVk`_Dw^MQUtF6P$ds@7minCvB9d6#!;_XzN{c7uQ z^PU!Or{e5aTZfzXw0JueXTRDy+`Om7+o?GF)z;zWJuTi&#o4d64ma;<@pdZCezkSD zc~6VCQ*rjIt;5ZGTD+Z#vtMl;Zr;=4?NproYU^u~d)9_!am#o4d6j_>E}SH7Q{E#6MW*{`+^H}7fjb}G((wRO08Pm8xxarUdN!_9kI zyq$`(Uu_+3-qYgkRGj^4>u~d)7H_BG>{nZdoAs8_N%SK&3jtBor<$xZ5?jj)8g$^oc(I+aPyuP zZ>QqyS6hdh_q2FB6=%QNI^4Xc#oMVk`_D zw^MQUtF6P$ds@7minCvB9d6#!;_XzN{c7uQ^PU!Or{e5aTZfzXw0JueXTRDy+`Om7 z+o?GF)z;zWJuTi&#o4d64ma;<@h8tMcj}5ebhvp>i?>txT>I75;pROp{`C3f&Rlti z4ma;<@pdYoYron$+`Om7pFLX6ZpF_IH}7fjb}G((wRO08Pm8xxarUdN!_9kIyq$`( zUu_+3-qYgkRGj^4>u~d)7H_BG>{nZdoA!XTM50_q6$I$FE<`Zk2NGY4dg}&VH40?rHNEj+T4J`1Q*x=bkoi zr{e5aDd(Oxf8C|!E?&Ktb5EPMQ~6x`Rm!=i&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p z%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is z`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~xu?zB zsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i z&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~ zxu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`#@EL;oO?O<^mxDaDc*jS`aaHX zl{Rmu;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5a zDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim z>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoi zr{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7 zHgBim>{lu0o;Gi%;_O!`=bkoy^4xN#uGq`Dr_I}`e6IZ}<=oTePoH1z%$0jN_q2IC zmCv{h&T?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40 z?rHOOD$ahDa_(vKcB;SrleJ%^ejN97-0OaI^T*bHmHzdgtoI~8ZYN;&tm z`D@QFXTRc=b5EPMQ*rjIlygs;zi_mi-HKPvJ#F4j#o4b?&OL4Zx=YJlym~L^o;Gi% z^11e_lygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3 zo3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc} zb5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQU ztCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+> zarUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs; zw^MQUtCVw3o3~Squh0D|<=oTb{o1KG`&H`uIQv!Fyq$`(U!|OT+Ps~LvtOm0d)mC6 zinCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@( zyq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0 zd)mC6inCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$x zrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Wg6L z%bmJnFXx^%Z>RFP_N$b0Pn$n|ez`MO?&aLm=IvBI*M609?rHO9kCwAr@yfZU&D*Is z`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`Zhqw2ueOdK zZ{E{K9=|_bb8h@z^Ly5QmEQcwwO^%w^*zUXI~8ZYN;&tm`D@QFXSd?#jy1eHzrKF_ z=i8|``&G)hr|t7E94%+J;+1nxo3~SO_N$b0Pn*B)(sCEC-pjeC&D*JbuKg$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZY zN;&tmc{>$nze>5eYaQCWor<$xrTpBr4sG5}#o4b?-aT#JPQ}@;QqDbX-cH5YuTsuE zZQf4B*{@R0J#F4j#o4b?Zthx#HgBim>{ls2cdbL4w^MQUtCXL+)}hVYsW|&p%FkWv z(B|z_oc${0=dN{V^L8rEewFg>Y4dg}&VH40bJsewc{>$nze;)cw0S!fXTM50_q2IC z6=%Onxw&f{+Ps~LvtOnB+_esE-cH5YuTtJUZQf4B*{@R0J#F4j#o4b?Zthx#HgBim z>{ls2cdbL4w^MQUtCV+7o3~SO_N$beyVjx2+o{Ia=YEy)bJsfbc)xZk&VH5pKF)rX zHgBim>{lu0o;Gi%;_O!`=bkoir{e5aDK~emLz}l#arUc}pS#wf&D*Is`&G)jr_I}` zIQvz~&0Xuz=IvCR{VL_%)8_3|oc${0=B{;U^L8rEewFfb*E+O$I~8ZYN_qFRc{>$n zze>5eYaQCWor<$xrM!FEyq$`(U!~mKwGM6GPQ}@;Qhx4Qhc<7g;_O!`@18bqr{e5a zDK~emLz}l#arUc}pS#wf&D*Is`&G)%UF*>1?NproD&^hN=IvCR{VL_$)8_3|oc${0 z=B{;U^L8rEewFg>Y4dg}&VH40bJsewc{>$nze@SJYaQCWor<$xrM!FE{K<36ow{N# z=bkoir}DY>tCX9&)}hUxKEK?VEBEs5Y4dg}pKHHLIrp^rvq#I>t$5|!)8_3|oc${0 z+|%amRGj@P<>sz+X!CX|&VH5h?rHOOD$ahDa_(vKb}G((m2&QB^LDD6{@vQIQa_G+ zI_~wKzxv=i)_#@V^zYVwm45IY$9p>!XTM50_q6$I&o5`U;+1nxo3~SO_N$b0Pn*AR zw4B|FSI#|c-cH5YuTsuEZT`AT%U!&BFXx^%Z>RFP_N$b0Pn)+>arUc}b5EPMQ*rjI zlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO z_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPM zQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3 zo3~SO_N$b0Pn)+>arUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc} zb5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+>arUc}b5EPMQ;o0B{VL_$)8qZx zsW|&p>ianRRoc9rinCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv( zoO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`( zU!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6 zinCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@( zyq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~*$#ct{x?(Tqo;Gi%^11e_lygs;KYf0= zGgt2A+|%amR6f^!m2&QB^JkBivs>}Xxu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)h zr_I}`IQvz~xu?zBsW|&p%DJb_+o?Wym$hGQ9Y5Z@r*}Dif4b(}_`UAa)_#?K@Gfh= zN}Xxu?zB zsW|&p%DJb_Uw3J_i&yXE+|%amR6f^!m2z{}I<$E^6=%On`MGNy+Ps~LvtOmWd)mC6 zinCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(+}yPeZQf4B*{@Q5 z?plX7Z>QqyS1IqFHgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1C7ltwWo) zQ*rjIl%KoSq0QT=IQvz~&t2=#=IvCR{VL_>u61bhb}G((mGX1fI<$E^6=%OndH1w= zI~8ZYO1Zgf9ooE|inCv(ynEWbor<$xrJQ@(yq$`(U!~mKwGM6GPQ}@;Qhx4Qhc<7g z;_O!`@18bqr{e5aDd(OxZ>QqyS1C7ltwWo)Q*rjIl%KoSq0QT=IQvz~yQj_DsW|&p z%FSKt(B|z_(J)yRGj@P<=xZf?NproD&^*`b!hW;D$ahD^6qK#b}G(( zm2z{}I<$E^6=%On`MGNy+Ps~LvtOmWd)mC6inCv(+}yPeZQf4B*{@RGJ#F4j#o4b? zZthx#HgBim>{ls2cdbL4w^MQUtCV+7o3~SO_N$beyVjx2+o?GFRm#s@>(J)yRGj@P z<>#(-X!CX|&VH5h?rHOOD$ahDa_(vKb}G((m2z{}I<$E^6=%OndH1w=I~8ZYO1Zgf z9ooE|inCv({M@w;ZQf4B*{@RGJ#GHvx#dn>v6pjCo3~T>T>DkZ&0Xuz=1-qr?#z{Y zdH1w=JC)D1U!|OT+Wgt0$nze+jxw0S!fXTM50_q2IC)%$;J?N_NE$2}eQ`p;jz?+?~~mA?PS)_#?~?+=dm zb}G((m2&QB^VgnV&Thpk=bkoir{e5aDd(Oxf8l63yA`jTd)mC6inCv(oO{~*b(faA zc=cY+J#F4j<#X*QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(Ox zZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0 zo;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5a zDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim z>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoi zr{e5aDd(OxZ>QqyS1IS7HgBgIU!VI`%DJb<`?XVX_N&zQarUdUc{>$nze+jxw0S!f zXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@A zI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jx zw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%On zIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$n zze+jxwE2_gmOFLDUd}yj-cIFn?N=%1o;H8_{Bmcm+{?MA&D*JbuKgarUc}b5EPMQ*rjIlygs;w^MQUtCVw3o3~SO_N$b0Pn)+> z9esH1SE(PzJstPDUmZPY?N?hry!NZ3^g+jaI~8ZY+B)34r^R1;emT1pKRevKr^VZ; zIQ!Ms;pROp{=(66b}N2%xOq>Dw^MQUtF6P$ds_T;mzKLYe*N;Z!_9kIyq$`(Uu_+3 z-qYgkRGj^4>u~d)7H_BG>{nZdoAs8_N%SK&3jtBor<$xZ5?jj)8g$^oc(I+aPyuPZ>QqyS6hdh z_q2FB6=%QNI^4Xc#oMVk`_Dw^MQUtF6P$ zds@7minCvB9d6#!;_XzN{c7uQ^PU!Or{e5aTZfzXw0JueXTRDy+`Om7+o?GF)z;zW zJuTi&#o4d64ma;<@pdZCezkSDc~6VCQ*rjIt;5ZGTD+Z#vtMl;Zr;=4?NproYU^(@@j*{`;a@8|4SzMq>d-cH5YueJ_1?`iRND$ahjb+~y?i?>s8_N%SK&3jtB zor<$xZ5?jj)8g$^oc(I+aPyuPZ>QqyS6hdh_q2FB6=%QNI^4Xc#oMVk`_Dw^MQUtF6P$ds@7minCvB9d6#!;_XzN{c7uQ^PU!O zr{e5aTZfzXw0JueXTRDy+`Om7+o?GF)z;zWJuTi&#o4d64ma;<@pdZCezkSDc~6VC zQ*rjIt;5ZGTD+Z#vtMl;Zr;=4?NproYU^WVvbxOq>Dw^R9C`_s8_N%SK&3jtBor<$x zZ5?jj)8g$^oc(I+aPyuPZ>QqyS6hdh_q2FB)s6pj?N_NEXYN{uj(gp&{_QqyS1IS7HgBim>{lu0o;Gi%;_O!` z=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>Qqy zS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi% z;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(Ox zZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0 zo;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBgIUmxFa?&aLm7iA`#8H* z+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv( zoO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`( zU!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6 zinCv(oO{~5or<$xrJQ@(yq$`(U!|OT+Ps~LvtOm0d)mC6inCv(oO{~5or<$xrJQ@( zyq$`(U!|OT+Ps~LvtOm0d)oZTbIYB&VlU^OHgBi$x%R7+b5EN;eSWz!SMKH9)8_3| zKG%Mga_(vKXOEV%Tk*=dr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)h zr_I}`IQvz~xu?zBsowk6wO^%v9QSnG>wfi~e^~of`rfy${VILWKOFDvRGj@P<=oTe zuRXt<{fbx4J#F4j#o4b?&OL4Z!qIYeD_%MGw0S!fXTM50_q6%zE-iQQ>b;zM+Pt00 z=i0AQ&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX z-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0 zJ#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@; zQqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B z*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43 zPQ}@;QqDbX-cB{XKKHAXb5D==Yp3GuSE=vg>{n^?b}G((m2&QB^L8rEewA|WY4dg} z&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vK zb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|W zY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahD za_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^C!w^R9C`&G)hr_G-|TF!38E9agzZ>Qqy zS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBhT&lA^vwRQY> z^PWEO`2Fdcb7L#`mutUD-}A(^U#0K$nze+jxw0S!fXTM50_q2IC6=%On zIrp@AI~8ZYO1Zgf9ooE|inCv({M@w;ZQf4B*{@RGJ#F4j#o4b?&OL43PQ}@;QqDbX z-cH5YuTsuEZQf4B*{@P=?plX7Z>QqyS1CVttwWo)Q*rjIl%KoSq0QT=IQvz~&t2=# z=IvCR{VL_>u61bhb}G((mGbUs^L8rEewA`_*E+O$I~8ZYN_qFRc{>$nze+jxw0S!f zXTM6hxoaKTyq$`(U#0xqwGM6GPQ}@;QrQqy zS1CVttwWo)Q*rjIly^^?w^MQUtCX9&)}hVYsm9mmewFfb*E;lgzji9lewF$@&VH3P zZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`H+QW=o3~SO_N$biyVjx2+o?GFRm!`k&D*Is z`&G)#UF*>1?NproD&^hN=IvCR{VL_=u61bhb}G((mGX1fI<$E^6=%OndH1w=I~8ZY zO1Zgf9ooE|inCv(ynEWbor<$xrQF=L4sG5}#o4b?e(qX_HgBim>{lu8o;Gi%;_O!` zH+QW=o3~SO_N$biyVjx2+o?GFRm#s@>(J)yRGj@P<=xZf?NproD&^eM=IvCR{VL_= zu61bhb}G((mGbUs^L8rEewA`_*E+O$I~8ZYO8L2K9ooE|inCv(ynEXG$#ct{x?(Tq zo;Gi%^11e_l$*QOq0OH@zucKC_ww#(^L8qqYrjf4_q6%5N6Xo*c;(#F=IvCR{VL_$ z)8_3|oc${0=B{;U^L8rEewFg>Y4dg}&VH40?rHOOD$ahDa_(vKcB*&1a_v{CAICi% z_xjIY-SGakU#0JQ<=U^(8{U7sw^MQUtCVw3o4@w_a&{|TIrp@AI~8ZYN;&tm`3pzO z*{yix+|%amRGj@P<=oTeue-F|#jE#n?rHOODxYh=N;&tmc{>$nze+jxw0S!fXTM50 z_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZY zN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!f zXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@A zI~8ZYN;&tmc{>$nze+jxw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jx zw0S!fXTM50_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S$#`1;(hQqDa+-mjgC zvtOmYkF#H;&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)h zr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p z%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is z`&G)hr_I}`IQvz~xu?zBsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_I}`IQvz~xu?zB zsW|&p%DJb_+o?GFRm!=i&D*Is`&G)hr_G-{x7?{K_HyoN^L8qqYrjf4_q6%b=a)Nk zW0hKezkS{c=MiKcKrTy&AG8vym0MT=?#~y{VM&7 z7as5JRGj@P<=oTeuRXt<-HM+(*6{B9`ug#oZ>QqyS1IS7w$HzCw4B|FSI#|c-cH5Y zuTsuEZT`AT%U!&BFXx^%Z>RFP_N$beyVjx2+o?GFRm#s@>(J)yRGj@P<=xZf?Npro zD&^eM=IvCR{VL_$)8_3|oc${0+|%amRGj@P<=oTe?NproD&^*`b!hW;D$ahD@^jZZ zw0S!fXTM5$_q2IC6=%OnIrp@AI~8ZYN;&tmc{>$nze+jxw0S!fXTM6hxoaKTyq$`( zU#0xqwGM6GPQ}@;Qhx4Qhc<7g;_O!`KX1?NproD&^hN=IvCR{VL_$)8_3|oc${0=B{;U^L8rEewFfb*E+O$I~8ZY zN_qFRc{>$nze+jxw0S!fXTM6hxoaKTyq$`(U#0xqwGM6GPQ}@;QrQqyS1IqFHgBim>{lr_cdbL4w^MQUtCV+7o3~SO_N$be zyVjx2+o?GFRm#s@>(J)yRGj@P<=xZf?NproD&^*`b!hW;D$ahD^6qK#b}G((m2z{} zI<$E^6=%On`MGNy+Ps~LvtOmWd)mC6inCv(+}yPeZQf4B*{@Q5?plX7Z>QqyS1CVt ztwWo)Q*rjIly^^?w^MQUtCVw3o3~SO_N$beyVjx2+o?GFRm!`k&D*Is`&G)#UF*>1 z?NproD&^;{b!hW;D$ahD^6qK#C(kW+>WaObd)mC6%IDgzQf}^AhcarUc}o4eMb&D*Is`&G)jr_I}` zIQvz~xu?zBsW|&p%DJb_+o`Vq`L$oAejN97-0MGo_2+L~`&D}V&#(O|{qr{-@9k8a z{VL_$)8?-|zntBQSI#|c-cH5YuTsuEZT`a1a&{|TIrp@AI~8ZYN;&tm`Rgt%ck$}I zoO{~5oyzChuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B z*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43 zPQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuE zZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b? z&OL43PQ}@;QqDbX-cH5YuTsuEZQf4B*{@R0J#F4j#o4b?&OL43PQ}@;QqDbX-cH5Y zuTsuEZQf4B*{@R0J#F4jHNHOgtCVw3kN0b*;_O$c@8j%OY4dg}&VH40?rHOOD$ahD za_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rE zewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOO zD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB z^L8rEewA|WY4dg}&VH40?rHOOD$ahDa_(vKb}G((m2&QB^L8rEewA|WY4dg}&VH40 z?rHNU&n`CR)|%DJb_pFLX6ZpAC- zo;Gi%;_O!`=bkoir{e5aDd(OxZ>QqyS1IS7HgBim>{lu0o;Gi%;_O!`=bkoir@Hue zYrjhUIPU4VAG2SrKkmBkUp~Efdfn4;zoUQNiMxIAoljhL;`En%!PvPTH$MLp-n)0C!YSp>G*s1EAHvM-_d{9{QT4F^WXZf#*aUKzEAl- z)A70Qy8ZUI{;PD}r@#C7|K{&{=M(?-#NAHZ>BPNHJo?1L$N&2tC%$lew|Jiuk3aD> zce~q<{?Jc8?g@{*{9kAlm5+PzyEQM{Wnj#{GYt|#V`Kf z9zVXd8{lsDzVnIO=Fj6U>*w)@uO8onKkdYc?|e}@{=m21e)}K3I-U1B{&{@U9sfH1 z!F$H{{NH=x#EVa*<3nC?`|W@5o^;;t=%0B<|HpqezGwd06DMButaSWY{l)FK|M8!t z^Zx(#hrf=0_|Wn5e8Two|JB~R{8z4-Cx_s-jI|D*BwtA3p2SKW{ECr*6F z`1y~okIzrn&*MAB&)?7M@b&unm;Tf8sh`ImK6L$jblmUg|M&mLU%zLL-@}Z*S1-CM z9lxg-e=q;|nd!XW(Z6K+!(YEw{oeRJ#Gjrx@lRf|_palwzk52qUi|t!*B_0~_j|8D zy2_8U{HptLe*E*QtQ z^Io*~uH*j?bWiW{vj2~t|G~fdv&;Qo+Sj`PY2gaq-f_Fm3C zZT=0f78d8`?KHN%ekk`Up4-B>gRpcZF@QQ zwE6$?8_T`<8GAYRwD~uGbh)d4eJ|&pHvg8VFZY&P_j2xO^KX6ma@Ra{FXx^%f6Xn+ z{nuaH%ekk`zwIf@z3oGLIrp^rYkzgQw?BC==bkqI_M4acZ@;{kb5EPU@TBD~+_aZ- zPn&`Z@qcUmI#kX*ZT_7fSnj%C+{?MA&0l=nau?sXmvc{>|MOp1?)tNPIrp^r z>u+4{FMe(>=bkoy!<&+O&g)8^lMa=G{3 zu$OaBoBzw7Uhc+6@8#Un=5M@yxuc)j%ekk`zwc4Yz3<{)&OL4Z{Xek1u!gL-um+Y4bO~eYwB>(Y>5|+WdzeyxfQ0 zwwH5HoB!~SEO*NT_j2xO^S4~H+~2%xFXx^%f9qE)ck5gBa_(vKANk?sKKg*YoO{~* zN8h~M-~P~E&OL4Zw)-!4+f{ox_q6$s{oryRzu#WYJ#GHuZ(8oZzjQC>o;Lr9`!4s1 zH|*uy)8_xj4=ne|`|Rc1)8;?<`sM!T_wD7})8;>Q@8v%Ay1krx+Wg;r?{c5M=U&b| zZT{1*UGDEM-^;nD&41<|%YEiGdpY;C`TzBj+dgjw-@c@ z+|%ao_Sxm`{(E~l_q6#h{I=!3@H2Zk_q6$Y{O)pJ^n$&dd)oXLeR{cjzG5%uo;H84 z=P!4!PwnO0)8_B}JIj6X^Y(J?Y4czF$>r|z+j}|pwD~W2?s8x9iM^bA+WdWgYq>9d z_Fm3CZT?F?zTEwOb1&zfHhwhP+yj1nFXx^% z|K(3#?#pl8%ekk`f5pp}`^u;8<=oTezw(yl9{6i}Irp^r2R&uE2YqNS=bkqI;9p(t ztDd}E*um(R(@fwE3^Sez~(hwU={G zn}6)1mV4~Qy_|d6{NsLdxyL_ZFXx^%|M+(<_k^F=%ekk`Kk;G9J@LX`&OL4ZNk6{a z*F9t}=bkqIb#Gtp$v?W6b5EQ9`Ufxf^>5qDxu?xP1`m(*8 zd)oZd#vePre&T6w*~_`7%|HEzm;1&C?B(3k=D+dH%RS?V_HyoN^WSv;<-X~vy_|d6 z{4;-Wxo6#PFXx^%|ExDH_w1MM<=oTepL5^kp7VyioO{~*bAMpDZ@$l7&OL4Zn_s`& z^S*B{=bkqIE%#pTTVA)9b5EOp{`W5Tt@qr^xu?y4>uZ;L!R327_q6#J-eb8JzGg4y zo;Lq&FIn!}@4lCFPn-YtS1t=e;)N z+|%a0`*PlEQ_ekY-n%d7y*B0C)8@VVa^7oG&OL43yD#UxHs##Y=DqiF-fL6NJ#F5* zFXz2B<=oTez58t=e;)N+|%a0`*PlEQ_ekY-n%d7y*B0C)8@VVa^7oG z&OL43yD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B<=oTez58t=e;)N+|%a0 z`*PlEQ_ekY-n%d7y*B0C)8@VVa^7oG&OL43yD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B z<=oTez58t=e;)N+|%a0`*PlEQ_ekY-n%d7y*B0C)8@VVa^7oG&OL43 zyD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B<=oTez58t=e;)N+|%a0`*PlE zQ_ekY-n%d7y*B0C)8@VVa^7oG&OL43yD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B<=oTe zz58t=e;)N+|%a0`*PlEQ_ekY-n%d7y*B0C)8@VVj<3&m+>~=qkN4}{ zm-Akm-g*3Q&wFs%ymw#Ddu__Or_Fo!<-FIXoO{~5cVEtXZOXZ)&3pIdyw|3jd)mBr zU(S1N%DJb_d-vtM*QT6%+PrsP&U~^2)iV&3pIdyw|3jd)mBrU(S1N%DJb_d-vtM*QT6%+PrsP z&Ui5DvKRw?29KYB0 z`RVv^{QK{IUbFM};>Udc`1g#*e{X_+^()im{&;*ozrKF_&;Q%!=Z}B?e15)i+|%Z-{`KYF^7Or&d)oY4Ze8xJFW<|#r_EpU)a9zi`uX z@A##?oO{~*JD;%JJ3p|Ob5EPU?iZK4__)2Cd)oZP_bvD5zp$5cPn*B~>~h!NxR-NJ zoBxZSTkeK4dpY;C`5WH5+`E2uFXx^%|L(^u_wIM^<=oTe-}5uez4zo^&OL4Zy*DiP zmp{Fib5EPU@zKlOc>P|^J#GHzrP+{%ekk`-~5o}Zhre-&OL4ZuYYv84?TD<=bkqIp|>sf z;UC${xu?zF^1$V8xn?ito;LqCFI(=`uh`4Er_JB`mgPS3!+SaRwE2%dV7ZUJc`xUl zHvhLjwA^j?-^;nD&EIy_av%G_y_|d6{KxON+{fRvmvc{>|L-qd?i2Uj%ekk`f8q_x z{f{5m%ekk`fAT)dee(5tIrp^r|M`8(ed^wOIrp^rPrYurzx&?3oO{~*r|-Gkr(e65 zb5EQ9`^%U6%suvU?rHO%dChYF>m_?R_q6%X-hH{xzIrd`o;H8!yO;aiUH5YCY4e}^ zqvihLyY_PKY4d*1===81ziKb%o;L6Iyu9D@rks1)yx;qeug~A>r<{9wydQ4-p`5KF z{l{mod)mBz_MN-)=l62%Y4cz3AC|kzckbof)8_B;x#jNq`+GU}wE4TeXt}$6b}#3i zHh=fuTkZ?LZ7=7ZHvfg6S?(UcyO(oMoByI0EcZp9-pjeC&ENAC%iZhwdpY;C`Fnk8 zxqJW4Ud}yj{)?Zt+!udxFXx^%f1lr8?n|D#mvc{>|B_EEcVGV-9>0#2b5EQ9((%7F z?n^(umvc{>zu#{zciA)da_(vKm)*A9{eNRG=bkqIWzSgd%Rai7b5EOpz^^a&H<>emwq`jPb+WbRrTJB-Lw3l;Fn}7HdmV5XI_HyoN^N;w&xu$)DZJxu?ya zddzaC-o2M|Pn&24=bkqI_(v@F_;>E*+|%Zt@Ds~D@nL&8_q6#ZURdr)Kfaf9Pn-X` zhb;GXZ{N$gr_De4N0rww(WN z`S|1Jf4`h_Pn&<*TbA?R5$Bb2Pn-Y72Q2rEZ{Ewfr_Dd(hnD-M`|su4)8@bFs^y;f zgL^smwE1V32?pt5Gmvc{>f5GL;z3?7;Irp^r7rth> zZ+ppJ&OL43JFS1#-TUp&LwR`tNLy-|OG%zrVfrb1z@_^wO=zzrViv zv&(tM_2Ve#o;L5@m-Akma_(vK-hDamwJGPGHt*e+`_otK<=oTez5DXsYg5iWZQi>t z=e;)N+|%a0`*PlEQ_ekY-n%d7y*B0C)8@VRa^7oG&OL43yD#UxHs##Y=DqiF-fL6N zJ#F5*FZbqW?B(3k=Dqv!-fL6NJ#F5*FXz2B<=oTez58t=e;)N+|%a0 z`*PlEQ_ekY-n%d7y*B0C)8@VVa^7oG&OL43yD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B z<=oTez58t=e;)N+|%a0`*PlEQ_ekY-n%d7y*B0C)8@VVa^7oG&OL43 zyD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B<=oTeZ@hjv@3p*g?rHPheL3&7Dd(Ox@7+>Bq{oKpfJ#F5*FXz2B<=oTez58t=e;)N+|%a0`*PlEQ_ekY-n%d7 zy*B0C)8@VVa^7oG&OL43yD#UxHs##Y=DquJ-fL6NJ#F5*FXz2B<=oTez58t=e;)N+|%a0`*PlEQ_ekY-g__Sy*B0C)8@VVa^7oG&OL43yD#UxHs##Y=DquJ z-fL6NJ#F5*FXz2B<=oTez58t=e;)N+|%a0`*PlEQ_ekY-n%d7y*B0C z)8@VVa^7oG&OL43yD#UxHs##Y=DquJ54~wG=bkq2-Iw=Xn{w`H^WJ?q@3krCo;L5@ zm-Akma_(vK-hDamwdw!O*}cYmd)8&X@9G9hH|*Mibi<|_c5NA<)D0UQftndMP9m~a zMkHj3tYnEK6Ob26AS;pKjmR63HzHYyNLC_}%mAIquyMu~90sTjn<4@gg`x`zh?I&_ zitGHG=XG3HVdg%P;f48{-`&ssfBN+C>HqM0?z^0O+Pvq!oaePE=bkq2xi9B=ZOXZ) z&3o?4d0v}x?rHO$`*K(Q-$yz3w0X~cdCzN8&OL43b6?K$+LUuooA=z8^Sn0Y+|%Yg z_vJjVO*!|pdCz@0&udf8J#F4|U(WN|lygs;_uQBByf)?B)8;+*<$mO0M>+SjdCz@$ z&udf8J#F4|U(WN|lygs;_uQBByf)?B)8;+*eL2r-Q_ekY-g95h^V*biPn-ALm-D@UtN!cpdcOa`)>Fst zrS9pt-}CwL_xZ8aujP+^_y6U`rr$a~eoz1Od%nJ}(;nX+e183R>c5-io{oF*{yx{& zAFuj+%dgI#hZDX3&b6?ZrwfozCS;3k01Z~^8tRH`11p+ z>z{|OKljhWpC1_a6Mz1E{kdPieCnTrd-{F9{?_Yn|NZWFjPE_*`|iDCe6NAj&%b;6 zKm7UM{5$9OpBT^k=l7pj<=oTeufJ@)?)5hv<=oTe|HJE+`;X)Q2K@Myb5EQ90lt6x z_x$|*r{}NNefJqhy{>!OUjN-UF87|79_8HA=HGkea__z6DCeFw|GqaY_eW1X%DJb_ z|IuB`{pYtH<=oTe|I3S(`{Q$ua_(vKfBfO)Zg|B}&OL4Z#%q?l@z$f9d)oZ_-?ZEZ zt~<)Pr_KM#Y0Le|__IQO{VM04HveB=vfKwRILf)F&42LY%l)@kALZQB=0Ei0 zAN}f4uj`(+*ZZ6={+Wf7z zFZUO3Jj%JJ&42E>%YE+dqnvx%{9nFjxxaexQO-SW{_~eD_xYQSa_(vKUwGYew_SUb zb5EPU?T+QX_~xUWd)oY8KYzKuIpZkjo;LpjeE;E|I2S! z?)Il1<=oTeZ@+7~ue|jr=bkqI)fX-IwR4Yh?rHO1`|xsq`--ESd)oZhuUYQvw;tu( z)8_x~P0M}bx}%(X+WZ}-EqBM;k8TF&OL4ZAKtRuKR)Lu=bkqI?X#Er_Vq_O_q6%DUcTISE;-7%r_F!oGt1rm+M}F% z+Pptw?tR~Pzj~B&Pn-Xzw{c^uKJO^!o}TQ7Id3_iBmd+3*14z6pZdz>PP^hL=bkoy z+835P{q;vV_q6#lp0(T=cOK>3)8_B>&gJg?f}@;!+WeUpEqCSzj&km4^Y?kpa%WwA zlygs;KkN48&VJ)j&OL4ZoaZig&fP~j_q6$Q-?Q9(Uwo8vPn*BrWy{^~rlXvD+Wh@r zx7-7+J<7SK%|GCd3a_(vK=ij*81us3yxu?xP=*s0DbjwlB zJ#GF6`2OYhc>gh;li#&o_aSdR>UG`I_WBpTXt|5dJ<7SK&0qB4&8Zxu?xP{IumB{`RAsd)oXXUb5V!7aZl>)8;Sz_;Q!M`Y7j~ zHh=k(m%IFnM>+Sj`77SC+#{cJlygs;f7IE_J?i?SoO{~*qhG$mXr_EpWw&kvV-cimyZT@lRE%&(h9p&89=6`_iKmI+xfBf(l z*6Tk0^+&y~d)i+A3C~*Y33ndl+|%Z-dFOIZe8Ex9J#GHli! z-*S|5Pn&`_FGZ%DJb_|Cbjn z_s8SUtN8m@&OL4Zk3YQJ4X-%Lxu?zFc+GM*-g=aCPn&=Lo0j{)bw@e(wD~_dZMi>r z`%%t4ZT`Q$WVsJsaFlaToB!a)m-}z8KFYbL&41|0%YEpJM>+Sj`47KkxsN>ODCeFw zf798^-E{p?&OL4ZPhY;=&6gbI+|%ZNfbakOJ->f^bi9^d_aFW0QLpQsw%7mI+m`$I z^Nw=vY4e{rZ@Evr?+Sj`A>dfxj%dTQO-SW{!`Cd?o)Rj<=oTe z|NNcHefkAQIrp^r&s?}!_0_3ERXd)oZ1w=ee>Z#>Gmr_F!vxyya- z?xUQ0+WcR>XSu(6@lnn_ZT|C@E%*7Gj&km4^Iv$~a<^T3lygs;zwM6YzWC;&oO{~* zUq64jzd7S5=bkqI1APDH@A>`XOINPf{n9N*y{>!OUjNH)Snl?x9_8HA=5N1ixv#wS zDCeFw|J4^Q_qB76a_(vKU;FTKfBTA~oO{~**RNUb>$e`|+|%a&?oG>m%a^L#mQO-SW{vY15+&@0& zDCeFw|LwDv`}XxmIrp^ryI#KBcP=@~xu?y4=QGRQ{o13Pd)mCe#=rl+`_-dv_xzvc z|LJYq+Kegdo}TQ-W<76t&oKGKA&x_kDs_dPkrTbr(JP$KKmT^w7vdmUs&$+ z*B|BF)8@~3)^caud6aWco4?mPm%H~1j&km4^JiYP+?gLZ%DJb_-{&>Uoptq5&OL4Z ztlO76`;A9A_q6$Qp1a&RcOT{4)8@~8&vN&D@lnn_ZT^0jEqA}0j&km4^Y?$EZuH_%{)}x$z+WdttTJEBAk8UeeF@s zJ#GHVr!RNqSC4Y;Y4caTZMmzTca(Ean}6JS%RTOWM>+Sjd0#g>K0d$RHRasX=70DL z%Rm10M>+Sj`6oPUxhLFtlygs;zvi9GJ@ExcIrp^rYcE>v+7BG%+|%ZN=+W#}21D)moUtOMZ?rHOW zoa68Nm45t3zdOG6*gYK|pFjS4k8g`|#;K>g>Xg$?dDtmuo$}CAo;?2d`Exl&kJPex^S%{w{9%vGH9O{xlu`2Kk_SI`8-VS^VjH z{!@s*=6%aw^S-$B1iwASeZup`zwtgX{;hM#(Z~Ppu;ZSNkN@|te-Ho9p0RxT!{b-P z{JdMo{RBV0+vyGC-}tW@-?j8Nj{dV}tb6*nSDoxX`m@V@Y?rHOX`HkiN z>fJ{<_q6%X|N3%Yc;->gJ#GHB2QGKpr;l>(Y4czFz2*M;mydGpY4d;cgysI`+ebO~ zwE6$~gnXc$9Nbo4@_@%YEe!j&km4^Iv`0a$kGWQO-SW{%=oR z?r%SIlygs;|N3t&_jf;klygs;|Hh@uedEhVIrp^rJKnL}H-GIY=bkoy=hK$^`?HR6 z?rHOX|FPx1^*cv7_q6$c_{HV^@v%oa_q6$c{Kj(Me)mz%J#GH3UtjJ!&pgVxr_JB} zz~%1#^ij?|ZT`Ezx73PdduEr_G;v>T+j(=qTr&Hh-VrTJEf$KgzkM z&7Xbga%X?}DCeFwf6hCWJNMU)a_(vK_kG%O_dDw-=bkoyzmF|<|KB;vxu?xP;1`#B z;A4++?rHN6{Kj(Uz56KVo;H8}uP=AOGmmoaY4Za+|%am?5p3k_IEn|`nkW;aj*OPs;{j5onHN}wZGG=zH+j+vpKsv<=oTe?QG8e zPC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi z@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G z{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2 z?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L z&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgf^klzw_W1bR->LTj z_IKL6oz2VO&i+pMxy!dU zZ)bD%cgoLQzO{Kfo3p=De(v(E&D+_W{hjjeY4dhAXMd;M+~r%Fx3f9>JLTst-`c#L z&Dq~6KX>`o=Iw0G{!aP1%eOXfXLI&<%Dbn{+u5A`opN)RZ*AVr=Irm3pSyf(^L938 zf2X{A+Pt03+21MWo;Gi1bM|-2&0W5=c{`i4zf*qh@~zF=*_{2I@^hDOZQjo2?C+F! zPn);1Ir}^1<}Tmbyq(S2-zh(L`PSy`Y|j2pdH1w=JDan=Q_ekY-p=Oi@06Rnd~5S| zHfMjQ{M_YRo42z$`#a^`)06$$+2iALf2ZCD*xzaMb~a~!r`+7-TbsADIr}^1=Puvc zyq(S2-zh(L`PSy`Y|j2p`MJxtHg9Kh_IJw9UB0z>JDan=Q-1F9to42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzl$*PJYx8zCXMd;s z+~r%Fx3f9>JLTQe=Iw0G{!Tgfw0S$5v%gbr?((h8+u5A`o$~H!^L938f2W*#+Pt03 z+21KQclp-l?QG8ePWidZw>EEQbM|-2&t1N?c{`i4zf;~lZQjo2?C+FwPn);1Ir}^1 z<}Tmbyq(S2-zo2&Hg9Kh_IJv;r_I~hoc*10?rHOOHfMjQ+}!0`o42z$`#a^`)8_5$ z$Nu)(->J{zo{oEc{{5Jbto@xn_P5vmP9O7;lf9kI+21MWo;Gi1bM|-2xu?zB*_{2I za_(vKb~a~!r<{A*yq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3 zb5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2p zIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgz zlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8e zPC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJNq%Gul>Due7<>4 zPd|A--+aUPxq8al-|1scU;8_K^ixjub~a~!r<{A*yq(S2-zhhD`PSy`Y|j2p`MJxt zHg9Kh_IJw9UB0z>JDan=Q{Fvo-p=Oi@06Rnd~5S|HfMjQ{M_YRo42z$`#a_5F5lX` zoz2VO&i+n$_q2ICo3p=DZtn7}&D+_W{hji2mv3#}&gSgzl%KnNYx8zC zXMd;s+~r%Fx3f9>JLTQe=Iw0G{!Y2M%eOXfXLI&<%FkWCwRt<6v%gc`J#F63=Irm3 zb5EPMvpM@a<>oHm+Pt03+21KYclp-l?QG8ePWidZw>EEQbM|-2yQj_D*_{2Ia&wn& zZQjo2?C+GHyL@Z&b~a~!r@VXGyq(S2-zn#wHg9Kh_IJw7UB0z>JDan=Q-1F9txNn+Pt03+21Meo;Gi1bM|-2xu?zB*_{2Ia&wn&ZQjo2?C+F! zPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q*Q3^tJLTNd=Iw0G{!Tgf zw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+Fw zPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0 z_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3 zb5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2p zIrp@AJDan=Q_ekY-p=Oi@04>-PxfnPkB`s&oq8W&f2Ymc*_{2Ia_(vKb~a~!r<{A* zyq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2rX@4ap9 z?<>-aPxf{;XMgVDx3f9>d+%`bo)&LsbN2V% z;pROp-p=Oi@4ds#ds@7m&Dr03hnx4bcsrZ3zxNI|?`iRNHfMkD9d6#!;_YnC{@y#> zyr;$6*_{2ocer^^i?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`Om7+u5A`y?3~IPm8y+Is1F>aPyuP zZ)bD%_uk>=JuTkO=Irmi!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgk zY|j4PJKVgd#oO7O{k?a%c~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9WdZJ%D z`-+R#{@y#@57^({56l*CXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`Om7+u5A`y?3~IPm8y+Is1F>aPyuPZ)bD%_uk>= zJuTkO=Irmi!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgkY|j4PJKVgd z#oO7O{k?a%c~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9WTD+aj+24DIoADx3f9>d+%`bo)&Ls zbN2V%;pROp-p=Oi@4ds#ds@7m&Dr03hnx4bcsrZ3zxNI|?`iRNHfMkD9d6#!;_d9q ze{SvX)aRMIeCxQ^{e9VQuKk@}{&Q=8r-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgz zlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8e zPC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi z@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G z{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oSg{o2>#V1ISoi=Z0bM|-2 zxu?zB*_{2Ia_(vKb~a~!r<{A*yq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQ zoO{~5oz2zo=W$QR zz3%Tvd}rJLTNd=Iw0G{!Tgf zw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+Fw zPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0 z_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3 zb5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2p zIrp@AJDan=Q_ekY-p=Oi@04>-PxfnPkB`s&oq8W&f2Ymc*_{2Ia_(vKb~a~!r<{A* zyq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2TI`}yV@#;@I9 zS^GPE#1q&4P9Od&Cwn`av%gc$J#F63=Irm3o4b5#^L938f2aK1xNn z+Pt03+21Meo;Gi1bM|-2&0W5=c{`i4zf*qh@~zF=*_{2I@^hDOZQjo2?C+GHyL@Z& zb~a~!r@VXGyq(S2-zhhD`PSy`Y|j2p`MJxtHg9Kh_IJw9UB0z>JDan=Q-1F9tJLTQe=Iw0G{!Y2M%eOXfXLI&<%FkWC zwRt<6v%gc`J#F63=Irm3b5EPMvpM@a<>oHm+Pt03+21KYclp-l?QG8ePI>qAWWRRy z`1su4srLc)ciOz2&Dq~6H+T8g=Iw0G{!aP1%eOXfXLI&<%FkWCwRt<6v%gb*?((h8 z+u5A`o$_;+Z*AVr=Irm3pSyf(^L938f2aK1JLTNd=Iw0G{!Y2M%eOXfXLI&<%Dbn{+u5A`opSDJ z^L938f2W*#+Pt03+21KQclp-l?QG8ePI>pVc{}@IzrXf(>hrj#<6fVCKlHO}f2R-o z{k6Z-hko{CZ)bD%cgne^&D+_W{he~|Y4dhAXMd-hd)mC6&Dq~6=bkoiXLI&<%DJb_ z+u5A`opSDJ^L938f2W*#+Pt03+21MWo;Gi1bM|-2xu?zB*_{2Ia_(vKb~a~!r<{A* zyq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43 z&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe z?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY z-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd z=Iw0G{!Tgfw0S$5v%gc$J#F63e(3pYfA1ZiZ{E}MPu|Zr-!OiyKYQ)(^r7dk{heO& z?32Bn&Dq~6=bkoiXLI&<%FSKAwRt<6v%gb*?((h8+u5A`o$_;+Z*AVr=Irm3cTbzQ zvpM@a<>oHm+Pt03+21KYclp-l?QG8ePWidZw>EEQbM|-2&t1N?c{`i4zf;~lZQjo2 z?C+GDyL@Z&b~a~!r~KUITbsADIr}^1=Puvcyq(S2-zh(L`PSy`Y|j2pdH1w=JDan= zQ*Q3^to42z$`#a^_)8_4L&i+ohxy!dUZ)bD%cgoLQ zzO{Kfo3p=De(v(E&D+_W{hjjeY4dhAXMd;M+~r%Fx3f9>JLTst-`c#L&Dq~6@18bq zXLI&<%DJb_+u5A`opN)RZ*AVr=Irm3pSyf(^L938f2X{Ada_?TdwhKE@6`JM`#Wvk z&gSgzl$*PJYx8zCXMd;s+~r%Fx3f9>JLTst-`c#L&Dq~6KX>`o=Iw0G{!aP1%eOXf zXLI&<%FkWCwRt<6v%gb*?((h8+u5A`o$~H!^L938f2W*#+Pt03+21MWo;Gi1bM|-2 zxu?zB*_{2Ia&wn&ZQjo2?C+GHyL@Z&b~a~!r@VXGyq(S2-zn#wHg9Kh_IJw7UB0z> zJDan=Q{Fvo-p=Oi@04>-o42z$`#a_4F5lX`oz2VO&i+pMxy!dUZ)bD% zcgnk`&D+_W{he~|Y4dhAXMd;M+~r%Fx3f9>JLTQe=Iw0G{!Tgfw0S$5v%gc$J#F63 z=Irm3o4b5#^L938f2X{A+Ps~8@xNXBJN0?o({ZoQzb|_4+TZEL|90)~^rH8k?CosM z{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2 z?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L z&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63 z=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%am zY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OJTZ zubn+UKKFO(eSrO)Hg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2=JuTkO=Irmi z!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgkY|j4PJKVgd#oO7O{k?a% zc~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9WTD+aj+24DIoADx3f9>d+%`bo)&LsbN2V%;pROp z-p=Oi@4ds#ds@7m&Dr03hnx4bcsrZ3zxNI|?`iRNHfMkD9d6#!;_YnC{@y#>yr;$6 z*_{2ocer^^i?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`OkJ`n9t!ylU<5z2p6W{q6n0Z1HwBXMgV< zZr;=4?QG8e-aFj9r^VaZoc+CbxOq>Dx3f9>d+%`bo)&LsbN2V%;pROp-p=Oi@4ds# zds@7m&Dr03hnx4bcsrZ3zxNI|?`iRNHfMkD9d6#!;_YnC{@y#>yr;$6*_{2ocer^^ zi?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`Om7+u5A`y?3~IPm8y+Is1F>aPyuPZ)bD%_uk>=JuTkO z=Irmi!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgkY|j4PJKVgd#oO7O z{k?a%c~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&VI-*t^J+)Jad-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd z=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aV zZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_ z)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$ zJ#F63=Irm3b5Bq9YhRC#&*$H%_W^cy+Pt03+21MWo;Gi1bM|-2xu?zB*_{2Ia_(vK zb~a~!r<{A*yq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2tjoVCCAeq!zK2dC$p?CosM z{@y#>yr;$6*_{2ocer^^i?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`Om7+u5A`y?3~IPm8y+Is1F> zaPyuPZ)bD%_uk>=JuTkO=Irmi!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y` z-qYgkY|j4PJKVgd#oO7O{k?a%c~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9W zTD+aj+24DIoAD zx3f9>d+%`bo)&LsbN2V%;pROp-p=Oi@4ds#ds@7m&Dr03hnx5GM89_SgU?y}d+&HZ zV1Ii*Fk8Hx&Dr03hnx4bcsrZ3zxNI|?`iRNHfMkD9d6#!;_YnC{@y#>yr;$6*_{2o zcer^^i?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`Om7+u5A`y?3~IPm8y+Is1F>aPyuPZ)bD%_uk>= zJuTkO=Irmi!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgkY|j4PJKVgd z#oO7O{k?a%c~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9WTD+aj+24DIoADx3eGgjJ3a0pJ(p! zt>a$z_XV$7`#XKmGuHl2FL>3--p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D z&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a z<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan= zQ_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9> zJLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4 zzf;aVZQjo2?C+FwPn);1Ir}^1+|!f&+SlXb^Z9q`eSqDaHg9Kh_IJv;r_I~hoc*10 z?rHOOHfMjQoO{~5oz2- zo42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgf zw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+Fw zPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0 z_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3 zb5EPMvpM@a<=oSg{o2{%<8yzf-UryJDan=Q-1F9tVO&i+pMxy!dUZ)bD%cgnk`&D+_W z{he}imv3#}&gSgzl%KnNYx8zCXMd->d)mC6&Dq~6=bkoiXLI&<%FSKAwRt<6v%gb* z?((h8+u5A`o$_;+Z*AVr=Irm3cTbzQvpM@a<>oHm+Pt03+21KYclp-l?QG8ePI>pV zc{`i4zf;aVZQjo2?C+GDyL@Z&b~a~!r~KUITbsADIr}^1-P4o(+S%jdbAPAa2iV_f z^L938f2Z8sxNn+Pt03+21KYclp-l?QG8ePWidZw>EEQbM|-2&t1N? zc{`i4zf*qh@~zF=*_{2I@^hDOZQjo2?C+F!Pn);1Ir}^1+|%amY|j2pIrp@AJDan= zQ_ekY-p=Oi@06Rnd~5S|HfMjQ{M_YRo42z$`#a^`)8_4L&i+n0_q2ICo3p=DZtn7} z&D+_W{hjjeY4dhAXMd-hd)mC6&Dq~6H+T8g=Iw0G{!aP1%eOXfXLI&<%FkWCwRt<6 zv%gc`J#F63=Irm3b5EPMvpM@a<>oHm+Pt03+21Meo;Gi1bM|-2xu?zB*_{2Ia_(vK zb~a~!r`+7-TbsADIr}^1-P7jn><9ez+TW?q-o42z$`#a^_)8_4L z&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63 z=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%am zY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43 z&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe z?QG8ePC56qc{}_5r?36JcYMBiPftI2Ki_=A_`UKeYk#NrKYi`*^nOn{+1uHi{he~| zY4dhAXMd;M+~r%Fx3f9>JLTst-`c#L&Dq~6KX>`o=Iw0G{!V%Kw0S$5v%gbr?((h8 z+u5A`o$_;+Z*AVr=Irm3pSyf(^L938f2aK1EEQ zbM|-2&t1N?c{`i4zf*qh@~zF=*_{2I@^hDOZQjo2?C+F!Pn);1Ir}^1<}Tmbyq(S2 z-zh(L`PSy`Y|j2pdH1w=JDan=Q_ekY-p=Oi@06Rnd~5S|HfMjQ{M_YRo42z$`#a_5 zF5lX`oz2JLTst-`c#L&Dq~6@18bqXLI&<%DJb_+u5A`opSDJ^L938f2W*#+Pt03+21KQ zclp-l?QG8ePWidZw>EEQbM|-2yQj_D*_{2Ia_(vKb~a~!r`+7-TbsADIr}^1-P7jn zY|j2pIrp@AJDan=Q*Q3^tJLTNd=Iw0G{!Y2M%eOXf zXLI&<%Dbn{+u8U1)wRD-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G z{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2 z?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L z&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63 z=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPfzx1XOEB1{hfLr zV1K90+u5A`opSDJ^L938f2W*#+Pt03+21MWo;Gi1bM|-2xu?zB*_{2Ia_(vKb~a~! zr<{A*yq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2A2VZea^*efA76*?eBBai%<4;HfMkD9d6#!;_YnC{@y#>yr;$6*_{2o zcer^^i?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2vz+`Om7+u5A`y?3~IPm8y+Is1F>aPyuPZ)bD%_uk>= zJuTkO=Irmi!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgkY|j4PJKVgd z#oO7O{k?a%c~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9WTD+aj+24DIoADx3f9>d+%`bo)&Ls zbN2V%;pROp-p=Oi@4ds#dwQZ@JNulA*Z$r+-VfN{-Ve+cZ)bD%_uk>=JuTkO=Irmi z!_9kIyq(S2-+PCf_q2FBo3p?74ma;<@pd+6fA1Y`-qYgkY|j4PJKVgd#oO7O{k?a% zc~6VCvpM^F?{M>;7H?;B_V?c5<~=Rm&gSgzy~E9WTD+aj+24DIoADx3f9>d+%`bo)&LsbN2V%;pROp z-p=Oi@4ds#ds@7m&Dr03hnx4bcsrZ3zxNI|?`iRNHfMkD9d6#!;_YnC{@y#>yr;$6 z*_{2ocer^^i?_2m`+M(j^PU!OXLI)V-r?pwE#A)N?C-t9&3jtBoz2w@6_j+yL{`o*ZqCgZ?65Fp8a!cf2U{t=E>g9 z=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%am zY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43 z&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe z?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY z-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd zll|J)qqsn6q{j(gqTXMShx@AN+JU;8^f^E)SdJDan=Q_ekY-p=Oi z@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G z{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2 z?C+FwPn);1Ir}^1+|%amY|j2pIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L z&i+n0_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63 z=Irm3b5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPfzx1XOEB1{hfLr zV1K90+u5A`opSDJ^L938f2W*#+Pt03+21MWo;Gi1bM|-2xu?zB*_{2Ia_(vKb~a~! zr<{A*yq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2JLTst-`c#L&Dq~6@18bqXLI&<%FSKAwRt<6v%gb*?((h8 z+u5A`o$_;+Z*AVr=Irm3pSyf(^L938f2X{A+Pt03+21KQclp-l?QG8ePWidZw>EEQ zbM|-2&t1N?c{`i4zf*qh@~zF=*_{2I^6qK#b~a~!r`+7-TbsADIr}^1=Puvcyq(S2 z-zo2&Hg9Kh_IJv;r_I~hoc*10bC+*z-p=Oi@06drd~5S|HfMjQ{M_YRo42z$`#a^` z)8_4L&i+ohxy!dUZ)bD%cgoLQzO{Kfo3p=D-aT#J&gSgzlygs;x3f9>JLTps-`c#L z&Dq~6KX>`o=Iw0G{!V%K^klzw_W1bR->LTj_IKL6oz2VO&i+pMxy!dU zZ)bD%cgoLQzO{Kfo3p=De(v(E&D+_W{hji2mv3#}&gSgzl%KnNYx8zCXMd;s+~r%F zx3f9>JLTQe=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMvpM@a<>oHm+Pt03+21KY zclp-l?QG8ePI>pVc{`i4zf;aVZQjo2?C+GDyL@Z&b~a~!r@VXGyq(S2-zn#wHg9Kh z_IJw7UB0z>JDan=Q-1F9to42z$`#a^_)8_4L&i+oh zxy!dUZ)bD%cgnk`&D+_W{he~|Y4dhAXMd-hd)mC6&Dq~6H+T8g=Iw0G{!V%Kw0S%G zUcbNgck1)Fr{i9qf1mN$wZGGQ{r=kD=^39r+1uHi{he~|Y4dhAXMd-hd)mC6&Dq~6 z=bkoiXLI&<%DJb_+u5A`opSDJ^L938f2W*#+Pt03+21MWo;Gi1bM|-2xu?zB*_{2I za_(vKb~a~!r<{A*yq(S2-zn#wHg9Kh_IJv;r_I~hoc*10?rHOOHfMjQoO{~5oz2-o42z$`#a^_)8_4L&i+n0 z_q2ICo3p=D&OL43&gSgzlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3 zb5EPMvpM@a<=oTe?QG8ePC56qc{`i4zf;aVZQjo2?C+FwPn);1Ir}^1+|%amY|j2p zIrp@AJDan=Q_ekY-p=Oi@04>-o42z$`#a^_)8_4L&i+n0_q2ICo3p=D&OL43&gSgz zlygs;x3f9>JLTNd=Iw0G{!Tgfw0S$5v%gc$J#F63=Irm3b5EPMv(Gqx?eD$g^UZsD z{>l6K<{QSp>z=*#cY4P8Yk#MwKl@~FXLI&<%KiU**+1;Q@m|;WinO&zYfGuEl>U*@ z)*|gdm%b#6dXLI_yVpDurPd7aJa@0LGZ{_OHPo73Md z@7^x2vpN0Ua;M9mU0!E%`n%;%mp{9_&gS%Y%e%MB>ugScx14*syw2wIcgvkFe|CAD z&FSx!KVAOp@;aN--z|T-{MqGoHmAQ^-o0I3XLI_yVoi2ZNd7aJa@0LGZ{_OHPo73Mdf4cnH<#jfvzgzxv`LoOGY)*f-{OR&% zm)F^x{%-lx<ugScxBThyXP4L6oc?Zk z_jY-m&FSx!b8nZ|*_{4vxzpv(F0ZpW{oV5J?eaRC)88%U-Y&1RIsM&o?(OnAo73Md zce?!9<#jfvzgynDU0!GZ{vW;jyY)Nn?RB4j|Nff4arbxo`+xNA@Ahl{#%HgyIsM&o z?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz z?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j z@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T& zI-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnA zo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fe)e}~U+<^CTi*ft zyIo#qbNajG+}q`KHmAQ^&b?h;XLI_y<=orlbvCEJTh6^*UT1UqyXD;5<#jfvzgy0| zU0!E%`n%=a+vRmOr@vdyyugSczk9iJZ->{} zoc@0Ia_8O-ud_M*{qE(?y&Ya>bNc(;%bj~Wyw2wI_q&%n_jY)l&FSxVFL&3^!K}$JNI^Yoz3a*cQ1GD?eIFA)8Fr2?%dnqbvCEJ-@V+qx5MjfPJh39 zxpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?&=JfZwmpk`%c%9AZ?{_bE z?(Oh8o73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh{(kpz=iUylvpN0!?&Z$C z9bRX1`up9>oqPMy-<|#YzWwg+cdzdO{q1|;?C?69)8Fr2?%dnqbvCEJ-@V+qx5Mjf zPJh39xpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?&=JfZwmpk`%c%9AZ z?{_bE?(Oh8o73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh{(kpz=iUylvpN0! z?&Z$C9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq?_TcQ+u?OKr@!C5 z+_|^I>ugSczk9iJZ->{}oc@0Ia_8O-ud_M*{qE(?y&Ya>bNc(;%bj~Wyw2wI_q&%n z_jY)l&FSxVFL&hAB>@0>1w_PUS$zW(q3%lf;BpQU*CVbpZ%ux z_WGN@-#`1G{gvDO+)uW*)8G94{@MTh58v)@e^+}u{mtL+pZza<&Fy~SZ?(76-~9dl z+5ertbi2R%gYE6~H-Eo>_J8kNZuj?oqrIK}=I{5<{)>P0c7OlRwzt#Y{Qdsf|Kgvx z-9Pxc_ICQ4zu!OmfAF)n`=uXmZ>PWc`~9>3hkx>R|LEJ=+v#uqe*f(M(N}Kw%YVJS zo&M(U_s{-U{`~F!@%Og3)8G94{@H)&8*cZdFSfVS-~9dl+5hTax!phc)9vl_H-Eo> z_P_QWxBIoPwzt#Y{Qdsf|N0kh_fP*qdprHj-|wIOZ+!pl{@FLRx6|MJ{r=hivtPO0 zmw&Roo&M(U_s{;H|M2bp#do#0)8G94{@MTL*WB(m|5kfD{mtL+pZ&l5OSk)1KiJ+* zfAjbIXaALNx!qTOqrIK}=I{5<{?SA{uwzt#Y{Qdsf|LZ?-yMOa_?d|k8f4_hB z|K?|J_d7q{-cEn>_xor6Z~x@&{@u5=x6|MJ{r=hiyRY2ttAD+{o&M(U_s{;{|M}bf z?)SF0)8G94{@MTDH{9;`zS!PQfAjbIXa9fymD~M4f4aS${^sxZPyYHh-@#q`)s}N_ z&;7&y|JOIi7jE}8f1$md{`Nc1`$zx#zyEe$`%Ufb^f&*!fAnAbE4TX(f3m%u{^p2_cDgYE6~H~+kU^ndVM zZubX&qrIK}=AZYE{_Fqh?f%n0+ulxp^UwQ7|DXMd+x?-hYj3B&`RDzk|3g1}yZ`*h z+uP}H{(1lC|BF9)yKnfm_ICQ4f8Ia(Z}`gX{>#7K-cEn>&-+LJjeq`j|JC=lx6|MJ z^ZwEQ;cvLzAO2!{JN?Z+?;rht{a0@H-~8$JcKVxt-aq<3@*TJPBVTQAr@#5<{iFY; zFWm0G{R{2w^f&*!fArt{{kQw?zNx*P{^p ze)n?c-VU#?IsN_a<<7kwUSD(i``yc(dpo?o=JfZwmpk`%czw<3?{_bE?(OjUn$zF! zUhdr6;q^7Azu&#wxwpgXYfgW^d%1IOhu7Df{(kpz=iUyluQ~nw?&Z$C9bR8^`up9> zoqIdHzUK7zyO%rnc6fcw>F;+hckb=*`kK?uXMbzk9iJ zZ->{{oc@0Ia_8O-udg}%{qE(?y&Ya(bNc(;%bj~WyuRl2_q&%n_jY)F&FSxVFL&@EzQ>U;Y2>^*wOz?eO}V*Wd46?%dnq^);uz z-@V+qx5MjePJh39xpQxa*Vml>e)n?c-VU#?IsN_a<<7kwUSD(i``yc(dpo?o=JfZw zmpk`%czw<3?{_bE?(OjUn$zF!Uhdr6;q^7Azu&#wxwpgXYfgW^d%1IOhu7Df{(kpz z=iUyluQ~nw?&Z$C9bR8^`up9>oqIdHzUK7zyO%rnc6fcw>F;+hckb=*`kK?uXMbzk9iJZ->{{oc@0Ia_8O-udg}%{qE(?y&Ya(bNc(;%bj~W zyuRl2_q&%n_jY)F&FSxVFL&jfA`OQ z_Bxx>-!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T& zI-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnA zo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaRC z)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN- z-!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;SCe|Prv ze)_xh9iYG4<#jfvzgy0|U0!E%`n%=a+vRmOr@vdyy)?CbsXck4Sqf49r)Y)*f-oO`>x&gS%Y%elA9 z>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&f zY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8n zPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}T zf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugSc zx14*syw2wIcgwlA%j;}Tf47`_yS&c+>bKtg{qFU9=iYwn=YIZ~FI?X$KXmtZ`_*r~ z`@8*jKlIt_Y)*f-oO`>x&gS%Y%bhNNc6pu6>F<_5UHVpDurPd7aJa@0NFOm)F^x{%$$F<_1UHugScx4e71yw2wIcgvkFe|CAD&FSx!KVAOp@;aN--!1RnF0ZpW z{oQiz?eaRC)88$3y8PMYbvCEJTmE$Uv&-vjPJg$&d;8hnoqfHZ{%(B-=GEfn*V&x@Zu!&Y&n~aCIsM)8 zr^}yRUT1UqyX8-pKfAon=Ja>VySK~hY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wI zcgvkFe|CAD&FSx!KVAOp@;aN--!1RnF0ZpW{oQiz?eaRC)88$3y8PMYbvCEJTi(50 zUT1UqyXD;5<#jfvzgzBf`LoOGY)*f-{OR&%m)F^x{%-lx<F<_vZF<_v zZF<_vZF<_vZ$JCHv#vTe{oO9FvpN0U za_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o z?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz z?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j z@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T& zI-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaSNcfR)S?{}}?JNNc$Klk&` zeBt_5`tG~G+u!-xyT99i^WC4l&gS%Y%elA9>ugScx7_LSXP4L6oc?b4)8)@Dud_M* z-SVf)pIu&ObNajG-P`4LHmAQ^?sWOH%j;}Tf4BVU@@JRV*_{4v`P1dkF0ZpW{oV4X z%b#6dXLI_y<=xxmbvCEJTkdrEv&-vjPJg%j>GEfn*V&x@Zu!&Y&n~aCIsM)8r^}yR zUT1UqyXD>6<#jfvzgzBf`LoOGY)*f-{OR&%m)F^x{%(2qc6pu6>F<_vZVySJbH-Pzas z>F?Hefc|cm*V&x@Zn@Lt&n~aCIsM)8r^}yRUT1UqyX8-pKfAon=Ja>VpDurPd7aJa z@0LGZ{_OHPo73Mdf4cnH<#jfvzgzxv`LoOGY)*f-ynDO6&gS%Y%elA9>ugScx14*s zyw2wIcgwlA%j;}Tf4AJ}@@JRV*_{4v`P1dkF0ZpW{oV5J?eaRC)88%U-Y&1RIsM&o zr^}yRUT1UqyXD>6<#jfvzgy0|U0!E%`n%;$mp{9_&gS%Y%bzZPc6pu6>F<_5UHVySK~h?0@|ucYn8j$GyGo^Y7ok{Zn^;xBvP_?*49n`=>s8 zoz3a*mUC~H*V&x@ZaMdMd7aJa@0N3Km)F^x{%$$F<_vZF<_vZF<_vZF<_vZ3^!K}$JNI^Yoz3a*cQ1GD?eIFA)8Fr2?%dnqbvCEJ-@V+qx5MjfPJh39xpQxa z*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?&=JfZwmpk`%c%9AZ?{_bE?(Oh8 zo73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh{(kpz=iUylvpN0!?&Z$C9bRX1 z`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq?_TcQ+u?OKr@!C5+_|^I>ugSc zzk9iJZ->{}oc@0Ia_8QD^mk|f);Hh%{qFTWpuc?&oE=_gbNc(;%bj~Wyw2wI_q&%n z_jY)l&FSxVFL&3^!K}$JNI^Yoz3a*cQ1GD?eIFA)8Fr2?%dnq zbvCEJ-@V+qx5MjfPJh39xpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?& z=JfZwmpk`%c%9AZ?{_bE?(Oh8o73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh z{(kpz=iUylvpN0!?&Z$C9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq z?_TcQ+u?OKr@!C5+_|^I>+G-mz}?@i-#K0W>~)|0{jdJw-QVq3e&Fu!_Fw(Q&t7M9 z`n%=a+vRmOr@vdyyXHz3#KWfAe?m{%-%}-@N;~{mtL~>~%J$zgy0|U0!E%`n%=a+vRmOr@vdyyVxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}T zf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugSc zx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f- zoO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Y zd%L{O=Ja>Vxwp&fY)*f-oO`>x&i>8sy!-pz>-WyR{m#$*{4-y;zFq&!-QVqRe&^la z?Z5alpS{lJ^mog-x6A8nPJg%D>GEfn*V&x@Zu!&Y&n~aCIsM)8r^}yRUT1UqyXD>6 z<#jfvzgzBf`LoOGY)*f-{OR&%m)F^x{%-lx<ugScxBThyXP4L6oc?b4)8)@Dud_M*-SVf)pIu&ObNajG-P`4LHmAQ^ z?sWOH%j;}Tf4BVU@@JRV*_{4vdG~gCoz3a*mUC~H*V&x@Zn@Lt&n~aCIsM)8r^}yR zUT1UqyX8-pKfAon=Ja>VySK~hY)*f--0AXXm)F^x{%-lx<Vxwp&fY)*f- z-0AXXm)F^x{%-lx<XHz3%hx-(UX4yT99i{u6h9w_pCn&t7M9`n%=a+vRmOr@vdy zyVxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA z%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9 z>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&f zY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8n zPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>+COoHn%+kf_bpS{lJ^mog-x6A8nPJg%D>GEfn*V&x@Zu!&Y&n~aCIsM)8 zr^}yRUT1UqyXD>6<#jfvzgzBf`LoOGY)*f-{OR&%m)F^x{%-lx<ugScxBThyXP4L6oc?b4)8)@Dud_M*-SVf)pIu&O zbNajG-P`4LHmAQ^?sWOH%j;}Tf4BVU@@JRV*_{4vdG~gCoz3a*mUC~H*V&x@Zn@Lt z&n~aCIsM)8r^}yRUT1UqyX8-pKfAon=Ja>VySK~hY)*f--0AXXm)F^x{%-lx<Vxwp&fY)*f--0AXXm)F^x{%-lx<;3e1 z>pMVyx6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wI zcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y z%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>V zxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog- zx6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw3jh-@g01 z^*iqEb)WtH>)(F&_q)G+_xG>2-~QR_Y)*f_d%1IOhu7Jh{(kpz=iUylvpN0!?&Z$C z9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq?_TcQ+u?OKr@!C5+_|^I z>ugSczk9iJZ->{}oc@0Ia_8O-ud_M*{qE(?y&Ya>bNc(;%bj~Wyw2wI_q&%n_jY)l z&FSxVFL&3^!K}$JNI^Yoz3a*cQ1GD?eIFA)8Fr2?%dnqbvCEJ z-@V+qx5MjfPJh39xpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?&=JfZw zmpk`%c%9AZ?{_bE?(Ii^clNJ;``zE~Uf%=y+xNiP;dM5rzu&#wxwpgXY)*f_d%1IO zhu7Jh{(kpz=iUylvpN0!?&Z$C9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=* zI-Aqq?_TcQ+u?OKr@!C5+_|^I>ugSczk9iJZ->{}oc@0Ia_8O-ud_M*{qE(?y&Ya> zbNc(;%bj~Wyw2wI_q&%n_jY)l&FSxVFL&3^!K}$JNI^Yoz3a* zcQ1GD?eIFA)8Fr2?%dnqbvCEJ-@V+qx5MjfPJh39xpQxa*V&x@e)n?c-VU#`IsN_a z<<7kwUT1Uq``yc(dpo?&{-DiLQlfQiTcl&F9>hACMpZw*|UT1Uq zyXD;5<#jfvzgy0|U0!E%`n%=a+vRmOr@vdyygW z-vPS2U0!E%`n%=a+vRmOr@vdyy3^!K}$JNI^Yoz3a*cQ1GD?eIFA)8Fr2?%dnqbvCEJ-@V+qx5Mjf zPJh39xpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?&=JfZwmpk`%c%9AZ z?{_bE?(Oh8o73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh{(kpz=iUylvpN0! z?&Z$C9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq?_TcQ+u?OKr@!C5 z+_|^I>ugSczk9iJZ$J9Gvw!vL@BV)G`X12Vz6Z_@ud_M*{qE(?y&Ya>bNc(;%bj~W zyw2wI_q&%n_jY)l&FSxVFL&3^!K}$JNI^Yoz3a*cQ1GD?eIFA z)8Fr2?%dnqbvCEJ-@V+qx5MjfPJh39xpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq z``yc(dpo?&=JfZwmpk`%c%9AZ?{_bE?(Oh8o73O#Uhdr6;dM5rzu&#wxwpgXY)*f_ zd%1IOhu7Jh{(kpz=iUylvpN0!?&Z$C9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+h zckb=*I-Aqq?_TcQ+u?Qgm%iuj@7C{}E`RpA&;I_$f9~$@_DkP$_jmh`|J-M zac{5t?C)Rx^4;IV zoi2ZNd7aJa@0LGZ{_OHPo73Mdf4cnH<#jfvzgzxv`LoOGY)*f-ynDO6&gS%Y%bhNN zc6pu6>F<_5UHF<_zZugScx7_LSXP4L6oc?b4)8)@Dud_M*-SVf)pIu&ObNajG-P`4LHmAQ^&b?h; zXLI_yVxwp&fY)*f--0AXXm)F^x{%(2q zc6pus4}a|L@7C|Qx7U6C{ri`G`tI-cAO6_g-|a8`^k=WLIsM&o?(OnAo73Md=iV-_ zvpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1R zIsM&o?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW z{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M* z-E!{j@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0U za_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fe)e}~U+<^CTi*ftyIo#qbNajG+}q`K zHmAQ^&b?h;XLI_y<=orlbvCEJTh6^*UT1UqyXD;5<#jfvzgy0|U0!E%`n%=a+vRmO zr@vdyyVoi2ZNd7aJa@0LGZ{_OHPo73Mdf4cnH<#jfvzgzxv`LoOGY)*f- zynDO6&gS%Y%bhNNc6pu6>F<_5UHF<_zZugScx7_LSXP4L6oc?b4)8)@Dud_M*-SVf)pIu&ObNajG z-P`4LHmAQ^&b?h;XLI_yVxwp&fY)*f- z-0AXXm)F^x{%(2qc6pusi$8Mrck6fD+v`66{{8oV>hACM7k}jL@AmKi)Mu}=IsM&o z?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz z?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j z@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnAo73Md=iV-_vpN0Ua_;T& zI-AqqE$7}Yud_M*-E!{j@;aN--!13fF0ZpW{oQiz?eaRC)88%U-Y&1RIsM&o?(OnA zo73Md=iV-_vpN0Ua_;T&I-AqqE$7}Yud_M*-E!{j@;aN--!13fe)e}~U+<^CTi*ft zyIo#qbNajG+}q`KHmAQ^&b?h;XLI_y<=orlbvCEJTh6^*UT1UqyXD;5<#jfvzgy0| zU0!E%`n%=a+vRmOr@vdyyv!DS z>puJYi{E_r_q$)Z`}>RSH-Gjzo73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh z{(kpz=iUylvpN0!?&Z$C9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq z?_TcQ+u?OKr@!C5+_|^I>ugSczk9iJZ->{}oc@0Ia_8O-ud_M*{qE(?y&Ya>bNc(; z%bj~Wyw2wI_q&%n_jY)l&FSxVFL&3^!K}$JNI^Yoz3a*cQ1GD z?eIFA)8Fr2?%dnqbvCEJ-@V+qx5MjfPJh39xpQxa*V&x@e)n?c-VU#`IsN_a<<7kw zUT1Uq``yc(d;8Jfo&CjczWe*#>w7?d`yMzuyw2wI_q&%n_jY)l&FSxVFL&3^!K}$JNI^Yoz3a*cQ1GD?eIFA)8Fr2?%dnqbvCEJ-@V+qx5MjfPJh39 zxpQxa*V&x@e)n?c-VU#`IsN_a<<7kwUT1Uq``yc(dpo?&=JfZwmpk`%c%9AZ?{_bE z?(Oh8o73O#Uhdr6;dM5rzu&#wxwpgXY)*f_d%1IOhu7Jh{(kpz=iUylvpN0!?&Z$C z9bRX1`up9>oqIdH&gS&@yO%rnc6go5>F;+hckb=*I-Aqq?_TcQ+u?OKr@!C5+_|^I z>ugSczk9iJZ->{}fA0tG{%-xw>GEf<`|R((`xo#2ZvWm7-2L7D-M{$R>ugScx14*s zyw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x z&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O z=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck z^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wI zcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>VxwoJF-PhOq`TKY4J3x20 z%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9 z>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&f zY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8n zPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}T zf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&i*@p^X~7~@3^ugScx14*syw2wIcgwlA%j;}Tf47`_ zyS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*s zyw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x z&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O z=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck z^mog-x6A8nPJg$Yd;8hnoqfHZ{%(B-=F<_vZF<_vZF<_vZF<_vZVySK~hY)*f- z-0AXXm)F^x{%-lx<ugScx7_LS zXP4L6oc?b4)8)@Dud_M*-SVf)pIu&ObNajGPnSQtyw2wIcgwrC%j;}Tf4AJ}@@JRV z*_{4v`P1dkF0ZpW{oV5J?eaRC)88%U-Y&1RIsM&or^}yRUT1UqyX8-pKfAon=Ja>V zpDurPd7aJa@0NFOm)F^x{%*O`<ugScx14*syw2wIcgvkFe|CAD&FSx!cW;;1 z*+2gicYn8j$GyGo^Y7n3_ltLbw?F?AcYn7(_luvs&gS%Y%elA9>ugScx14*syw2wI zcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y z%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd%L{O=Ja>V zxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA%j;}Tf47`_yS&ck^mog- zx6A8nPJg$Yd%L{O=Ja>Vxwp&fY)*f-oO`>x&gS%Y%elA9>ugScx14*syw2wIcgwlA z%j;}Tf47`_yS&ck^mog-x6A8nPJg$Yd;8hnoqfHZ{%(B-=F<_vZF<_vZF<_vZF<_vZugScxBThyXP4L6 zoc?Zk_jY-m&FSx!J6-GEfn*V&x@Zh7~1 zd7aJa@0L4V{_OHPo73Mdf4cnH<#jfvzgynDU0!E%`n%=a+vRmOr@#N->fQv}uBuGe zUVEP;fEN(~=@KCzT`(X7NCCq)EtqpZTqKt+h`wr<{}VkAM7*5ufnQJnQ@BoNKSW=Gt?g zW8$TCdCii~IvbDmw~3$DufyM-zI)qm)9)$th4c0f1CKk&63YL8;|w3iI>*p zHA_D0Y&_QACVpC%*DU$0v+-DeoA|`dlFvFDkM*~SN8Bv=th4c0f17w|U0$=~v(Cn2 z{cYl>b$QK_&pI2A^|y&n+|2v2&Q^O`f1BI~u>LknKI?2e*54*xT9?->`K+_?Sbv-N zX`K+_?Sbv-N#Lbe=IvbDmw~0sGEcvXn z@mPPGcxhc;v*feR#$)|$;uAMZKI?2e*54)`akJ#J&cufyM-zI)qm)9)$th4c0f1CKk&63YL8;|w3iAUTl`K+_?Sbv*%XufyM-zFY$v*feR#$)|$;t@AXKI?2e*54*xT9?->`K+_?Sbv-N#Lbe= zI{Q1<$NJmkIK)lGi=Tf#czdkB&F@?v>u>YH+w(r_Y&_QACLVFKufyM-zFY$v*feR#$)|$;t@AXKI?2e*54)`akJ#J&cC(OFrvtJl5YP9&xke zv(Cn2{cYkAH%mV2Y&_QACLVFKufyM-zFY$v*feR#$)|$;t@AX zKI?2e*54)`akJ#J&cC(OFrvtJl5YP9&xkev(Cn2{cYkAH%mV2Y&_QACLVFK zufyM-zFY$v*feR#$)|$;t@AXKI?2e*54)`akJ#J&cC( zOFrvtJl5YP9&xkev(Cn2{cYkAH%mV2Y&_QACLVFKufyM-zFY$ zv*feR#$)|$;t@AXKI?2e*54)`akJ#J&cC(^M0(e)t=VhCielXzs-`*IvbDm zw~0sGEcvXn@mPPGc*M<;&pI2A^|y&f+${O5v+-Den|Q>{lFvFDkM*~SN8Bv=th4c0 zf17y3&63YL8;|w3iAUTl`K+_?Sbv*%#Lbe=IvbDmw~0sGEcvXn@mPPGc*M<;&pI2A z^|y&f+${O5v+-Den|Q>{lFvFDkM*~SN8Bv=th4c0f17y3&63YL8;|w3iAUTl`K+_? zSbv*%#Lbe=IvbDmw~0sGEcvXn@mPPGc*M<;&pI2A^|y&f+${O5v+-Den|Q>{lFvFD zkM*~SN8Bv=th4c0f17y3&63YL8;|w3iAUTl`K+_?Sbv*%#Lbe=IvbDmw~0sGEcvXn z@mPPGc*M<;&pI2A^|y&f+${O5v+-Den|Q>{lFvFDkM*~SN8Bv=tg|0@I@aGN$02Si zo>_m#zq@}y_~rvsBW^0*^^dG3Y|yVZsitl?v})D;e^mbetg6>-W>(d1uOx1!c*d`) z-S1ho>f4tp|L08QzLB_@;$8pLlz(cSf0~Z3dSCY=Q+Xd&{%K~4Cx0DX7RL9hYpV6C z3DwK1-P8yEzOL?8UQtb}cAhZdl5?-xeUIIS7cCgR@Z#a&1!rHdVBzqE3#Ofa#qjRC zU%uP$!KWR3>g>IBb0B5H%lp-DQzhe~k`){1E^Y(ed1&T?-MW<{|yXr1- zSKWy`WOn~fEXBM2!Cn8w^>x>_zV4i!HoJc(mg1}X^+^8dEZxOir#p{Nv|RTSy0cc? zRC~eKUGsI_KO86gAZ>a7g)22)i!`|JXgTg3x)WC1RC_l63s-78%G#h;Q-p21qFnOa;|-JYpG#!BOp#yS}Dz?{UN^7#Gftiicr&X^D4rslGn z|E%u$^UTkbXGnLBTenChub<~%P`$s_Yj%9g@#9ymB5ta^ z;H!VSinf0^PWVCEa(?_J|eN8Bv=<8|)gO*pP49&t18>pH1x|9G9F&mPut z!f_Edb*#+!8#%kV$l0xh+VXzn8FxbDZ>ZNEb&WGmDCh5vRT{I-Sdw4unffD7pX;~! zZw`GX;-=;@>vNtD={<+@-yFxz5XH@*Pvm{hb3D#}6OXu=_v1WQdpiHkac_vYS@Jp0 z@i_lYJmO}_XMDToI^*AcE!6GV@nfEQx(jCf&Hk)w`1ITCUQ6osZ2g=!m8P5dHt7d(Q`fev&w0bOkL#U@N8HT&wIiR} z@nQGx>6nH5%wMHd7xULp=~LW1IbT2XgvY!!l$YXW){pt4?>^TP)eq*4+7k;t^V5B= zU>@;O+|2sSlZyO;{`Ab~N19jlE86q@PcCz)f1Bo#d2Ie(AM*=2pIXE7T&KC~oC|e( z_Wa=!1ZscwunyIq6gPXh{LE8$D|EljaT4XFxS92tAH4Qjm#%r8Yhm4AT*=8ySFbEMi$`r*Gr&1ELPa;uN+T-S3azk5#P{g^*|zt+<{cCH1?U*3;-TKT1z zKa-l7KU42T+4`9$Jm$^BBW~vXn7{6O9rH(jm^ZWJr+d!My(066N8HT&(a#m9M*q!~ zT7S`hQ}?pj{?q4fU+HsqETTQ{bFK`W>pC9>UJI!`=g0XnaIMpP4_pg%El_)={y1;& z{ay#V?}eOCC7*Hb-s>~|-L*j7p2;8M{DRg@Tt6EAeH}N)=j-SA-L(q&yK9-cy_o;G zuS9f+WnYbuV*aZ-P>KG!bp(YS9j zc@H6ODqirp-gW=PdrusfzcZz-m3HeSu@2m`6CzCTWSqPKj=&R zDd(rYZeQa#t~;pqY<{j!oG;8(Fy@iDj6db^XMVbK9mny!1=YTK{`&dfi+|ET;_3sk(_wVcP>zgT_@(+9xvuQO{Z94Et%uXXeiMepm!b^u2?{)cZ3wGb_^1X%+ zKKPKsX0X&*)8{ZR{F~l$CaZCZscXukI)DDnO!4kG9MtW{b1O90hwI;tGj&e=qns6H zig*2i&tX>nQm>hf^g5bk>hDkL@4qxte09G*k6t)Jx%CWd+}B&KbCK#-+*EtPSFL(4 zUa`dFIN=A0=X||ZKakp$-;6qjK46x7^5}J@=lJ+0^$<4|@76!?ImF7vs{OAuRv$D~ z)43Y6#b%0k{lVw(_vk(2A^n-ravhET^NO2lFZlYmyDGehkAn}~98YiZ9U{zP`w1da7BdObgHYA(L3*YX52#k>B%bNn%! z{{zqASp!YDL9g{Uwp?c~&7b1tgd5gSe|`S52FB+ZfQd)k%=_xME(~f<&o0b$_KLV! z@_BB6$FnOFkGPrlHMVcoIO|?##ncXUFO=eD;W;e*9{OR_YmR$MjEAXscm6u#FZW^c z>d&N#+Vn}z(;$-N%+o7@W$H}lVdBM;9($#3!;l>BDik38I~lHW{gI_lzirl`5d z^k3)QhUkC8Rdw7f`1BKx{x_7D;%3&Te=1gMrWI2=)S4>A z&C+wM$>F_tZ-??;ByMJX`iV#XJJf43#m$00^l*6NuI-T5G;uTQM?YC}(tk5Of6};^ zrRPBO6OaCzc*M=TAN}N7P5(`<<@Dby`ScTy{+oEj&AcD|XRS{EP1f?vznOoI6?u4$ zLw=LzIH|6hc|Y>-EQ0(E^(=z?FPPcqILxvB-CuIzt^m_O3th9DwUH z_YGYCOzvBVn z82^+%##!y@{oCwc6mc`}N1n9S=l)^1u4BE3r{@@|qx2kudFEL~x__w2bBuIfRWt9C zM}PiA-j?Y(hT`Uk=MbIoBM%<=Tk;$uy?@ut`*M_ry=LkCv*wF4BW`M++Un;|GyeFI z-+XaqU02P#uRiK}o6h@_Tl#&&9C7{+Jcn7erOv;9)Eqq4a-5zC5jWM|t$)COQLlBr zJ@n$^rp`O9pI_8#I7mF_tDl>v_Nu3A2+u)!x)$`GByN^`>QujV-c*mZTyc2BO|_@` z*Xi!)eF@Kz&L4b^bmHti4nCTt(i)#5J)-sY8`QX+sWtg6s_79k#k=FU+H)YDeeoP9 zs4;k2eb;lKV2ZEq*VhrA_l)03`Sl#goN%=AD{d-Y@KxUrbj{RrpdiNyKS(_1>)g?E zAhoCGIKilc=Rnj`w-@t2_qFg%>fkw$sd(2Pcn-w#nsK_8@*KyUpz9)WQ}KeYTzU=h z94E+e!VeP9`RbpZ&v#74yZ*p)ES`gL{opxR zP=D9^0MEgKDc<$}vU?x2^0jK$y0ia1Q-9Yz;L6vUDc<#0dycf?B)tyxJ{(k^zo^&Y z1T)3E{=jpj=ijCGPdx`1e~GF4AKk}4|1LAdyME_6hHBFqV*CQVMznS?Cu|ULbNt{p z69#B@ravwU+0MK7ybOj^z#?9 z^c;nL_rDtTnnP#Ac$kW3#$R*H^9s%1|2NNZBEO%Xn0|g@DxRrd=gnm1PUJqOCTj@d zrsg)|>)&u6qq*-r+LAibeN4@~AM@8dzu`K>^BmQ|yfz%X{&ddY=zsJ4MCV+3o}zkQ z>T?wCW4M>#J|?KVsw0g{BJooHQ+v9P;#^AOpgPjHB$j;osrE5H$DyD2^t(gVk>Y0I zIZC>Z7}L_huf#PstM^Z&i) zD9o*D|74sq=E`FuZYrMXf7I{iFXm{T!=&dG`Ysi7$G7R>^EY$-Z~5FGa``!D!lNJf z5TCf2_oLrxPp?(;`I{qdmi+X*LO#zi@F5;?bA&(QIfR^JmG8EeL*G#SdX8bLy*vIR ze9hlM_$^m{6<=dmQ|(>9vyP7I6z^MHcTC!&k*@%rIB;rbVhdEolbd~h99Jlp^Dyh7()dJa;P zc_40%IR859Xw^UZ`-cHPz0V9Y{_1;8KR+<@&jDhb|Ns0PVGP&Lfps|R_5S-cc8|3j zx?1z1xT*H;{15o7c3lQ>{N)#|Me$eEplvQ+ry+r#&26$M>ElZkBxN)Or6l z>TkL7yoj4>PxW`!@p^?-<41fmchZCjmtH!2+0x<5Egwk3ZaV5KKQ)G9JlZkabdI)n)7SF2z2S|g9(Iaut?C)lxb?pBiK>6d|(yEjX%k>KgP$HsitccQ|y>qv`J^|IvLn>pTss`dd$|hEDro z)t~ZLEl-~kaZ~MGzwc9HbYUs?vtNvEUwmkRs5jWMI^*6et8ajRJ@J)_K+*Ca4 zlmD14!Z$e%aZ~ZG-@O~`Z@i=$n)8FIzsW`|=Z&jU+*Etk-)WNSytV4@q;WTwoTvX* z+*Ca4lYf@3spL0Lo*em2#j`$nj`>*RH)o#|`Ax-hes9iatD)V-RlWJAwLEB2mExw_ zv;NWr)zI|YtKRu1wVe5}sz=;Zd)8O}{x_$l{0$#EEamT;YR~#h-(2;VyT;#q&r?NxvFmCAp9%Oy7{zv8Cav;NW>tN!ptss9b<-KhMEn`+Pc^Y^RzJKkFL zF8oHzDeqQ2ikoWB`U_|4gOKB^-lFSUZuiBiN8D6<&hNkGx~e+i;;R2zz15f}9$Tfj zsd&!s-Jn(HzSma0o3xrV_ghw_xT$!~?@#%Ie#X(Fs=xEsTkg1_`mVUC_M$)OC*hl~ zenAw{>)n4=$9UOkc--f@)Jh<#H(Dj%6=EApx zZz^8QKmVfe%>@&~Hx)1X^VSQ$;r|YQp1EG$=lV5Q*I%w*4eyBS*IaW=*Wb?g&-r-d zH|Og8gYh>NFV;UiGyI0X2!Gg|S@zHTPWa|oZwlX3yqN!u?+xFay>IxY;zj=yz27qb z4Zj=yDdv>C&-kCTSB!tdpT_u~WbT#s8RwJ!HS(J$pBwp2#f$aNIyHRrq@nOl#f$z4 zzYpI$@x$SpiWmLk|0;a*ggwGH6)*b7Z4-XO`@%oY+@|awb6fc4vGc<>70>1G&3U#O zI^d3~H}?}Q4_vDEAH_|zXZ?9|!khoHmUzU?l24xfPmlcO0plaTsdzCz9{EkYR9DTC zPyT)XEApHB{cYqo6))z;Bfp79ezWA0f1ev7zq#*Tk>6Cjm>-Y)CLa0Cl287buZjE( zza9B!ny)G6$0L73dF01WEci>`UJdR2F5SQE-g4#)-M=Vqsy*9(>YwqCQNOwO!l>U= zJnK^*9`&1e#LbdVoinzN`WrqF_0KT3&*!K9>9eB#hAX4~>E^7wPn~$w-%wtPn+2cz zd;T`^n|u9r4!&<|GugA zQvT#Ae?vUwPd+U9H}O*5nkAq7_s9IVjCt?hZx-f{Jow~q z81tU|x;O^`S1Kf4!& zsdzR&_0M@-)Zg%jQU4tCb$OpU@u;W zvHr8}j{42vi=uv0@vKjsc+_v=5jRUdb*AUX8vllRo}S|7nw}rW^RslFICD7aH_uux z>NgcH){jU1CSK}S&63ajpZ=%FZ=Uh-$ZslM%#TNY6Oa66$tVA5?}_~8=`$n0sdzCz z9{Ei?@|z`}{HN|1`5S&G@}Fw%Sk8|}{)Y0%kDplZ=bx-+O~=Y#)#0=HZ{p_QZnn;D zqN=yxpTb+XxI=j(op(Wp@>1L^_)B*QZ|T2vC@;m$f`9&Bhj+n&9m-2_v*2H_ zGQ0~v)1kZ+Hw*s459+g`FKNCHZMoeW_4$+HrrNWwKmOgehlk(rKf~YF9Q^!v;QYm( z{O{qL+q^w|Q}JT{tuG7ToV<1Trs73^tCxr0@c)FrmHG0rKj~B9o3B1Dd{gmU{`mav z295R3`uwiuiF?(b-_=w+>u>jXc-z0PB|dSp+RZmV6b z>2q9>&uxjDYyAAS{``-Bmp-?ZXY#o%adX7yw}-Xg#;W=guhr+aAJTr04LV$2$IZc? zE;itAc5pSc=&7o|{njlx9&uCc-T52v$-hX?AjscP$4hZ@S>E4x+iHk?CY#LeaEU&@ zBW@1vzZl5>s-45z^puv1POJLFO&vd5{}$_3L-Q}!^-&=7&?3*D! z`)KMqQe1i6p7T>b=NuCKZ>ZzYe~y=UNH%|e;+M5=X@&MaJkpZ>5I5DH&CfiY`e4kT z$?=GrisyahnZ0@BH#rXZO~teRrcdZ|-zRkby`d$?A#SQY>u-E?HN-xlO?K<>42>Ic zb8^;C^TWQQP4|xdM^ML0adX7}qk;2}JhN_%{0()y6gLO=uMGHG999jT_?XT=)o;$a zN#~#9rsCQDZ-KX<_Dvlx#mxnO#@|HqrSU(})Ny>=#7*-4mXoWYqu(FC$#ICAif8NJ z{^#1irF>J?Z+Z4nIv*4_)t>dYcxN?qJN9|azp}&Gdj3V+ zoK)~HulJ4J*fQ-KQ`{V}f2=$Iy``#q=I7J%+m?^${+GD<`F#FMz90V8?{2w|_LC7e zb^L7qmsI-PPW?RhYb~jVxT*H6Po2|0TlL6q;<;aSd)dD(`aj*&@qFCG>+<=Tr`Kt} z74v6mywm)dif8l7Py6iTw^SV|ZXQF5&Itp z=TG}4c}~dZM-6p8E+uZ>n9r}*S^uox==JxFmh+BCufM*j_H6&jGrX?mPxmZldTv?Q zRks)Yv%Vkon;gf-g^Fi=#(DOBG42hojPYk&>-MZa{AgOU^e)kRzSb_mhpS$SoA>7P z59_?!@#yeOjzip3yqJGSo#*6lsN<2J<0TeefBT=O_o}Z~y<;A3xr64NxT*GR{^Z}R z^{?iCdsD~raqITHzmL}cPlRuBoc$Fy70>&sbCTwd{3gdCuc>&}U;Iqf-|DM6e}B?) z+v$3KrMRi~tWTX24~hIHbr3fdFZ!G5^~d;|9LL9nisyaxbE8MXH#rXdHxOhzVf`h3g6^7%-{d_Za7Wr?8jpNw0Yd8_546_Q}KNMhpX!KFN^#p^-NLR zR6OVRZa6~g{yA0ez51yPCUp=u70>&sb01xA*#B*E9O^d}FZ$DW)$@~+s>ysx!n@YpW>$CIX~6;>Q6`gCiPIisd(O3os*b<)o*ef>Nge7`KiuLc2fO6((eYZ zNk7~#sCd!e_=)Jh$#H5gQSsIN`uW$y7xbJ)pWRG)Z_CAht@i}QO||Fz-ipsuLvvqK z^;YiR;cZ&K6E|Oz_gDTZychqnLwPA~7W`E^hPUdUI+T~(ex7t-^uOWvqW>qE2bTMfNBQryh@{T;8UhK}7= zpS`@i!|&+ci@3ROw*LMWE32WuxV!3a`9E47tO|{Qn zpI^=WVu$P}B5n?Tel>9Z&O0T%c~5jGFU8G*PagK$lfU7IBmV*B_-y}Ep0r?iWl?ak>A84zghCh zzt7>3zu}J~|32p7<@|W$Zzzxa_=yFd{4>W#{)Qip{4>q*<@|W$Zzzwv_=yGoidX30 z4OhJ@KGoqL_20zJ;e7rpj|=b0|L9O&ikk)hs`rF<_4XagOL4Q{Gk!DfjPWz~K0U_I zRJ?fp;4ywC9^+<~{3TE6-EmO~teG$MNyVZ{iU*OFsE`e{1A7)Aw+wYhu)) zcria7`Axi3SIv@7{@o6b{0)B`d3Q4pFXzW2e?xiX$4@Ny%HRLa(v-j9LqjQl-&A|4 z|H)JShIq=Gd|2|y&-Z0?|JL%3$p4_ZOF4h~zK!xXlt*6t#DY)$`+pbtO}@uN+*G{S ze?0nc;*r-Z`Q-Wbmm<)ye;@Rz(--fQ&!wPS}`x2L$7U%&Ux)*2Q6oW&i= zOL4Q{pZm)2&izb>@>1L^_t~+vn12&5ofkDrKKbw3CGt1CBl6#67T!O|gGc^`@>1L^ z_~hq%dffk*cfKX^n~E3vk4JtJkNjrIC;uH^iu`8!9wK!e8g(dM%#TNY6Oa66$tV9e zmqvc`j-fhlO~s4(@yKuDliw`)b9b)#i*HjteTHH#(f)JdrsDbh=Y%)EYKb>bakJ!; zCw6Cjm>-Y)CSIzmX2~c2 z8COMq^UPO7epB&cemwG{>NoL-nq|L;t@AXKJ{<$!KmNd@^w+asdzU3fvOXa`b|9IX33{cJnA>` zQom}JeCpr))TqDVe@6YAo2QoR$D{s+@~9s_vEWlD9`!erm*Qr@r~X%774@4Ny&~#2 z6)%oI9`&1e)NPi0>cpdd6OXuA@~MBrnNfek2crHB&6(x;@ujQAe|YPbvvf~P z+*Et6{{GJQg!kIvmUzU?l0S95@TPvWB_46JdNf zLwVGXpIGpz6OZ~E%1d#x;7|EoRqgUI<#|iXU8k#l#Z9$m$De;Ubx!yVp9z1exu)+g z)cc2Tyg~cB|4sY1w`uvRrTRXC;-=cW`48{>{DI!n=T}2Z_4@~&nb-1yC-e)Q6gSnL z_aCZ;&ihc+`{Ro(Io{KXn`$rm=juCZeE;3#c=)E`IX`_*ebL{9Z*m;Iziuj?^Lr~U z)c5rDeU(*Dx12X!`>z!@)t>c#@o@T{eebcUEl=0?L5Q2Dt;qVRPPGqw|6g8;n*~4R znf*z9|NkI;-@c~)eTtik7weyWah2+C_{s3)#qkG!f5O1|!#K_QW{jVC@`*8irsCQB z{Qi#DJ*4%Yet(B~(6L&7DsC#C^_NW3zO5Z}|8{*#zIRRBRD0IH{0CM4hjXgl)%sZj zHHY>&tLiCk63_ZeUZ!89tbF(;$02Sip7r_tA^-Y(>VLzBE>HdMn`+Pct}4pQiSGYTwlHmJm1h$@`4|CAwZPe&%w${)n53=ku$+ z3pWhkVMW9AI#%4IJ?rl{T|ak0W38W|*6_Hm>RF27rrNXq7PnVJM`-RRZPN1SyL2zE zxT*G>&+Dvz)~$8_O^rv2n}gpU8@PU4q3^j**Y|g?*5{|@Jbmw&xT$zPzy95{>%uoV z4&R?Q70>!}*40>TuKCw{xH(1FPvWNHSwDTx_Ul#ekV#d4a?S1a^N5I>if4W5+)DMI ztNxqxgSe@9)=%GaJuUKYR&&z%k>6Cj=)e5=@Xd`k2;WpZ=l7@VSyjhBru(I*Th97` z#!hil?Ro#*`ktTqzVpvp?)n?~ikoWB`PKFMp6jOi^R}Biyu6CId05u(-8e3Jy?1@K zL%si`xS97;p7g!ByQ<2h9@hm&e1EQc{)hkdsDESgiSXsQef9p=_kVvJR}GzihVH+X zx4d9G{kP(#+Oz)33DwZtm+5+f%Qy}q}m^#VV?r{RlL#Lekt|44mb6yH2b?`0gP>^~m)O+50OC7(R|=>3ZP4VOp$eavy?{CMPVD3AR3i3OkhdtVy) z&6)c}epB&c|MAFg;*r-Z`Ahy@-aWoSx?eJ9{*CTG6gL&m=D$+wUc9UL{!&dm;%3Qb{HOmi#^2<7H^fcFv-ufk zJjUO|BW{*_#(DbpV*Je+e;ea(DxS^HIO8$yCLVFKHZ%&^S<8LaS&CfXFG5#iAnwOd-pZt4#EApFr-Wd5!#f$mz z$Zz72-z@p$pSEY@Z@41zPc!!{=f@*|LwV%KPb~Q4-|dr;-`xGY$ZslM>^~m)O+50N zC13gb4?dFeH~fx{N8D6G-U-pQBEibC7 z6gSnL%|GYY+NZ6bmoxV(9X_S{iJOBze`nzQou|(U@#pJz@HUi};%31o&%zHxesj^B z$Zsm1t)D!2ne)@?=|4lsNX2~c28GA>5^UNypn~E3nO^ zpWo@(UvKV(9X_f2#LfKk!`?i-_u#YtxS_lhHw*s$4{G13#_Iq*b2qowd=fVm&yN3r zbHY1FpEuw`JmO}_Kj^9O4!*S|9&xkeQ|GoCXX-a!qw|5dsd%w|JnA;_sNXF4)QLy^ zCLVFKJ%OFnhtQNM|o`c<>!Q|H7DqyC0Ji25g* zYx?}Oetu4!c+}reUW%IqpE~iVzoEPoHw*s$s(*{?qJDGBqoRIO@#6U7QNM{t{btFh zPCV*2@rauxpZYg@D(W{kzcuPN6))D0NBt%q^_wN1I`OFA#3OE&eCmJYm!p1jqbs9+ zQ}JT`c+_v=QNLO8sS}U-O+4ae$*2AeCrAAaACLMsG$)tq$D{s+@~9s_vEWlD9`!er zm*Qr@r~VCYiu%o0%#Qj^#f#&QNBt%qb(+|0)w>(9Ad`_6u=`>z{Y9;ELb5jWMI^{I2_ z9s2x3pSPOy$Nj3?v;LFcs)mlgF#2!e6E_vl`}%!1$9*>TYnmMANySaY%l-#-@2UPC zXX<$P94~Qj{WNg?(SFP&@~>;D#pV=qzh ze147d8}$5@^RJ&E#z-BdlUt8UNv>=Wz%_@K0Z z%uM5;xJf+c_kOiQ)&Ifc;hXyRDQ+sB_4PXKf0N%Ieq+mr&P=c0zNz-CuW{-xTb#zP z;qq6f@#~vv&-wjv7gzm@mR0?AcJ1(IRm9D~^?&#LN&URw!thNUFU3v8i}^2jW9^$d z9(8fN#5ZRB^m|ff4{Q8Bru~mKmwZm+_fGA9tf_b|fA5MbtNyUgm#eRDIq&sVikoWB z`sw$7?5_NJjJ(2E6)ZS zM}Cvzq~Cv0Q}MiiURBL~XUcD;0Tw-_`!T`&({$W|iWm+E@3- zzJD*SAGOc#FI;?0>~}Ml=<_GyrsCQB?3=@5pPPwC+${O*n^Swg+My*LakJ!8=R&>D zvft2Lq|dL2n~E3f$D@7|kNVA$Pn~K{^_zIa&6591?Nc1({>6d$`%iuE;kb>e-c#Fl z_@k{>NoL-n{t zv43vB*XQ)jzPXpUe{R61PWHV~zd7TJQNKB2|J#5+hW%#)KJ|OwnfYh-pAGob&%QD0 zH>XdE`ppsh$5!+A_*VEP`@T4?sd#bz*|$ahhAYCKW)AM(S}ni!S0&$M-xc{y#k2W; z^`yQh_2sJf_}5zgNYC$xn`+PczrHrS-yG2rkGNU#RcG3_rT#Z${}yrc!AJ7>`F9Vz zA@#o@`>)b*YpOk4KmU$>Q{*@Azdn3(#Qv#~wKKphUKl9G*!Z%0k-&w7G_TAu{?7N{Z zbHx6e0iW0Tg?q>A-@K@b*T1QFwtimcc)b2iJmO}_pIh(S;d9=_JEp7l9C`!>9f!}~Ufvwx#*&-&zH-v#|Q z*>^$Q9I^jmp#S{4Gj#spn_B)Q-&DMqU;7)9Z?f-!_L>jkrsCQDAM{kaZvmgrvG9nSC7=4) zH!zC*3#-+SPyMF%EtrZI>&K&R)B6_8l285Y8=!vk&+K1Vt$sY}H@$DcRJ>R}9`&1l zX8*!Ke(ImNVbtI72YP-)+}tpqpYsHd`WwniakJoap5Re`LwPA~7X1BHr}hV=@i*Bw zK-^S3JATxONBt%qakJ!8Cm!{ic*M<;Po3-=;QTQ+zcuPNN9-RMm_O>oqka>QxLNY4 z6OZ~$JmO}_r+)SgP`|m+l~KPrV*kKu_2W^$iAVir$)`>{>NoL-nxH+($m zXa7OnUOa#BsK22+>c>wU+{f}i#YjB@`#xBlce`v$y^!21Tw!TkeWe=Pe4j;tnZ(62VBrfxW- z-%+g39rZbXweQbcUi4_hO|^H=p8@~yYr{L@^DXg+nl3;+A;C&60om2H~Bt zPfI-FX31ap{qPp|TjCKnOa6r)3GbqNTjCKnOa7Y{g?G*STH+BmOa9w-4e#xG4y%7x zK|JDS$-n8D@ZPs=OFZIc$^Y;_hj+7{*ODLN5jRWzr{5OdXY~9RAL0==Oa51m4ezUZ z-ir_Mh?^z<_D#e4Cp|yLhj_%zl7H7v!dv#TmUzU?lK-7ghxgD!E%At(CI6B0!~6FS zwZtQCmi%8$5AX4LE%At(CI9y?hWB4Px5OiEmi!fWg}3q#E%At(1;4k>yOY;j_v@kGNU# z_rF~G#-7#t?z9zCrk9&xke|N5Eme!Fc; zJmO}_fBK)b&-7pP^M-!Y5|6m4_U!fJ>9dvhU$uXAaZ8Rz+*EtkU-h8 z&YE2jH)+rMZ$7^2Z~cv`ddJj;)KMvJ(w_5s!~Be*&FlSFK|JCn?OC63TBvnP>c1&J z#m&L>OLzUy`^5v=H~dlUAKty?={@ZqR@_v3Ha|aS^Qise=U18>kGQFL)_-!2_Q_tR z^G*AuO^tt#xT$#FKVADoeWfb$c=Y^uLSz4Rt&pH*xUy=MCi7IQPG=_45Ijray-?Yjt3Pl=3n-v$lp-MslDKv@;?1vs@Dzu zH!qzW{WlfQ=1==4*NyszYtFl|iv5!{70>$9)$i>;p7!50WZxrkQ|(26vd-T_wyF9i z$MbQa;yIt|Oz)33Rn<4=G)&hcUzh6koS*VcIymY#siV&8_M*Sp+oOJyyMe|rDW_1{eM;d!asb3WHOJg$Fc zx}GU+63_Yl?fJQl*K7aew3e^aYk|0__M-oa{j}e5QB|27&&P#|XZ?+TQ&s;>&+hO| zjzip3Jm*(KpQzIR*4d%u(Bs;auehm}tbg2=;hp%AmUzU?lK-Lih4+z7TjCKnOa5ao z3-8zZd71nV;t@9$&eh-B{mn`1?KPpLyc9P}{x#2scipuu@rauxf7!+1-ShoA#^m)r8G@{Qs6cwXZ*M{6Tx9|Ls|= zKWY3SrzJ~qJtIxu?KoqnYTYd+%s=nkxdUSvzYB4EdX~DzpXGg~ezrV6i`?|j@*Zm~ zTYfElFC}Uj{JG2nwQM=L8ai@A<>u!?);w`p)hBK$-W?ZxFKtcZa`1+ovEcLcq4lq< zUbe-AOD>(ec;VpA{P<>Dj7Iti&Obj&@2qE3{hH}cg`cd=9Sc9R-k9=e|`)O)cwuvU*~+d{DR9a9mpTg=IasA9`@d6)=$^= zh7T>#vlYcnwRiKsv^mf{`%&L&MqrPD3I;}ipQ1cXJ?5t?o7`m(Hx=(zpu4*@jle-~ zSf2j3+N-1Y$CI>Dd!l}Itm#U#VS06>6`@vQX{Bgh^r+r>Ix9u5)aqBqXlvxP9b$Lpoylz~B;vTvlnbEAl|4cO>O#k~pT(@5J zMcr6DO$C?DJ$<(`^A)V|Dypk*ikl;@qPe;0R?xe5&rSuq7b-~Cdu8aol=p#Aj6v_( z+dCCZD^)O>ce2q`u!nvEbTbQklqwj_d+{hLsD8D)Q^B5v3esHzSNoT8H!+$DZa%3~ z!Cs{bMsq(jnhK78q*KB4QU#;AqZ>^H;}>))m{F==H20)`rh@EM`=|PTtFBJ#>)LSk z@Y&~cdB|R$M)Qnuto1y+Vz3@vEVA{C=9%eO>(P~KV0?7x$ksEOXTW2v=U>hntVb7; zY(1lSrajhrZu#V3J-V!9>lw{6`mxsYxkm=;(M2X(&uBh#7;8Nr-DI#HU2?MZjOH_x zvDWi`tpmERcU^$8^^E2-p|RHUSJ$U{HsJbmL45(rS2UVWxyD+N?i3iIwxZ(w%4j~f z8*4?EJUybK;{6MsIC5Y8Qa*_sYei>I9Z^y7er7bEt&X*#vlfh~sC0jmJ{4w+UdpG% zW36b`%_Ax*-S4E&xvA)-eEvPwijI1CL`9|hpHY3HKh}y4Sbs!CrTd|@7lAQ)DSH&g zSW)%1xF4!t{TD1Ou9wp8DpizrTbWwR4(z&8JY8YOTG5;0j;O7ubUjM@#i)dRWF{4L z_mQn_MHj_AQCm^zdX#p+Q3*TaOe*T`j9c4^7R6mrTT$tHl=k#d348raD(dd_Tic3G zkNcvwqSEy!?NX!?b}yP#)ZM+fwiRjR(|KRhnO(XbrG1xF!hTGXin{wT*S4Y~;@+qo zqtf*#?IfiVc9@z})ZJmawiWFgcSmhSrR!1JGfO4xnKh}1y|m`qR`l=V24?NDOG_)X zwA+tL*ac`(Np~0E+E(_omV@f6LIW?)MyZ3Z$EBeYWM^;o=sij@BRKhM=lZv{#Y1g)* z&rBLwQDLo?_5o7~`+`j>>h2F-+lusbs(XdhYpt+aOFNsXgq_YN6?Jz!uWd!|y>Vnk zh4ot6t4$^B;WnwLyN7!%E9&VfrpM@lVSVaRygy32PnEI9u2fSM4eUx?+lqF&W<*7$ z`=hjvQH1teVa-v9sr literal 0 HcmV?d00001 diff --git a/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.lef b/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.lef new file mode 100644 index 00000000..a69eb7aa --- /dev/null +++ b/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.lef @@ -0,0 +1,1489 @@ +MACRO tt_um_urish_charge_pump + CLASS BLOCK ; + FOREIGN tt_um_urish_charge_pump ; + ORIGIN 0.000 0.000 ; + SIZE 145.360 BY 225.760 ; + PIN clk + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 1.250000 ; + PORT + LAYER met4 ; + RECT 128.190 224.760 128.490 225.760 ; + END + END clk + PIN ena + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 130.950 224.760 131.250 225.760 ; + END + END ena + PIN rst_n + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 125.430 224.760 125.730 225.760 ; + END + END rst_n + PIN ua[0] + DIRECTION INOUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.804199 ; + PORT + LAYER met4 ; + RECT 136.170 0.000 137.070 1.000 ; + END + END ua[0] + PIN ua[1] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 116.850 0.000 117.750 1.000 ; + END + END ua[1] + PIN ua[2] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 97.530 0.000 98.430 1.000 ; + END + END ua[2] + PIN ua[3] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 78.210 0.000 79.110 1.000 ; + END + END ua[3] + PIN ua[4] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 58.890 0.000 59.790 1.000 ; + END + END ua[4] + PIN ua[5] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 39.570 0.000 40.470 1.000 ; + END + END ua[5] + PIN ua[6] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 20.250 0.000 21.150 1.000 ; + END + END ua[6] + PIN ua[7] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 0.930 0.000 1.830 1.000 ; + END + END ua[7] + PIN ui_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 122.670 224.760 122.970 225.760 ; + END + END ui_in[0] + PIN ui_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 119.910 224.760 120.210 225.760 ; + END + END ui_in[1] + PIN ui_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 117.150 224.760 117.450 225.760 ; + END + END ui_in[2] + PIN ui_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 114.390 224.760 114.690 225.760 ; + END + END ui_in[3] + PIN ui_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 111.630 224.760 111.930 225.760 ; + END + END ui_in[4] + PIN ui_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 108.870 224.760 109.170 225.760 ; + END + END ui_in[5] + PIN ui_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 106.110 224.760 106.410 225.760 ; + END + END ui_in[6] + PIN ui_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 103.350 224.760 103.650 225.760 ; + END + END ui_in[7] + PIN uio_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 100.590 224.760 100.890 225.760 ; + END + END uio_in[0] + PIN uio_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 97.830 224.760 98.130 225.760 ; + END + END uio_in[1] + PIN uio_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 95.070 224.760 95.370 225.760 ; + END + END uio_in[2] + PIN uio_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 92.310 224.760 92.610 225.760 ; + END + END uio_in[3] + PIN uio_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 89.550 224.760 89.850 225.760 ; + END + END uio_in[4] + PIN uio_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 86.790 224.760 87.090 225.760 ; + END + END uio_in[5] + PIN uio_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 84.030 224.760 84.330 225.760 ; + END + END uio_in[6] + PIN uio_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 81.270 224.760 81.570 225.760 ; + END + END uio_in[7] + PIN uio_oe[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 34.350 224.760 34.650 225.760 ; + END + END uio_oe[0] + PIN uio_oe[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 31.590 224.760 31.890 225.760 ; + END + END uio_oe[1] + PIN uio_oe[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 28.830 224.760 29.130 225.760 ; + END + END uio_oe[2] + PIN uio_oe[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 26.070 224.760 26.370 225.760 ; + END + END uio_oe[3] + PIN uio_oe[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 23.310 224.760 23.610 225.760 ; + END + END uio_oe[4] + PIN uio_oe[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 20.550 224.760 20.850 225.760 ; + END + END uio_oe[5] + PIN uio_oe[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 17.790 224.760 18.090 225.760 ; + END + END uio_oe[6] + PIN uio_oe[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 15.030 224.760 15.330 225.760 ; + END + END uio_oe[7] + PIN uio_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 56.430 224.760 56.730 225.760 ; + END + END uio_out[0] + PIN uio_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 53.670 224.760 53.970 225.760 ; + END + END uio_out[1] + PIN uio_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 50.910 224.760 51.210 225.760 ; + END + END uio_out[2] + PIN uio_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 48.150 224.760 48.450 225.760 ; + END + END uio_out[3] + PIN uio_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 45.390 224.760 45.690 225.760 ; + END + END uio_out[4] + PIN uio_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 42.630 224.760 42.930 225.760 ; + END + END uio_out[5] + PIN uio_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 39.870 224.760 40.170 225.760 ; + END + END uio_out[6] + PIN uio_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 37.110 224.760 37.410 225.760 ; + END + END uio_out[7] + PIN uo_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 78.510 224.760 78.810 225.760 ; + END + END uo_out[0] + PIN uo_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 75.750 224.760 76.050 225.760 ; + END + END uo_out[1] + PIN uo_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 72.990 224.760 73.290 225.760 ; + END + END uo_out[2] + PIN uo_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 70.230 224.760 70.530 225.760 ; + END + END uo_out[3] + PIN uo_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 67.470 224.760 67.770 225.760 ; + END + END uo_out[4] + PIN uo_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 64.710 224.760 65.010 225.760 ; + END + END uo_out[5] + PIN uo_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 61.950 224.760 62.250 225.760 ; + END + END uo_out[6] + PIN uo_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 16.799999 ; + ANTENNADIFFAREA 25.734600 ; + PORT + LAYER met4 ; + RECT 59.190 224.760 59.490 225.760 ; + END + END uo_out[7] + PIN VAPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 126.560 5.000 128.060 220.760 ; + END + END VAPWR + PIN VGND + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER met4 ; + RECT 33.360 5.000 34.860 220.760 ; + END + END VGND + PIN VDPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 30.360 5.000 31.860 220.760 ; + END + END VDPWR + OBS + LAYER pwell ; + RECT 135.800 220.490 138.580 224.070 ; + RECT 135.800 216.350 138.580 219.930 ; + LAYER nwell ; + RECT 138.830 215.600 141.910 224.210 ; + LAYER pwell ; + RECT 90.260 212.420 95.040 213.320 ; + RECT 90.260 209.440 91.160 212.420 ; + LAYER nwell ; + RECT 91.160 209.440 94.140 212.420 ; + LAYER pwell ; + RECT 94.140 209.440 95.040 212.420 ; + RECT 90.260 208.540 95.040 209.440 ; + RECT 90.300 184.310 95.080 185.210 ; + RECT 90.300 181.330 91.200 184.310 ; + LAYER nwell ; + RECT 91.200 181.330 94.180 184.310 ; + LAYER pwell ; + RECT 94.180 181.330 95.080 184.310 ; + RECT 90.300 180.430 95.080 181.330 ; + RECT 90.270 157.270 95.050 158.170 ; + RECT 90.270 154.290 91.170 157.270 ; + LAYER nwell ; + RECT 91.170 154.290 94.150 157.270 ; + LAYER pwell ; + RECT 94.150 154.290 95.050 157.270 ; + RECT 90.270 153.390 95.050 154.290 ; + RECT 90.270 140.850 95.050 141.750 ; + RECT 90.270 137.870 91.170 140.850 ; + LAYER nwell ; + RECT 91.170 137.870 94.150 140.850 ; + LAYER pwell ; + RECT 94.150 137.870 95.050 140.850 ; + RECT 90.270 136.970 95.050 137.870 ; + LAYER nwell ; + RECT 100.360 18.050 142.940 21.440 ; + RECT 100.360 12.480 142.940 15.870 ; + RECT 100.360 7.000 142.940 10.390 ; + LAYER li1 ; + RECT 136.040 223.660 138.340 223.830 ; + RECT 136.040 220.900 136.210 223.660 ; + RECT 136.940 222.970 137.440 223.140 ; + RECT 136.710 221.760 136.880 222.800 ; + RECT 137.500 221.760 137.670 222.800 ; + RECT 136.940 221.420 137.440 221.590 ; + RECT 138.170 220.900 138.340 223.660 ; + RECT 136.040 220.730 138.340 220.900 ; + RECT 139.220 223.650 141.520 223.820 ; + RECT 139.220 220.300 139.390 223.650 ; + RECT 141.350 223.340 141.520 223.650 ; + RECT 140.120 222.960 140.620 223.130 ; + RECT 139.890 221.205 140.060 222.745 ; + RECT 140.680 221.205 140.850 222.745 ; + RECT 140.120 220.820 140.620 220.990 ; + RECT 141.340 220.600 141.520 223.340 ; + RECT 141.350 220.300 141.520 220.600 ; + RECT 139.220 220.130 141.520 220.300 ; + RECT 136.040 219.520 138.340 219.690 ; + RECT 136.040 216.760 136.210 219.520 ; + RECT 136.940 218.830 137.440 219.000 ; + RECT 136.710 217.620 136.880 218.660 ; + RECT 137.500 217.620 137.670 218.660 ; + RECT 136.940 217.280 137.440 217.450 ; + RECT 138.170 216.760 138.340 219.520 ; + RECT 136.040 216.590 138.340 216.760 ; + RECT 139.220 219.510 141.520 219.680 ; + RECT 139.220 216.160 139.390 219.510 ; + RECT 141.350 219.220 141.520 219.510 ; + RECT 140.120 218.820 140.620 218.990 ; + RECT 139.890 217.065 140.060 218.605 ; + RECT 140.680 217.065 140.850 218.605 ; + RECT 140.120 216.680 140.620 216.850 ; + RECT 141.340 216.480 141.520 219.220 ; + RECT 141.350 216.160 141.520 216.480 ; + RECT 139.220 215.990 141.520 216.160 ; + RECT 90.500 213.020 94.800 213.080 ; + RECT 90.280 212.910 94.800 213.020 ; + RECT 90.280 208.950 90.970 212.910 ; + RECT 91.550 211.860 93.750 212.030 ; + RECT 91.550 210.000 91.720 211.860 ; + RECT 92.130 210.490 93.170 211.370 ; + RECT 93.580 211.360 93.750 211.860 ; + RECT 93.540 210.620 93.780 211.360 ; + RECT 93.580 210.000 93.750 210.620 ; + RECT 91.550 209.830 93.750 210.000 ; + RECT 94.630 208.950 94.800 212.910 ; + RECT 90.280 208.900 94.800 208.950 ; + RECT 90.500 208.780 94.800 208.900 ; + RECT 90.540 184.900 94.840 184.970 ; + RECT 90.280 184.800 94.840 184.900 ; + RECT 90.280 180.840 90.970 184.800 ; + RECT 91.590 183.750 93.790 183.920 ; + RECT 91.590 181.890 91.760 183.750 ; + RECT 93.620 183.280 93.790 183.750 ; + RECT 92.170 182.380 93.210 183.260 ; + RECT 93.570 182.420 93.880 183.280 ; + RECT 93.620 181.890 93.790 182.420 ; + RECT 91.590 181.720 93.790 181.890 ; + RECT 94.670 180.840 94.840 184.800 ; + RECT 90.280 180.780 94.840 180.840 ; + RECT 90.540 180.670 94.840 180.780 ; + RECT 90.510 157.840 94.810 157.930 ; + RECT 90.300 157.760 94.810 157.840 ; + RECT 90.300 153.800 90.990 157.760 ; + RECT 91.560 156.710 93.760 156.880 ; + RECT 91.560 154.850 91.730 156.710 ; + RECT 93.590 156.300 93.760 156.710 ; + RECT 92.140 155.340 93.180 156.220 ; + RECT 93.580 155.410 93.780 156.300 ; + RECT 93.590 154.850 93.760 155.410 ; + RECT 91.560 154.680 93.760 154.850 ; + RECT 94.640 153.800 94.810 157.760 ; + RECT 90.300 153.720 94.810 153.800 ; + RECT 90.510 153.630 94.810 153.720 ; + RECT 90.940 141.510 94.400 141.710 ; + RECT 90.510 141.340 94.810 141.510 ; + RECT 90.510 137.380 90.680 141.340 ; + RECT 90.940 141.240 94.400 141.340 ; + RECT 91.480 140.290 93.760 140.460 ; + RECT 91.480 138.430 91.780 140.290 ; + RECT 92.140 138.920 93.180 139.800 ; + RECT 93.590 138.430 93.760 140.290 ; + RECT 91.480 138.320 93.760 138.430 ; + RECT 91.560 138.260 93.760 138.320 ; + RECT 94.640 137.380 94.810 141.340 ; + RECT 90.510 137.210 94.810 137.380 ; + RECT 140.160 21.050 142.130 21.070 ; + RECT 100.750 20.880 142.550 21.050 ; + RECT 100.750 18.610 100.920 20.880 ; + RECT 140.160 20.850 142.130 20.880 ; + RECT 101.650 20.190 141.650 20.360 ; + RECT 101.420 19.515 101.590 19.975 ; + RECT 141.710 19.515 141.880 19.975 ; + RECT 101.650 19.130 141.650 19.300 ; + RECT 142.380 18.610 142.550 20.880 ; + RECT 100.750 18.440 142.550 18.610 ; + RECT 101.250 15.480 102.650 15.560 ; + RECT 100.750 15.310 142.550 15.480 ; + RECT 100.750 13.040 100.920 15.310 ; + RECT 101.250 15.230 102.650 15.310 ; + RECT 101.650 14.620 141.650 14.790 ; + RECT 101.420 13.945 101.590 14.405 ; + RECT 141.710 13.945 141.880 14.405 ; + RECT 101.650 13.560 141.650 13.730 ; + RECT 142.380 13.040 142.550 15.310 ; + RECT 100.750 12.870 142.550 13.040 ; + RECT 100.750 9.830 142.550 10.000 ; + RECT 100.750 7.560 100.920 9.830 ; + RECT 101.650 9.140 141.650 9.310 ; + RECT 101.420 8.465 101.590 8.925 ; + RECT 141.710 8.465 141.880 8.925 ; + RECT 101.650 8.080 141.650 8.250 ; + RECT 142.380 7.560 142.550 9.830 ; + RECT 100.750 7.390 142.550 7.560 ; + LAYER met1 ; + RECT 136.960 223.130 137.420 223.170 ; + RECT 138.110 223.130 138.510 223.180 ; + RECT 140.140 223.130 140.600 223.160 ; + RECT 136.960 222.960 140.600 223.130 ; + RECT 136.960 222.940 137.420 222.960 ; + RECT 138.110 222.920 138.510 222.960 ; + RECT 140.140 222.930 140.600 222.960 ; + RECT 136.490 222.780 136.790 222.810 ; + RECT 135.970 221.700 136.280 221.800 ; + RECT 136.490 221.780 136.910 222.780 ; + RECT 137.470 222.370 137.700 222.780 ; + RECT 140.770 222.725 141.580 223.560 ; + RECT 139.860 222.370 140.090 222.725 ; + RECT 137.470 222.070 140.090 222.370 ; + RECT 137.470 221.780 137.700 222.070 ; + RECT 136.490 221.700 136.790 221.780 ; + RECT 130.110 221.400 136.790 221.700 ; + RECT 135.970 221.250 136.280 221.400 ; + RECT 136.960 221.390 137.420 221.620 ; + RECT 138.160 219.900 138.460 222.070 ; + RECT 139.860 221.225 140.090 222.070 ; + RECT 140.650 221.225 141.580 222.725 ; + RECT 140.140 220.790 140.600 221.020 ; + RECT 138.110 219.600 138.510 219.900 ; + RECT 138.160 219.160 138.460 219.600 ; + RECT 136.940 218.860 140.620 219.160 ; + RECT 136.960 218.800 137.420 218.860 ; + RECT 140.140 218.790 140.600 218.860 ; + RECT 136.010 218.400 136.240 218.460 ; + RECT 136.680 218.400 136.910 218.640 ; + RECT 130.110 218.100 136.910 218.400 ; + RECT 136.010 218.040 136.240 218.100 ; + RECT 136.680 217.640 136.910 218.100 ; + RECT 137.470 218.000 137.700 218.640 ; + RECT 140.770 218.585 141.580 221.225 ; + RECT 139.860 218.000 140.090 218.585 ; + RECT 137.470 217.700 140.090 218.000 ; + RECT 137.470 217.640 138.060 217.700 ; + RECT 137.520 217.620 138.060 217.640 ; + RECT 136.960 217.250 137.420 217.480 ; + RECT 137.760 215.700 138.060 217.620 ; + RECT 139.860 217.085 140.090 217.700 ; + RECT 140.650 217.085 141.580 218.585 ; + RECT 140.140 216.650 140.600 216.880 ; + RECT 140.770 216.420 141.580 217.085 ; + RECT 137.610 214.800 138.310 215.700 ; + RECT 90.250 213.020 91.000 213.080 ; + RECT 90.230 208.900 91.020 213.020 ; + RECT 92.150 210.460 93.150 211.400 ; + RECT 93.510 211.370 93.810 211.420 ; + RECT 95.190 211.370 96.910 211.500 ; + RECT 93.510 210.600 96.910 211.370 ; + RECT 93.510 210.560 93.810 210.600 ; + RECT 90.250 208.840 91.000 208.900 ; + RECT 90.250 184.900 91.000 184.960 ; + RECT 90.230 180.780 91.020 184.900 ; + RECT 93.540 183.300 93.910 183.340 ; + RECT 92.190 183.280 93.190 183.290 ; + RECT 92.190 182.380 93.200 183.280 ; + RECT 93.540 182.400 96.910 183.300 ; + RECT 92.190 182.350 93.190 182.380 ; + RECT 93.540 182.360 93.910 182.400 ; + RECT 90.250 180.720 91.000 180.780 ; + RECT 90.270 157.840 91.020 157.900 ; + RECT 90.250 153.720 91.040 157.840 ; + RECT 93.550 156.300 93.810 156.360 ; + RECT 92.160 155.310 93.160 156.250 ; + RECT 93.550 155.400 96.930 156.300 ; + RECT 93.550 155.350 93.810 155.400 ; + RECT 90.270 153.660 91.020 153.720 ; + RECT 90.080 141.770 95.000 141.780 ; + RECT 90.070 141.130 95.000 141.770 ; + RECT 90.080 141.060 95.000 141.130 ; + RECT 81.260 140.730 85.460 141.000 ; + RECT 81.260 140.700 91.840 140.730 ; + RECT 81.210 139.500 91.840 140.700 ; + RECT 81.260 138.300 91.840 139.500 ; + RECT 92.150 138.930 93.160 139.830 ; + RECT 92.160 138.890 93.160 138.930 ; + RECT 81.210 138.050 91.840 138.300 ; + RECT 81.210 137.100 85.460 138.050 ; + RECT 81.260 135.900 85.460 137.100 ; + RECT 81.210 134.700 85.460 135.900 ; + RECT 81.260 134.400 85.460 134.700 ; + RECT 141.570 21.100 142.630 21.350 ; + RECT 140.100 20.820 142.640 21.100 ; + RECT 141.570 20.760 142.640 20.820 ; + RECT 101.210 20.160 141.630 20.390 ; + RECT 101.210 19.330 102.650 20.160 ; + RECT 141.780 19.955 142.640 20.760 ; + RECT 141.680 19.535 142.640 19.955 ; + RECT 101.210 19.100 141.630 19.330 ; + RECT 141.780 19.210 142.640 19.535 ; + RECT 101.210 15.590 102.650 19.100 ; + RECT 101.190 15.200 102.710 15.590 ; + RECT 101.210 15.050 102.650 15.200 ; + RECT 101.210 14.385 101.460 15.050 ; + RECT 101.670 14.590 141.630 14.820 ; + RECT 141.810 14.385 142.010 14.510 ; + RECT 101.210 13.965 101.620 14.385 ; + RECT 141.680 13.965 142.010 14.385 ; + RECT 101.210 13.870 101.460 13.965 ; + RECT 141.810 13.760 142.010 13.965 ; + RECT 101.670 13.530 142.010 13.760 ; + RECT 141.810 11.960 142.010 13.530 ; + RECT 141.660 11.230 142.100 11.960 ; + RECT 141.810 10.030 142.010 11.230 ; + RECT 141.750 9.800 142.070 10.030 ; + RECT 101.670 9.320 141.630 9.340 ; + RECT 101.330 9.110 141.630 9.320 ; + RECT 101.330 8.280 101.840 9.110 ; + RECT 141.810 8.905 142.010 9.800 ; + RECT 141.680 8.485 142.010 8.905 ; + RECT 101.330 8.050 141.630 8.280 ; + RECT 101.330 5.660 101.840 8.050 ; + RECT 141.810 7.590 142.010 8.485 ; + RECT 141.750 7.360 142.070 7.590 ; + RECT 101.330 5.160 101.850 5.660 ; + RECT 101.330 5.100 101.840 5.160 ; + LAYER met2 ; + RECT 128.110 224.660 128.610 225.260 ; + RECT 128.210 224.410 128.510 224.660 ; + RECT 128.210 224.110 138.460 224.410 ; + RECT 138.160 222.870 138.460 224.110 ; + RECT 130.160 221.350 131.060 221.750 ; + RECT 123.860 219.900 124.460 219.950 ; + RECT 138.160 219.900 138.460 219.950 ; + RECT 123.860 219.300 138.560 219.900 ; + RECT 123.860 219.250 124.460 219.300 ; + RECT 130.160 218.050 131.060 218.450 ; + RECT 13.960 217.710 18.160 217.990 ; + RECT 13.400 217.430 18.160 217.710 ; + RECT 12.840 217.150 18.160 217.430 ; + RECT 12.280 216.870 18.160 217.150 ; + RECT 12.000 216.590 18.160 216.870 ; + RECT 11.720 216.310 18.160 216.590 ; + RECT 20.960 216.310 23.760 217.430 ; + RECT 11.440 216.030 18.160 216.310 ; + RECT 11.160 215.470 18.160 216.030 ; + RECT 10.880 214.910 18.160 215.470 ; + RECT 10.600 214.630 18.160 214.910 ; + RECT 21.240 214.630 24.040 216.310 ; + RECT 10.600 214.350 14.800 214.630 ; + RECT 10.320 214.070 13.960 214.350 ; + RECT 10.320 213.790 13.680 214.070 ; + RECT 10.320 213.510 13.400 213.790 ; + RECT 10.320 212.950 13.120 213.510 ; + RECT 10.320 211.550 12.840 212.950 ; + RECT 10.320 210.710 13.120 211.550 ; + RECT 10.320 210.430 13.400 210.710 ; + RECT 10.600 210.150 13.680 210.430 ; + RECT 10.600 209.870 13.960 210.150 ; + RECT 10.600 209.590 14.520 209.870 ; + RECT 15.640 209.590 18.160 214.630 ; + RECT 21.520 212.110 24.320 214.630 ; + RECT 21.240 211.270 24.320 212.110 ; + RECT 20.960 210.710 24.320 211.270 ; + RECT 20.680 210.430 24.040 210.710 ; + RECT 20.400 210.150 24.040 210.430 ; + RECT 20.120 209.870 24.040 210.150 ; + RECT 19.560 209.590 24.040 209.870 ; + RECT 10.880 209.310 18.160 209.590 ; + RECT 19.000 209.310 23.760 209.590 ; + RECT 10.880 209.030 23.760 209.310 ; + RECT 11.160 208.470 23.480 209.030 ; + RECT 90.280 208.850 90.970 213.070 ; + RECT 92.210 210.470 93.060 211.420 ; + RECT 11.440 208.190 23.200 208.470 ; + RECT 11.720 207.910 22.920 208.190 ; + RECT 12.000 207.630 22.640 207.910 ; + RECT 12.280 207.350 22.640 207.630 ; + RECT 12.560 207.070 22.080 207.350 ; + RECT 13.120 206.790 21.800 207.070 ; + RECT 13.680 206.510 21.240 206.790 ; + RECT 14.240 206.230 20.680 206.510 ; + RECT 15.360 205.950 19.840 206.230 ; + RECT 10.600 202.030 13.120 203.990 ; + RECT 24.320 203.710 25.440 203.990 ; + RECT 23.480 203.430 26.560 203.710 ; + RECT 22.920 203.150 27.120 203.430 ; + RECT 22.640 202.870 27.400 203.150 ; + RECT 22.360 202.590 27.680 202.870 ; + RECT 13.960 202.310 15.920 202.590 ; + RECT 22.080 202.310 27.960 202.590 ; + RECT 13.400 202.030 17.040 202.310 ; + RECT 22.080 202.030 28.240 202.310 ; + RECT 10.600 201.750 17.600 202.030 ; + RECT 21.800 201.750 28.240 202.030 ; + RECT 10.600 201.470 17.880 201.750 ; + RECT 21.800 201.470 28.520 201.750 ; + RECT 10.600 201.190 18.160 201.470 ; + RECT 10.600 200.910 18.440 201.190 ; + RECT 10.600 200.350 18.720 200.910 ; + RECT 21.520 200.630 28.800 201.470 ; + RECT 21.520 200.350 29.080 200.630 ; + RECT 10.600 199.790 19.000 200.350 ; + RECT 21.240 200.070 24.880 200.350 ; + RECT 25.720 200.070 29.080 200.350 ; + RECT 10.600 199.230 19.280 199.790 ; + RECT 10.320 198.950 13.960 199.230 ; + RECT 15.920 198.950 19.280 199.230 ; + RECT 21.240 199.510 24.320 200.070 ; + RECT 26.000 199.790 29.080 200.070 ; + RECT 26.280 199.510 29.360 199.790 ; + RECT 10.320 198.670 13.400 198.950 ; + RECT 16.480 198.670 19.560 198.950 ; + RECT 10.320 198.110 13.120 198.670 ; + RECT 16.760 198.110 19.560 198.670 ; + RECT 10.320 196.150 12.840 198.110 ; + RECT 17.040 196.150 19.560 198.110 ; + RECT 10.320 195.590 13.120 196.150 ; + RECT 16.760 195.590 19.560 196.150 ; + RECT 21.240 198.110 24.040 199.510 ; + RECT 26.560 198.950 29.360 199.510 ; + RECT 21.240 195.590 23.760 198.110 ; + RECT 26.840 197.550 29.360 198.950 ; + RECT 27.120 196.710 29.360 197.550 ; + RECT 10.320 195.310 13.400 195.590 ; + RECT 16.480 195.310 19.280 195.590 ; + RECT 10.600 195.030 13.960 195.310 ; + RECT 15.920 195.030 19.280 195.310 ; + RECT 20.960 195.310 24.040 195.590 ; + RECT 26.840 195.310 29.360 196.710 ; + RECT 20.960 195.030 24.320 195.310 ; + RECT 10.600 194.750 19.560 195.030 ; + RECT 20.680 194.750 24.320 195.030 ; + RECT 26.560 194.750 29.360 195.310 ; + RECT 10.600 194.470 19.840 194.750 ; + RECT 20.120 194.470 24.880 194.750 ; + RECT 26.280 194.470 29.360 194.750 ; + RECT 10.880 194.190 29.360 194.470 ; + RECT 10.880 193.910 29.080 194.190 ; + RECT 11.160 193.350 29.080 193.910 ; + RECT 11.440 193.070 28.800 193.350 ; + RECT 11.720 192.790 28.800 193.070 ; + RECT 12.000 192.510 28.800 192.790 ; + RECT 12.560 192.230 17.320 192.510 ; + RECT 18.440 192.230 22.920 192.510 ; + RECT 23.200 192.230 28.520 192.510 ; + RECT 12.840 191.950 17.040 192.230 ; + RECT 18.720 191.950 22.640 192.230 ; + RECT 13.960 191.670 16.200 191.950 ; + RECT 19.280 191.670 22.080 191.950 ; + RECT 23.480 191.670 28.240 192.230 ; + RECT 20.120 191.390 21.520 191.670 ; + RECT 24.040 191.390 27.960 191.670 ; + RECT 24.320 191.110 27.400 191.390 ; + RECT 24.880 190.830 26.840 191.110 ; + RECT 13.400 188.030 24.040 188.310 ; + RECT 12.560 187.750 24.040 188.030 ; + RECT 12.000 187.470 24.040 187.750 ; + RECT 11.720 187.190 24.040 187.470 ; + RECT 11.440 186.910 24.040 187.190 ; + RECT 11.160 186.630 24.040 186.910 ; + RECT 95.960 186.720 96.860 211.550 ; + RECT 10.880 186.070 24.040 186.630 ; + RECT 10.600 185.230 24.040 186.070 ; + RECT 92.170 185.820 96.860 186.720 ; + RECT 10.600 184.950 22.640 185.230 ; + RECT 10.320 184.670 14.520 184.950 ; + RECT 10.320 184.390 13.680 184.670 ; + RECT 10.320 183.830 13.400 184.390 ; + RECT 10.320 183.270 13.120 183.830 ; + RECT 10.320 181.030 12.840 183.270 ; + RECT 15.640 181.870 18.160 184.950 ; + RECT 19.840 184.670 22.920 184.950 ; + RECT 20.120 184.390 23.200 184.670 ; + RECT 20.400 183.830 23.480 184.390 ; + RECT 20.680 183.550 23.760 183.830 ; + RECT 20.960 183.270 23.760 183.550 ; + RECT 21.240 182.710 24.040 183.270 ; + RECT 21.520 182.150 24.040 182.710 ; + RECT 15.640 181.310 18.440 181.870 ; + RECT 21.520 181.310 24.320 182.150 ; + RECT 15.640 181.030 18.720 181.310 ; + RECT 10.320 179.910 13.120 181.030 ; + RECT 15.920 180.750 18.720 181.030 ; + RECT 21.240 180.750 24.320 181.310 ; + RECT 15.920 180.470 19.000 180.750 ; + RECT 20.960 180.470 24.320 180.750 ; + RECT 90.280 180.730 90.970 184.950 ; + RECT 92.170 183.330 93.070 185.820 ; + RECT 92.170 182.370 93.150 183.330 ; + RECT 92.250 182.330 93.150 182.370 ; + RECT 15.920 180.190 19.560 180.470 ; + RECT 20.400 180.190 24.320 180.470 ; + RECT 15.920 179.910 24.320 180.190 ; + RECT 10.600 179.630 13.120 179.910 ; + RECT 16.200 179.630 24.320 179.910 ; + RECT 10.600 178.790 13.400 179.630 ; + RECT 16.200 179.070 24.040 179.630 ; + RECT 16.480 178.790 24.040 179.070 ; + RECT 10.880 178.230 13.680 178.790 ; + RECT 16.480 178.510 23.760 178.790 ; + RECT 16.760 178.230 23.760 178.510 ; + RECT 10.880 177.950 13.960 178.230 ; + RECT 17.040 177.950 23.480 178.230 ; + RECT 11.160 177.670 13.960 177.950 ; + RECT 17.320 177.670 23.200 177.950 ; + RECT 17.600 177.390 22.920 177.670 ; + RECT 17.880 177.110 22.640 177.390 ; + RECT 18.440 176.830 22.080 177.110 ; + RECT 19.280 176.550 20.680 176.830 ; + RECT 10.600 168.430 13.120 173.470 ; + RECT 20.960 173.190 24.040 173.470 ; + RECT 21.240 171.790 24.040 173.190 ; + RECT 21.520 169.830 24.320 171.790 ; + RECT 21.240 169.270 24.320 169.830 ; + RECT 20.960 168.990 24.320 169.270 ; + RECT 20.680 168.710 24.320 168.990 ; + RECT 20.120 168.430 24.320 168.710 ; + RECT 6.400 167.310 24.040 168.430 ; + RECT 6.400 167.030 23.760 167.310 ; + RECT 6.680 166.750 23.760 167.030 ; + RECT 6.680 166.190 23.480 166.750 ; + RECT 6.960 165.910 23.200 166.190 ; + RECT 6.960 165.630 22.920 165.910 ; + RECT 6.960 165.350 22.360 165.630 ; + RECT 6.960 165.070 21.800 165.350 ; + RECT 7.240 164.790 20.120 165.070 ; + RECT 10.600 161.430 13.120 164.790 ; + RECT 95.960 160.660 96.860 183.350 ; + RECT 92.170 159.760 96.860 160.660 ; + RECT 21.240 155.550 24.040 159.470 ; + RECT 5.280 151.910 24.040 155.550 ; + RECT 90.300 153.670 90.990 157.890 ; + RECT 92.170 155.360 93.070 159.760 ; + RECT 132.260 159.550 132.860 219.300 ; + RECT 141.110 216.410 141.390 217.010 ; + RECT 137.660 214.750 138.260 215.750 ; + RECT 92.210 155.320 93.070 155.360 ; + RECT 5.280 148.270 8.080 151.910 ; + RECT 21.240 147.710 24.040 151.910 ; + RECT 14.800 144.910 19.280 145.190 ; + RECT 13.960 144.630 20.400 144.910 ; + RECT 96.040 144.900 96.940 156.280 ; + RECT 13.400 144.350 20.960 144.630 ; + RECT 12.840 144.070 21.520 144.350 ; + RECT 12.280 143.790 21.800 144.070 ; + RECT 92.200 144.000 96.940 144.900 ; + RECT 12.000 143.510 22.360 143.790 ; + RECT 11.720 143.230 22.640 143.510 ; + RECT 11.440 142.950 22.920 143.230 ; + RECT 11.160 142.670 22.920 142.950 ; + RECT 11.160 142.390 23.200 142.670 ; + RECT 10.880 141.830 23.480 142.390 ; + RECT 10.600 141.550 23.760 141.830 ; + RECT 10.600 141.270 15.360 141.550 ; + RECT 19.000 141.270 23.760 141.550 ; + RECT 10.600 140.990 14.520 141.270 ; + RECT 19.840 140.990 24.040 141.270 ; + RECT 90.120 141.080 91.040 141.820 ; + RECT 10.320 140.710 14.240 140.990 ; + RECT 20.120 140.710 24.040 140.990 ; + RECT 10.320 140.430 13.960 140.710 ; + RECT 10.320 140.150 13.680 140.430 ; + RECT 20.680 140.150 24.040 140.710 ; + RECT 10.320 139.030 13.400 140.150 ; + RECT 20.960 139.870 24.320 140.150 ; + RECT 10.320 138.470 13.120 139.030 ; + RECT 10.320 137.630 13.400 138.470 ; + RECT 21.240 137.910 24.320 139.870 ; + RECT 81.260 139.450 82.460 140.750 ; + RECT 92.200 138.880 93.100 144.000 ; + RECT 10.320 137.070 13.680 137.630 ; + RECT 20.960 137.350 24.320 137.910 ; + RECT 20.680 137.070 24.320 137.350 ; + RECT 10.320 136.790 13.960 137.070 ; + RECT 20.680 136.790 24.040 137.070 ; + RECT 81.260 137.050 82.460 138.350 ; + RECT 10.600 136.510 14.240 136.790 ; + RECT 20.400 136.510 24.040 136.790 ; + RECT 10.600 136.230 14.800 136.510 ; + RECT 19.840 136.230 24.040 136.510 ; + RECT 10.600 135.950 15.360 136.230 ; + RECT 19.280 135.950 24.040 136.230 ; + RECT 10.880 135.670 17.040 135.950 ; + RECT 17.600 135.670 23.760 135.950 ; + RECT 10.880 135.390 23.760 135.670 ; + RECT 11.160 134.830 23.480 135.390 ; + RECT 11.440 134.550 23.200 134.830 ; + RECT 81.260 134.650 82.460 135.950 ; + RECT 11.720 134.270 23.200 134.550 ; + RECT 12.000 133.990 22.920 134.270 ; + RECT 12.280 133.710 22.640 133.990 ; + RECT 12.560 133.430 22.360 133.710 ; + RECT 13.120 133.150 21.800 133.430 ; + RECT 13.400 132.870 21.240 133.150 ; + RECT 14.240 132.590 20.680 132.870 ; + RECT 15.080 132.310 19.840 132.590 ; + RECT 6.680 131.190 7.800 131.470 ; + RECT 6.680 130.910 8.640 131.190 ; + RECT 6.680 130.630 9.480 130.910 ; + RECT 6.680 130.350 10.320 130.630 ; + RECT 6.680 130.070 11.440 130.350 ; + RECT 6.680 129.790 12.280 130.070 ; + RECT 6.680 129.510 13.120 129.790 ; + RECT 6.680 129.230 13.960 129.510 ; + RECT 6.680 128.950 15.080 129.230 ; + RECT 6.680 128.670 15.920 128.950 ; + RECT 6.680 128.390 16.760 128.670 ; + RECT 6.680 128.110 17.600 128.390 ; + RECT 6.680 127.830 18.720 128.110 ; + RECT 7.240 127.550 19.560 127.830 ; + RECT 8.360 127.270 20.400 127.550 ; + RECT 9.200 126.990 21.520 127.270 ; + RECT 10.320 126.710 22.360 126.990 ; + RECT 11.440 126.430 23.200 126.710 ; + RECT 12.280 126.150 24.040 126.430 ; + RECT 13.400 125.870 24.040 126.150 ; + RECT 14.240 125.590 24.040 125.870 ; + RECT 15.360 125.310 24.040 125.590 ; + RECT 16.200 125.030 24.040 125.310 ; + RECT 17.320 124.750 24.040 125.030 ; + RECT 18.160 124.470 24.040 124.750 ; + RECT 19.280 123.910 24.040 124.470 ; + RECT 18.440 123.630 24.040 123.910 ; + RECT 17.320 123.350 24.040 123.630 ; + RECT 16.200 123.070 24.040 123.350 ; + RECT 15.360 122.790 24.040 123.070 ; + RECT 14.240 122.510 24.040 122.790 ; + RECT 13.400 122.230 24.040 122.510 ; + RECT 12.280 121.950 24.040 122.230 ; + RECT 11.160 121.670 24.040 121.950 ; + RECT 10.320 121.390 22.920 121.670 ; + RECT 9.200 121.110 22.080 121.390 ; + RECT 8.360 120.830 21.240 121.110 ; + RECT 7.240 120.550 20.400 120.830 ; + RECT 6.680 120.270 19.280 120.550 ; + RECT 6.680 119.990 18.440 120.270 ; + RECT 6.680 119.710 17.600 119.990 ; + RECT 6.680 119.430 16.760 119.710 ; + RECT 6.680 119.150 15.640 119.430 ; + RECT 6.680 118.870 14.800 119.150 ; + RECT 6.680 118.590 13.960 118.870 ; + RECT 6.680 118.310 12.840 118.590 ; + RECT 6.680 118.030 12.000 118.310 ; + RECT 6.680 117.750 11.160 118.030 ; + RECT 6.680 117.470 10.320 117.750 ; + RECT 6.680 117.190 9.200 117.470 ; + RECT 6.680 116.910 8.360 117.190 ; + RECT 6.680 116.630 7.520 116.910 ; + RECT 13.120 100.110 24.040 100.390 ; + RECT 12.280 99.830 24.040 100.110 ; + RECT 11.720 99.550 24.040 99.830 ; + RECT 11.440 99.270 24.040 99.550 ; + RECT 11.160 98.990 24.040 99.270 ; + RECT 10.880 98.430 24.040 98.990 ; + RECT 10.600 97.870 24.040 98.430 ; + RECT 10.320 97.030 24.040 97.870 ; + RECT 10.320 96.750 14.240 97.030 ; + RECT 10.320 96.470 13.680 96.750 ; + RECT 10.320 95.910 13.400 96.470 ; + RECT 10.320 95.350 13.120 95.910 ; + RECT 10.320 94.510 13.400 95.350 ; + RECT 10.600 94.230 13.680 94.510 ; + RECT 10.600 93.950 13.960 94.230 ; + RECT 10.880 93.670 14.240 93.950 ; + RECT 10.880 93.390 14.520 93.670 ; + RECT 11.160 93.110 14.800 93.390 ; + RECT 11.440 92.830 15.080 93.110 ; + RECT 11.720 92.550 15.360 92.830 ; + RECT 5.280 89.190 24.040 92.550 ; + RECT 10.600 84.990 13.120 86.670 ; + RECT 23.760 86.390 26.000 86.670 ; + RECT 23.200 86.110 26.840 86.390 ; + RECT 22.640 85.830 27.120 86.110 ; + RECT 22.360 85.550 27.400 85.830 ; + RECT 22.360 85.270 27.680 85.550 ; + RECT 13.680 84.990 16.480 85.270 ; + RECT 22.080 84.990 27.960 85.270 ; + RECT 10.600 84.710 17.320 84.990 ; + RECT 21.800 84.710 28.240 84.990 ; + RECT 10.600 84.430 17.600 84.710 ; + RECT 10.600 84.150 17.880 84.430 ; + RECT 21.800 84.150 28.520 84.710 ; + RECT 10.600 83.870 18.160 84.150 ; + RECT 10.600 83.590 18.440 83.870 ; + RECT 21.520 83.590 28.800 84.150 ; + RECT 10.600 83.310 18.720 83.590 ; + RECT 21.520 83.310 29.080 83.590 ; + RECT 10.600 82.750 19.000 83.310 ; + RECT 21.240 83.030 29.080 83.310 ; + RECT 21.240 82.750 24.600 83.030 ; + RECT 25.720 82.750 29.080 83.030 ; + RECT 10.600 82.190 19.280 82.750 ; + RECT 10.600 81.910 14.520 82.190 ; + RECT 15.360 81.910 19.280 82.190 ; + RECT 10.320 81.630 13.680 81.910 ; + RECT 16.200 81.630 19.280 81.910 ; + RECT 21.240 82.470 24.320 82.750 ; + RECT 26.280 82.470 29.080 82.750 ; + RECT 10.320 81.350 13.400 81.630 ; + RECT 16.480 81.350 19.560 81.630 ; + RECT 10.320 81.070 13.120 81.350 ; + RECT 16.760 81.070 19.560 81.350 ; + RECT 10.320 78.830 12.840 81.070 ; + RECT 17.040 78.830 19.560 81.070 ; + RECT 10.320 78.550 13.120 78.830 ; + RECT 10.320 78.270 13.400 78.550 ; + RECT 16.760 78.270 19.560 78.830 ; + RECT 21.240 81.070 24.040 82.470 ; + RECT 26.560 81.630 29.360 82.470 ; + RECT 21.240 78.550 23.760 81.070 ; + RECT 26.840 80.230 29.360 81.630 ; + RECT 27.120 79.670 29.360 80.230 ; + RECT 20.960 78.270 23.760 78.550 ; + RECT 26.840 78.270 29.360 79.670 ; + RECT 10.320 77.990 13.680 78.270 ; + RECT 16.200 77.990 19.280 78.270 ; + RECT 20.960 77.990 24.040 78.270 ; + RECT 10.600 77.710 14.240 77.990 ; + RECT 15.640 77.710 19.280 77.990 ; + RECT 20.680 77.710 24.320 77.990 ; + RECT 26.560 77.710 29.360 78.270 ; + RECT 10.600 77.430 19.560 77.710 ; + RECT 20.680 77.430 24.600 77.710 ; + RECT 26.280 77.430 29.360 77.710 ; + RECT 10.600 77.150 25.160 77.430 ; + RECT 26.000 77.150 29.360 77.430 ; + RECT 10.880 76.590 29.080 77.150 ; + RECT 11.160 76.310 29.080 76.590 ; + RECT 11.440 76.030 29.080 76.310 ; + RECT 11.440 75.750 28.800 76.030 ; + RECT 11.720 75.470 28.800 75.750 ; + RECT 12.280 75.190 17.600 75.470 ; + RECT 18.160 75.190 28.520 75.470 ; + RECT 12.560 74.910 17.320 75.190 ; + RECT 18.440 74.910 22.640 75.190 ; + RECT 23.200 74.910 28.520 75.190 ; + RECT 13.120 74.630 16.760 74.910 ; + RECT 19.000 74.630 22.360 74.910 ; + RECT 23.480 74.630 28.240 74.910 ; + RECT 19.560 74.350 21.800 74.630 ; + RECT 23.760 74.350 27.960 74.630 ; + RECT 24.040 74.070 27.680 74.350 ; + RECT 24.600 73.790 27.120 74.070 ; + RECT 6.120 67.630 7.800 67.910 ; + RECT 21.240 67.630 24.040 71.550 ; + RECT 5.560 67.350 8.360 67.630 ; + RECT 5.280 67.070 8.640 67.350 ; + RECT 5.000 66.510 8.920 67.070 ; + RECT 5.000 66.230 9.200 66.510 ; + RECT 4.720 65.110 9.200 66.230 ; + RECT 5.000 64.830 9.200 65.110 ; + RECT 5.000 64.270 8.920 64.830 ; + RECT 5.280 63.990 8.640 64.270 ; + RECT 10.600 63.990 24.040 67.630 ; + RECT 5.560 63.710 8.360 63.990 ; + RECT 6.120 63.430 7.800 63.710 ; + RECT 10.600 60.350 13.120 63.990 ; + RECT 21.240 59.790 24.040 63.990 ; + RECT 6.680 53.630 24.040 56.990 ; + RECT 13.680 48.030 16.480 53.630 ; + RECT 6.680 44.670 24.040 48.030 ; + RECT 28.240 39.070 29.360 39.350 ; + RECT 27.680 38.790 29.920 39.070 ; + RECT 27.120 38.510 30.200 38.790 ; + RECT 26.840 38.230 30.200 38.510 ; + RECT 26.280 37.950 30.200 38.230 ; + RECT 25.720 37.670 30.200 37.950 ; + RECT 25.160 37.390 30.200 37.670 ; + RECT 24.880 37.110 30.200 37.390 ; + RECT 24.320 36.830 30.200 37.110 ; + RECT 23.760 36.550 30.200 36.830 ; + RECT 23.480 36.270 30.200 36.550 ; + RECT 22.920 35.990 30.200 36.270 ; + RECT 22.360 35.710 30.200 35.990 ; + RECT 21.800 35.430 30.200 35.710 ; + RECT 21.520 35.150 30.200 35.430 ; + RECT 20.960 34.870 26.840 35.150 ; + RECT 20.400 34.590 26.560 34.870 ; + RECT 19.840 34.310 26.000 34.590 ; + RECT 19.560 34.030 25.440 34.310 ; + RECT 19.000 33.750 24.880 34.030 ; + RECT 18.440 33.470 24.600 33.750 ; + RECT 17.880 33.190 24.040 33.470 ; + RECT 17.600 32.910 23.480 33.190 ; + RECT 17.040 32.630 23.200 32.910 ; + RECT 16.480 32.350 22.640 32.630 ; + RECT 16.200 32.070 22.080 32.350 ; + RECT 15.640 31.790 21.520 32.070 ; + RECT 15.080 31.510 21.240 31.790 ; + RECT 14.520 31.230 20.680 31.510 ; + RECT 14.240 30.950 20.120 31.230 ; + RECT 13.680 30.670 19.560 30.950 ; + RECT 13.120 30.390 19.280 30.670 ; + RECT 12.560 30.110 18.720 30.390 ; + RECT 12.280 29.830 18.160 30.110 ; + RECT 11.720 29.550 17.600 29.830 ; + RECT 11.160 29.270 17.320 29.550 ; + RECT 10.600 28.990 16.760 29.270 ; + RECT 10.320 28.710 16.200 28.990 ; + RECT 9.760 28.430 15.920 28.710 ; + RECT 9.200 28.150 15.360 28.430 ; + RECT 8.920 27.870 14.800 28.150 ; + RECT 8.360 27.590 14.240 27.870 ; + RECT 7.800 27.310 13.960 27.590 ; + RECT 7.240 27.030 13.400 27.310 ; + RECT 6.960 26.750 12.840 27.030 ; + RECT 6.400 26.470 12.280 26.750 ; + RECT 5.840 26.190 12.000 26.470 ; + RECT 5.280 25.910 11.440 26.190 ; + RECT 5.000 25.630 10.880 25.910 ; + RECT 15.360 25.630 15.920 25.910 ; + RECT 4.440 25.350 10.320 25.630 ; + RECT 15.360 25.350 16.760 25.630 ; + RECT 3.880 25.070 10.040 25.350 ; + RECT 15.640 25.070 17.880 25.350 ; + RECT 3.320 24.790 9.480 25.070 ; + RECT 15.640 24.790 19.000 25.070 ; + RECT 3.040 24.510 8.920 24.790 ; + RECT 15.920 24.510 19.840 24.790 ; + RECT 22.920 24.510 23.480 24.790 ; + RECT 2.480 24.230 8.640 24.510 ; + RECT 10.320 24.230 11.160 24.510 ; + RECT 15.920 24.230 20.960 24.510 ; + RECT 23.200 24.230 24.040 24.510 ; + RECT 1.920 23.950 8.080 24.230 ; + RECT 10.320 23.950 11.720 24.230 ; + RECT 16.200 23.950 21.800 24.230 ; + RECT 23.200 23.950 24.320 24.230 ; + RECT 1.640 23.670 7.520 23.950 ; + RECT 10.320 23.670 12.560 23.950 ; + RECT 16.200 23.670 22.920 23.950 ; + RECT 23.480 23.670 24.880 23.950 ; + RECT 1.360 23.390 6.960 23.670 ; + RECT 10.320 23.390 13.120 23.670 ; + RECT 16.480 23.390 25.160 23.670 ; + RECT 1.360 23.110 6.680 23.390 ; + RECT 10.320 23.110 13.960 23.390 ; + RECT 16.480 23.110 25.720 23.390 ; + RECT 1.360 22.830 6.120 23.110 ; + RECT 10.320 22.830 14.800 23.110 ; + RECT 16.760 22.830 26.000 23.110 ; + RECT 1.360 22.550 5.840 22.830 ; + RECT 10.320 22.550 15.360 22.830 ; + RECT 16.760 22.550 18.440 22.830 ; + RECT 19.280 22.550 26.560 22.830 ; + RECT 1.360 22.270 6.400 22.550 ; + RECT 10.320 22.270 16.200 22.550 ; + RECT 17.040 22.270 18.720 22.550 ; + RECT 20.680 22.270 26.840 22.550 ; + RECT 1.360 21.990 6.680 22.270 ; + RECT 1.360 21.710 7.240 21.990 ; + RECT 10.320 21.710 18.720 22.270 ; + RECT 22.360 21.990 27.120 22.270 ; + RECT 22.920 21.710 26.840 21.990 ; + RECT 1.640 21.430 7.800 21.710 ; + RECT 2.200 21.150 8.080 21.430 ; + RECT 10.320 21.150 19.000 21.710 ; + RECT 22.640 21.430 25.440 21.710 ; + RECT 22.360 21.150 24.040 21.430 ; + RECT 2.760 20.870 8.640 21.150 ; + RECT 11.440 20.870 19.280 21.150 ; + RECT 22.360 20.870 22.920 21.150 ; + RECT 3.040 20.590 9.200 20.870 ; + RECT 12.280 20.590 19.280 20.870 ; + RECT 3.600 20.310 9.760 20.590 ; + RECT 13.400 20.310 19.560 20.590 ; + RECT 4.160 20.030 10.040 20.310 ; + RECT 14.240 20.030 19.560 20.310 ; + RECT 4.720 19.750 10.600 20.030 ; + RECT 15.360 19.750 19.840 20.030 ; + RECT 5.000 19.470 11.160 19.750 ; + RECT 16.480 19.470 19.840 19.750 ; + RECT 5.560 19.190 11.720 19.470 ; + RECT 17.320 19.190 20.120 19.470 ; + RECT 6.120 18.910 12.000 19.190 ; + RECT 18.440 18.910 20.120 19.190 ; + RECT 6.680 18.630 12.560 18.910 ; + RECT 19.560 18.630 20.120 18.910 ; + RECT 6.960 18.350 13.120 18.630 ; + RECT 7.520 18.070 13.680 18.350 ; + RECT 8.080 17.790 13.960 18.070 ; + RECT 8.360 17.510 14.520 17.790 ; + RECT 8.920 17.230 15.080 17.510 ; + RECT 9.480 16.950 15.360 17.230 ; + RECT 10.040 16.670 15.920 16.950 ; + RECT 10.320 16.390 16.480 16.670 ; + RECT 10.880 16.110 17.040 16.390 ; + RECT 11.440 15.830 17.320 16.110 ; + RECT 12.000 15.550 17.880 15.830 ; + RECT 12.280 15.270 18.440 15.550 ; + RECT 12.840 14.990 19.000 15.270 ; + RECT 13.400 14.710 19.280 14.990 ; + RECT 13.960 14.430 19.840 14.710 ; + RECT 14.240 14.150 20.400 14.430 ; + RECT 14.800 13.870 20.960 14.150 ; + RECT 15.360 13.590 21.240 13.870 ; + RECT 15.640 13.310 21.800 13.590 ; + RECT 16.200 13.030 22.360 13.310 ; + RECT 16.760 12.750 22.640 13.030 ; + RECT 17.320 12.470 23.200 12.750 ; + RECT 17.600 12.190 23.760 12.470 ; + RECT 18.160 11.910 24.320 12.190 ; + RECT 18.720 11.630 24.600 11.910 ; + RECT 19.280 11.350 25.160 11.630 ; + RECT 19.560 11.070 25.720 11.350 ; + RECT 20.120 10.790 26.280 11.070 ; + RECT 20.680 10.510 26.560 10.790 ; + RECT 21.240 10.230 27.120 10.510 ; + RECT 27.400 10.230 30.200 35.150 ; + RECT 141.620 20.710 142.580 21.400 ; + RECT 141.710 11.180 142.050 12.010 ; + RECT 21.520 9.950 30.200 10.230 ; + RECT 22.080 9.670 30.200 9.950 ; + RECT 22.640 9.390 30.200 9.670 ; + RECT 22.920 9.110 30.200 9.390 ; + RECT 23.480 8.830 30.200 9.110 ; + RECT 24.040 8.550 30.200 8.830 ; + RECT 24.600 8.270 30.200 8.550 ; + RECT 24.880 7.990 30.200 8.270 ; + RECT 25.440 7.710 30.200 7.990 ; + RECT 26.000 7.430 30.200 7.710 ; + RECT 26.560 7.150 30.200 7.430 ; + RECT 26.840 6.870 30.200 7.150 ; + RECT 27.400 6.590 30.200 6.870 ; + RECT 27.960 6.310 29.640 6.590 ; + RECT 33.860 5.700 34.460 5.750 ; + RECT 101.380 5.700 101.800 5.710 ; + RECT 33.860 5.100 101.830 5.700 ; + RECT 33.860 5.050 34.460 5.100 ; + LAYER met3 ; + RECT 128.060 224.685 128.660 225.235 ; + RECT 129.810 222.500 131.410 223.500 ; + RECT 123.810 219.275 124.510 219.925 ; + RECT 92.160 217.500 127.560 218.400 ; + RECT 129.860 218.000 131.360 222.500 ; + RECT 90.230 208.875 91.020 213.045 ; + RECT 92.160 211.395 93.060 217.500 ; + RECT 126.660 217.200 127.560 217.500 ; + RECT 126.520 217.000 128.110 217.200 ; + RECT 126.520 216.985 141.430 217.000 ; + RECT 126.520 216.435 141.440 216.985 ; + RECT 126.520 216.400 141.430 216.435 ; + RECT 126.520 216.300 128.110 216.400 ; + RECT 126.660 216.230 127.560 216.300 ; + RECT 92.160 210.495 93.110 211.395 ; + RECT 95.910 210.575 96.910 211.525 ; + RECT 92.160 210.440 93.060 210.495 ; + RECT 97.860 189.500 124.355 215.500 ; + RECT 137.610 214.775 138.310 215.725 ; + RECT 90.230 180.755 91.020 184.925 ; + RECT 95.910 182.375 96.910 183.325 ; + RECT 97.760 162.300 124.255 188.300 ; + RECT 137.660 187.200 138.260 214.775 ; + RECT 124.710 186.600 138.260 187.200 ; + RECT 90.250 153.695 91.040 157.865 ; + RECT 96.120 155.455 96.900 156.235 ; + RECT 48.560 119.700 80.055 145.700 ; + RECT 90.070 141.105 91.090 141.795 ; + RECT 81.260 140.725 82.460 141.000 ; + RECT 81.210 139.475 82.510 140.725 ; + RECT 81.260 138.325 82.460 139.475 ; + RECT 81.210 137.075 82.510 138.325 ; + RECT 81.260 135.925 82.460 137.075 ; + RECT 81.210 134.675 82.510 135.925 ; + RECT 97.760 135.000 124.255 161.000 ; + RECT 132.210 160.200 132.910 160.225 ; + RECT 124.710 159.600 132.910 160.200 ; + RECT 132.210 159.575 132.910 159.600 ; + RECT 81.260 24.970 82.460 134.675 ; + RECT 81.260 23.770 142.690 24.970 ; + RECT 141.490 20.630 142.690 23.770 ; + RECT 141.660 11.205 142.100 11.985 ; + RECT 33.810 5.075 34.510 5.725 ; + LAYER met4 ; + RECT 128.105 224.760 128.190 225.215 ; + RECT 128.490 224.760 128.615 225.215 ; + RECT 15.030 223.500 15.330 224.760 ; + RECT 17.790 223.500 18.090 224.760 ; + RECT 20.550 223.500 20.850 224.760 ; + RECT 23.310 223.500 23.610 224.760 ; + RECT 26.070 223.500 26.370 224.760 ; + RECT 28.830 223.500 29.130 224.760 ; + RECT 31.590 223.500 31.890 224.760 ; + RECT 34.350 223.500 34.650 224.760 ; + RECT 37.110 223.500 37.410 224.760 ; + RECT 39.870 223.500 40.170 224.760 ; + RECT 42.630 223.500 42.930 224.760 ; + RECT 45.390 223.500 45.690 224.760 ; + RECT 48.150 223.500 48.450 224.760 ; + RECT 50.910 223.500 51.210 224.760 ; + RECT 53.670 223.500 53.970 224.760 ; + RECT 56.430 223.500 56.730 224.760 ; + RECT 59.190 223.500 59.490 224.760 ; + RECT 61.950 223.500 62.250 224.760 ; + RECT 64.710 223.500 65.010 224.760 ; + RECT 67.470 223.500 67.770 224.760 ; + RECT 70.230 223.500 70.530 224.760 ; + RECT 72.990 223.500 73.290 224.760 ; + RECT 75.750 223.500 76.050 224.760 ; + RECT 78.510 223.500 78.810 224.760 ; + RECT 128.105 224.705 128.615 224.760 ; + RECT 129.855 223.500 131.365 223.505 ; + RECT 14.990 222.500 131.365 223.500 ; + RECT 33.360 220.760 34.860 222.500 ; + RECT 49.255 139.200 78.865 145.005 ; + RECT 79.555 141.000 80.035 145.640 ; + RECT 90.090 141.080 91.090 222.500 ; + RECT 129.855 222.495 131.365 222.500 ; + RECT 123.855 219.295 124.465 219.905 ; + RECT 123.860 215.440 124.460 219.295 ; + RECT 126.555 216.295 126.560 217.205 ; + RECT 128.060 216.295 128.065 217.205 ; + RECT 123.855 215.100 124.460 215.440 ; + RECT 95.955 211.500 96.865 211.505 ; + RECT 98.555 211.500 123.165 214.805 ; + RECT 95.955 210.600 123.165 211.500 ; + RECT 95.955 210.595 96.865 210.600 ; + RECT 98.555 190.195 123.165 210.600 ; + RECT 123.855 189.560 124.335 215.100 ; + RECT 123.755 188.100 124.235 188.240 ; + RECT 95.955 183.300 96.865 183.305 ; + RECT 98.455 183.300 123.065 187.605 ; + RECT 95.955 182.400 123.065 183.300 ; + RECT 95.955 182.395 96.865 182.400 ; + RECT 98.455 162.995 123.065 182.400 ; + RECT 123.755 186.000 125.960 188.100 ; + RECT 123.755 162.360 124.235 186.000 ; + RECT 123.755 160.800 124.235 160.940 ; + RECT 98.455 156.300 123.065 160.305 ; + RECT 96.120 155.400 123.065 156.300 ; + RECT 34.860 128.400 78.865 139.200 ; + RECT 79.460 140.705 82.460 141.000 ; + RECT 79.460 139.495 82.465 140.705 ; + RECT 79.460 138.305 82.460 139.495 ; + RECT 79.460 137.095 82.465 138.305 ; + RECT 79.460 135.905 82.460 137.095 ; + RECT 79.460 134.695 82.465 135.905 ; + RECT 98.455 135.695 123.065 155.400 ; + RECT 123.755 159.000 125.960 160.800 ; + RECT 123.755 135.060 124.235 159.000 ; + RECT 79.460 134.400 82.460 134.695 ; + RECT 49.255 120.395 78.865 128.400 ; + RECT 79.555 119.760 80.035 134.400 ; + RECT 140.770 11.140 142.130 12.040 ; + RECT 140.770 3.900 141.670 11.140 ; + RECT 136.170 3.000 141.670 3.900 ; + RECT 136.170 1.000 137.070 3.000 ; + END +END tt_um_urish_charge_pump +END LIBRARY + diff --git a/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.v b/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.v new file mode 100644 index 00000000..8a3aad48 --- /dev/null +++ b/projects/tt_um_urish_charge_pump/tt_um_urish_charge_pump.v @@ -0,0 +1,20 @@ +`default_nettype none + +// just a stub to keep the Tiny Tapeout tools happy + +module tt_um_urish_charge_pump ( + input wire VGND, + input wire VDPWR, // 1.8v power supply + input wire VAPWR, // 3.3v power supply + input wire [7:0] ui_in, // Dedicated inputs + output wire [7:0] uo_out, // Dedicated outputs + input wire [7:0] uio_in, // IOs: Input path + output wire [7:0] uio_out, // IOs: Output path + output wire [7:0] uio_oe, // IOs: Enable path (active high: 0=input, 1=output) + inout wire [7:0] ua, // Analog pins, only ua[5:0] can be used + input wire ena, // always 1 when the design is powered, so you can ignore it + input wire clk, // clock + input wire rst_n // reset_n - low to reset +); + +endmodule