From d48f676d2081cffddc54101d4ba867d0159f921b Mon Sep 17 00:00:00 2001 From: TinyTapeoutBot <139130078+TinyTapeoutBot@users.noreply.github.com> Date: Fri, 6 Sep 2024 23:01:33 +0300 Subject: [PATCH] feat: update project tt_um_bgr_agolmanesh from AsalGolmanesh/tt08-bgr Commit: 649b1597884b0fdb2e0cf979833fb23245441b4c Workflow: https://github.com/AsalGolmanesh/tt08-bgr/actions/runs/10730531024 --- projects/tt_um_bgr_agolmanesh/LICENSE | 201 +++++++ projects/tt_um_bgr_agolmanesh/commit_id.json | 8 + projects/tt_um_bgr_agolmanesh/docs/info.md | 34 ++ projects/tt_um_bgr_agolmanesh/info.yaml | 65 +++ .../tt_um_bgr_agolmanesh.gds | Bin 0 -> 1217396 bytes .../tt_um_bgr_agolmanesh.lef | 533 ++++++++++++++++++ .../tt_um_bgr_agolmanesh.v | 58 ++ 7 files changed, 899 insertions(+) create mode 100644 projects/tt_um_bgr_agolmanesh/LICENSE create mode 100644 projects/tt_um_bgr_agolmanesh/commit_id.json create mode 100644 projects/tt_um_bgr_agolmanesh/docs/info.md create mode 100644 projects/tt_um_bgr_agolmanesh/info.yaml create mode 100644 projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.gds create mode 100644 projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.lef create mode 100644 projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.v diff --git a/projects/tt_um_bgr_agolmanesh/LICENSE b/projects/tt_um_bgr_agolmanesh/LICENSE new file mode 100644 index 0000000..261eeb9 --- /dev/null +++ b/projects/tt_um_bgr_agolmanesh/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/projects/tt_um_bgr_agolmanesh/commit_id.json b/projects/tt_um_bgr_agolmanesh/commit_id.json new file mode 100644 index 0000000..dae659e --- /dev/null +++ b/projects/tt_um_bgr_agolmanesh/commit_id.json @@ -0,0 +1,8 @@ +{ + "app": "custom_gds action", + "repo": "https://github.com/AsalGolmanesh/tt08-bgr", + "commit": "649b1597884b0fdb2e0cf979833fb23245441b4c", + "workflow_url": "https://github.com/AsalGolmanesh/tt08-bgr/actions/runs/10730531024", + "sort_id": 1725652887547, + "analog": true +} \ No newline at end of file diff --git a/projects/tt_um_bgr_agolmanesh/docs/info.md b/projects/tt_um_bgr_agolmanesh/docs/info.md new file mode 100644 index 0000000..50ced9b --- /dev/null +++ b/projects/tt_um_bgr_agolmanesh/docs/info.md @@ -0,0 +1,34 @@ + + +## How it works + +This project involves designing a bandgap reference circuit using Skywater 130nm CMOS technology to provide a stable 1.17V output across a temperature range of 0 to 80°C. A startup circuit is included to initialize the system upon power-up. The circuit operates at 1.8V and consumes 0.35 mW of total power. + + +## How to test + +To test the circuit, apply the specified power supply voltage of 1.8V. Upon power application, the startup circuit should activate, enabling the entire circuit. The following major tests are required to evaluate the bandgap reference (BGR) circuit: + +1. Temperature Testing: Use a temperature chamber or hotplate to vary the temperature and measure the reference voltage at different points: + - Start at room temperature (~25°C). + - Test at low temperatures (e.g., 0°C). + - Test at high temperatures (e.g., 80°C). + +2. Line Regulation: Vary the supply voltage (e.g., from 1.6V to 2.0V) and measure the output reference voltage to assess the circuit's ability to maintain a stable output. + +3. Load Regulation: Apply different loads to the bandgap reference output and measure the change in output voltage to ensure stability under varying load conditions. + +4. Power Consumption: Use a multimeter or source-measure unit (SMU) to measure the current consumption of the bandgap circuit. + +5. Long-Term Drift: If feasible, operate the circuit for an extended period (e.g., hours or days) under typical conditions and periodically measure the output voltage to verify that the reference voltage does not drift significantly over time. + +## External hardware + +Ensure a proper testing environment with equipment such as oscilloscopes, multimeters, SMUs, temperature chambers, and power supplies. An accurate power supply is essential to provide the required 1.8V (or the specified voltage in your design) to the circuit. diff --git a/projects/tt_um_bgr_agolmanesh/info.yaml b/projects/tt_um_bgr_agolmanesh/info.yaml new file mode 100644 index 0000000..df573f3 --- /dev/null +++ b/projects/tt_um_bgr_agolmanesh/info.yaml @@ -0,0 +1,65 @@ +# Tiny Tapeout project information +project: + title: "Bandgap Reference" # Project title + author: "Asal Golmanesh" # Your name + discord: "askgm" # Your discord username, for communication and automatically assigning you a Tapeout role (optional) + description: "Bandgap 1.2V at 1.8 supply with skywater 130nm from 0 to 80deg" # One line description of what your project does + language: "Analog" # other examples include Verilog, Amaranth, VHDL, etc + clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable) + + # How many tiles your design occupies? A single tile is about 167x108 uM. + tiles: "1x2" # Valid values for analog projects: 1x2, 2x2 + + # How many analog pins does your project use? + analog_pins: 1 # Valid values: 0 to 6 + uses_3v3: false # Set to true if your project uses 3.3V (VAPWR) in addition to 1.8V (VDPWR) + + # Your top module name must start with "tt_um_". Make it unique by including your github username: + top_module: "tt_um_bgr_agolmanesh" + + # List your project's source files here. Source files must be in ./src and you must list each source file separately, one per line: + source_files: + - "project.v" + +# The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. +pinout: + # Inputs + ui[0]: "" + ui[1]: "" + ui[2]: "" + ui[3]: "" + ui[4]: "" + ui[5]: "" + ui[6]: "" + ui[7]: "" + + # Outputs + uo[0]: "" + uo[1]: "" + uo[2]: "" + uo[3]: "" + uo[4]: "" + uo[5]: "" + uo[6]: "" + uo[7]: "" + + # Bidirectional pins + uio[0]: "" + uio[1]: "" + uio[2]: "" + uio[3]: "" + uio[4]: "" + uio[5]: "" + uio[6]: "" + uio[7]: "" + + # Analog pins - make sure to also set "analog_pins" above, else the pins won't be connected + ua[0]: "" + ua[1]: "" + ua[2]: "" + ua[3]: "Vbgr" + ua[4]: "" + ua[5]: "" + +# Do not change! +yaml_version: 6 diff --git a/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.gds b/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.gds new file mode 100644 index 0000000000000000000000000000000000000000..344eab551095c6e66f41b4d277a9002a38ec10e2 GIT binary patch literal 1217396 zcmeFadDLZDS*Lj;zWB!YV#fy{&)V;;W#J@31J`*I<1 zo%`Ig@7d?PXP@DoyI5Q7UaTzcwrls|)r-x=+G4S|YOy{0_k$K!?Owb7`lJ8uB}bq0 zf>#`U_6uJ2;+H)8rO$ii*DQ{#tp3|aeAh!B|E{08<)L5jTlanAk3Qq6i@UAzKD!oM zi~Wl$7Dtxe=hN5LUir1(aQ_G1@96Wt`sj79IC}II&p&$IORqb6ziVD~&CxG=;B^nW z-xK)1#h#7DVr}2<#Z8N~{kzqk`y5=+|Nr~^yZYxR&i~z}?>V&S{kHzF1tY zzkUB>;uT-CSdg2VKK4&(!CWu@wux82P`+|g(}(`n`xcAshblk+?>2qz=jU(!RR(5Ew-1x=x zP35J(`o{FlwHwkmm6!g?-=%M^etP<*@}a-@8}<8pwCwIR@yaU}3vyG_OaI7L`sU?N zP2W^r`j>rS`sU%+rEe-P{exOpX}@{s&(k-Rm;U}gOy4}XKYdeq>1&&e`J4NnkiMzB z^!GkIeRKP}(>Ilu{^mEQZ*Kib`lj;IU;i)Zo11Ito61Xn^>q5?`WK{cDlh##pPjzB zN=?)HXDToK-LFdDT>1ULv`zrKL6I|PCTs7Pi|`Z(BFDndV9}L#3MH=e_L&5 z{`=Hs6OY`i{QX*Xcn7r1OgwV4@(-x*@D8cZOgwV4^0%L&y76$e|Jf7SZ;+dsK3sm( z+1+=``em*>KkJvNeCS7=`aiS(uWY>Pud@G5}_=H*Yy_M6Iw{^~6`ul0vb zjJ7B@)s~@8I}iVKzSca_-qTb*^ml9ByXtZcPPERMS07b>DmRr6{UeKP_vMe9NW00+ zs{N~v&SkaseG_9{P;P1&59fcyW!dg4zhWZoCO510@1|D1PxIEgYqA}Xo63jtKm4WH z?jvuUNW00+s{QNt(|WGIjsI=p{NHW*aQ>J7NVfZmf1F6W$<3<$QFGMS)EfQ`=l^cg z?`-~GQH_7E{2eX-pVzi2?tc05AKCox|Iv8)$Rg&q@)j*;{ax{m6Z!Y$hckn#S1*7`zj zDlh%*pH1J4V+Hx9^3vb`qV&xJ+P5-)Q+eqhyifY(A?;i7P35J3=;P^|hqZ6TH%W<`z}(!GwZc?h`kUX7zPa_z^iAcZ zzxQ*}H@E*|`lj;I-`4RRwancAnDkBMrN968(l-xorf(`Q{e$0@zIo`~>6^++|FUb- zHxGYr`lj-s&;E7&>FR6k)69*Z(7K@9R9^aUH6Y5s%!g{L3^hXa0x(Y9b!FS@}o4I6cP0ybr`9H!FXA zBli^>Uow$>A-P$N?>GJ|y{#h?@yN}}-};{P_WtEWJaV)0x4$U8egA199=Tch`!&wd z^u>W^OvEEMEC0az(mQmwiFo8@2+^mj|s5^M; zmrcYYH!GjIgTL`VCgPEsl~3Kl-}<46c;sf~Q+M#UpFR;*pz`Pu;;k^kWn8$j!>9?%*H(s)=~yX5~|N@Y#o&c;sf~a~_jpc+PK{9N&|h z%7^0*&STn0TDuH1WvI%I7>L9_KerJaV)0Igg3Q`Arj#+^l@gKj3jr z!o(vtD<5aRta0Xj(WVcVf4J%^9R3aG|8CQVe)N+(`j6Mbcn|fTseI^1Kgpy2@F5<# zS^3dV^5{Q&h(~T#e)N+(`VSxCk(-qt{Une6!-sg}X64gA^L3s6n{Nwk`f&L%PkiQO z&ikTGANt|QkA7>M|GP~e`q5AFqTd?v$xXe-(2stS7yZ_VM{ZVr^pm{kw?;g2v+`NK z^KFggKi?MH^kMsPnHP>pTgXl2Lm!7fUTe<(-KGzHmQ%b&>%ECjZYm%8^b;QaXX25Y zmCyQt=VcA?$j!=U{lxdS7Tb(`a{M-Z*nav4pMEp(qTd>;`O{A;x+W2iBE1SANuqY9{p$Hk(-rIKjG1TCLXz2`ScTQrT@&RA<9kV!}cH2 zaUOq{eZ|E2zuWYo&v75GIrudb@ySh1ANu>ApWgoKC*qNtmB05D>1}`OL_Bh{^0_C2 z_H(>!;*pz`&v6>w#($cKM{ZU=?tEF{%$H@GK3smd{Nb4Mf4AvFpXJPJSpFtHxv6~U zvz+l*{w5x|S@|qyJeI$SM{ZU=%NdX5Z{m@gmCy2>uWKy-`MTJq4?jQioG&ZpIbW7- z`q0Pa567JUyGtgxlbgziKFb-8BIK3oOun)-^3?3l@EQEGak#| z#3MH=pXJQFSpMd`7Pjfb`LmqySpMdGTW-^bKFb-;>l&P|i*5SQ=QHDbS;6_TY}1E6 zE`K=Y{NHW*&}TXG8kWC_Pi`t7`Yc~w%knpQFLG1)&}aGLxz<5EaBG;D%O8$8|96`{^so2~o%jE2-EZ>HiB~^b*PfJ{ znm+W8JS4r#A2kt=+^l@AIWzymPo9WJZdU$5-Ft$6=vfo-$j!>%|IG9byl^5Oxmo$! zFGz3Sznh3hZdU%*E7RMnYxsN)h(~T#{>HbYx2bFP_z;iWto*hABfa(4PsAfPD}T=q zr?>i36Y#wQ2Tz~Oce@#5rU$gRAPw`lP zO+0e5@>x&uSbt4Cax&uSbt4Cax&uSZ_@{ zax&uSbt4Ca z*(ayZy&m`wkKC+$?m5Fd^stF|%`r`EV ze&s|wapF*F;*pz`zenQ@Jibd{;*pz`f8(X2Qj}!68&C1{N?dh$)b|N0Rd4d0x?fYeUfAJ#! zcNcB1{15WScg#$G2hC*K{BQ2&yDY6Qo?qPW%l-KL9r@ge^MAML^Y!gn(cb&C`;+gf z^;~~fu21CONA&s4)gRS+&;FP;|C_tKm;UDSd&JWG-lFOHo8Qy?|MC6MOY>{rXN-Hh z`8W4&$9>*vgSq<~wSSoXU8!mFzklX_@0?%zeqg&l`V+Z-`U~dYPh7u;YM1^F@0~dR zcbh(6zDqvGY5Z~gX3qcJrVo9N(|8TXZ6-dsseI^joQB77n~6tmRzAmRcpSf(c;sf~ zb9{!!ahr)pZdN|WXLua5nRw)8<>Snk70!HFw&}y=hsz(1IsbQ?KJ;16yoTj(;**=o zhd#?0kL7RTk(-sza>ir%n|S1A<+GgeSpFs+xmo!v-}$=6@}IAZZTj%@Gtc?5VxIG5 z*`^PDT>fy(`M=xrq0e&WHQQSLCO)~TeCV^B@mT&Q9=TchEN48Hzlld~RzAy@wzAw! zJ`1_2eAs@LFCNR?#3MH=pEl#sRuhlhtb82)c&$1Acbh(JKg*fdu>4JYa#Q)xXF21s z{7pP^v+`Na%!}o3&TC}&bQ?@edx2C@w~3V`MTJq4}Cr}zLyo8FUvN4 z=;QK-W6uBGrVo9VGp}L!oA~6W@}bZ2<+Ut-llLMwl@EQEFP>{1#3MH=pXH11Z585? zo0ZS<#b>#jc;sf~v&`_ktRSA3Wt%?y{J8w#nDc+P>2p7y&v2i7zQg)|k*B{6mP6zG z-);KPKcvsi-(~lnIRAH>KJ+(#HRroUKWhGv`IDQir%n|S1A<+GgeSpFs+xmo!vXFQg_iAQc$KFfE$uCe^*>tdTe{QS&w zzO0z%d|9^XLm!tv9CQBfHht)`oOun)-^3?3l@EQEGak#|#3MH=pXH3l@;C9w&B|x_ z(pHwcIp3Ds^kMs1zIZHmbG|ON=|i73};*pz`&+=tnEPr!e3)}SJ{8_$uEPr#pEw|}IpXH0^bq&tf#WsED^O^Cz ztl)fEw&_D3mp>eH{_i$@=(C)84a?ueCpVQ3eU>k;W%--D7rCi?=(BwBTGRM3$aa1$&Iew$t=IGS8#B)TozsW@ z70=Oil26h3FTJkwJvv`UZZ3cSbZP!a-k2WG*T4_Ti`*=HzBh%(_b)r;MQ#@U-aYCo z{(gDJ`M-1e@bhmzGQG{4W|SYfxo2tpTl)O#>O1DY!8&8gi`*=H`i^K_&D*W z*LKeTozsW?Pe0+&f1UCpH;ehxPrR1?GkGs^Q~7ZI^b;QaXX25Yl}|t6(SIf$xmo%2 z6CV9%;*pz`Pe0+&erbb=$j!oM{lH_L>690_S@<|h%UaG-U*z<1{lmxU zod26?kE{C+kN)eFPyg|r!NRAX@aVrzd6AoiPe0+&f1UCoHw&MB!lVB>fX+$?eH{_i$@=+jTUhW<10$xY=$ zpMJul|4ck`v-0UDJo?YXBR4Cbe!`>wOgwV4^65W5EBjw_sTSq*;pb9pYZ5E6OY`i zeEN^J(tn-veL+qiwx8!VaNNN2Upn8T|0Xv-W&HU$&yL6QXFBCYZWcbrd3YT6b;^s} zEPS4ufw!&Y)hRD>v+#Lt2OiJ=>690_S@=9R1drzrb;^s}EPS4eh{y9GJLN@g7XF_1 zrnma_Gs=tHEc})2^j5U~F#n*u$j!p%nUs4D>Ui~wXZ&p)kCK}Q$LkNze8T5>FP-ut zHw&L<~-cF~y$j!p%nO}H3@268<LecZvr}H=X5mvO@u;7j@*+12pE`+0{p^$% zxmozsNj&Oir@Y9`!l!QX+)18QX5`IAfM�StJS)@0BR4Cbx{1fLI!!!s zv+}8zcn97#5s%!geCj6tzS}0^k(-rI-NffvsU{w|S^3mWJbsJ7#3MH=pSp?1ZxNVy z}le&>nw_nA+u{k{6G4$IHnz9xNBdD;HW zKTY4{yGJZPQ+erc=>Bu&-}#pGH_Y|gUwc&goj0eyW6^;S_HVv7eRGSk zl-h49Fa3?}^gDke{SC7?f6RQ=9+!US9qF%`#raG8Jz8J+{O0Nd(l?ct?cc5S6yIFA zE`3w^(BGwf58KZ!twSc;4Y^ruKfI3Z2ESA7iQFuh^xmj#KypHV#zf;>y)3AaJAWg6wx2e=T>sc^@H@5L;IaJ#i|vQ$Y&ZBO+YPx{Z9lw@ z?FQduyCF9(*nYlbe*ebeI~EK6uKuZs^MAML@%gv)k@Q_n^i0!4<6w|2F=%uU>o9OI~>W_0M~SKHeeyeIoC{GrX_5X|ez6wQFDY z;uk;fx!1q!U-T}&q%HA=#m3cZk9_tkpO>xB4nKeKZe#JU7MCyXzgU_5;@vfidtda6 zch7s}(XaoS7rx+Yj$Ze&qxZY+!4Exp%~PKKjHf@f|7P8du}t@HPw>vpKRjt?=k{wf zi?^6sK<_3uBcHER{tj9A|Le3~_o~I>?rRhGee%u@xp~1eu`clS{@<A|JzM{?l+K| zkE`ATv#^0}X5qWDhT&d!Ja!_LmJ7wznPe@^82zy_^2TOz(y(%-a9>&!%_lGtBfp{7D*&Grbe{R|7kPwp?~D}ekW_nltnHl-Tetf5a?NqOOJoRJ7V=Xrye<%Ilz7s*6*m``{ug+uC73Jp8 z&-rYe$oZR_Z_3=Cu>3nuwcLDCJ%4_8iTy|Csht0sIrMWrd(Pzi&DEdE+}v}fp1-EY{7s&( z!RI%}e$Hp*XLA1Lo+r0D=UQ$)rJn!pr{w%Q z&*l924nE64dAa>9R6gl{bJx%1{LRJA$j|rJ^!WVduAj@?9Q({iGyetVZ>k+n%lRkC ztNF)t&ELdt?Fv?Y&j0+E<^0W^U9DY>=fA9;zoy6h%^i(W8P}L&Kj(9fahyJX=P$L~ zT&d@OPGev`f2T&F%>SG@^m9IEekJE`p8fL7%`?AJ&tKDH{^r>)&-t5UKj(A$nK^&+ z%xY^_^qZHI`<*JY^Q=spEO(e@e$2 zCLXz2`RAUV-uWvg;*pzUU*`^Pz|)_OH_WX^XKq&h+N;y!nle7bBR4BQetSjUUL9}X zLp*Y`@(;W*y@NX5z=wF`X5}Bz`4>EXAJ)VpH!J_DKTPjx9dF=6JaTjFvz}|a`EAz! ziRZpMbMx45kG4P7^Ym_f??gOuv+{4|xJ&cD<*O#*k(-r&2ghCV@3?Iu9=TchC*PIc zDUQSSJ`j)Gto(BvcgZ_{#Y8-EbL_L8w7Jv-Wl97W3VCnVH@h9TU)w zoIaYr#_F$)_h0PMSeyO#9*vzjZeP6CjC_9lGF$#S#{1OtHoo6XZ}n5n+TZ;3^!CMi zpmToF?>fJ)u>of09 zzfB+dSAK2!cZu~t&tZ#x*Y&BdO}|Z_`#FBum*2dxMKc|m;t>>-YasH8dwG!h&a{NAhu>AA2dxMzfB+doL|J_{9`gb&OhR}=|i9Mk9eGa zOs2>ANBlN@?&mXA+WGf?!S#;|{JhTa30(hJ@;T4H`(A8+6PM5blP~q(k{{cd{G0wH zeTYAu|F8Yn&g9?vjPxP?bpF5gV>^?7?56Z#p7)^?#!Esn)p7-bTv;Eb6Y-jTLJ_$a=o6i5&er#v* z4sjgTh(DeGul?B0TTBR{8){fn=Er62np`8j>;Uwr*5 z{n+ow&*>vS_b*yLv+G~@ZNJkvUVgcM!Jn>wr62np`FC>tYu10P=k#Che@x~d`<=#W z{uf{W%K68BM}C_=sQ>-?R_uS6f9!|k#eS!;n*YVuzjFSu-;v*@59iN$LR}w^^H)hN zi&t^}%8cm)KhKlh_V?+VzV2-*zu0fr-#+v|G{*hTJl|z*>DY_h++4n=D*LbboHxV! z@IOt&BR4DmhUcfp`7+)o&YQ_6$It2G_H(`s@20Pxh!^MK8msw#{A7BZZ{vO9yqi37 z-Y2Jz=g)aNyj!;>;*p!x{ONOi&exfEV ze51Lgb8qBk<#XN=Z{oVUf}x9m+kan^w(`mG+w|e`=X@_7&s8_^ z;yiHUc>Y=EH$FM*f2WSAsQ(-0Cy%#3>O3Cxzf)f1X5mxc@u>Tq@*+12pE{36{qK|) zxmozscRcETr@Y9`!l%yTQU5#TMQ#>8bsmrU-zhJ0v+$|&c+~$+d6AoiPkqOu?sv+I z+$?8bsmqp-zhJ0v+$|!c+~w)d6AoiPo2l3{&&iY+$?c5Fc{WmM0I*&*FH}S~L%BRlbQU6UmaO3Cx z-^3#~E1x=#NBuYP$j!>9&f}f`{fT(w=Gf0Vujz3gg1P>j%+1QD&f`)4O+0e5@~QK9 z)PEC?+^l@+JRbGm#3MH=pE{36{WtN*&B~|F<5B-jJaV)0sq=UT-Z&AD+^l@+JU;c` z#3MH=pE{36{WtN*&B~|F<5B-jJaV)0sq=W$e-n?~tbFP`9`)bEBR9u>*7?;h&idbZ zy5;5<*YzKd`rj#!`oC%xK6M_C`rj!paO3Cx zzf)f1X5mxk@u>fu@*+12pE{36{qK|)xmozsc|7WWr@Y9`!l%yTQU5#TMQ#>8bsmrU z-zhJ0v+$|&c;{9BJLN@g4*jh2t6!Y;zw>m<&BCY7<5B-RO3Cxzf)f1X5mxk@u>fu@*+12pE{36{qK|)xmozs zc|7WWr@Y9`!l%yTQU5#TMQ#>8bsmrU-zhJ0v+$|&c+~$+d6An#KkNL;N3#B#dtR5h zx$=>^{^L>qO+4zqS^3m?JnFxRM{ZU=bsmrUZ{m@gl~0|=qyC$Cc5FcZdN{Z9*_EO;*pz`Po2l3{+oE@X5~}o@u>eM9=Tch)OkGWzlld~Rz7te z@4V{2iAQdZ{jBqv9^-#=&+9TbE1x=#NBuYP$j!>9&f`)4O+0e5@~QK9)PEC?+^l@+ zJRbGm#3MH=pE{36{WtN*&B~|F<5B-jJaV)0sq=W$e-n?~tbFP`9`)bEBR4CbI*&*F zH}S~L%BRlbQU6Uma zQ|Ixh|0Z7S7aA*{I*&*FH}PY?&{+A@c|7XBi68rg#>%J8<5B-j{MauvRz7tekNR)o z$9|!)@~QK9)PEB{_6v=bPo2l3{+sx*Uudj+>O3Cx-^7poLSyAq=kcijCVuP}8Y`bV zk4ODC@ngTxIQFy7YkGbUrE%rnnVXeQoyVvCn|QHbXsmqdJRbGm#E<<#W93ul@u>eM ze(V<-E1x=#NBuYPW53W?`P6wl>c5E}`-R5Jr_SRYc;iI;*e^6zK6M_S`fuXLexb4Q zsq=W$e-l6U3yqaeoyVj8oA|L`XsmqdJRbGm#E<<#W93ul@u>eMe(V<-$3Asl+uC1H z|0kaN#>~yL%g=PX;QpWVKKxG;@%TQGS@}0SKRv!LL_6YpL-NV-bNYDy!}pHxZuU_tbD%rgm>&e zPsAfPEC1x((&PJ1yia`ZNj^D#P9J}MzAuG$`m-kD#rLQhtNEY(<@ETz6z>z?o03P) z`{eZT{Q2G$-ud63h(~UY=TDu-qyA4k_l=pGmCyIK@K?TMA|AO}`K#|skMC>oKJmRR z`Q-R*`tbe_zW0T<{*M#!$jxg0TVI_X-}mBu;(K56$?@Ct;r#i&7#`31G4bMiWR2DQ z_a9G>?~CEb_r~Or^FD3*aQ=MX4DZm!M7;POT4Oc;!{3=6-#6oZ;(KTE$a$YOeK>!< zuZDN|k4(gi@3A#j^S|mY>G6Fv-Y34dCXbx=Y14=E=lgDWce&3*y!akm<9PmA=g+(_ z>%V#SaN935o_XPT`=id|QU6VRaeMe(V<-E1&v~N8LB^ zqwY6WK6M_C`fuXLexb4Qsq=W$e-l6U3yqaeoyVj8oA|L`XsmqdJ05l4#E-h)Sozd> zJnFxRANz&I%BQ~LQTI*!sQZnTPo2l3{+sx*UuYcrS?4u9>c4sRaOP&^Q|Ixh|0Z7S z7aA*{`i@84H}RwHH&#A%9*_EO;>UiWvGS?!c+`CpKk9yC=zm0?l)FGbsmrUZ{o*(p|SF*?|9UG6F=&HW93ul@u>eMe(V<-E1&v~N8LB^qwY6W zK6M_C`fuXLexY&fXPrN-@7^;0@BD?9o4e}zk4OFQlt=wPZ5BRt9*_FpDKB!f@Tv27 z)c;O-k(-52oyVj8cgl<0EPU!b9`(OdUgT!sQ|Ixh|DEz8Hw&LSk4OFQloz>K_|$nk z>VK!a$j!p1&f`)4JLN@g7CvFSOh& zeCj+N^}kbIO3Cxzf)f1X5mxk@u>fu@*+12 zpE{36{qK|)xjFQ+&YygJ)_?QVwV9hIUtiaMJnFxRNBuV|pE{36{WtN*&B~|F<5B-j zJaV)0sq=W$e-n?~tbFP`9`)bEBR4CbI*&*FH}S~L%BRlbQU6UmaO3Cx-^3#~E1x=#NBuYP$j!>9&f`)4O+0e5@~QK9 z)PEC?+^l@+JRbGm#3MH=pE{36{WtN*&B~|F<5B-jJaV)0sq=W$e-n?~9Q#@4PuxH2 zf9D5UZr;DH|9I5@PI=V-6K3I4=kcijo$?|#3!gfVNB!@V7r9yZ)OkGWf2X|2&BCY7 z<5B-RO3Cxzf)f1X5mxk z@u>fu@*+12pE{36{qK|)xjFQ+&Y!q{*8k2AwA?Iw>O3Cxzf)f1X5mxk@u>fu@*+12 zpE{36{qK|)xmozsc|7WWr@Y9`!l%yTQU5#TMQ#>8bsmrU-zhJ0v+$|&c+~$+d6Aoi zPo2l3{&&iY+$?c5Fc zZdN{Z9*_EO;*pzUKkK}v$N1ko{>seF%BRlbQU6UmaO3Cx-^3#~E1x=#NBuYP$j!>9 z&f`)4O+0e5@~QK9)PEC?+^l@+JRbGm#3MH^@V{W``KQ03b@lW0{JA|7w^U0%q}<&7 z`Ag&fCI5ymOz);2nutekR{qETI=x#zeIg#YS^2lWI6a>I%IAQ1Crrd6 zH!J___oa9K?<8PRy#KQIxG z+^qclf0f>$drib6H!J_}i_*LNw-28@^oe-nX64`h;`De1HJ=0Gk(-r&;(U6i zpD+=R+^qbw-S`*XC`>FLMYKW6IRkJZ1QV@5u|lWF!# zaGJJHqlP>5+S@0_dnq?Hz3?^a-DVyp@8kD{dTYHjZErqDJUQNfvCyyC@D$pGew7Aq=gDT|gU_$M$7|AC`Iw1EZr1+le@$=w zGtBf>|EpR1n|ssSdZC%#=3aB)w_ifr)_Te>jGR8G zypQtI=NG^5O@5IK&s1Le{9+fr$uElGo61X{U);j)Jd-}Z495E?FMWP73*Y1yz3@!s zrOz*3;hX#-7rv>y^!eo~{7yYZAD>^=3KqZaPOaq^r>I|@x&j=zxqKx!x8L6X+GG4u z`X;|Nb^+OKW&%hNacMJjw#dFk_uO|-vL+b}-AEEQa>{ZnsA-{cpe@J!|9{Q1Qs z=5O+gPWYzs(&rbC@H^j{KEKSw`zSAcez6GO%wTY1C8 zO}K`WJk(-r&_PObuyT?R4a?;*pz`zxmAcwyvItM{Zu=&whzS{q))T`(AD1Pc^kJypr4`U+TXl|KzLEJN-H{ zy_2spYyZsK(mVI(W_oAdX4d}s+hY1+7iR*$SL?985lH*b>l>!Y%2z+#FTFiaF!9LE z+FyNXdh0s#&HUHDJH6GHnzg_2i|K9siJ9KUFPazmS^wHEp)mesJ!SlxWIL9l@ozHL zgNy5L^BMo*G5$@a$M_dNr!V#Y(){DDb@}Hs{>4A{^7I)0;^*|S&-j=1l<{veJ;uNI zZTg`7>L-nV@fiOm@yN}{hd$$9JjTDt^ces0K5hEYXZ(xD_&1pz<6rzXeeTbmB+v2H zblm9UNFO(v7aTuc;QP4I{AZ6Jm;6{yevej;D$za>A`6M3f}m_Edxj-P5j z))V=$-ZbWMQ@&45AJ0G5lb>Y$iTT{g@l!Sbww}me`B?gJ`S_{!V?B|-{u${*{OS0q z_G3MfzxBfOA^vpyRQYV@`nxH&fAjoTWNuz?{Ch$Fr9U12YCV=8+kIo@Uwr(Ver)&h zb9&W(%s;n(lljMX-#DIsZvS}G@h|glb)m8HFFyWFKel`MZTevQ&F!1{PshLM$96Bj z9Ul*U#>t=H`J1Kw9pYZ5E6OY^+``J%x56=GU z{QH)h53c(UkN)eFNB^yvg-<`>(SM!tA~y@4ep-8Q_Ft#G$jzaj{e(yVb^d+J&BCXj z@aVrzd6AoiPe0+&f1UCoH-~=q)1LQc|Cy^_pSii`y>?b_>&s^1&OzNsx`ScSW{b%Blo0U&L;n9C49=SR8v!7O;pZ#a< zIoSHOapn1S|KZVpCO-XVRzCfNNB^04(XWk_Pd{mT^q+|z{n|M8v!C$jKXcE))~}6~ zPe0+&e14B&7n{K zrML3^GoEX?S@>)E1!v~J_7^kCi`*>y&F7`Jbznw$k()z5`{~S$*?;EQAI#i5b7S3q zc=Vr%NB^0XPe0+&ewO#JBA#<8FMbn33zf1Q8Sa`Ucr z|KZVpo$~0vQ)c1QPk8iSr@Y9`!l$23-8K8KQ(ole(9eFtqyIYpsO4ti(@%KxU#Gmt z&BCXj@aVrzd6An#Kl|y#TeJVnlh4ZB)OFa4&mZ;kFS>RkpZ+uP=s&aa=_fq;&%`4) zE1!PiIx+h{6OY^+``J%;^qLwUgT!s(@)1ApZ(V{=TF2VH!FWf`wmTCEWT+X9=SR8)lYwr-pcPx+&rGSS^29w>2dEA?SOdX zX60{wUV2*(nTSVjj(z$`eYZvbO+0^X=H~IOs{hjCDJ;BCJdH*Esdb&lZ>;>Yk4o>{ z=TF2VH!FYV+wthXiFoAZ*r%V;Tlt-d=daD&to&8YiutddnutekR{rMarMLBviFo9u zeQgqVTiqRZ?{X*ap~c-k(K~q`_<+Ye_0bQy^E-J@{hh9a{Fpw8?#)i>E+pOEd+P7Z z$me^~r8{{~eV1OhPyW}<_?z;{&3#L2(gnWW|8}iG4>Wn7<_F0~zLxlZjp>@dneE_8 zBJFC^i}uTVEbX6B?K$;bykFyEm*&58C+{g;y}#`}@^#fcsXKTdByZb{d_MoBJ9$q( zMqAxpwe#(!sBb6C^=yY^%+H|2dMxkE%xln&FW58 z`k6amS^rG#fQ>83jblIOv+=~7f9Hvoo5h{5%!fN@nSbYroIiKcw&@r5-|X{KMfm*Y z`kQn9W_2em@6Xt8wh-d^ieW{p-}`&-S-4i#w5-4_A?yf2T$< zk()!G`Di8@MNt1cHM)-6Ebe5czHN`t^PAP3)XaxFu$jNf9oW&X#<8FCIiq0<%fD0m0_K0l zEbhc+KHS00{5yXo=g*zoZF;f&XAK38>fpVswzOx*n2%+1})$idb93y|PJPZ#rTr7n-JZF5{8LBk5ADRG z{S)!X&9P5A(~CQ)^*-?2?U|bw_)B*p$DPF2%lUKlUr^(&@6opWHj{j*{Y!q_NqoKb zJ^SNzc_*>_xRW?o`D*9=(u+HZ^*(!^z!imi)L= z^c`yB%Q=&fTt0pvU+VuQKkg)b2g@&BcPGaWm7mLrGazv%Y2(&sW^PvVzxeovBWU`6 z;swV)7qnmN*MHCcH{%R~)(dm&)6Vp#;~%`t&B~`v>i9P5XVS;5W=t>EA5DL3^G$6J z?7s%bule~~{p5=K<|nD&ublBuwfxA2-}Zah14bNx5#_G@~y-^8Qc=Gf16;?Zt%ig_>Ct`@pZ1$$Kii2% z`^`Q7rhTr)v7hbSePy=4^AB2XURk$)x7Iz@pH6wSpR=UFp`Y!*`pWr{X+#LGZ&NF&`6YV$8zB+UB%=_y0 zYkIWb#H0P@*w1$2(QfnXt1~ypezx=Ui?aRZnIr9UHJ*M^-F{7v_M7;$-yHkdPCVLg zp3yfs=ofSBXFE^nc_1wR&R=Y~xw~%vDLqGr^`}!F?LTD>{cI;5?eF}>mYYLA+j-(g zvi;`CFUZ_H@gsHnH9gvI;?aI{>}NaiXuo;#3oly8_A0u}?cS{=Aj;PdtC`%uRi(VLpDmp#6BXdm>(3NoySY zv@<=fr13uR{Jk?b?dx>N{7Tk}=K5+~(OMI)T3oZZ_uASkzxEsM|G@hleg0P;z3vr9 zkG|r0uRQwoU-QBje9h78UUu|;*Xfxw4}F53Nu#sD8ycKkdM3?c=}Owa;5$uASF$cW zlSXUsP1=%PZfXl;4|v!lU)qu`zLIv6*5zM`*X5Bgd%`EDA6MFjzP6axq!&k$dY_BG zQ(4Wwor#n8|IagNbhNooM{sWvbu?sh1&`dUuEg;=uE61Uo=AVg9A1gb*Kx#yZ*m2V z_cg04VZ4qjVE86SWaMUbC5zW_1q;9PO!|Aw;gzg>9ao_6O|C%kzGihLiPv!j3E$)j z61iDj3F39^h4DMJ`bKURS8}L7T*2X)T%FpBM{X8ZVt5@_VDL?@z>u5Ol@wmb6%>4v zD=6{4jn$P9UdI&>{7!Alk(PiBy;|c

tt~C-tkH$zeKI0PxM@N7FN_Gx?XENu%xV4sEM%n8>qWE6tVv2dy(N%sPJQnKW7!I*!R_)+~)5mae45mBgRq_({vHaryX(eC)@S#GmB& zDPDIc$4`~7?ffW1s$GzVzF~OV6aq@wcB%qi5C3_g_m_ayk2U>6tWIe{>~^XW9gRRqK_mWNwc_Re z*Pr^YbGZDnpYZ6vPWkj7esJh#|L`mr`p@K9F7%sOJrgCKT_XR|Gig|UTIT9MKa0j3 zFTd;`Jo?Y{vuMn*pZ&zMVCX-SXS&dTX7x-I`Va5YGig{3*?*UwNu%-aOSBJa&!(xK z$-(pTlF^V)85)_J3yOQz!A*?o2##v+}8rcx-x%7LAEVZdN}1Cc;sf~(|?*C*RD)Fa&zpnou$v6B>0eL!H}Dk&v)%Ik@Yw!L z{OH%l%BP<+J^IhYkA7_&``J%;^qYCe+gX1W&0TXlJoQH{ zH-~<1XIy7x`!l(Y%l2nh&qQH6!@KlM8kR%W(fCH4>Zdtge%ViW)K3$S`e}~+>?fWD zL;rOiYq`1nOq8YbZ}cDDrDxKx9J2p-KK`X=(r6t#uKnPnG_GF$Ce;OZV#f4K&!l;$ z)}Jl?iF^Yqe(AZ<&qUeKYNh)>mzE#*$oN?_CO)}Y`Inwa!}3%8e8@yUi^lZwTc7Ua z-Lp8dc%u#EuY3OUu0MLe`@ib5kG}X-*B|||2VL{PhkWUs-^KgUU()ZP zs(Y_^in&-EI=Qp+(O)tnpYO#VKL3s5kLb$dO*{HcOkH_O>i0EuSK3E(WiJ`|+|Rpc z+w)Xz{8B9eeV^jU&rjU1-&rL$HNEtAe^L4-XM^!g<%O>`_RsJqp8GX?jjS6rz4UK= zbo%D8&r07^ezD)~0{iIGwVdCq<*y@u^Rg#u`71Y-7ry#Oqn;b|os1;!lYWwX zm6!f5oq@qO*EFibHs9wEq9d z2eh2;rS0dQ6EFKSZ9mFQO)q>c=fBqczQyEy(od3)e6{=AV!GyUW;-tbHnZ}XkNQ_v z0q{-QLvAXcxBr{wpZ~@`RKNc#Z9kto@xV*9{U|pzz4UkK`!x9G+70QO$_ropeg*!- z^ExYi;~%R38#TT3Z+Tt%=CS{kzNvifFWtp{lb!;<@iEoP@0@t>$5k_xo0?wwix;ME zZrn9}Q+eTQ^!_3Ii90`xuQLISnqK-hKRbQ%#NqT!o1P)Y$*{3(~v!&_q0Pv+{5Lo%C*b-b6fdv+{4%*<0Fw>)R*dk(-r&+jG<7u5aE4 z;*pz`&)wsAcc>3cJaV)0c@`QT&k`~5$j!>pQ!{b?4=D{arZdN|e&cfqaUM3#7S@}Hk3y)`!nRw)8<@3xk zJf5Xy;*pz`&v*Fo`0l=mM{ZU=&y2(4S#~BKxmo!<^A1nn^y$PSH!GiK_u+5p%z=qV zZdU%@A5V{8P{xONaTv+@t# zoZg|=PsAfPEB~@<)4S|r6Y$%a=ZP`S<0-t1|2jXMBKLK_|$AXYIUc)$j!oMpMl3dqf=hwX5q8X z#A9FCDKB!f@EJ4UG5+h67r9yZjG6ElD|O0?+$?;?d3cQfI^{)f7Cz%VJjQ>W@*+12 zpZzO+&HmS9T_87=5BA@=pIyI4?teSq-E#9DW1syi9{b%+d6Aoi&wdt<{coqd$j!oM zKa0oyw^Lr^X5q7+#bf{5DKB!f@Y&DevH$Iq7r9yZ>}T=V|8~lY+$?PM-&BA9ti^u-AQ(oj|;j^E`WB=PJ zFLJZ++0Wv!|Lv3)xmoz^XV>qM``=D^k()z5_p^BHe>>mZa8`&m5pzn$_THw&NrEFSycPI-}=g-^}Jqt>$xZWccKSv>Z?o$?|#3!nWg9{b-;d6Aoi&wdt<{coqd$j!oMKa0oyw^Lr^ zX5q7+#bf{5DKB!f@Y&DevH$Iq7r9yZ>}Oe5*#DZWOR-;WR6f}M=IdBj@jJCHi?`?a&)oIg z9RHb%w~yMN<2*dZeX z`FGO0<#`kF$j!>X^+0;Js)q78ARf6{`L|JP>5tJ`j)GtbCrdh{qEg zO+0e5^7+jxJf0wF;*pz`&l4x{c!H&gM{ZU=PrAh837RGzxmo#qTTavCTXZHKxjFW! z8R_!`QGB>VO%=?_=Sib@Ji*k&BR4CbC!ON)1XUA{+^l?_w2H?QTunT3v+{Y;D;`gf zHSx&J%3ptTdOX1vAL5almCuuI@pyu+iAQc$K2O@k<2OW2JaV)0_x^Z#+mD@yM{ZXB z_U-BI(>l!jAs)F|`TJSd|YtHv;Q?2%g27VQTcHHd*ka=yWYX^|HN}I;P_uPsPXJOM*c#_`FI@v zPdxX6%+1QDogAys{!SgsL~gE(=AZ36^TKSu$rH%pb2Xm1v-^kR{@rY+rbqito=g{? ztFiKFC&y~E-{e@1+*DpJ|I?Re`#XOx+ke`;eB@_4PhXzx@BF=%n}tt1Iaa0pCdabz zxf+!Z+MloESQ)=l$I|#5QwP^aevb1_UX$a$&JVWSyk_h(&ckE;*C{V@v+x<`;W7T} zloz>K_>A-L825F`i`*=H#(8**|2pMGZWcb{JUqsKo$?|#3!iZw9^<}Fd6AoifBa~A zjQj9|@*+12pK%`E$!EK_>A-L z82@$3i`*=H#(8**|2pMGZWjLDA5V|*AAV3?K z_>A-L82@$3i`*=H#(8**|2pMGZWcb{JUqsKo$?|#3!nWf=epVdnkS!?`(0D{VE>)_ z+2dE|{>$xZWccKSv>Z?o$?|#3!nWg9{b-; zd6Aoi&wdt<{coqd$j!oMKa0oyw^Lr^X5q7+#bdwQDKB!f@Y&DevH$Iq7r9yZ>}T=V z|8~lY+$?PM-&BA9t zd;IF$|8~lY+#LG3pT%SU+xgCxn}yGQ7LWaJr@Y9`!e>8=$NsldUgT!sv!BId|Jx}q za8`&m5pzn$_THw&NrEFSya zPI-}=h0lH#kNt0_yvWVMXFrR_{K`0Qu# z*#CCQi`*=H_P<=KX8&t)Ej#whjmii6-+Ud{%JDmYz2)ZKk)P)Y?s#aPKj{32mYWY9 z`FWn;j)&&?gU)|wxmoypPVN<;{U-MkkekW}pFi7q+lR9K=IyV^+`R41zP~*?f1~Mf z{>HrhHQ9c%@@XgcO3;3jdnw{`H7YOLf9q?r{pM|-(>_<@t*;&V*-lN5_M5kTPUdFi z(@yRcq5Yk2&-QaqNt-@wKiA)G*1ntTZ{{t}%<-Rj^IJyk&v70e<3AIR@t;}wjPvjq z|CxB?X5}-^!(;qs;*pz`&o~c{@t=uDZdN|yJUqsKCLXz2`Hb`M82_1gQ z@t=uDZdN|yJUqsKCLXz2`Hb`M82_1gP1YUW*Hm8ks#O~Q9oF`nR4w`A^pla7KKFj&o2RtwZu%AN0~(c= z{>@KJ-@N7b(l?dQ{iRn@%*923!Xo)H+Mg*{`{IApWj@2Tz-CY>}NZ}NYU z?@RkTKiG0}`TXxv`}v$4x8ircGyUV{^6~4E&*#7O0r~mOV~@2dD@KExw8EC1}L zrFZr(CgPEsm4EIv>9Ox%{t%Dcto-vQ(%X5{L_BhH?5k$z+>53!cIjM;$#ZAO&C1{P z$LZ~U`9wT&v+`H&o8HR%C*qNtmCtv4XgA+oGV#dG%3s|{Z|&zM;*pz`zy5;sHV#e1 zBR4C5sBy{1aZz-`v)+WB%sY&pN|%FQ`AA+V4|;c>YW9&i4Pa`h!RP>6AzP z!4DQbbq0_6(I@$Br&C_!X5mw3@TfnX@*+12pE`p_{ppk!xmozs89eGw zr@Y9`!l%yQQGYt+MQ#>8bq0_6(zJhs11d6Aoi&vu5#_SY#da9&frmhOgwV4@~Ja;)E^U%+^l@+3?B8z#3MJyKHHi4`VDM<6FGlGZrFKCJvxx=zIWPw$$DPi|H|-x0v)yALKFxmo#VKP|npe=!k{+^qa_uSxIx zLnq>qo0WfF*GXvij`jg29=SR8)#pd?)YqF6cOH|uS^1pf#^3$&iFo8@<*(c~y_NS* z#3MH=pJ#+Hf1Z6};*pz`zp9tvt^M3YJaV)0*I$s{#-WLL{I~QrzhNRCxjFXP z&uU$}JNw@mwd^A|?_TZy@!0>)_{Z%3_21^u&-t9zwRh%ko;jMid3yQ!#RdC+yqv#z zMzx>$n`1xg4EOC*e>y+da`Vp4|IX?U9`&bF9(CuWS@_f$JnB!UyvWVMr_SI}e>&ww zZWjL8PfL&bgCCR^xmozs89eGwr@Y9`!l%yQQGYt+MQ#rLtTUXqr~Y()u;pgqQ)lp~ zKb`U-Hw&LSgGc@8loz>K_|zFZ>QAS<$j!p1&frmhI^{)f7Cvz zJhs11d6Aoi&vu5#_SY#da;7hdqDK|BJzWkT`8?V=WKkrlh zc*ex|-ACo-1;76|^HnE)8c+4(dnWGx?aa-wpY7cIq-?*rrG-!XP36P(^Sbqw^gBPA z{<^vR{DoQjRVVd1cYjfSelvczQn`7-?^n+J{G9Q-m-_tXntqRu)(Puap!sXT7eriedynKy=tX?@9?H)OpM>%RBm4I`;JEQh4vB8xaO&kntp}$;qzaSz2PUc z$GS>)9etj7iMs^w3Zkr2hZ=l%u1LdqUb^VeQ8 znR^s|MU*`YzviiY?qBdLmYV)_&0nLSq`aTi{B;*YGV-~9!LLBF$5yX>rs?oMRS z#NAw)ezD(1F+9a}_XqhF%EXoL;#(;48+U(j?DMo%e4gTJ;*pz`&z-w?+_7uok(-sz zH*fIx#*K+bZdN|uJi_CfM68xE1xI-;PJ#C6OY`ie4c!S z$5UKQJaV)0dGZq;Pku7-$j!><$&H#GPjNNz$jz}YZzp}87>N&8zAJOH@_AY-9#3&K z@yN}}=V`5YJjK<-BR4Cbr?uko6ju|E+^l?_){4hdTunT3v+~#9oE}ea#fNz0X65s= zRy>~KYT}WbmCw^!@py`>iAQc$K2K}K<0-Bt9=TchJgpUvr?{GUO})?4TDyW#O9uOY<`dK7EDALz+VQlUKTnZu(+7Ue zpKshTf0J+IMY|fuPr=Lgr&e+n$E23Vd>h9;;}q5YuVef&@!UN!H_v`uHGWBtZ_)BT z5RY%snw8JDW%2kHt%*l&RzBaB#p7GFCLXz2`FvXzk8jbMc;sf~^KDr?zC~-|k(-sz zw`KA87Oja#ZdU&Bqv`Q2T6~B{ZdN|umc={ytciH!X65s3S$w`lYvPfcmCv_j@%R?4 ziAQc$KHrwb<6E>Q9=Tchd|MWeZ_%1~-D^FEb-yAWx!CU0^TMZB z;=|!rMlNPPzm~=0SF|D?xtRI1a$@yNx@=a-In{EAk@BNsD&(znCo*R%K#k6g@rel3f~ zuV_U)axwGywJaXLq80JT#mwi|vUvQ8R>UJ0GoN3};_)k55szHVe10v9$FFEbJaX}Q z{tJEuJL=!<^Oo=L4gIg_{KVb^_L%&d)$-oY|L6JkyldcB4u1j9p1+|j@AZ3rMP~ob z&QtKcYmL(@IqvcE7Y(2H{j1OCd&clm`}dqbaoXdIkMBQ0^0w!<=jGReOXK@T5T9Jk zeAY9zyPtotIzaj!x%j;8-*Xp>ea?N6*KMCyTUGM<$;B6a{pBiDc-)1`<3K#_LKQQg zyG`-93suA;7c-x`P4T!3Rm39~GoN!;c-)05;*pD)&p9_d?m`vu$i>X(Zc{w&LKX4I z#dhC5r)J>U=hlQujvL6u%;#=XeC|RO@yNx@=WbKH(f3#4k&Btn-KO~5g(~8ai;TMQ4r9Nu3FuLEBr`?rhiDWN`4f zkA7;aZKlsS^6(imkDW1N=8;DnKV$kiHRyF8(?^f))3izn)J&R7a)q9s{uV}Sy_t77Sp7;8F zR{CI*!h7avF}&GJ#N3~=N_cYz#PH^< zBIf?QSB1C0wjCWzs!v6h(o(_S0i+OH79 zoAz2U_t&vcU)FoQ?Zoibd8^psH%Fn5&$K?Z-1^VDl@q_$?UIWV`tN1w`&+V4o)+Fy z(<|}F#ms;D!tkEiy%LXH%=|fazYpvG+W`cXN32kFCTb7c+n1gW>TR#CkwH zaxwFl*e5pL(u*qb$i>WGJ~6!IS6AYZiWG!|r=z{ntFa5|3QW{Ass`_p%c!@yNx@UuRZ$>z-YSM=rMe z_C4!ytJOE``_g|_jCA~GymCF zhxhCqm3ZW0=Ffd|cyphq#3L6of5Cy_Ef}uEBNsD&@rT1(VrPQc4iJxA%=~4a32*2% zm3ZW0=CAl#c*8qY;*pD)&y{am?swscc;sTcA4hI@qdyvt1IKe`fgRb2nLqa4@CH9u ziAOGG{&>54f#;v_^-4T)G4m&n4sY_0D)Gq0%wKh#@K*h8B_6q$`BS$HZ|eP(c;sT{ zueDovYdu$qM=oam+J}a>&e%#kaxwGQ`*?WkuUCmjF1Gv8rv`V6{#E!~lZ(5($oKc= z`xku*kN#C?p66oX)2Hz0Uxns*E+#&G3XlF(XrAX{;?t+_=wF5Ac`hbCeF~5MRcM~) zV&c=M@aS8G=6NnAK79(0{#9t6=VId1r|{@sh30uKCO&;?(Drri*eNv6bFtNrK7~i$ zDzx_!{cBK6eEJj~{j1PC&&9;2PvOzO3eEFeOnmwj9{sD(JkQ0%r%&P0zY5LsTuglW z6dwJn&^*t@#HUZ;(Z34q|My%>eEJj~{j1PC&&9;2PvOzO3eEFeZ1tm0jhPqyOXOU@ zqmRcq0XSw}-oNnZUm`yJOU!)w6dwIc#3L6opFV|0{}S=Y#muKq;nBZDJaRGf=~H<0 zFAIievU@`dY)$-^%+J z9{o$ipS9f9r(x#Pr|{@sBA)lPhM7;F!lQqQ_}$i>X3PvOzO zL_Bga^XXG~^e+*QT+Dp>6dwIc#3L6opFV|0{}S=Y#muKq;nBZDJaRGf=~K4czki8% zgdZJo=Y7@a@RO%%@M`(Z57IaxwGiQ+V_*5szHVeEJj~{Y%6n7c-weg-8Dq z@yNx@r%&P0zeGH8G4ttDc=Rt3k6g@r`V=1hOT;4=GoL<%NB$i;S_K4tsbcj#ZD zJ9_WTAur}R}XLYuf*`YubCgq+n@j0SBLlP9b)+2*UXRQ z?f%?1hd1{LF?{c9=Ew4Of5Cy_Ef^NV_r7L+EN}N0e>l7)Ylz`{Uo$_JxBJUJ6W-8k z#PGeZnIFsB{S{veZ+Is$eD7=K$MSZcU(0dVHox2rhVOmN{AT$J?_X-}LLc>;@J4@B z#BchV)ALq;?7iU)eohSE`YMm{Zfeap73=seD7=KH_Kc7$)m%Y{39`Z?`!5a z%Uk_b*9mXc--_XTUo*d1-s(@?GQ6qxi{X1;Grw8h>aS(jZg~A`ajnG}zV|iro8_(k z+J}a>&R8*g?`!5a%Uk{RJ|5os>xtoeUo*d1-r`698hLy4uR^=0lfK5C=+6GX1^B%C zC+y#uPyZ@3kG_TPOnmwi9{sD(JkQ0%r$6D*zY5LsTuglW6CVAm&^*t@#HT;u(Z34K z^IS}P`V$`gtI#~p#l)vS;nBYe>GLeEJjK@J>B6&vP;H=}#kXw|&m~SD|^Hi>*F= zDm?lZzH>>Fi-}Kv!lQo`n&-Kg`1B_{`d6WOo{Nc3f5M}G6`JR{nE3Q3Jo;Cmd7g`j zPk+Lre-)bNxtRF$Cp`LBp?RK*iBEsRqkk2e=ed~p^d~&}SD|^Hi>-e2rxnYhe~H82 zja*!@to`$s{)9*W67k5z%%@M`(Z57IaxwGiQ+V_*5szHVeEJj~{Y%6n7c-weg-8Dq z@yNx@r%&P0zeGH8G4ttDc=Rt3k6g@r`V`)<^)C^RT+Dp>lr8uECE}5b?SAwrJo=V6 z{N2dK%%@M`(Z57IaxwGiQ+V_*5szHVeEJj~{Y%6n7c-weg-8Dq@yNx@r%&P0zeGH8 zG4ttDc=Rt3k6g@r`V=1hOT;4=GoL<%NB$i;TQ>))@ITkqlcQCzWaDQ@aSJ69=VwL^eH_0mxxC$ zW zK7~jB67jvSHOzea6dwIc#P`0|F!SkCc=Rt3-}_p_%%@M`(Z57|?`sV+pFV|0{}S=N zuQhD-e2DLndDVYkV}#HUZ;(Z34K^IS}P`V=1htI#~p#l)vi;nBYe z>GLeEJj~{j1PC&&9;2PvOzO3eEFeOnmwj9{sD(JkQ0%r%&P0zY5LsTuglW6dwJn z&^*t@e!p)D?&Hi2vD(c#xpLA3n}1`uX!rK@-ha{OKgrw>^Pf7m5|3QW{HK{4V*WE% zRN|3~nLmfQA?81KLnR)$nECUV8)E+adn)nB#mryG+z|5@JyVHCE@u7`=7yNRY*Zy4 zxtRGwmxae)lHze79=VwL!`Fq^-J%kYTx|EPZ{3M!{btw7NfRO$Gk^4x;f+0{5|3QW z{K1j%#?P$8BNsD&;+o-2I;|3qT+IAcHVbcx&15b9k%Uye52zM=oam z8t)8m&6_Ln$i>W`c64|zd$1CZT+IA+P6=<_g_U^Z;`98zDcH>UWA4(dUsp1B$>;wy zeBS(=KA$;%_)q<%65r?lHO&0kc8>=BGf!9IlZ%Bf|4Zn)Wz8|Ia*f9w(OfeSY-S zk2!xlZ}(%Bcs~EHVf*u2pZN-&^`9?PGIxnw%>2=It^$ATH!JbT#mr~UAO85OD)D^& zU&G92&L94yKUCuT{J(~oKl!Qfru?lEpIpp*=KS&et1qa;^Z9=bGoLws_-omFUc~qL ze+@IAIe&O-zoHV~=l?a#eCGV&ueV(#zR&+_*zU)1+9I1o%lY%5J0p{lm{c1;gY1cl>5~@Av1P{ujq-cpSe4@yJEbTYZkx@Hl=8hR6Ny zJWjK`)#o@3&%eVu!{h#U{APKp&v6<)$8W*#xc?o$S>Eb%oQB8oTQEHCf5&f@xB48X z;c@&H43GQY@tftXKF4Wz9KQv_1*c&tHl8rG5TN%rEWpS7Lr?pT83GOZ)tlm|xoGuf+V)K7V9G z+fSC;y~j4UROI^;x%i@=f6ec2R$_i>&-2@ikiB_c<4d1k+UKvt{L(&uCFYm*`71HM zw9j9O`K5jSO3W|q^H*YiX`jCm^GkbtzTeo~zz^~Lr;@)&KrY%}L}~3`d{4pW_s1e0 zxtRHU&%opN!y+EJnE8B9z~lG7A|APz`FzgXa{K(R#3L8meLkPV^CBR(TH5k zeD)JO_8$?CT+Dp-6Fl}G5szHVeD)JO_8$?CTx|DaKjAN~(0@e!A`APEXnzqZ-GA`d ze?&a?A2IXUPw?1(L_FWG8fHHG2_E~8i0}JV!^~$tvE{!1i1@x=HEj3oHQIiH$NnSo z7qxu9YMA-#CwS~XBEIie4Kttp1dshk#P|KGVdk@+;IaRR_`Y8?Z1-b7;V=HM{}kG> z1p5zv8OXV1zW?B{{}h_X{)6vKeD)JO_MbxYJQow6{REHwr_eml#l&Yn;V-W7`BiA1 z=VGfL`w1TVPa(bFUi)t`@!3!C*nbMm^IS}P_7gnzpF;cpJr@(7{REHwr_eml#a2Jg z9}Qg_=a0nYhes|BUE99@aDE4m^FJaUxtRH!pTXn&jfh7sW;*pD)&-oF& z;hie+$i;R)&VSf)KmQ>vKRj|V^Ep3($N38pk6g@r&M)9`{z1ee7c-yp19+T25b?;x z%;)$YkK=z4k6di`V?SGVK-bS-7OrS=@qm2)!(;y|G>`pnnV9(OXL#&?h30uKCO-Qa z9{XRRd7g`j&whr-{#R(8=VGfL``NMsV*e{#(d1&{v!CJlHC)j=&&9-NKf`DLD>Tn@ zG4a{Y@Yw$f>GLeD*Uu_P;{&JQrL2*w2>Cj{Q$udT!+6lG*wGhsXXW;<5jUna_TP z$Nneck&Btneul^XC*qNdna_TP$Nneck&Eqq>}R&z`=7Y<+{neuXFtPZ{}b`Z#mr|v z!(;yw@yNx@XFtPZ{}b`Z#mr|v!(;yw@yNw?KlZc5r^NmzF0sF9$NhKW;#2bd50Cv% z#AE*xGoSqokNr=?^Zl}6=ChySvHywqzF#)XeD*Uu_CFEd_sfRue(Yzq-1k3m$>z;| z*)a3j&+yp)M10>b8)iQH86Nwei0}Jl!^~$t!(;yw@qNE+nEC8ycW` z^UCm^d$tmfT+IA=uMcm5eUIn)As)Gy`3v73-r{vC@yNx@U-H55mf3fBo*&|oi`d8tP{bD5^xtRHb zcHGMIj{jCA9=VwL6P^ff($6aK$i>W`Y{$Jk|CIlL6of3-EjTixG>b{~Vk@9h35 z%ki7#z2|>=-hXzVf*m*W{A>FAFo;JkX3sxur|{SI_n{p(`uooAU$7j%S>F2m>)3HO z&%d6(4}*B*V*B%3-!XU88P+e%5y#tS8M!#>jQ0NX?1b>1eXbIZT+I9h+l9Aqy-GZC zG4q$bKfIwmD)Gq0%w{Q?;Ub6^CvwX-sC@4;*pD) zKXvu+rY@<(BNsD&?Kg+F&Z{c%$i;Twz9;>m+g)V+>#-kIu9yW-S@-K!T%iEsc_Jpta<9Eh^S?>o7%&x z>{kWk;rp?RK*i9hbK@W!9jL-RZr6MxbL;Z5GPhvs=MCjR6(;jMCh56$yjZ1t^A*|#m; zgB&<)kt(AD>V&)HhC%olvtHdK0GvBY4TCdYHQr!=rwM z^O{^teCmWp{R++VTuglGgh%}f>Gr^`lPwwHxXu^4Fkv|B0g(SW8kej*;Z*zVglv-;stKasyiL@s7Nb;6^5A|APz`P2!I`iXetV!I!8 z8u)h9Pvo!RP(RV8`M30+s1qLb6Y;2@nEBKRkNSytUe|`1Po4N{1HAu4e6MT6c0cNb zNBuitwPCv-b>gob@ct`Y)a2sZ^7`RXze4k< zAAeQEnfTNRkNOpw=ed~p)QPG4)UVJy&&5_h>V!xA3KuoGnE2EQkNOpw=ed~p)CrIJ z6`JR{*y>X!yJLC@^{eEsVvvg?OS1Zfx7d!~cpQkg=XC8&Zy+C0g#KCKjGKmP5f{r9=VwLQ~o!+RqZ&I*8%a!#dbgH#I$4T zCo&b@>)Oz!*(UunJnDw;bu^Fq@i;K^sS_Uc6MdS!W4@D3eEFeOnmCZ)KT7lh30uKw)#;gJnC1ttjWd1r%rg(uh2Zt#l)vhc+{`ZJkP~e zKkCG^Q|c!&4Vd?zXwxjy_a7eh6Y;2{Y0j5Qa>^CsS_Uc6YY5VY2Sy72cE>r4 z&GHxey8d+-b+_wP<9lfP?R;|a#p`fe{-7WxtRD% zZx4T2bN$I_p66oXuV}8n4L8@{oaT8hCjPKrf3p62YOIITJkP~e-}0{wkOB&^*t@RzLdG*muys>^&^n+n)Y4R_vd`*Y_)G`V1cD?+VTH zTx|8DPmFzsxpw}ou-oKf;`2Vot*c0XRHE%(8{1dtRh|fK--H-L;*G_)?+HmNy$i-zV z^7Xgnas1g3kM$SZ{a8{rIWjvbQv^tKswfp1W}Eee*@@^-tOQ?or7daOC2+r~1~v&tLqN@Hl4X zaUdSKnEA`?`!8PCzWUfBab+K#`LXD*kQ)eCmcUxul?Wsjy?A-cTx7; z1^K7fSjCrjI$Pf>+8vE=>URJ18qxFKF7n>a;FM9F=2QIEAKLPhJDqI~63x4&+r9ON zqUXJS-(8S@;v2&r_WU0f&3n$C-#&?hp7;8FcQM}fHoN2UpS#_^JuRB|Ki%$a_Nf{q z@2g^;|IjMo&H0fS-b1U1x&NGX44$96AcNsOXYWLwKbE&WzwJF=@yGA1wv~uSE_&Yb z`t7$52Zp!d0x`VR4-|8MqqV}@>gQs38?7a__)Y!)WZiGdBeuO)tz7j?tDoiKlt*6V z^*8>5uMY1)dnfQX5RY8U{5fWm<(A>S^8QLZaxwF_nit;I_Nm3|fOzC$yB~F0<*cZmIAw>(MVpuP!u_wOet6VP z#Phl~%zWyENBu;6uWQ51r%ufCqJAR2*R^50A9cc`e&UoJnz}a3eCmWp{X~4PYs1W^ zPI%N!#P_;3Z1&^*t@RzK=AaaPn%oOE{N;>1~b z{qU%th)4az%%@Ix)KA1C7c-wa*>bO+h(|8A`%x!6>L+prhx&<`Po40npNK~;WmOZPPys>Gr^`lOB)UWW#CKnT*I^j{jLi0Qq z6Q4TaQNKd-JQrL2sMDa$;-G%wIGe@6_fK)Ke-=r6|L^(!f=B&CJnAQAK6S#Qej*;Z znEBMnmV5m~JaVz!k2>K|KXII$jiG;vnNOYYsGo>OE@nP;!lQm79=X`=N1eu=7xfbd zcW&z1aO`>c`wx%$iTKn{%zWyENBu-RuWQ51r%txq>nGxST^qLhQ71g=Cl2o1)U{#e zQzty?C*pfu8)iOr!lQm7zSp&3yB~ELvrE*k@R=qTcggFANBs)Tqkdz=#HUVp)UVJy z&&9;2PGffIc>M~^^IUB8qfU6#uke{B7Zaa4;ZeUr^E?+5pE}`Dze4jo7hCSW8kej*;Z*zQN2@Ti|S`uxbn%%@Ix z)KA1C7c-wa;ZZ*kk6di`qfP@CME%53yEb)gIB-E;KRoIu;!{5{^QjXa^%L>Dt_?Gv zI@xlspNQ{uZP@Ndo$#oi$ls;n^H0ot>V!xAL_Du+!_22nc+^kC_qsN0_oGgo-J^bm zbDLb;J+B`g^(!=w`gO#_r%rg(uh2Zt#l)vho!#w!?fYk;d7g`{e$)w%`W4P?axw9# z6CU*|G|zJ}@u?FY^(!>bbFtN@PId>!eCk)(y)bfdWPVn^@E)`)5j+mWd+^njnLo#_ zVBtOY)k=JFG4r|FXUnaAm3ZW0yHB0MTm5B~-3ucZGyj!ahWE<*EAhz1%-?EWcw2w7 z5|3PL_oGh37e)QVkv*HbHXObvuOA+D6Y;5^nEBKRkNSytUe|`1Pn~SJ*H6Uvx;AY0 zqfU6#PaN5^scXZ`r%rg(PsI1SHq3nLgh%~Ee6MT6c0cO0Vy~!Q;ld^t_sZ*sNBs)T zqkb#I#HUVp)UVJy&&9;2PAm3``W2ezx!CGQo$#n%;ld^t6Q4TaQNKd-JQow6I^j{j zLi0QqTm7ih(Bi0{xcuVC#i7M{{qU%th)4az%%@Ix)KA1C7c-wa*>ZpXiFo8L(8E-PE<=vP<&%;ZZ*kpZbZJ zPo40npNQvmZJ7Dg$(DQlM0~Gn!*)OFgh&0vp}m{BHq3nLgh%~Ee6MT6%%@Ix)KA3s zx;AY0qfSfriTV{TZE|s+yncApuh2Z|w^U4g>V!xA3eEFeOnmCJbf2hSp?RK*t$x%A zkNOoZZE`X3sS_UcD>Tn@G4ZJr9`!3U&vUWWk2*1ZiSM7{l1n2OUvvsoIsX|R^}~mF z)KAQO>V!xAL_Bga^QjY4nE3uF;*pE(e$)w%`iV;}jaV!xAL_Bga^QjXa^%L>P z#dbgHwCJ*^pSXCxrmhVaU6#N9@Ti-JPyNKqr%rg(PsH=OHq3nLWXrvNBEHwPVY?r7 z!lQoT;{BSsHq3nLgh%~Ee6MT6%%@Ix)KA3sx;AY0qfQGCi24<-XmYWCmiqIqKiDt8 z%%^^Z=25?eV&YRLJnC0yp66oXQ>TRoMEwfQ^IUB8qfU6#uW&_^i-}L2@Tgy*d7g`j zPo40nU!i%Pi>-dtY5qvmPh4<$nEBKRkNSyt^HQ`d%>Po40npNQ{uZJ7Dg z36J`T_+HnB?S8lW=Z#|9{rT2P=IE1)c5bru{9<8^e3x=t?|tG4ua#T6q6=LnR)$nE8MGNO*r+vl5S7%>0KQ3-95xD)Gq0 z%>Vli;r;!QN<4Bg^B*}gyhpdM#3L6o|IvrTd+dx#JaRGfAKx~-#~-Z3BNsD&*6HCr zv2`ULxtRG+{58BMPpiZu7c>8<>ES)~KqVfznEA6$4e#kKD)Gq0%zyg6@SZuP5|3QW z{AV{0@7a4Q@yNx@pWA%*pJ(6I{WHvnM=oamyyn<%{-xnVJaRGf7wi+>f~A#s^vm3ZW0<`2&aZ{+++JaVz!w`;CP;n}s`sg-lz9J!eJ zqpk~Y^pTZ#0*~7~a|&SK^V2nZNdJ;jQz@N<4Bg^Vi)dymfD_#3L6of4vjJTYtk!JaRGf*S{sa zmmgn=M=oam1{;L8!OfL;WQi(?{X8tBmhWF}oD)Gq0%-?j!@HU-QiAOGG{%g(-Z?iX6;*pD)zu9Bq zz4ok1JaRGfH{T(=%^#`6BNsFOb!UdR#rBnW7TME@uApZNr=XU?m>8 z_&mRFiq>t{n`h@h{zm_3IM;reOD;aQW#9RSKL4T5hBt@$nGf;E#mt|(OL!wID)Gq0 zcHh3|jKQV{s$i;R))^oCbcVhb&TJL20PZrnD*MIW* zvHpeTvHtkZRzKDgkM%FSsmaAwKh~3DE!JP;Sd8@-CtjVezb*IeFXFM@V!I#fiO2ej zlMahqZ1-b5C+r>TU%0r*MVo!Gx*d{_x5MI9Ms@n8aIu~f>^>Rx|3dRT7hC;UPdwJY zko&RheKA37^^Rzzc}8$%d!2%agXKeZ_9oCMLgDDZ1-b5@mPP6zevRTi|u}_ z=io`P{^GbzA{Pfw%GckP`}&J`tiRaq$9m$i{^GbzA{X2J`2I08DZYOc+AIw2>mCv( zwXZ+l5AgW@P-vd#VykbTJNEfMG$}s+3xD3^V&e1pjK}A9p?RK*t$w`Dr8WhY?_c7w zw?{56?Vm#1_x|B^;_>=LJaVz!kJo9-{riu&?Cp_@na}IQA-W0FD@bM-W6Q9?Kx3#_gLi0QqTm5*Qi|&foFY=cG z+5g2wcjd1ikJm5a@%qJfKVGLT_xg)W(B*w0WRUu@%k5tt$w^t{(d;eKZQSPaxw9Fop`+dLi0QqTm5*Q^US6HiSu`hT%7mK z{PpAU`b9imzu4}_>$K(m`o;OXMJ{GOuM>~gFXEAl?Y_Nk&Kuae|M{p&j+^}aN5ky+ z<97S3#=qUq{|52N#mv9MzN_Hh>F1$>c;sT{-)Y~`@b9{~5|3QW{JZVDD*j)_SK^V2 znZFYEU-W%`-n&IS@4fd`^1S3?_Wbvq7vB9lSK^V2nScLCcn@4&iAOGG{{I~r-d|U% z#3L6o|F5@&=jY$74iJxA%zQupX5Pb_#p6IcKmXP+^B;aPyubVTU%T(Zh)*tN{v-Q^ z|Hx1!9=VwLk6shrV;`u*BNsFO@eRX!{FX{QaxwE);{J=i{r8Ev@w`u7P|5R>i`nx( zb#QpIM^)mHiT?axwE);{J=i{deh4<9V08r;_I-7qjOdS~t9*-&Nv~iTC0`Sl0ee;^*Y*zPyiAMCv4z>j&HAm=Up`a{FaA9Zwiqo-Bk zlZ%-@`tI2Pi!yC6xB_6q$`73e%MbG|g=a`O<=bgAg zCC^JPX3szIui;HPtrCx1%>2o_hBtXmB_6q$`K$aeyeaRj#3L6of7La^^Xp%>|3N%* zG4uWUmw8iX#^XRdzy8%Q^VirSyfyBt#3vUse@*}X*6FOZbNCRCT+IBn?0cFm?@YVA z5|3QW{FnLnH@jbAweTSxxtRGYasNf%{=4q>@x1FkT*>p2i`nz9cTsrj?^%gQE@uAA z2g7^$m6dqpV&-pfOn9$YyAqFF%=}l}6P{mxwL1FsSM$m7o8>L%ubbB`TykK-|T*gQQ<>8axwEavF~ZNyz^@R{uaa|7c+lT|Ndt8 zTih9s1M$ek%wLK7FZ%Z1&6dXVzV?zzo|jzAo`3WAh4;FNm3ZW0=D+Ud@U}R%5|3QW z{4J-4x8(zsc;sT{Pd`6Azy5D^^y~lTljAqbd-vbIDgF;mu+Pe^?0Ug-m8;IO|F&El z+^X;UbD!V+GM-&OIIa?pTx|F4I)Pmn!hhwG%2j7YF1Gu2o@fvIuDzd~FL_<%^0ASN z^Y&|B|2cmQ@42fg@yNw?-_8^5i)Wu#+f^<1z^cFcEs>AyW+Q}XqQVr zZ&wtq5k2pH7x(=YfF*CT<=dP8d(pl@cjAgf(DPou@2>zX-Ng1b`U46#u2>@i$LC~J#Y2L+NVAqS4@N9jon&o@teCqmMmo2oNcd* zDw(E8F4{D@7e0UEFP|9Ra=Vhx<3K!eG4n?@3~%I?N<4D0-M6Dn+xM83H}G&J(-6tU z%pYS{@bSmk6?+knT+IA&9|&*!yU%vE9(f_#U$n>By{U_nJlp=^Ex%d}pY6}%EVpmhnLn~&cq6xn;dx!m zkL7L8&vy35x9uYaMWzArz7SU|%(p)t+h4?EyNj96cE)4-i+JQ> zyC2)xmizV>ndZm)Ld<-&GalPt#3L6opY4pt_80NU#dhEB6#9&9tGC&AB>UD~xTwj+ zx3zEo6<-T)c&8qk=ed~p-T%U~_v|}*XrAX{t8brqzX@;jM|-%a$;HGUYe#Q9|KR6( zXrAX{zu)&Oh-K^9wzIqFhrV37;@!6WEEk9R@8a+CSJ)W<{Ne9b;*pD)-`xYxwx1ov zig@H=yKmd+z2S|vyToA_rDX5T+G(r z{tfSc^Zfnq43E!09;aE}`}x)L`s00$@9%$Sczpihdw)o_AIFdWzQ3)9_P+C6?BD-; zp5Gk4`&s|s__5GD&&9;&_|V_~Lp?OlbFtO8{nU;h@i~4h~$3+}B?mbwcD~yKgnJ_y5SRj8|87kBD3x`BmHc z$NSfo$M>g(-6J9wGvB}GnCIVr8sd?Q?S8E1@PV=Zg~LrQ9+)O`eKD)<+H+*{~9=VwL-F5No``fHaJaVz!xBYi|c%xTTu2>^-G4sdTF+R^fZfYeS zxhTJ!g^x4uYjwu;orRw=IQYSj9eaeGfIs4B+kqz8rO2tzJ1>9ikuzo%?&>6P=d-{GaaSh6&{bOse&DMJywEMPgYp{HyZuiYsy!17QNBhUt;0=4*HrT&q z4F;w?`;Tpdc(k51*yQ=OpV{}v-Mv& zZ2o?D)1P>4lit|v9zL(_*Ce_&r|fyQ1EzJm@80sIJzLm%Hv35A7oR)(Uo7`y z?M~-{0sFD|ah=Y$>@%-(&Gfxq`LozAVdb-N;uqsN7o_a3YE`UCI3zuSG+ zNN3>EpHv>aNyl^1mbdwxZ~es{f5+zeMOz=w#T{Gyff@hS?QXlUGw_4oR$|V69leU@BFo$Sm0ACIem~ae4E$!}Zuj@^?hM>*=L!q&Xmau0 zFY^51cRpd?DStZE{6{P8aXc4o`3u)y{&n4vi%;!zzBIa!$LoCJI)6OK@>c)Cd%E3& zrgb_O&#nB>H#!}1(U!ORH?3~oo}JDuudV#hA3Gg#(U!ORxBt-ICw8~e-Jh*IZih~X zT(sqF{=hEw9rIw@e!JOsUGca>JD!V{xB7=ZWb1AF&tb2xeE&6_0dmonxBBmUpxfQy z`p&@NKd#*OlFk6RXv^|<;Ie%x_Z#dCkc+mw)t|Yf+Z}gUXW*-LtRhbO zNoRmuw7k{-*zMhs>)+lPILG!M@y3ff1LUIRt-kI5>|gBvqVLbVFW9e}`3KHpDpC^I+lwpZ}o5A(%v`6+WW`O>xjqM zu`0P}d7D4*)~}go>%aR4E04RcwlJumbd!fvHf<3 z?^*x*W95FI?+lQOw!GD!X?=3=@7DjUUgG4vEifWzZc)y9htYh(^+QUW5h*v zj-6bzyw~5iw|`A|Yq$I9<2wTr?VdyNtM>ZIMaz5ro_qB=1IMgk-}`p9^?y}icg%dx zMV7zNZ`S{WY2EJc>wltsUlQ@i#mxWrBf~pswMsm4G4oHlHoQ+CUWrF8X8y@j!aLdO&-z0=axwEi zV|^O$vv#gX#3L6o|Fgdc?{oI~hY#_{#mqltVtA)qU5Q67X8z|73-8qNm3ZW0=AZi0 z@V@Y#N<4Bg^G_QL@3bo`@yNx@|KcIxoj#@#k6g_B)9rhwE$@8k;7UAlG4szD72X;4 zeVfODc;sT{|HnJSJF`=XM=oamnOB7O<%25m$i>Y6@<@1R+2T~=!uwA< z*NqSH$i>V*`?B!9VrP5tAs)Gy`Cl0d@0?33@yNx@|LQ*BeRXLi9=VwL=Ux)t*Y>W& zBNsFOYm37>@8U{4axwG2zE^l(Us#DpE@uAu7lrqYJuC6Z#mxW4{O~Tguo914%=~Ze z9^N^I`;S%Pk&Bss`B~xpV24UPaxwFN@JM)9oLPxSE@u9JZ6Dr$JzR-LE@u7@&j{~F z+g9R{i<$qU2gCdE>6LioV&?y3>+pW^*GfEcG4roHExfCySK^V2nSa#-;r;Z~N<4Bg z^MAHQct5+Z5|3QW{Hsq1@8_FW;*pD)|MPpo`^9G~@yNx@|L@Jh`|rCe@yNx@zvkrd zez|ES9=VwLzq~WNYfq}gBNsFOSDS?QtJ^E_$i>XR?!@r^XX8pdaxwG&=eF>!|70Z| zxtRIC-YC3Z-&%=BE@u7>CxrK#4J+};#mxWBE#cjGd?g;anEAimAiUq+T!}|6X8!Mv z4e$5sSK^V2ng9En!n#3L6o|9@``@0O!0 z@yNx@|Kqgq{&+(r9=VwLw|*qNKdo7bM=oampRNz@wxcTX$i>Y6^VIPEd|f3TxtRI4 z9~s^qt5xEWiY6zrpbS@5)L%axwG& zdPsPG8&io#E@uAUejMI|2Up^ei<$q>sPG>8VI>~9nE4OCGrYfdD)Gq0%>Vlp;XQIt zB_6q$`Hzf*_vq!7c;sT{KXyQPkFBW0BNsFO@yo)SwO=J3xtRI0hQfQ|(n>sXG4r3? zC%h+@R^pM1ng7%!;mzK=5|3QW{Mn1cd-~!^JaRGfpV=$CXBJlCk&BuC>_y?t*|QRl zT+IAA^TT`Y!b&`HG4to{9^Tx!m3ZW0=Fhtzy!pFU;*pD)KYvbm3(l{^BNsD&;V$7V ze5MkQT+IAM=Y_X;=Snk6g_Bskeo< z#wRQB$i>WGbEELqytNXKT+IBnP6%(>hLw2aV&+e~CA^m%Ux`O9X8zh6gtzw1m3ZW0 z=C5;XcXx;KuM? zadagfxtRGIP780t8!GY0#ms-@N5b1^%}P9SG4nUNKD<{QRf$I~X8y)g!`t|}N<4Bg z^Z)6{@HSbk5|3QW{7tS6@70G_;*pD)zv-0lHoc}2k6g_B*L)zn%_djkk&BtX*)PI- z?fWY6$i>Xxd}4T;UtNhuE@uAg4hwIK@s)VwV&-r0)9|)@PbD6?nEBHO!<&9(B_6q$ z`CAtB_U08`nE@u8N7lrrF zdsgC+i<$q=^TXTq!b&`HG4prZJ-prKR^pM1nZNr5;q9?&B_6q$`FqR>Z_o29@yNx@ z|Ce3D`Xx=bZ5N z-LVpnT+IA^XN9-l*_C+YV&?Dv#_;xktP+o0%=`n+3h%%jD)Gq0%s=pv@D4h&5|3QW z{C8|0-a8(y#3L6o|D9)q_pWU#@yNx@f7gTI9ejEv9=VwL@7_ARcmK5#k6g_BLrx3t z(CL+U8`Q^K3Ec_kjXnE5mA3GaQMt;8c2 zGynaYh4=ouEAhz1%>Tg2;eBw^N<4Bg^FMfJc!!@Y6$O++nbi+zKaxwEidP{ix-oyT# z|Ly~iT+IA`-5|Vwy}1&PT+IAqjt%c)>sR8Di<$qio5Gtpvl5S7%>0k98{Wr%SBXb1 zX8y6qgm>K9m3ZW0<{x)sc*h@IiAOGG{wJn|_lX-S@yNx@Kj9w$CRgH-i<$qKUxfGB_f_JNi<$qqiQ#?j>PkFvG4oG3EWFQ;uf!u4Gyn5H z4e!+VRN|3~ng4~s@V;BU;1Gs z9=VwLXS_4K|L9cWk&BuCA6JBT=0TNs8?1HwCNMI|1&nEC&C zS$JpfSBXb1X8zej;eF-ON<4Bg^Uv8QymOXT;*pD)|J6&vJ9qC&JaRGf&s`ke*DkKa zBNsFOyuHFZZ($`KxtRH1zbL%(_pHPt7c>9-`Qd%z!b&`HG4n6jJ-iF%R^pM1ng7iT z!n<(SN<4Bg^Dmqe-nY)L#3L6o|Ds*OyXcupJaRGfzkObK7w=q&M=oam#k0fv&bgI% zj@yNx@|F1K``{A~gc;sT{|M0=^esp>z z9=VwLKi)dLAOE!yk6g_BpPUxnmD4Nn$i>XR@`3QKI<*pyT+IBRZV}#3@2kWk7c>87 zr-XO)=9PHlV&-3cPk2B7Y$YDKnEAihEWBUbU5Q67X8wPl9NsmXR^pM1nSafl;r;TY zN<4Bg^RL|`ylZc-#3L6o|5qo5ciqO7c;sT{Uw2!0|MSU8JaRGfuiq%V>u;^ZBNsFO z*C&K`!-kc3>n=A3i#mxWhvElu0{YpG?G4p?SQ+U6h zS&2t3X8ujTnN;oZD;B_6q$`8VGf-v1t5iAOGG{w>qOyXA&TJaRGf z|M-#cZe6nyk6g_BTdxoAPe)bak&Bss+tl!GyRH(CT+IAG9~s{5t5xEWiwc*`y zcqJaWnE7{33GdEpD)Gq0%)jdc;oUvC5|3O=e4q31+rgOsP@R@R@R<8hXrAX{;xp#~kNFRU=6NnAK64)MnEy~{p66oXGv@)1`45HWc`hbC za~|-R|4?Y2=VIbB=K+uT4~6D=E+#&69`Km|P-vd#V&XIB0gw3)h30uKCO&f>@R@R@R@R z@R@R@R@R@R@Ro;27 zHvik_Jm4|^q0l_$Kj1r)=V#6X9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P z<~-mr|Dn)4&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9 z&^*t@#AnU}9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9-N z&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9&^*t@#AnU}9`hdx z>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9-N&I2Cv9}3O$TuglC zJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9&^*t@#AnU}9`hdx>GLeC9mhG5?{^ zJkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM z10M4q3eEFeOnl}%;4%N9&^*t@#AnU}9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$ z^IS}P<~-mr|Dn)4&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}% z;4%N9&^*t@#AnU}9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4 z&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9&^*t@#AnU} z9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9-N&I2Cv9}3O$ zTuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9&^*t@#AnU}9`hdx>GLeC9mh zG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f z#l&aM10M4q3eEFeOnl}%;4%N9&^*t@#AnU}9`hdx>GLeC9mhG5?{^JkQ0%XU+p2 z^B)S$^IS}P<~-mr|Dn)4&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFe zOnl}%;4%N9&^*t@#AnU}9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr z|Dn)4&&9-N&I2Cv9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9&^*t@ z#AnU}9`hdx>GLeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9-N&I2Cv z9}3O$TuglCJm4|^q0l_f#l&aM10M4q3eEFeOnl}%;4%N9&^*t@#AnU}9`hdx>GL zeC9mhG5?{^JkQ0%XU+p2^B)S$^IS}P<~-mr|Dn)4&&9<5e|+3c%5_pslGs2I z5wUAgmqhZ%G))PjCD9PqSkJ!J`&D9=Cftj`X~5ZBIuD%w!`tKTy&YcXfzy9@d)&RZ z!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU% z_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bx zq-+`YHM>pXD! z4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F z^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x z!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se z=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887 zyv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt z?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w z!`tKTz5Q!{`w##AKY#iU?f?7FKmCXH-2dwPzuR}<^dDMI_n}>0=Yi9IXgT+Gd7TGN z|DomF+vRm0IQ@r~b8nZ|dEoRPTF$*)Ugv?+e`q=Pc6pr#PXD3h+}q`K9ytAnmUC~H z*LmRdA6m}6U0&yb(|>3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzypXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26 z!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o z?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD! z4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x z&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0 zxwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW z@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCC zE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O z1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>T zx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}2fBO#S z{q`T)3= z_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ? z<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkV zhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV z^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m z2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orl zbsjkVhn911m)CjV^dDNzypbxK4=v~3F0b>z=|8lb zd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>Tx6A81aQY7|=iV-_^T6ppw48f8{Ov#d-~aN{e`x>xKm7C`+H;@%2TuQ?<@6ug z<#ir7{fCyjU5Ia&*LmRdA6nkMU0&yb(|>3=_jY-m2TuQ?4r_jY-m2TuQ?<=orlbsjkVhnBlth;NtIdEoRPTHd`~Ugv?+e`q=Pc6pr#PXD3h zZWrR)<#ir7{fCx!Zk_;z`n2TuQ?<=xxmbsjkVhn911 zm)CjV^dDO8b|Jo9Ugv?+e`tC4c6pr#PXD3h+}q`K9ytAnmb+buZGc^6u^OIuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>%F2uLX>pXD!4=wNBF0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTF4 zyAa@;VQk{zJ>%F2uLX>pXD!4=wNBF0b>z=|8lb zd%L{O1E>Gca<>cd?eaPgoc=@0-!8x&I70a&~onW z@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppwA}4Ne7n5P1E>Gc^6u^OIuD%wL(93h%j-OF z`VTGV-Y&26!0A7<-0ebqyS&Z=r~lCM?(OnA51jr(%iS)-x6A81aQY7|f4dOhF0b>z z=|8l*d%L{O1E>Gca_;T&IuD%wL(APR#J9`qJaGCCE$`kguk*m^KeXKKLVUZt&I70a z(DJtn@$K?D51jr(%e%MB>pXD!4=v~3F0b>z=|8mG?LvIJyv_rs|IqU8?eaPgoc=@0 z-7dtp%j-OF`VTFCyAa@;VQk{zJ>%F2uLX>pXD! z4=sPY5Z^AZ^T6ppwEXQte7n5P1E>Gc^0y1|?eaPgoc=@0-!8x&I70a&~mp6@$K?D51jr(%ik`Gc^6u^OIuD%wL(APR#J9`qJaGCCEq}WZ-!8B7!0A7<{Ov+~yS&Z=r~lCMw+r#@ z@;VQk{zJ>(F2uLX>pXD!4=wNBF0b>z=|8mG?LvIJyv_rs|IqTc3-RsnxASn`Z~vh^ z{-+D^?eaPgoc=@0-!8(F2uLX>pXD!4=sPY5Z^AZ^T6ppwEXQte7n5P1E>Gc^0y1|?eaPgoc=@0ySK~h zJaGCCEqA*R-!8B7!0A7<{Ov+~yS&Z=r~lCMw+r#@@;VQk{zJ>(F2uLX>pXD!4=sPY z5Z^AZ^T6ppw7h$}yv_rs|Il)`3-RspIuD%wL(AVT#J9`qJaGCCEq}WZ-!8B7!0A7< zynDO6&I70a&~mp6@$K?D51jr(%e%MB>pXD!4=v~3F0b>z=|8mG?LvIJyv_rs|IqTc z3-RspIuD%wL(99j%j-OF`VTF4yAaz=|8l*d%L{O1E>Gca<>cd?eaPgoc=@0ySK~hJaGCCEqA*R z-!8B7!0A7<{Ov+~yS&Z=r~lCMw+r#@@;VQk{zJ>(F2uLX>pXD!4=sPY5Z^AZ^T6pp zw7h$}yv_rs|Il)`3-RspIuD%wL(AVT#J9`qJaGCCEq}WZ-!8B7!0A7<{Ov+~yS&Z= zr~lCMw+r#@@;VQk{zJ>Vx6A81aQY7|ce@bZF0b>z=|8mm?LvIJyv_rs|IqTc3-Rsp zIuD%wL(AVT#J9`qJaGCCEq}WZ-!8B7!0A7z=|8mm?LvIJyv_rs|IqTc3-RspIuD%wL(99j%j-OF`VTF4 zyAaz z=|8l*d%L{O1E>Gca<>cd?eaPgoc=@0-!8pXD!4=s1Q5Z^AZ^T6ppwEXQte7n5P1E>Gc^0y1| z?eaPgoc=@0-!8x&I70a&~mp6@$K?D51jr(%e%MB z>pXD!4=s1Q5Z^AZ^T6ppwEXQte7n5P1E>Gc^6u^OIuD%wL(93h%j-OF`VTF4yAa(F2uLX>pXD!4=wNBF0b>z=|8lbd%L{O z1E>Gca<>cd?eaPgoc=@0ySK~hJaGCCEqA*R-!8B7!0A7<{Ov+~yS&Z=r~lCM?(OnA z51jr(%elA9>pXD!4=s1Q5Z^AZ^T6ppw7h$}yv_rs|Il)`3-RspIuD%wL(AVT#J9`q zJaGCCEq}WZ-!8B7!0A7z=|8mm?LvIJyv_rs|IqTc3-RspIuD%wL(99j%j-OF`VTGV-Y&26!0A7<-0ebq zyS&Z=r~lCMw+r#@@;VQk{zJ>Vx6A81aQY7|ce@bZF0b>z=|8l*d%L{O1E>Gca_;T& zIuD%wL(APR#J9`qJaGCCEq}WZ-!8B7!0A7Tx6A81 zaQY7|=iV-_^T6ppw48gpyv_rs|Il)`3-RspIuD%wL(99j%j-OF`VTGV-Y&26!0A7< zoO`>x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|ce@bZF0b>z=|8l*d%L{O1E>Gca_;T& zIuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~mp6@$K?D51jr(%e%MB>pXD! z4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTF4yAapXD!4=v~3F0b>z=|8lbd%L{O1E>Gca<>cd?eaPg zoc=@0ySK~hJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA58NNW|60zyU0&yb*MDfa z+lBacd7TGN|DomG+vRm0IQ@r~b8nZ|dEoRPTF$*)Ugv?+e`q=Pc6pr#PXD3h+}q`K z9ytAnmb+buZq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT z=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcX zfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{ z&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDF zc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F z?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkV zhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7 z`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`; z;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY# z^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV z_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_H zckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{ zJ?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9I zczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs z|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq- z+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+ z_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_; z;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i z{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{ zIQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s z4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKT zy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5 z-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8# zkGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP z-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?& z1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@ zod-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U z@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT z+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt z?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w z!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3 z{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^ zaQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx= zdEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSw zdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7fBgP8 zd)&RZ!|Oco`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a z@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M z|KaU%_ul@szx{`Q{a-)*hxY&c*Ps4Fd+vYr{on07aQY7|r~A+@uk*m^KeU{CyS&Z= zr~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h z%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6pp zw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA z51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV z-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs z|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9 z>pXD3{rPJ-_jY-m2VVc7<=orlbsjkVhn911m)CjV^dDNzy3= z_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ? z<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkV zhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911hrfM? z^M3me?Q!?sF0b#v=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7< zoO`>x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPg zoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3 zF0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a z&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&f zJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lb zd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Y zuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gc za_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81 zaQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{C zyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%w zL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_ z^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$ z?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF z`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gp zyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr( z%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26 z!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o z?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD! z4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x z&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0 zxwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW z@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCC zE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O z1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!2R+2ujSm^<#ir-{fCxwZx&I70a&~mp6@$K?D51jr(%e%MB z>pXD!4=v~3F0b>z=|8mG?LvIJyv_rs|IqU8?eaPgoc=@0xwp&fJaGCCEqA*R-!8B7 z!0A7%F2uLX>pXD!4=wNBF0b>z=|8lbd%L{O1E>Gc za<>cd?eaPgoc=@0ySK~hJaGCCE$7}Yuk*m^KeXKKLVUZt&I70a(DLr>@;VQk{zJ>T zx6A81aQY7|ce@bZF0b>z=|8l*d%L{O1E>Gca_;T&IuD%wL(APR#J9`qJaGCCE$`kg zuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8mG?LvIJyv_rs z|IqU8?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=_uHSpmUC~H*LmReA6o8q zA--K+=Yi9IXnFT`d7TGN|DomF+vRm0IQ@r~b8nZ|dEoRPTF$*)Ugv?+e`q=Pc6pr# zPXD3hZWrR)<#ir7{fCx!Zk_;z`n2TuQ?7vkIHbsjkVhn9D5m)CjV^dDO8b|Jo9Ugv?+ ze`xvJh4^-Pod-_;q2=A%<#ir7{fCxwZ4r_jY-m2TuQ? zuLm)CjV^dDOOb|Jo9Ugv?+e`tC4c6pr#PXD3hZWrR)<#ir7 z{fCynU5Ia&*LmRdA6ou)A--K+=Yi9IX!+ZP_;z`n2TuQ?7vkIHbsjkVhnBxxh;NtIdEoRPTK;w+zFl7Dfzy9z`P+r~ zc6pr#PXD3hZx`a*<#ir7{fCx!Z6B+lBac_}h6n@3;TZ z9{uLm)CjV^dDN@y5W+lBacd7TGN|Dok?7vkIHbsjkVhnBxxh;NtIdEoRP zTHd`~Ugv?+e`vYeh4^-Pod-_;q2=A%<#ir7{fCxwZ6B z+lBacd7TGN|DomG+vRm0IQ@r~yIqKHm)CjV^dDN@yk_;z`n2TuQ?<=xxmbsjkVhnBlt zh;NtIdEoRPTK;w+zFl7Dfzy9z`P+r~c6pr#PXD3hZx`a*<#ir7{fCynU5Ia&*LmRd zA6nkMU0&yb(|>5W+lBacd7TGN|Dok?7vkIHbsjkVhnBxxh;NtIdEoRPTK;w+zFl7D zfzy9z`P+r~c6pr#PXD3h-P`4L9ytAnmb+buZ6B+lBac zd7TGN|Dok?7vkIHbsjkVhnBxxh;NtIdEoRPTHd`~Ugv?+e`vYeh4^-Pod-_;q2+HE z;@jnQ9ytAnmcLzyZ6B+lBacd7TGN|DomG+vRm0IQ@r~ zyIqKHm)CjV^dDOOb|Jo9Ugv?+e`xvJh4^-Pod-_;q2+HE;@jnQ9ytAnmcLzyZk_;z`n2TuQ?uLm)CjV^dDOOb|Jo9 zUgv?+e`xvJh4^-Pod-_;q2=A%<#ir7{fCyjU5Ia&*LmRdA6ou)A--K+=Yi9IX!+ZP z_;z`n2TuQ?k_;z`n2TuQ?<=xxmbsjkVhnBlth;NtIdEoRPTK;w+zFl7Dfzy9zdG~gC zod-_;q2=7$<#ir7{fCyjU5Ia&*LmRdA6nkMU0&yb(|>5W+lBacd7TGN|Dok?7vkIH zbsjkVhnBxxh;NtIdEoRPTHd`~Ugv?+e`vYeh4^-Pod-_;q2+HE;@jnQ9ytAnmcLzy zZ6B+lBacd7TGN|DomG+vRm0IQ@r~b8nZ|dEoRPTJCls zzFl7Dfzy9z`P+r~c6pr#PXD3h-P`4L9ytAnmb+buZ7vkIHbsjkVhnBxxh;NtIdEoRPTHd`~Ugv?+e`q=Pc6pr#PXD3h+}q`K z9ytAnmUC~H*LmRdA6m}6U0&yb(|>5W+lBacd7TGN|DomG+vRm0IQ@r~b8nZ|dEoRP zTF$*)Ugv?+e`q=Pc6pr#PXD3h+}q`K9ytAnmb+buZk_;z`n z2TuQ?<=xxmbsjkVhn911m)CjV^dDNzy z-0ebqyS&Z=r~lCM?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T& zIuD%wL(APR#J9`qJaGCCE$`kguk*m^KeU{CyS&Z=r~lA$?(Oim|L`w=|I>eX`;R~U zhkt4Re)k_Z{fD>5=|Avyhu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7 z`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`; z;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY# z^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV z_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_H zckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7zy0|)d)&RZ!|Oco`VVi9yZ3f@od-_; z;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i z{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{ zIQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s z4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKT zy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5 z-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8# zkGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP z-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?& z1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@ zod-_;;q7tv-u|_}ormLY|KaUF{`4Qt|2Mt=RsZ{T9ytAnx5w!}@OQ`W>pXD!4{wjV z_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_H zckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{ zJ?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9I zczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs z|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzs zbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ z!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU% z_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bx zq-+`YHM>pXD! z4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F z^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x z!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se z=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887 zyv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@ zd)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a z@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M z|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDe zoc_bxq-+`YHM z>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd* zhu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv z-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj z?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{xIcdX zn?3H{+u?N{c>RaB$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bx3=_jY-m2TuQ?<=orlbsjkV zhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV z^dDNzypXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T& zIuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7| z=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z= zr~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h z%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6pp zw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA z51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV z-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs z|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9 z>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7< zoO`>x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eMqn zaNckKp*`;2+vW8gIQ@r~b8nZ|dEoRPTF$*)Ugv?+e`q=Pc6pr#PXD3h+}q`K9ytAn zmUC~H*LmRdA6m}6U0&yb(|>3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m z2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orl zbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911 zm)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNz zy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzypXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26 z!0A7x&I70a&~onW@;VQk{zJ>Tx5MB5!$1CypZ-JpPygws|InWM>_2e&4=tzv z&@Qj@!0A7<-0ebqyS&Z=r~lCM?(OnA51jr(%elA9>pXD!4=s1Q5Z^AZ^T6ppw7h$} zyv_rs|Il*o?eaPgoc=@0-7dtp%j-OF`VTGd-Y&26!0A7x&I70a&~mp6@$K?D z51jr(%e%MB>pXD!4=v~3F0b>z=|8mG?LvIJyv_rs|IqU8?eaPgoc=@0xwp&fJaGCC zEqA*R-!8B7!0A7%F2uLX>pXD!4=wNBF0b>z=|8lb zd%L{O1E>Gca<>cd?eaPgoc=@0ySK~hJaGCCE$7}Yuk*m^KeXKKLVUZt&I70a(DLr> z@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0-7dtp%j-OF z`VTGd-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aKHWeYdQCJd7TGd|Dok> z7vkIHbsjkVhn9D5m)CjV^dDNzy4r_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy4r_jY-m2TuQ? z<=orlbsjkVhnBlth;NtIdEoRPTK;w+zFl7Dfzy9zdG~gCod-_;q2=7$<#ir7{fCxw zZ7vkIHbsjkVhn9D5m)CjV^dDNzy3=_jY-m2TuQ?6B+lBacd7TGN|Dok?7vkIHbsjkVhnBxxh;NtIdEoRPTK;w+zFl7Dfzy9zdG~gC zod-_;q2=7$<#ir7{fCyjU5Ia&*LmRdA6ou)A--K+=Yi9IXnFT`d7TGN|Dok>7vkIH zbsjkVhn9D5m)CjV^dDO8b|Jo9Ugv?+e`xvJh4^-Pod-_;q2+HE;@jnQ9ytAnmcLzy zZ4r_jY-m2TuQ?uLhrgYN^M3me z?eRZdh;NtIdEoRPTK;w+zFl7Dfzy9z`P+r~c6pr#PXD3h-P`4L9ytAnmb+buZ6B+lBacd7TGN|Dok?7vkIHbsjkVhnBxxh;NtIdEoRPTHd`~ zUgv?+e`vYeh4^-Pod-_;q2+HE;@jnQ9ytAnmcLzyZ6B z+lBacd7TGN|DomG+vRm0IQ@r~yIqKHm)CjV^dDOOb|Jo9Ugv?+e`xvJh4^-Pod-_; zq2=A%<#ir7{fCyjU5Ia&*LmRdA6nkMU0&yb(|>3=_jY-m2TuQ?v`&&U0~{vWsZ9RDAdmZYU6Nm^QxB!7=2Ns=T<62{mMzs=0dX@;4ZU6G`-v!x|z zX=!QcO!8MaZpZuiIL=-9JTBeqcy{l7>DA*?-*5NF=IcE0^dCaLd)R!P2cG^z$lET& zWAk+$c=`_^-#u);&I3>XA>_G-&DVM0=|6+zRm+r{~_eNht1b{;ORevyzN3fHecs~r~eT0w+r#ue4PiL{zJ&$ zF2rN=bsl*74pbxEA41-CAs(Br^T5-8 z2>IKEcx=AT15f`UvO=IcE0^dCb0b|D^{uk*mue+c>RVe@q! zc=`_^Z@UnW&DVM0=|6=0?Ls^@U+00R{}A%G3-Q=|od=%&L&)DQ#AEYy9(ei>A%D9N zkImP4;OReveD|>VIuAVkhmf~jh{xvZJn-}%LjHCk9-FW8z|(&S`P+qfY`)F|PyZq0 zZx`aR`8p3g{fCghU5Ll#>pbxEA40x+*nFJ_p8i9~+b+ao^K~A0`VS$0yAY4f*LmRS zKZN}4LOeEK=YgmH5c0PR@z{Kw2cG^z$lor+WAk+$c=`_^-#u);&I3>XA>?fr;<5QU z4?O*ckiT7s$L8xi@bn)-{&pcAo3Hc0(|-v0+l6>+zRm+r{~_dW7viz`IuAVkhmh|c zHecs~r~eT0whQste4PiL{zJ&$F2rN=bsl*74pbxEA3~mc*nFJ_p8i9~+b+ao^K~A0`VS%BJ#4vO=IcE0^dCaLd)R!P2cG^z$a4>yuk*mue+YTog?Mbf&I3>XA>_M<&DVM0=|6A#b}7kImP4;ORev zeD|>VIuAVkhmf~jh{xvZJn-}%LjHCk9-FW8z|(&S`R-x!bsl*748g?Mbf&I3>XA>?lt;<5QU z4?O*ckiT7s$L8xi@bn)-zI)hwod=%&L&$Rvo3Hc0(|-tg+l6>+zRm+r{~_dW7viz` zIuAVkhmh|cHecs~r~eT0whQste4PiL{zJ%j51X&^z|(&SdG2BJbsl*7465Rc8* zdEn_kg#7J7JT_nFfv5iv^4-Jc>pbxEA3~mc*nFJ_p8i9~a}S%Z^T5-82zl;d^K~A0 z`VS$`J#4yuk*mue+YT*Ve@q!c=`_^Z@UnW&DVM0=|6;g_ptdo4?O*ckmnvYU+00R{}A%r z!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0(|-tg+l6>+zRm+r{~_eNht1b{;ORevJom8q zIuAVkhmhwUHecs~r~eT0+{5PUJn-}%LY{lre4PiL{zJ&yF2rN=bsl*74pbxEA41-CAs(Br^T5-8 z2>I?|^K~A0`VS$`J#4pz6N z?Ls^@U+00R{}A%s!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0(|-tg?qTzF9(ei>A$MN$MN$MN$MN4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5` zczX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c z<9K@yi?8#*(|4R^T5-8 zIF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mu ze>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#* z(|4R^T5-8IF7gXu=qL; zJpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan z4?O*c<9K@yi?8#*(|$MN$MN$MN$MN$MN z$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#* z(|zwjSF{fF@1|KU&nA)NbH|Nn3M z4m|ybkf-|)Hecs~r~eT0+{5PUJn-}%LY{lre4PiL{zJ%f51X&^z|(&SdG2BJbsl*7 z4pbxEA3~mc z*nFJ_p8i9~a}S%Z^T5-82zl;d^K~A0`VS$`J#4j+=YgmH5c1r^=IcE0^dCZ=d)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^ z&pm9u&I3>XA>_G-&DVM0=|6-#_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQ zod=%&L&$Rvo3Hc0(|-tg?qTzF9(ei>Aj+ z=YgmH5c1r^=IcE0^dCZ=d)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>X zA>_G-&DVM0=|6-#_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&$Rv zo3Hc0(|-tg?qTzF9(ei>ApbxEA3~mc*nFJ_p8i9~a}S%Z z^T5-82zl;d^K~A0`VS$`J#4j+=YgmH z5c1r^=IcE0^dCZ=d)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>XA>_G- z&DVM0=|6-#_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0 z(|-tg?qTzF9(ei>ApbxEA3~mc*nFJ_p8i9~a}S%Z^T5-8 z2zl;d@we|V@7sR}$J=|@e0>L={zJ%f51X&^z|(&SdG2BJbsl*74pbxEA3~mc*nFJ_p8i9~a}S%Z z^T5-82zl;d^K~A0`VS$`J#4j+=YgmH z5c1r^=IcE0^dCZ=d)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>XA>_G- z&DVM0=|6-#_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0 z(|-tg?qTzF9(ei>ApbxEA3~mc*nFJ_p8i9~a}S%Z^T5-8 z2zl;d^K~A0`VS$`J#4j+=YgmH5c1r^ z=IcE0^dCZ=d)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>XA>_G-&DVM0 z=|6-#_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0(|-tg z?qTzF9(ei>ApbxEA3~mc*nFJ_p8i9~a}S%Z^T5-82zl;d z^K~A0`VS$`J#4j+=YgmH5c1r^=IcE0 z^dCZ=d)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>XA>_G-&DVM0=|6-# z_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0(|-tg?qTzF z9(ei>ApbxEA3~mc*nFJ_p8i9~a}S%Z^T5-82zl;d^K~A0 z`VS$`J#4j+=YgmH5c1r^=IcE0^dCZ= zd)R!P2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>XA>_G-&DVM0=|6-#_ptdo z4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&$Rvo3Hc0(|-tg?qTzF9(ei> zApbxEA3~mc*nFJ_p8i9~a}S%Z^T5-82zl;d^K~A0`VS$` zJ#4j+=YgmH5c1r^=IcE0^dCZ=d)R!P z2cG^z$a4>yuk*mue+YT*Ve@q!c=`_^&pm9u&I3>XA>_G-&DVM0ee0XwARVe@q!c=`_^&pm9u&I3>X zA>?fr;<5QU4?O*cknbKgU+00R{}A%r!{+Ne@bn)--gY4#o3Hc0(|-v0?qTzF9(ei> zAE9-FW8z|(&S z`R-x!bsl*74pbxEA41-C zAs(Br^T5-82>I?|^K~A0`VS$`J#4RVe@q!c=`_^&pm9u&I3>XA>_G-&DVM0=|6-#_ptdo z4?O*ckmnvYU+00R{}A%F3-Q=|od=%&L&$dzo3Hc0(|-tg?qTzF9(ei>ApbxE zA3~mc*nFJ_p8i9~a}S%Z^T5-82zl;d^K~A0`VS#*yAY4f*LmRSKZJbuu=zRj+=YgmH5c1r^=IcE0^dCZ=d)R!P2cG^z$lET&WAk+$c=`_^f4dNm&DVM0=|6;g z_ptdo4?O*ckhfik$L8xi@bn)-zI)hwod=%&L&$Rvo3Hc0(|-tg+l6>+zRm+r{~_dW z7viz`IuAVkhmh|cHecs~r~eT0+{5PUJn-}%LY{lre4PiL{zJ%f51X&^z|(&SdG2BJ zbsl*7465Rc8*dEn_kgnaj~`8p3g{fCg}9yVX+fv5iv^4!Db>pbxEA41-CAs(Br z^T5-82>I?|^K~A0`VS#*yAY4f*LmRSKZN}4LOeEK=YgmH5c1u_=IcE0^dCZ=d)R!P z2cG^z$lET&WAk+$c=`_^-#u);&I3>XA>?fr;<5QU4?O*ckiT7s$L8xi@bn)-zI)hw zod=%&L&$Rvo3Hc0(|-tg+l6>+zRm+r{~_eNht1b{;ORevyzN3fHecs~r~eT0w+r#u ze4PiL{zJ&$F2rN=bsl*74pbxEA42|i zAs(Br^T5-82>IKEcx=AT15f`U8g?Mbf&I3>XA>_M<&DVM0=|6+zRm+r{~_dW7viz`IuAVkhmgNrh{xvZJn-}%LjHCk9-FW8 zz|(&S`R-x!bsl*7465Rc8*dEn_kg#7J7JQjaD5A(kLhj9E)7viz`IuAVkhmgNr zh{xvZJn-}%LjHCk9-FW8z|(&S`R-x!bsl*7465Rc8*dEn_kg#7J7JT_nFfv5iv z^0y1|*nFJ_p8i9~-!8;s^K~A0`VS$0yAY4f*LmRSKZJbuu=zR8g?Mbf&I3>XA>?lt;<5QU4?O*ckiT7s$L8xi@bn)-zI)hw zod=%&L&)1M#AEYy9(ei>A%D9NkImP4;ORev{Ov+KHecs~r~eT0-NWYVJn-}%Lf&>E z9-FW8z|(&S`R-x!bsl*74pbxEA40x+ z*nFJ_p8i9~+b+ao^K~A0`VS%BJ#4XA>_M<&DVM0=|6A%D9NkImP4;ORev{Ov+KHecs~r~eT0-NWYVJn-}% zLf&>E9-FW8z|(&S`P+qfY`)F|PyZq0Zx`aR`8p3g{fCghU5Ll#>pbxEA42|iAs(Br z^T5-82>I?|^K~A0`VS#*yAY4f*LmRSKZN}4LOeEK=YgmH5c0PR@z{Kw2cG^z$lor+ zWAk+$c=`_^f4dNm&DVM0=|6;g_ptdo4?O*ckhfik$L8xi@bn)-{&pcAo3Hc0(|-v0 z+l6>+zRm+r{~_dW7viz`IuAVkhmgNrh{xvZJn-}%LcV+0e4PiL{zJ&yF2rN=bsl*7 z4vO=IcE0^dCb0b|D^{uk*mue+c>8g?Mbf&I3>XA>?lt z;<5QU4?O*cknbKgU+00R{}A%F3-Q=|od=%&L&)DQ#AEYy9(ei>A%D9NkImP4;ORev z{Ov+KHecs~r~eT0-NWYVJn-}%LY{lre4PiL{zJ&yF2rN=bsl*74pbxEA40x+*nFJ_p8i9~a}S%Z^T5-82zlFucx=AT15f`U z*LmRSKZLyPLOeEK=YgmH5c0PR@z{Kw2cG^z$afE$uk*mue+YT*Ve@q!c=`_^ zZ@UnW&DVM0=|6;g_ptdo4?O*ckhfik$L8xi@bn)-{&pcAo3Hc0(|-v0?qTzF9(ei> zApbxEA42|iAs(Br^T5-82>IKEcx=AT z15f`UvO=IcE0^dCaLd)R!P2cG^z$a4>yuk*mue+YTog?Mbf z&I3>XA>?lt;<5QU4?O*cknbKgU+00R{}A%F3-Q=|od=%&L&$dzo3Hc0(|-tg?qTzF z9(ei>A#b}7kImP4;ORev{Ov+KHecs~r~eT0-NWYVJn-}%LY{lre4PiL{zJ%f51X&^ zz|(&SdG2BJbsl*74pbxE zA3~mc*nFJ_p8i9~a}S%Z^T5-82zl;d^K~A0`VS#*yAY4f*LmRSKZJbuu=zRj+=YgmH5c1r^=IcE0^dCZ=d)R!P2cG^z$a4>yuk*mue+YTog?Mbf&I3>XA>_M< z&DVM0=|6-#_ptdo4?O*ckmnvYU+00R{}A%r!{+Ne@bn)-o_pAQod=%&L&)1M#AEYy z9(ei>A>Tc0zRm+r{~_eLht1b{;ORevJom8qIuAVkhmhwUHecs~r~eT0+{5PUJn-}% zLf&>E9-FW8z|(&S`R-x!bsl*74yuk*mue+YT* zVe@q!c=`_^&pm9u&I3>XA>?fr;<5QU4?O*cknbKgU+00R{}A%r!{+Ne@bn)-o_kpQ z?LYkdcYpd1$N%){Km2_7-M#<7(|$MN$MN$MN$MN4R^T5-8IF7gXu=qL;JpG5` zczX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c z<9K@yi?8#*(|4R^T5-8 zIF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mu ze>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#* z(|4R^T5-8IF7gXu=qL; zJpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|4R^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan z4?O*c<9K@yi?8#*(|4R z^T5-8IF7gXu=qL;JpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|$MN$MN$MN$MN$MN z$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN$MN z$MN_ptan4}ATH<9K@yi?8#* z(|4R^T5-8IF7gXu=qL; zJpG5`czX|vuk*mue>je}_ptan4?O*c<9K@yi?8#*(|A|e-p z4~xJ1_iz5#XaB;V`^}&I3+G<b3FSO z@^=>>n{VfM_Alh`E|e;=U3_f5o#WZRkiWb5Sp40;pZzVL{X2g1vwuGu{+7Of zJo|SX&;Ie3#kX@j`*$2~?_u%n9MAq8$J={Yd^^Xpf5-9m9v0uu@$BDmyuF9Tw{txE zcN}l;Ve#!8&;A|9+k04iJIAws$MN73wiEg^X(kZ{)IgEu=#e5Xa7Q;d)RzC$FqMS&pm9uo#WZRkmnvY z-_G&uU&wP0n{VfM_AlhQht0QhJo^{&+{5PEIiCFsdG2BJ?Htekg*^AL_`7fOzWW!B zxA(C5_Kj!%LY{lrd^^Xpe<9C3Y`&f2*}stI9yZ_3@$6s7a}S$u=Xmxn|e-p51Vi2c=j*kxrfEy{ri`H;3bMwCY7mokg#mDB`IiCFs`MZmc&9`$r`xo+e7ayB%=Xmxn|e;=U3_f5o#WZRkiWb5*nB(3vwtCfck!|Lc8+KNLjLaJWAp7C&;Et{-Nnb|+c}>7 z3;DZ?kIlDpJo^{&cNZU9c=76Mj$MKc4+Nj%WY)%i`NPp8Y$HxA(C4c8+KNj^ph;EWVxN*}vm>dk>3m z=Xmz-INsjF;@df%{X34g_ptbOj%WXl7 zJC3*au=sY4XaA1l?L92Mo#WZR<9K@yi*M(6_U|~}-ovl`-MR60|Bk=(*}wUI^8VG| zpSyEB`*$4A{_&UP_w5|d{vF5Ldsuus$FqOO@%A1T-_G&u-*LRXhsC#ZJo|SXZ|`C8 z?Htek9mm^ySbRIjvwz3&_8u1B&hhNualE~U#kX@j`*$2~?_u%n9MAq8$J={Yd^^Xp zf5-9m9v0uu@$BDmyuF9Tw{txEcN}l;;n)7|-%tOS&;Et~;!l3|FP!^V-{0;V&;Erx zyB9X!&hhMD$a4>yZ|8XSFXXw0&9`$r`xo-u!{*yLp8X4X?qT!o9MArRJom8qc8+KN zLY{lrd^^Xpe<9C3Y`&f2*}stI9yZ_3@$6s7a}S$u=XmxnlS&hhMD$a4>izx(&k|I}yy!k_+apZyExUiXh@|3aSq z3!87}c=j*k?Jhnx-_G&uU&!BGd~Cj*|e;=U3_f5 zo#WZRkiWb5*nB(3vwtCfck!|KyL0ot`xlP?*~Q1^+c}>73;DZ?kIlDpJo^{&cNZU< zZ|8XSFXZnoJ~rRZ@$6s7-(7rczMbRQzmUJX_}F|q$FqMSe|Pb*`F4(H|3d!m;$!pe z9MArR{N2UJ=G!@*{R{cKi;vB>b3FSO@^=>>n{VfM_Alh`Eq?`Qvxzx>(1Z-n35_m5})j^o)s{<8RXj%WXl7JC3*au=sY4XaA1l?L92Mo#WZR<9K@yi*M(6_U|~}-oxVC zIiCGHj<@%)_;!wG|BmDBJuJSROGx!{XaHp8Y$HxA*XCe|K)Y z-M`~6fA(*_pS*wd_vh{$&;A|9vw!?$`F%Ubvwz3&_8u1B&hhNualE~U#kX@j`*$2~ z?_u%n9MAq8$J={Yd^^Xpf5-9m9v0uu@$BDmyuF9Tw{txEcN}l;Ve#!8&;A|9+k04i zJIAws$MN|e-p51Vi2c=j*kxrfcSb3FSO^4!Db+c}>73wiEg z^X(kZ{)IgEu=#e5Xa7Q;dszJ4w|U?F3&-1g*nIoOvwtDaJ#4<6lS&hhMD z$a4>yZ|8XSFXXw0&9`$r`xo-u!{*yLp8X4X?qT!o9MArRJom8qc8+KNLY{lrd^^Xp ze<9C3Y`&f2*}stI9yZ_3@$6s7a}S$u=Xmxn|e;= zU3_f5o#WZRkiWb5*nB(3vwtCfck!|Lc8+KNLjLaJWAp7C&;Et{-Nnb|+c}>73;DZ? zkIlDpJo^{&cNZUb3FSO@^=>>n{VfM_Alh`EyKg-E7xL_0*nB(3vwtDaJ#4<6lS&hhMD z$a4>yZ|8XSFXXw0&9`$r`xo-u!{*yLp8X4X?qT!o9MArRJom8qc8+KNLY{lrd^^Xp ze<9C3Y`&f2*}stI9yZ_3@$6s7a}S$u=Xmxn73wiEg^X(kZ{)IgEu=#e5Xa7Q;d)RzC$FqMS&pm9uo#WZRkmnvY-_G&uU&wP0 zn{VfM_AlhQht0QhJo^{&+{5PEIiCFsdG2BJ?Htekg*^AL`F4(H|3aR7*nB(3vwtDa zJuLq2-#`9ypZyDe;&*=bFPwYbKc4*ydG;@CzMbRQzmT`P_}F|q$FqMSe|Pb*`F4(H z|3d!m;$!pe9MArR{N2UJ=G!@*{R{cKi;vB>b3FSO@^=>>n{VfM_Alh`E|e;= zU3_f5o#WZRkiWb5*nB(3vwtCfck!|Lc8+KNLjLaJWAS(Ye*AZR_V4&BpZ)vs@OSn7 zdk>3m=Xmz-INsjF;@df%{X34g_ptbOj%WXl7JC3*au=sY4XaA1l?L92Mo#WZR<9K@yi*M(6_U|~} z-oxVCIiCGHj<@%)_;!wG|BmDBJ^b3=of~iW@Axa9{hRM6?_d4>xjV|gkg{`_bE!nuF-{q4T->|e;UdtvkK9MArRJom8q zc8+KNLY{lrd^^Xpe<9C3Y`&f2*}stI9yZ_3@$6s7a}S$u=XmxnlS&hhMD$a4>yZ|8XSFXXw0&9`$r`xo-u!{*yL zp8X4X?qT!o9MArRJom8pyMO=iFMRed{ITEt*}rh^b^mzwFXY+3u=#e5Xa7Rp?&4$f z?Htekh5X&c$L8BPp8X5?yNi#_w{txE7xH%(ADeIIc=j*k?=C(z-_G&uU&!BGd~Cj* z73;DZ?kIlDpJo^{&cNZU7JC3*au=sY4XaA1l?L92Mo#WZR<9K@yi*M(6_U|~}-oxVCIiCGHj<@%)_;!wG z|BmDBJuJSROGx!{XaHp8Y$HxA*XCe|K)Y-M{0ne)ey^pS*wd z_vh{$&;A|9vw!?$`F%Ubvwz3&_8u1B&hhNualE~U#kX@j`*$2~?_u%n9MAq8$J={Y zd^^Xpf5-9m9v0uu@$BDmyuF9Tw{txEcN}l;Ve#!8&;A|9+k04iJIAws$MNlS&hhMD$a4>yZ|8XSFXXw0#ozt=yMO7kf8pQzJ)ivx=U(@ZXa7Q; z{R^9K=Xmxn|e;=U3_f5o#WZRkiWb5*nB(3vwtCfck!|Lc8+KNLjLaJ zWAp7C&;Et{-Nnb|+c}>73;DZ?kIlDpJo^{&cNZUb3FSO@^=>> zn{VfM_Alh`EOGx!{XaHp8Y$H zxA(C4c8+KNj^ph;EWVxN*}vm>dk>3m=Xmz-INsjF;@df%{X34g_ptbOj%WXl7JC3*au=sY4XaA1l?L92Mo#WZR<9K@yzxH?M z#@qcn{@Q2%=KIO}SAT!*&hhNuaXkCSUzXpub3FTZ9B=Po@$DSX{vF5Ldsuus$FqOO z@%A1T-_G&u-*LRXhsC#ZJo|SXZ|`C8?Htek9mm^ySbRIjvwz3&_8u1B&hhNualE~U z#kX@j`*$2~?_u%n9MAq8$J={Yd^^Xpf5-9m9v0uu@$BDmyuF8C`@4UC>wkUrFZ|no z`LloF+`sz%cHemRFXY+1u=#e5Xa7Q;d)RzC$FqMS&pm9uo#WZRkmnvY-_G&uU&wP0 zn{VfM_AlhQht0QhJo^{&+{5PEIiCFsdG2BJ?Htekg*^AL`F4(H|3aR7*nB(3vwtDa zJ#4<6lS&hhMD$a4>izxy`tyMN($dk>p$-+1;f|e-p51Vi2 zc=j*kxrfcSb3FSO^4!Db+c}>73wiEg^X(kZ{)IgEu=#e5Xa7Q;dszJ4zaRappZyE} z*6;o7UpV)=e?0pa^6X#Od^^Xpe<5#o@v-@Kj%WWu{_f&q^X(kZ{)PPA#mDB`IiCFs z`MZmc&9`$r`xo+e7ayB%=Xmxn|e;=U3_f5o#WZRkiWb5*nB(3vwtCf zck!|Lc8+KNLjLaJWAp7C&;Et{-Nnb|+c}>73;DZ?kHz1eoA=$naQx3MJ~rRZ@$6s7 z-(7rczMbRQzmUJX_}F|q$FqMSe|Pb*`F4(H|3d!m;$!pe9MArR{N2UJ=G!@*{R{cK zi;vB>b3FSO@^=>>n{VfM_Alh`E7JC3*au=sY4XaA1l?L92Mo#WZR<9K@yi*M(6_U|~}-oxVCIiCGH zj<@%)_;!wG|BmDBJuJSROGx!{XaHp8Y$HxA(C4c8+KNj^ph; z{Mz6B`=S5+*}w3I|JrB&!nuF-{q4T->|e;UdtvkK9MArRJom8qc8+KNLY{lrd^^Xp ze<9C3Y`&f2*}stI9yZ_3@$6s7a}S$u=XmxnlS&hhMD$a4>yZ|8XSFXXw0&9`$r`xo-u!{*yLp8X4X?qT!o9MArR zJom8pyMKT42S584{?PCL>|Z$dx_>73;DZ?kIlDpJo^{&cNZU7JC3*au=sY4 zXaA1l?L92Mo#WZR<9K@yi*M(6_U|~}-oxVCIiCGHj<@%)_;!wG|BmDBJuJSROGx!{XaHp8Y$HxA*XCe|K)Y-M{0vKl?Y|Pu{=!`*U}WXaA1l*+2fW z{Jx#z*}vm>dk>3m=Xmz-INsjF;@df%{X34g_ptbOj%WXl7JC3*au=sY4XaA1l?L92Mo#WZR<9K@yi*M(6_U|~}-oxVCIiCGH zj<@%)_;!wG|BmDBJ^b3={rl_x=d*v|5B|;1{)Kb@>igS$73wiEg z^X(kZ{)IgEu=#e5Xa7Q;d)RzC$FqMS&pm9uo#WZRkmnvY-_G&uU&wP0n{VfM_AlhQ zht0QhJo^{&+{5PEIiCFsdG2BJ?Htekg*^AL`F4(H|3aR7*nB(3vwtDaJuLq2+r01o zh2!l#Y`%Tt*}stI9yZ_3@$6s7a}S$u=Xmxn|e-p51Vi2c=j*kxrfcS zb3FSO^4!Db+c}>73wiEg@pu3J+7EyBFZ}C&@Uws6-0S}F>|e;Ue_`|O9MArRyxqme z=G!@*{R{cKi;vB>b3FSO@^=>>n{VfM_Alh`E|e;=U3_f5o#WZRkiWb5*nB(3 zvwtCfck!|Lc8+KNLjLaJWAp7C&;Et{-Nnb|+c}>73;DZ?kIlDpJo^{&cNZUvzx($C zf9SJ+$N&4;zaI$yP~ShE{X33l|M<(|+c}>7JC3*au=sY4XaA1l?L92Mo#WZR<9K@y zi*M(6_U|~}-oxVCIiCGHj<@%)_;!wG|BmDBJuJSROGx!{XaH zp8Y$HxA(C4c8+KNj^ph;EWVxN*}vm>dk>3m=Xmz-INsjFul?P*@pk`?|M#Y=Xmz-IG+9EFU#-SIiCGHj<@%)_;!wG|BmDBJuJSROGx z!{XaHp8Y$HxA(C4c8+KNj^ph;EWVxN*}vm>dk>3m=Xmz-INsjF;@df%{X34g_ptbO zj%WXl436 z=WqMQ)4!0Xdtvi+j;DVi&mJ~k=Xm-T^6X*rb&jWhA`WN!-Ve@s4r+*>O9yVX+c={Le>|yhDj;DVi&mJ~k=Xm-T^6X*rb&jWhA0A`WN!- zVe@s4r+*>O9yVX+c={Le>|yhDj;DVi&mJ~k=Xm-T^6X*rb&jWhA`WN!-Ve@s4r+*>O9u|N5_uIeo>0kIe-uCn_oW1ssr+*<&|H9_$ z98dp3-gfb@`8vnbzmUIOJZ!$s@$@g`Zx;`nuX8;83;El{!{+N8Pya&xcJZ+JI>*z$ zkiT6#Y`)I%^e^OZ7Z01Sb3FYE`P;?A=Ib0!|3dzD@v!+i$J4)%zg;|RzRvOVFXV3* z51X%ZJpBv#+r`7;Z|COc+rM!9r;CTp*Eyd4h5YT}Ve@s4r+*=TyLi}qo#W|W$lop= zHecs>`WN!Ii-*nEIiCK7{O#gl^L37=e<6Rnc-VZM-!2|DU*~xG7xK4@ht1bH zp8kdW?c!ncb&jWhA%DAg*nFMi>0ij-E*>^t=Xm-T^0$kJ&DS}e{)PPQ;$iW(f8X); zr+=5<`}FTS!ngPS@$~OIZ}+hHI>*z$%kg#(i?4G${kt4* z_ptan$J4*d@pcc3uX8;8yBu%#u=qO1)4$8{b`M|s+qvI zZ}+hHI>*z$%kg#(i?4G${kt4*_ptan$J4*d@pcc3uX8;8yBu%#u=qO1)4$8{b`OiM zb3FaK9B=oq_&UeazsvD<4`2J+zi<1?PyfPi|J_gj!r5Ovf7>^n{)If<3!ATVJpBuK z_OSUn$J4)%XAhgNb3FYEdG@gRI>*z$kY^8@uX8;83widi`8vnbzmR7So3C>`{R?^a zu=zU2)4z~s51X%ZJpBuK_OSUn$J4)%XAhgNb3FYEdG@gRI>*z$kY^8zzkQpZZ~wya zb`P7cZ#?}AdG@gRI>*z$kY^8@uX8;83widi`8vnbzmR7So3C>`{R?^au=zU2)4z~s z51X%ZJpBuK_OSUn$J4)%XAhgNb3FYEdG@gRI>*z$kY^8@uX8;83widi`8vnbzmR7S zo3C>`{R?^au=v}*-}ZZ+{)ON6&ZmFj?6rS9{R?^e7dBt#c={Lewu^_&*Eyd4h5YT} zVe@s4r+*=TyLi}qo#W|W$lop=Hecs>`WN!Ii-*nEIiCK7{O#gl^L37=e<6Rnc-VZM z-!2|DU*~xG7xK4@ht1bHp8kdW?c!ncb&jWhA%DAg*nFMi>0ij-E*=(tJ2yYy z{)OW|T|8{Q&hhjw`{R{cq#lz<798dp3{&w-O`8vnbzmUIOJZ!$s@$@g` zZx;`nuX8;83;El{!{+N8Pya&xcJZ+JI>*z$kiT6#Y`)I%^e^OZ7Z01Sb3FYE`P;?A z=Ib0!|3dzD@v!+i$J4)%zg;|RzRvOVFXV3*4~xJ3`>pSO`gi$Pp8oyT@ZG(CJpH>I zPyhJK;_Dnw|1QVdJuJS?@$~Ol{!2F2~zFEWXb1^zU-K-NWMR98do)$J;$D zzRvOV?{d7|!{X~4Pya5*+dVA4&hhl`a=hKc;_Dnw|1QVdJuJS?@$~Ol{!2 zF2~zFEWXb1^zU-K-NV=Zc5b}w-{oI<`Zv!{e*WtI+|Kdz?{Yl-<1fqa*Eyd4U5>YV zSbUx1>EGpeyNAWsIiCJqj<<#@Y?#n(BW z{#}l@dsuv(YVSbUx1>EGpeyNAWsIiCJqj<vxm*sIiCK7 zJbT!Do#W|W$g_vd*Eyd4g*0ijRht1bHp8kbA zd)R!Pvxm*sIiCK7JbT!Do#W|W$g_vV-@eVyw}0VyyNAu!H=h25JbT!Do#W|W z$g_vd*Eyd4g*0ijRht1bHp8kbAd)R!P zvxm*sIiCK7JbT!Do#W|W$g_vd*Eyd4g*h=jmTKd+i@j|3aSrh0WJFp8kcr?c!ncb&jWhA%DAg*nFMi>0ij-E*>^t=Xm-T z^0$kJ&DS}e{)PPQ;$ic3j;DVif4g|te4XRzU&!As9yVX+c={Lew~L3(*Eyd4h5YT} zVe@s4r+*=TyLi}qo#W|W$lop=Hecs>`WN!Ii-*PE&dtxaf8qE~7Z01Sb3FYE`P;?A z=Ib0!|3dzD@v!+i$J4)%zg;|RzRvOVFXV3*51X%ZJpBv#+r`7?>l{!2LjHE~u=zU2 z)4!0vT|8{Q&hhjw`{R{cq#lz<798dp3{&w-O`8vnbzmUIOJZ!$s@$@g` zZx;`nuX8;83;El{!{TrMe)IdD{$2jHr+>dWd|&S$Pya5*(?9;Q_&UeazsvD<4~wsJ zJpH>IZ}+hHI>*z$%kg#(i?4G${kt4*_ptan$J4*d@pcc3uX8;8yBu%#u=qO1)4$8{ zb`OiMb3FaK9B=oq_&UeazsvD<4~wsJJpH>IZ}+hHI>*z$%kg#(i?4G${kt4*_wcp9 zof~iaclp}4~pTD|4w{txGyBtsd_{;M9b&jWhm*edo7GLLh`gb|r?qTtDj;DW@ z0kJpe&5r- zaQ0Wv-}a5Ce<4rz!shE7Pya%mJ#4l{!2 zLY_TrzRvOVFXY+7=Ib0!|3aQUY`)I%^e^Pu!{+N8Pya%mJ#4l{!2LY_TrzRvOV zFXY+7=Ib0!|3aQUY`)I%^e^Pu!{+N8Pya%mJ#4l{!2LY_TrzRvOVFXY+7=Ib0!|3aQUEdKWIH~jvmf8lR@|I@#4_S!$5{)IgK z3!ATVJpBuK+r`7?>l{!2LjHE~u=zU2)4!0vT|8{Q&hhjw`{R{cq#lz<7 z98dp3{&w-O`8vnbzmUIOJZ!$s@$@g`Zx;`nuX8;83;El{!{+N8Pya&xcJZ+JI>*z$ zkiT6#Y`)I%^e^OZ7Y~cSotvL;|HAQ~E*>^t=Xm-T^0$kJ&DS}e{)PPQ;$ic3j;DVi zf4g|te4XRzU&!As9yVX+c={Lew~L3(*Eyd4h5YT}Ve@s4r+*=TyLi}qo#W|W$lop= zHecs>`WN!Ii-*nEIiCK7{O#gl^L37=e<6Rnc-VZM-!2|DU*~xG7xK4@hsEFi z{rV3){k#0@Pyc>>_<`O(p8j2qr+@rq@pX=;f0yI!9u{Bcc=~ra-tJ-Xb&jWhm*edo z7GLLh`gb|r?qTtDj;DW@l{!2F2~zFEWXb1^zU-K-NWMR98do)$J;$DzRvOV?{d7|!{X~4 zPya5*+dVA4&hhl`a=hKc;_Dnw|1QVdJuJS?@$~Ol{!2F2~zFEWXb1^zU-K z-NWMR98do)$J;$DzRvOV?{d7|!`J@y@7Ml~r+?wE`vXt^!r5Ovf7>^n{)If<3!ATV zJpBuK_OSUn$J4)%XAhgNb3FYEdG@gRI>*z$kY^8@uX8;83widi`8vnbzmR7So3C>` z{R?^au=zU2)4z~s51X%ZJpBuK_OSUn$J4)%XAhgNb3FYEdG@gRI>*z$kY^8zzkQpZ zZ~wyab`P7cZ#?}AdG@gRI>*z$kY^8@uX8;83widi`8vnbzmR7So3C>`{R?^au=zU2 z)4z~s51X%ZJpBuK_OSUn$J4)%XAhgNb3FYEdG@gRI>*z$kY^8@uX8;83widi`8vnb zzmR7So3C>`{R?^au=v}*U-Ji_{)NBxbD#c&v)BId^e^P;U)X$|+b$k9U*~xG z7xK4@ht1bHp8kdW?c!ncb&jWhA%DAg*nFMi>0ij-E*>^t=Xm-T^0$kJ&DS}e{)PPQ z;$ic3j;DVif4g|te4XRzU&!As9yVX+c={Lew~L3(*Eyd4h5YT}Ve@s4r+*=TyLedq z?cDr)`xlP?bn&qHI>*z$kiT6#Y`)I%^e^OZ7Z01Sb3FYE`P;?A=Ib0!|3dzD@v!+i z$J4)%zg;|RzRvOVFXV3*51X%ZJpBv#+r`7?>l{!2LjHE~u=zU2)4!0vT|8{Q&hhjw z`{R{cq#lz<798dp3{&w-O`8vnbzmUIOJS_h9@0&mG>EGq|KmGgW@bh~A zc=~rap8oNd#n(BW{#}l@dsuv(YVSbUx1>EGpeyNAWsIiCJq zj<<#@Y?#n(BW{#}l@dsuv(YVSbUx1>EGpeyN9p+?c8|Vzsv7``Zv!{e*WtI+|Kdz?{Yl-<1fqa*Eyd4 zU5>YVSbUx1>EGpeyNAWsIiCJqj<<#@Y? z#n(BW{#}l@dsuv(YVSbUx1>EGpeyNAWsIiCJqj<>?ApZvxm*sIiCK7JbT!D zo#W|W$g_vd*Eyd4g*0ijRht1bHp8kbAd)R!P zvxm*sIiCK7JbT!Do#W|W$g_vd*Eyd4g*0ijRht1bHp8kbAd)R!Pvxm*s zIiCK7JbT!Do#W|W$g_vd*Eyd4g*0ijRhsEFi zebc}A^e_BXpa1kPoW1ssr+*<&|H9_$98dp3-gfb@`8vnbzmUIOJZ!$s@$@g`Zx;`n zuX8;83;El{!{+N8Pya&xcJZ+JI>*z$kiT6#Y`)I%^e^OZ7Z01Sb3FYE`P;?A=Ib0! z|3dzD@v!+i$J4)%zg;|RzRvOVFXV3*51X%ZJpBv#+r`7;Z|COc+rM!9r;CTp*Eyd4 zh5YT}Ve@s4r+*=TyLi}qo#W|W$lop=Hecs>`WN!Ii-*nEIiCK7{O#gl^L37=e<6Rn zc-VZM-!2|DU*~xG7xK4@ht1bHp8kdW?c!ncb&jWhA%DAg*nFMi>0ij-E*>^t z=Xm-T^0$kJ&DS}e{)PPQ;$iW(f4}mBPyfPidgJL|ID73MPya%m{)NrgIiCK7yzSy) z^L37=e<6Rnc-VZM-!2|DU*~xG7xK4@ht1bHp8kdW?c!ncb&jWhA%DAg*nFMi z>0ij-E*>^t=Xm-T^0$kJ&DS}e{)PPQ;$ic3j;DVif4g|te4XRzU&!As9yVX+c={Le zw~L3x-_Fg?w}0XIPZtlHuX8;83;El{!{+N8Pya&xcJZ+JI>*z$kiT6#Y`)I%^e^OZ z7Z01Sb3FYE`P;?A=Ib0!|3dzD@v!+i$J4)%zg;|RzRvOVFXV3*51X%ZJpBv#+r`7? z>l{!2LjHE~u=zU2)4!0vT|8{Q&hhjw`{R{cq#lzxn|9-^}dHQ$xho1iZ zitvZ@{_*thayIZ}+hHI>*z$%kg#(i?4G${kt4*_ptan$J4*d@pcc3 zuX8;8yBu%#u=qO1)4$8{b`OiMb3FaK9B=oq_&UeazsvD<4~wsJJpH>IZ}+hHI>*z$ z%kg#(i?4G${kt4*_ptan$J4*d@pcbi``fwkwtts@=;_})Kl%Bq`*S`WN!-Ve@s4r+*>O9yVX+c={Le>|yhDj;DVi z&mJ~k=Xm-T^6X*rb&jWhA@y4H+|NdP5 z`8VF!J^bX?&)=W)-;?qGL@h#~=AYdXLz{`T48o|4r}w zrpJ5NPyIpi>|yi2d%XGc+5U$51X&^JpB)O_OSUn&(r^qXAhgN z^E~|zdG@gRI?vPpkY^8@uk$?p4|(>m`8v*nFMm>3_)IyWp_-I?vPpkiU1qVe@sKr~e^;?}EeT>pV~Y zL;l_cht1b{p8kjYy$cSTuk$?p5BYl+95!F)dHNsn_bxbWzRvUXKjiOSaM*mE=jngQ z-@D+j`8vhzRu5|&-Op`*V((^u>ARL=Xv@c^7TJ# zzRvUXKjiIQaM*mE=jngQ-@D+j`8vvXnVexgIr~jAZ?H(3i=Xv^nIo|GJ z@pYc3|Ci(K9u{BcdHR1j-tJ-Xb)KjHm*edo7GLLi`hPjz?qTtDo~QqpvXnVe$3<>wnkN|L_}r(bNBM_S%1*{)fE13l59FeV^xa`yYCb=zci+tKYwU=jngQ z)BUjdIzNAI+yBe?>+K%C{`1?;^Ys66JpK21mc`e3p8j8sw|iK8o#*NQ<#@Y?#n*YB z{$Gx_dsuv(=js3Dc)N$i*Lj})UyiqXSbUx5>Hp<;yNAWsd7l1Xj<{|u z)BkYxSI__Uou~gHPxr&->pV~YL!LcszRvUXKjhiN=IcC9|3jWVY`)I(^gra;!{+Ne zPya)nJ#4pV~YL!LcszRvUXKjhiN=IcC9 z|3jWVY`)I(^gra;!{+P%*L~{K|I7d3>HpV-pZfaw(|Ml$UyiqX_}bsj&*$9!hu$Ol zAI|>j`QOg-^grb3f7pDTpFf}Nf9S7c56hq5_MNByAy4pV~YL!LcszRvUXKjhiN z=IcC9|3jWVY`)I(^gra;!{+NePya)nJ#4?xg2U$PJWu~a{@w+L&DVLJ{)ha%3l5vF^E~|z`Fj@}Hecs? z`XBQ5E;wwy&hzv?3_)IyWp_-`u{cm3_(x zhsEE{&*$C#U(WkP|GiI^Km6tI-_G;&|8hM2=P!$|^YiDk{SW3_)IyWp_-I?vPpkiU1q zVe@sKr~e^;?}EeT>pV~YL;l_cht1b{p8kjYy$cSTuk$?p5BYl+95!F)dHNsn_bxbW zzRvUXKjiOSaM*mE=jngQ-@D+j_}l-l{t-|AFaOo2|6d*ch?md*cAlsIm*eR_e_4E; z=js3Dc)N$i*Lj})UyiqXSbUx5>Hp<;yNAWsd7l1Xj<Hp<;yNAWs zd7l1Xj<=Q-W}hu$N)AI|>j_ix{M z`XBOiKWx6v&!5}&|8o9%yN9p;{I>Hv{l6Sf|9zfi@pYc3|Ci(K9u{BcdHR1j-tJ-X zb)KjHm*edo7GLLi`hPjz?qTtDo~QqpvXnVexgIr~jAZ?H(3i=Xv^n zIo|GJ@pYc3|Ci(K9u{BcdHR1j-tJ-Xb)KjHm*edo7GLLi`hPjz?%`{H`~Q{y+SC8= ztG@W@e>nTA=YRXo)BljC`(g8So~QpI&mJ~k=Xv@c^6X*rb)KjHA|yhDo~QpI&mJ~k=Xv@c^6X*r zb)KjHAX&eQ*pr~6^^b)KjHA|yhDo~QpI&mJ~k=Xv@c z^6X*rb)KjHA3_)IyWp_-I?vPpkiU1qVe@sKr~e^;?}EeT>pV~YL;l_cht1b{p8kjYy$cST zuk$?p5BYl+95!F)dHNsn_bxbWzRvUXKjiOSaM*nP|BC3{f@|Jc+2aQ2tapU(62 zKjhiN;&12Y^KSny=Y698-Y3f+`SSN~=Xv^nIiCLWm&Mol`SaQShyFTy7aW#9zwJCv z|3kk1ht1b{p8kity$cSTuk$?p5BYl+95!F)dHNsn_bxbWzRvUXKjiOSaM*mE=jngQ z-@D+j`8vpV~YFUQ+GEWXb3^#5|a-NWMRJWu~G$J;$DzRvUX|8l(D z!{X~aPya8++dVA4{(t#D@$^6ZiqClZAI@I;&(r^qw|Bu|@we~uoNoU^?-AV(XMgqk zx9>dt4|%#D7XOX!`n(_bfnWAzZ@lqc-~WU3=V%Y-=dXVM8-MtBjrW~zyzxE1=m+`b zZ+m0+aDM*E-#cS|&)y%G^Y`Qa@b|NP{h#0789evL<#_H7{<8S)44(Vra=hKc;=40= z?vKmyb`Oj1&fvK}F2~zFEWSH~=l-}HZ}+hH?hKy$<8r*+!{WO$c!` zxEycy@U_49$Cv#Z&;1d4AN=b1??pKKtLJy`3!eKU!`2zmCf`R)v!`y=Gp!{)m)c!`xEycy@U_2p#(d7bKSJ*j_eVJUtLK0344(TV;_sdD zWk344KQ8Cb)BWMkbNQoR{{Fo)zU=MK{c-ud&;9Xb;oD#Odtc1&+502(_u=jc%YS}* zU+~-?A&39+;+#ey&9yZ^d!E=9v zJbT!DcLvY>5%TO|^W7Od_eaRHhs}3q@Z29E&mK14oxyW|ggkrLe0K)V{Sor)Ve{P? zJoiV)vxm)hXYkw~Ae&;1ed_bxeXzB_~G{s{SdmmD_VoxyW|g#5ir4x8`J;JH6S{@x{r&39+; z+#ex-?~=pjyEAz1kC4B2$zk)|A7A>vKlexYW&h-Je}uEYeE!@SJoiV)vxmjsJ7YfY z-XE9qK5>6|pDbVZfA0*Q`{Qyv_XmIZ+TZ))&qg zzw~!M_eVJUD}V2d`8|7og#JFkj`R)v!`y=G< zU2@obcLvY>5%TvgIc&Z=gXjJT`FocfHs77WbAN>Vy-N<8@6O=4KSKWAC5O#-XYkw~ zA%E|Z!{)m)c?hKy$BjoR0a@c%#2G9Kw^7k$|Y`!~# z=l%%!dzTy*fA5Ts|Cs0gxSaQa`@{QS`D0!_e|u-}+#i?Yxj*>J;=40=?vKmyb`Oj1 z&fvK}F2~zFEWSH~=l-}HZ}+hH?hKy$<8r*+!{WO$c!`xEycyu=wr_ zp8Ml+yxqg%yFWhuPd)cX_@(c7?vHTxSN+>NgXjJTd3%=}7Ju)Hc~1BK2)#$#9pUV+ ze*fMVJoiV)b9aQr-#g<=zVf+0LVu3#k8t)^zkmPv$Cvz`=l%#k{?6zA2xoug@0~Hf zXYY^8`TKEy`1@JD{?Bjk44(Vray<73e_4EY2G9L*Io|GJ@!c6b_s8XUyNAVhXYkw~ zm*edo7T=x0bAMcpw|iK8cLvY>aXH@ZVe#D=Jom@tc)N$icW3b2AD83p9v0u7!E=9H zj<!`2zmCf`R)v!`y=Gp!{)m) zcOzU%e#=g#1{ zKQ71HJ$&u&oiU$t?~l-X#QhP@{_6SPJA>!`2zl<0u=smteDRNc?vKm)^K^gs^IZPe zm%o4Sk1u}rbAMd^mFNEW;_%%s{k<>d_w4-<`ulKqgylcKy)Ss~kC5l?2%GQD;JH6S zo;_^7JA>!`2zmCf`R)v!`y=Gp!{)m)c5%TvgIc&Z=gXjJT`Focf zHs77WbAN>Vy-N<8@6O=4KSKWAC5O#-XYkw~A%E|Z!{)m)c?vF3}KcD*}{NjK5xj(|$Up{~C44(TVW6W5q{C{eeREN_Lsi*+{b?W z^ZvV>&$D~@<6ryUb3E_A%kg#(i|;+h^ZvUWZ}+hH-g7+fzsvD<4~y?T$MgQX9B=oq z_}+6o@4w6Ob`Oj1J;(F@yBu%#u=w6{Jnz5D@pcc3?>)!!{<|D+_ptchb3E_A%kg#( zi|;+h^ZvUWZ}+hH-g7+fzsvD<4~y?T$MgQX9B=oq_}+6o@4w6Ob`Oj1{r9nd=6U~x zU-Vhe`!AgR<@4)3$MgORdHY^GEdIXV=KZqozi{3=yNBidv+p;a_g~2K-V2-mk-57+ z_NU%>(?`8W4!`I(z40b{IQy%=|NWli_k8#FJiiaUhkbtv=jShd-;?;hKZQJd*nHoU zc)mY{y!~Ev*nHoUc)mY{{QX{a*nHoUc)mY{{QX{a*nHoUc)mY{{QX{a*nHoUc)mY{ z{QX{a*nHoUc)mY{{QX{a*nHoUc)mY{{QX{a*nHoUc)mY{{QX{a*nHoUc)mY{{QX{a z*nHoUc)mY{{QX{a*nHoUc)mY{{QX{a*nHoUc)mY{{QX{aSp2i&;1he>|yiWDLnT}$g_vdcc<{&FCot!Hs77XbH9WaKHHs77{(ckjiFX6|2&U3$nv%mcP?i9br-Euki)b8Qy`*H6Sp8Mr;Jok%xY*~DF z3eWv=Io|GJ@!csr_sivYyNAVhr|{e_m*edo7T=x1bH7}Uw|iK8cM8w_ayj1aVe#E5 zJon4xc)N$icc<{&FPG!(9v0u7!gIe|j<u=(y3p8F-_?_G1)e0K`Z{Sxx`t~qSJJB8U31uc_sbXl-_QLLe&nBh?w4@(m(QO&h39?=dG@gQd#B9j-TUS8yPx}I-aq;I ztKYwO3eWv=IiCB4zkL1v+JDaSkxzK;m&-r>+%F#qKjG!y|K2HnkNYKbN4a0Z*i&;1he>|yiWDLnT}$g_vdcc<{&FCot!Hs77X zbH9WC7k`$^SAfQhrjQ+U&1f^eb4<8&i>MO zr+oM)J@?Dye4gFIpY+;yr|{e_m*edo7T=x1bH7}Uw|iK8cM8w_ayj1aVe#E5Jon4x zc)N$icc<{&FPG!(9v0u7!gIe|j<a-tJ-X-6=fx%jI~xhsAfN@Z2w#i&;1he_pUi?zB`5IehK+| z*BmzAox*d!g#5j04vW8c$`^d&bH9Y%gYK7b_E*o}-Y;M9`=9$I{P6pq`z4(HrSDGp z(0}yYFQLz24`+YryHj}Xmyl-Lg`R){+`z7Sr!{)nFc-%x<6rTI#ay<8odu&;JcM8w_ayj1aVe#E5Jon4xc)N$icc<{& zFPG!(9v0u7!gIe|j<a-tJ-X-6=fx%jI~xhsAfN@Z2w#^ZvN}v(NkEL*XaB z_Pr)iu{s?*Y zu=(B-JnxT?XAhh2J;C$-2zmCf`Q8&e?~jmY51a2j!SntIdG@gR-V;3UkC0~%o9{ir z^Zp2V_OSWh6Fl#akY^8@?>)iu{s?*Yu=(B-JnxT?XAg_N-!p#cuXw(Hgx(|jz2tEA zSN-4b89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~ z89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)V zLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa)Ic&b~89d)VLjHa) zIc&Z@fAD*reuw@#_Hg!>&!7MQn-Bhtr{Cc({R2NZX`X(EJbT!Do#yFx$lIxa$PX`X(E{O$T- z^L3i1-ywgye%O4S=IM9H->x4vU#EHc9rCy9ht1b%o_>e??fPN!b(*K&A%DAm*nFMl z>37KAt{*mEr+NAv^0(`U&DUw3euw<+`eE~Rny23(f4hEI{O$Ayf9lik%Xy#ZxA)2N zr@nmtw$nWQz8p`#`OD(#G*7=T$J;$DzE1P>`*OV9!{X~SPron6+dVA4PV@Bpa=hKc z;_Ea|zc0tzJuJRX^Yr_2yxqg%>oiZlFUQ+GEWS?j^!swW-NWMRG*7=T$J;$DzE1P> z`*OV9!{X~SPron6+dVA4PV@Bpa=hKc;_LJ;`KG7ep})>{{c!eI{oC)~zvK@-{SH6) zxlg~t*@$}d4Ulw0ydHQ=f z-tJ-Xb(W{Um*edo7GGz1`g=Lv?qTtDmZ!g$2Juhht1bnp8keBd)R!P<>_z8 zvxm*sS)TreJbT!Do#p9o$g_vd*IAzahCF-Ne4XX#Z^*NU&DU9;{)Rkz*nFMk>2Juh zht1bnp8keBd)R!P<>_z8vxmjszRl0Kf8lt$ht1bHp8kbAd)Rz^vxm*sIiCK7 zJbT!Do#W|W$g_vd*Eyd4g*0ijRht1bHp8kbA zd)R!Pvxm*sIiCK7JbT!Do#W|W$g_vd*Eyd4g*0ij(E*>^t=Xm-T^0$kJ&DS}e{)PPQ;$ic3j;DVif4g|te4XRz zU&!As9yVX+c={Lew~L3(*Eyd4h5YT}Ve@s4r+*=TyLi}qo#W|W$lop=Hecs>`WN!I zi-*nEIiCK7{O#gl^L37=e<6Rncv$@H-28m|7mojQ@v!+i$J4)%zg;|RzRvOVFXV3* z51X%ZJpBv#+r`7?>l{!2LjHE~u=zU2)4!0vT|8{Q&hhjw`{R{cq#lz<7 z98dp3{&w-O`8vnbzmUIOJZ!$s@$@g`Zx;`nuX8;83;El{!{+N8Pya&xcJZ+JI>*z$ zkiT6#EdKWI^M1zDzso=R^zZY+pV9lr)4$8{^pC$RzRvOV?{d7|!{X~4Pya5*+dVA4 z&hhl`a=hKc;_Dnw|1QVdJuJS?@$~Ol{!2F2~zFEWXb1^zU-K-NWMR98do) z$J;$DzRvOV?{d7|!{X~4Pya5*+dVA4&hhl`a=hKc;_Dnw|1QVdJ$&tN=f>OqUH;Lh zfAjq0=dbS1?Ho`4F2~b9{<8djo#W}><#@Y?#n(BW{#}l@dsuv(YVSbUx1>EGpeyNAWsIiCJqj<<#@Y? z#n(BW{#}l@dsuv(YV_}bt8eeQqu^e_B-f6ddsaQ0Wv-}a5C ze<4rz!shE7Pya%mJ#4l{!2LY_TrzRvOV zFXY+7=Ib0!|3aQUY`)I%^e^Pu!{+N8Pya%mJ#4l{!2LY_TrzRvOVFXY+7=Ib0! z|3aQUY`)I%^e^Pu!{+N8Pya%mJ#4l{!2 zLY_TrzRvOVFXY+7=Ib0!|3aQUEdKWI@A-94|H9Ax+n@f0v)BId^e^P;U)X$| z+b$k9U*~xG7xK4@ht1bHp8kdW?c!ncb&jWhA%DAg*nFMi>0ij-E*>^t=Xm-T^0$kJ z&DS}e{)PPQ;$ic3j;DVif4g|te4XRzU&!As9yVX+c={Lew~L3(*Eyd4h5YT}Ve@s4 zr+*=TyLedq?cDr)`xlP?bn&qHI>*z$kiT6#Y`)I%^e^OZ7Z01Sb3FYE`P;?A=Ib0! z|3dzD@v!+i$J4)%zg;|RzRvOVFXV3*51X%ZJpBv#+r`7?>l{!2LjHE~u=zU2)4!0v zT|8{Q&hhjw`{R{cq#lz<798dp3{&w-O`8vnbzmUIOJS_h9?*l*U>EGoa zd;0f*@Mrb@@$~OIZ}+hHI>*z$%kg#(i?4G${kt4*_ptan z$J4*d@pcc3uX8;8yBu%#u=qO1)4$8{b`M|sZ~FNAf8Yl`=ihqcO&|ZhALIwVV*cmZ z!}iOHwzW+ac`Wt@EH$VLiXMgGI?|i=PZ#bWOyBoI8ud_V;4SDvk`8vzf z-;ieyo3FDx{SA5cu=zU6)8CM151X&EJpB!M_OSUn%hTVGXAhgNvpoF`dG@gRI?L1F zkY^8@ud_V;4SDvk`8vzf-;ieyo3FDx{SA5cu=zU6)8CM151X&EJpB!M_OSTd-_QO{ zPk+Pr|D8{N!`WXwzuQ@!{)RmL4V$mCJpB!M+vUUN>nu-yL;iO8u=zU6)8CN4T|R8S z&hqp(|w0XMgqlZfEE7ZGXf0{JV$k^Xn{6e?#7O`LOvq%hTVGzg<3T zzRvRWH{@@Z51X&EJpB#%+vUUN>nu-yL;iO8u=zU6)8CN4T|R8S&hqp(@pcc3 zud_V;y&P}%u=qO5)8EVSb`OiMvpoI19B=oq_&Uqe-^=lK4~ws}JpH{KZ}+hHI?L1F z%kg#(i?6dh{k@pcc3ud_V;y&P}%u=qO5)8EVSb`M|s+u!&8dryDE z_kGRN-*EPq{q)bD-}f`0{$Bp)Pk-MR{><0D@4xf8x4)Nv>gn%1zuV#2U;cib<>~L` zc)N$i*IAzaUXHhWSbUx3>F?!uyNAWsS)Tr0j<~L`c)N$i*IAzaUXHhWSbUx3>F?!uyNAWsS)Tr0 zj<|yhDmZ!fV&mJ~kXLVe@sCr@tZ39yVWRdHNgj>|yhDmZ!fV&mJ~kXLNZ-}!vo-*7(nb~kLFUuSvx8}jU7^L3V|zah^a zHeY9X`Wy1>Ve@sCr@tZ39yVWRdHNgj>|yhDmZ!fV&mJ~kXLVe@sCr@tZ39yVWRdHNgj>|yb@zn}H3Pk+Pr ze8SV;aQ0Wv?{=1_zadY5!{+NOPk%$+cKNXRI?L1FkiT6%Y`)I&^f%;hmk*n-vpoF` z`P=2g=Ibm^e?$Iu`LOvq%hTVGzg<3TzRvRWH{@@Z51X&EJpB#%+vUUN>nu-yL;iO8 zu=zU6)8CN4T|R8S&hqp(nu-yL;iO8u=zU6)8CN4T|O-S z_V?XC@9FR5pLzQG?(pZme15mHJpH{KPk;H#;_EC=e=o<|JuJS?^7Qv|yxqg%>nu-y zFUQ+GEWXb2^!IYS-NWMREKh$g$J;$DzRvRW_j0`5!{X~KPk%4R+dVA4&hqs4a=hKc z;_EC=e=o<|JuJS?^7Qv|yxqg%>nu-yFUQ+GEWXb2^!IYS-NV=Z_V-=?;nUyn-QV!^ zH=O-tKmGIPcmM3Czn6dV>F>M4pZ(hR{dYe1_V@D7JpG;LcRM`$%ipiFJpH{KZ}+hH zI?L1F%kg#(i?6dh{k@pcc3ud_V;y&P}%u=qO5)8EVSb`OiMvpoI1 z9B=oq_&Uqe-^=lK4~ws}JpH{KZ}+hHI?L1F%kg#(i?6dh{k@pcc3 zud_V;y&P}%@U_4FednKj`WwFMw>|w0XMgqlZC`o%8}f8FY`)I&^f%<$!{+NOPk%$6 zJ#4nu-yL!LcszRvRWH{{vF=Ibm^e?y)< zY`)I&^f%<$!{+NOPk%$6J#42Jv2E*~~uXLHeY9X`Wy1M%ZJU^S)Tre{O$5#^L3V|zaf9Sd|3SL@7sUD z)8ETK_w@Je;V*dk{BCD?`g=K^{_>Z_*IAzaUXHhWSbUx3>F?!uyNAWsS)Tr0j<~L`c)N$i*IAza zUXHhWSbUx3>F?!uyNAWsS)Tr0j<7PHp{pUXYz5Fkq{=Pl@xvzcSf9G>=e=q;s)8Bc1x5Kl){QWx1)8EVSb`OiMvpoI1 z9B=oq_&Uqe-^=lK4~ws}JpH{KZ}+hHI?L1F%kg#(i?6dh{k@pcc3 zud_V;y&P}%u=qO5)8EVSb`OiMvpoI19B=oq_&Uqe-^=lK4~ws}JpH{KZ}+hHI?L1F z%kg#(U;EqNxBa(If5XrG?N5Kh*+gG0chCJO3o3FDx{SA5cu=zU6)8CM151X&E zJpB!M_OSUn%hTVGXAhgNvpoF`dG@gRI?L1FkY^8@ud_V;4SDvk`8vzf-;ieyo3FDx z{SA5cu=zU6)8CM151X&EJpB!M_OSUn%hTVGXAg_N{e9c7diooF=HL4CH=O;|^S9rB z-}YZU{S80!w?6$1XMgGI?|i=PZ#bWOyBoI8ud_V;4SDvk`8vzf-;ieyo3FDx{SA5c zu=zU6)8CM151X&EJpB!M_OSUn%hTVGXAhgNvpoF`dG@gRI?L1FkY^8@ud_V;4SDvk z`8vzf-;ieyo3FDx{SA5cu=zU6)8CM151X&EJpB!M_OSTd-_Q7+Pk+O=ebUq4aQ0Wv z?{=1_zadY5!{+NOPk%$+cKNXRI?L1FkiT6%Y`)I&^f%;hmk*n-vpoF``P=2g=Ibm^ ze?$Iu`LOvq%hTVGzg<3TzRvRWH{@@Z51X&EJpB#%+vUUN>nu-yL;iO8u=zU6)8CN4 zT|R8S&hqp(nu-yL;iO8u=zU6)8CN4T|R8S&hqp(!&>Zz5EMLf8QE@%FE|>JImAG%klJ=zbwAa^7Qv|yxqg%>nu-yFUQ+GEWXb2^!IYS z-NWMREKh$g$J;$DzRvRW_j0`5!{X~KPk%4R+dVA4&hqs4a=hKc;_EC=e=o<|JuJS? z^7Qv|yxqg%>nu-yFUQ+GEWXb2^!IYS-NWMREKh$g$J;%8?Qds4eg6HC`WwD={{4~q z8_xc+pZfdOpa1mt^1pui`_}O1zxI9qozK1fz5EMLf9Lt#4$uDb_vnu-yFUQ+GEWXb2^!IYS-NWMREKh$g$J;$DzRvRW_j0`5!{X~KPk%4R z+dVA4&hqs4a=hKc;_EC=e=o<|JuJS?^7Qv|yxqg%>nu-yFUQ+GEWXb2^!IYS-NWMR zEKh$g$J;%8?QehI^4~xG4L|)Kc={X8{_6SLzVh@p2Juhht1bnp8keBd)R!P<>_z8vxm*sS)TreJbT!Do#p9o$g_vd*IAzahCF-N ze4XX#Z^*NU&DU9;{)Rkz*nFMk>2Juhht1bnp8keBdszJK>|5sFAF03Lr_aAXQh&qQ zUp;^O&!6A&-#q;dKm9wN{)V%^^!0Z>-}X10&%NCZ+vnF=p8keBd)R!P<>_z8vxm*s zS)TreJbT!Do#p9o$g_vd*IAzahCF-Ne4XX#Z^*NU&DU9;{)Rkz*nFMk>2Juhht1bn zp8keBd)R!P<>_z8vxm*sS)TreJbT!Do#p9o$g_vd*IAzahCF*%{O#|j{ew?`!?*n6 zr@!Isub$uSEKh$!p8kf-*IAzahP>_aVe@sCr@tY8yL{Muo#p9o$lop>HeY9X`Wy1M z%ZJU^S)Tre{O$5#^L3V|zaf9SeAs-Q<>_z8-!30EUuSvx8}hfyht1bnp8kgX?ebys zb(W{UA%DAk*nFMk>2Jv2E*~~uXLnu-yL;iO8u=zU6)8CN4T|R8S&hqp(nu-yFUQ+GEWXb2^!IYS-NWMREKh$g z$J;$DzRvRW_j0`5!{X~KPk%4R+dVA4&hqs4a=hKc;_EC=e=o<|JuJS?^7Qv|yxqg% z>nu-yFUQ+GEWXb2^!IYS-NWMREKh$g$J;$DzRvRW_j0`5!`J?H_EYEIAF03Lo9Evj zslVauFZ-##Z~o+`zn6dh>F=AvPk!zD{yU$0`+NBppZ?DCyB(hW~L`c)N$i*IAzaUXHhWSbUx3>F?!uyNAWsS)Tr0 zj<~L`c)N$i z*IAzaUXHhW_}bt8{^I}X>2LU{|M1h_aQ0Wv-}aTKzadX|!{+NOPk%$6J#4nu-yL!LcszRvRWH{{vF=Ibm^e?y)l{!2LY_TrzRvOVFXY+7=Ib0!|3aQUY`)I%^e^Pu!{+N8Pya%m zJ#4l{!2LY_TrzRvOVFXY+7=Ib0!|3aQU zEdKWI7yYA8|H5DVmZyK=?6rS9{R?^e7dBt#c={Lewu^_&*Eyd4h5YT}Ve@s4r+*=T zyLi}qo#W|W$lop=Hecs>`WN!Ii-*nEIiCK7{O#gl^L37=e<6Rnc-VZM-!2|D zU*~xG7xK4@ht1bHp8kdW?c!ncb&jWhA%DAg*nFMi>0ij-E*=(tJ2yYy{)OW|T|8{Q z&hhjw`{R{cq#lz<798dp3{&w-O`8vnbzmUIOJZ!$s@$@g`Zx;`nuX8;8 z3;El{!{+N8Pya&xcJZ+JI>*z$kiT6#Y`)I%^e^OZ7Z01Sb3FYE`P;?A=Ib0!|3dzD z@v!+i$J4)%zg;|RzRvOVFXV3*4~xJ3`;@mn{k!~2Pyaq8d~5F?Pya5*(?9;Q_&Uea zzsvD<4~wsJJpH>IZ}+hHI>*z$%kg#(i?4G${kt4*_ptan$J4*d@pcc3uX8;8yBu%# zu=qO1)4$8{b`OiMb3FaK9B=oq_&UeazsvD<4~wsJJpH>IZ}+hHI>*z$%kg#(i?4G$ z{kt4*_wcp9of~iaclno|{>}4~pTD|4w{txGyBtsd_{;M9b&jWhm*edo7GLLh`gb|r z?qTtDj;DW@vxm*sIiCK7JbT!Do#W|W z$g_vd*Eyd4g*0ijRht1bHp8kbAd)R!P zvxm*sIiCK7JbT!Do#W|W$g_vV-@eVyw}0VyyNAu!H=h25JbT!Do#W|W$g_vd*Eyd4 zg*0ijRht1bHp8kbAd)R!Pvxm*sIiCK7 zJbT!Do#W|W$g_vd*Eyd4g*0ij-E*>^t=Xm-T^0$kJ&DS}e z{)PPQ;$ic3j;DVif4g|te4XRzU&!As9yVX+c={Lew~L3(*Eyd4h5YT}Ve@s4r+*=T zyLi}qo#W|W$lop=Hecs>`WN!Ii-*PE&dtxaf8qE~7Z01Sb3FYE`P;?A=Ib0!|3dzD z@v!+i$J4)%zg;|RzRvOVFXV3*51X%ZJpBv#{~w=rAG-hF*Y*88%`B~~tjw&;Ip>@+ zbs{1n5+V{35)u((Y-5Zu#%j*IzP|SK+RQ{mA|xV0B1ViDF+yU*h>(z`W@ct)c4lX0 zW~Qfm-k$H*{$=^?;@ReP zj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O- ze!FHXvM@9sGL<9CbKIZprXj@x^-c%9?)@9wz0XN%W4 zPXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJt zy=RNpIZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{YU;FLcxb5HFcRu}_ z?@vB|_4ns?j?=%pl~+lcgO8LTfEM3`geES-m}H)9H)PG z$L&2^yv}j@cX!<0v&HKir+;_H?LAw(&T;y8cii5y#p@iWe|N|2JzKoaar$?6+}^Xr z>l~+lcgO8LTfEM3`geES-m}H)9H)PG$L&4)+He0p=I5UNW&f-1e)^Z4`>XHY_KnlO zET?zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b# za_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V z?%CqEZ}a)~FFS7U+2-|))4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`& zEa#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^ z=bmj|=Q#b#a_-sYb&k`&Ea#pre*5>)-}Ce@`!S#T^e;R2+CNVJvYh^9o7Xu`|FYb6 z@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@ReP zj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O- ze!Fl~+lS$?~Cwt1c7^e@YA7tc1Y zbDaKV`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1LN_kNUKye|O*Y^zWmx zpVs@w>EGRP`p54UuXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9 zoc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRP zd(RfHbDaL&9k=&v@jA!p-`#P0&%XBCxpCXSyYG7XH{YLp{_5|~?Hs3ncgN`;zgzx& zo#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprX zj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK z*EvrA?vC4g_O;*sedNzS{mcHr?|u50o%^fr-}a5uzbvPF+2(bQ)4wd|o^4*|IQ`3V z?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh z+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sUw{P?L_Afhb@7d<{jnls@ z=bmj|=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd| zo^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmT zEq?p=U;g>0f7y@x!%zRRbFcm5^e@ZlU$%Ljo&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&F zo#XT`%WoIYHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXN%v?&F9;{?D(gPXPehK zPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!Fl~+lS$?~Cwt1c7^e@YA7ta>I{riYdfBJX#7oProME28r|2X}-J5K-j-QsnQ)4#jp z_MREGRP`p55zKzbxmTZC>X%{mXLh z+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047 z=5>zKzbxmTZC>X%{mXLh+2Xfv^ZE8KJ8tjU=Jk!!zbxmTZC>X%{mXLh+2(bQ)4wd| zo^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmT zZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bkNo`}d!J-_yVBhyRhMf7!X$ z{&D)3<@7Jxyv}j@m*uvLXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O- ze!Fl~+lS$?~Cwt1c7^e@YA7tc1Y zbDaKV`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b# z^4rC;&FdVee_4LJc(!?+o&T;ye<+qDx zi{Jiz*k?TbyZcK||2{1H8NGj;{@op?fBbIoI>+hX-En)*7O!)h{@oq7_iXVx$LZhQ zaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!E zI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00>}$WB8@K(t`%6#%=KGV+ zU;X{Lo#XWH?l}G9cgw%8bDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)* z7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p z-`#P0&laz9oc`S%xA$!EI>+hX-En)*zV_R{5B=q*f7$=+FFyUt&i&Q*Z~MmSUzXFo zZ1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWGuXCLKWjXh3 z^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVw z+qe0A`+f>mUGWGuXCLKWjXh3^E$`rUzT&v zHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWG zuXCLKWjXh3^E$`rUzT&v7Qg-bPyf=>zwC$p(Wigex!3-2`j_SOFWbD%ar&3#wu@(* z*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b#^4rC;&FdVee_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaB zv&C=c=JV}ecKp-Dv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@RePj?=#^zg;}r zyv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!FS1Y zf1Li^9jAZ%Zt*(D>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX z-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v z@jA!p-`#P0&laz9oc`S%xA*L8znvSm{k!`sPygoolh0rM{kfgv^zZIC{o{Abzprzg z{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0 z&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB z>EGRPd(Xc1+rJNf_tU@ZfAW`~{$=O>>if5S0Y*Zo#XT`%eiNp*EvrAvYdOi zd7b0*FUz@So7Xu`|FWEWwt1c7^e@Y~XPehKPXDr;d$xI<o&T;ye<+qDx zo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G> z{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZS+qwCC`+f> zmftR(ZC>X%{mb&(#k0-p9H)O-e!Fl~+lS$?~Cwt1c7 z^e@YA7tc1YbDaKV`R(G_;0g%HE}m^( z=Q#b#^4rC;&FdVee_4LJc(!?+o&T;ye z<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIY z7QdaF&$oZs@lO}eHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZU zI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!FEGS&fBN_Pv+vRS z$LZhQar(#a7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL& z9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1e zuXCLK-5t00Z1FnB>EGRPd(Xc1+qrSuzq{Z6^l!dD`TW)2pW8W3|L%^{KYq9T`#Q(z z-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00 zZ1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h z{@oq7_v~xG{rkOt_32;s_x*{df7!Xe`u=U-IQ`3Vx|eNU=Q#b#a_-sYb&k`&Ea#qW zUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj| z=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmTEq?nppKt%NzKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sY zb&k`&Ea#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%CqE ze;@c?KmE)8-uHa^mz{gl~+lS#G;{wt1c7^e@YA7tc1YbDaKV`R(G_ z=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b#^4rC;&FdVe ze_4LJc(!?+o&T;ye z<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIY zHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X% z{mb&(#k0-p9H)O-e!FkK*EvrA?vC4gws@W6^zZJty=RNpIZprXj@x^-c%9?) z@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4g z_O;*6jobd+{cBJE=KGV+U;X{Lo#XWH?l}G9cgw%8bDaL&9k=&v@jA!p-`#P0&laz9 zoc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRP zd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*zV_R{-~E3){mXv9 zfAjP&JNH-LzwH~Re_2lVvd!xpr+-<_J=?s_ar&3#+_TN=9H)O-&OO__&T;ye<=nH) z>l~+lS-m}f?8>fF+&OO__&T;ye<=nH)>l~+lSl~+lS0g%9zijh5$LU{|+b*7MUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^? z;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p z9H)O-e!Fl~+lS$?~Cwt1c7^e@YA z7tc1YbDaKV`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}kuZ z`}e!v=jq?wzy9>^cV*wF_m9)RyW{ka-z{F}IQ_djZtvORb&k`&yW{qrEneq1{kuDE z@7dyYj?=%p$r+;_H?LAw(&T;y8cii5y#p@iWe|N|2JzKoaar$?6+}^Xr z>l~+lcgO8LTfEM3`geES-m}H)9H)PG$L&2^yv}j@cX!<0v&HKir+;_H?LAw(&T;y8 zcii5y#p@iWe|N|2JzKoaar$?6+}^XV{r2y7{GU(%vcL1cfBKi5`>XHY_KnlOET?zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sY zb&k`&Ea#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%CqE zZ}a)~FFS7U+2-|))4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`&Ea#qW zUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj| z=Q#b#a_-sYb&k`&Ea#pre*5=+|HIS2?CzKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b# z^4rC;&FdVee_4LJc(!?+o&T;ye<+qDx zi{H-8=i9&R_@|3!o7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&F zo#XT`%WoIYHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f> zmftR(ZC>X%{mb&(#k0-p9H)O-e!F4F-v+vvc z$LZhQar(#a7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL& z9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1e zuXCLK-5t00Z1FnB>EGRPd(Xc1+qrSuzq^0q>EC>R^7*U3Keuz7{@op?fBbIw_jQib zzq{l1o-JPIIQ_djZtvORb&k`&yW{qrEneq1{kuDE@7dyYj?=%p+f>mUGWGuXCLKWjXh3 z^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVy zI>+f>mUGWGuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1LN-`F#7A9k=&v^ZLf=UzT&v zHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWG zuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI%sP z{d?d4>FHngxBj-Lf7!X${&D)3<@7Jxyv}j@m*uvLXPehKPXDs}cJXZUI>+f>mftR( zZC>X%{mb&(#k0-p9H)O-e!Fl~+l zS$?~Cwt1c7^e@YA7tc1YbDaKV`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@O zZ1XzD>0g%LE}m^(=Q#b#^4rC;&FdVee_4LJc(!?+o&T;ye<+qDxi{JkJmiK%5clU2T{rfH1_v`)R^zZIC{o{9w*EvrA?vC4g zws@W6^zZJty=RNpIZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$ z|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprXj@x^-c%9?)@9wz0 zXJ7m6+_>%E-M{(tZ@xeI{MFx|+c{4E?vB$xez*MlI>+hX-En)*7O!)h{@oq7_iXVx z$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S% zxA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00>}$XM`%VA%)4%L* z{-2-zW#|6t`?r1L^e@ZlUbcCi59=Q#b#a@)nT&FdVee_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&F zo#XT`%WoIYHm`G>{$=^?;@RT2bMyK3FFXF};@RePj?=#^zg;}ryv}j@m*uyMXPehK zPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!Fnk-5sZY{BH3&$LZhQaeL1euXCLK-5t00Z1FnB>EGRP zd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx z$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=)FYybAo`Oa}4{kFG%?kB%x zf8=e?J^RrwzkhH4+@E>e=Y7XpcHG{x#ee=MJ?`^=`Yk(d@7dzN;M*Vfg`fDA9k=&v z@n85;kNcu;eanv9d$#y5{)ESU@lU>G$L&2^{Fi+5N>p${wU;hu@vg7uiE&d%} z@wjjJh_~#xy=RO6h97#|H-6b$cHG{x#edU>Kkl3U{#$n3-m}Gj^OrpCTR!Y9J8tjU z;=knwANQ?a^p+jB_iXXs_Mwmaw!in59k=&v@jviE~Z1LU+ocF`saeL1e@14MTKinO+ z_iXXr37q%C-En)*7Vn+Fc|Y78xA$!E-U*!d!`*Rv&%XBie)tVP@Vp5CzKzbxmT zZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b#a_-sYb&k`&Ea#qW zUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%CqEfA96Dp8jQj z!v{S5%g(*_kJG;_r+?Yzb&k`&EVo@e+q}+k`j_Rmi)WkHIZpqw{C4qd^E$`rUzXo4 zo^4*|IQ`4=+r_iZ>l~+lS$?~Cwt1c7^e@YA7tc1YbDaKV`R(G_=5>zKzbwC9Jlnj^ zar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}kuZJ2#(i|FYwsE}m^(=Q#b#^4rC;&FdVe ze_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc z@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@ReP zj?=#^zg;|A{PyqHf8f)=VpVDBHNe|N{}AHQ3?&T;y8cii5y#p@iWe|N|2 zJzKoaar$?6+}^Xr>l~+lcgO8LTfEM3`geES-m}H)9H)PG$L&2^yv}j@cX!<0v&HKi zr+;_H?LAw(&T;y8cii5y#p@iWe|N|2JzKoaar$?6+}^Xr>l~+lcgO8L``T~k#%=%Z z{_UrK^Zm)^um1kr&T;y8cbxw5yXD{4IZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wn zo#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprX zj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{YU;FLfuls+V{$=0uv!4EC=l<&Z zw|(REFU#p(wt1c7^e@Y~XPehKPXDr;d$xI<4BoO`zU&;88DeeSQkSe&OO`w7k%I3zW9&4SYL@x)`?DYSW;yq4^MCfoANQT_c(a^)wt2swnZEA(F+1JecVo8o*ZYw3 ze#~<2+2*|yIq%0T=bmleJCXB#%yRD8=Dia+@5e0Xo^9Sck@J4ca_-sYy%Ra_$1LZb zZQeVP^M1^7?%C$O6FKk4Ea#qW-aC==e#~<2+2*|yIq%0T=bmleJCXB#%yRD8=Dia+ z@5e0Xo^9Sck@J4ca_-sU_x<=DpZ&Zav%l{5J@3ct++Y3uy6;5J`!UOTKW3ZvPUO5F zv)sNb&o=L!$az0z`F&TOZQeVP^M1_o`>s6Oymun!{g~zVU3s>7??le~G0X3}@@(_o ziJbRimfv^f+2*|yIq%0TzwgSk&3h+u-j7*+-<4;Z_fF)zAG7?vE6+CXoyd7VX8C z&i&QjzuQ?(f3w`5n=WUY*WdZ?-u~YGJ5PV-`@0>U`^$e{XF2`7J8tjU;&qnO-@D`X zo-JNyIsLsmZtvORb(YiLyW{qrEna6i{k=PG@7dyYmeb$67S{mrh^U;cdl(objC zO@FiN^p`)Mzx31Db<^MMI{oF(=P&(qcHQ(hyH0=k^Z83Zon1Hm&92j5{(Sz@PiNOn zf3xfKmp`As^wZgO)8Fhm{pHW+Fa30O-SjuRPJj9H`Aa{YT{r#BuG3%seE!l;XV*=C zv+MMiKcBz!)7f>?-|Ra5<(EMpU+?V>97B8{muIC-tL}tf9a>Q>!!ci_4>=7&tLlK?7Hc1cAft6 z=ku3-I=gQAn_Z{B{Q3N)pU$qE{$|(dFMmFN>8G>nroY*B`pcisU;63ny6JCro&NIY z^Ot@)yKefMU8leN`TV7y&aRvOX4mO2e?EWdr?cy(zu9&A%b(9*`swVt>2G$O{_^MZ zmwr0CZu*;Dr@#F9{H34HuABa5*Xb{RK7Z+_v+Jh6*>(EMpU+?U?eDuk@9A&$*FJdr z`|j-AUwVDz^f$|Gch5Gjublp7`R(r6=Jl1+-z>k~J=?s#a{8O)x4UPX*H=z|v;21V zZ1eic>2H?b?w)O4Upf8F^4s0B&Fd?tzgd2}d$xIf<@7hpZ+Fi&udkf`X8G;z+2-|? z)88z=-96j9zH<7T<+r9v{mb&(y|c~h8>fF+e!F+Jd41#bFUxQD&Ni=aoc?9`?cUku^^McN zEWh15Tm1I#S3l(G-`&6a^zT=*AJY5B>EGRP`p54UuXCLK-5t00Z1FnB>EGRPd(RfH zbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQ zaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&%XA4r~B?-{r3I+ z?%j8P;{Ja3-P!s4)!(0fr@L-`zk8Q+&o)25(_J^e-@VJZXPckj>8_jK@80Fyv(3-% zbl1)AckgoU+2-eWy6fimyLUPFZ1eLw-F5T(-MgH7w)y#;?z;K??p@A3+x+}acisGc z_b%t2ZGL{IyKa8JdzW+1Hb1}9T{pkqz00|0o1fq5uAATQ-sRl0&Cl<2*Uj&D?{e%QW9-z?{zZGL{IyZ$TR^=3KuZ1Z3BJ&&8;@9zH|%eiNp|LUK6-28rbz2)4q z&Cl<2*Uj&D?{e*n{ncRBZL^Yc61b?^AjH_N$ao1fq5uK$Lgd9$2*w)t=T zj>paKclUpf<=nH)f74GtZhpVJ-g55Q=I3|1>*n{ncRBZL^Yc61b@Th(yPSKr`T3ph zx^MsHH_N$ao1fq5uAkrU-sRl0&Cl<2*Uj&D?{e*n{ncRBZL^WKls-F-ja z{kzZmasK|?cjVk(_R~9&^M1TLZtvORy%Ra_$GhY9o-N)xk@J4MJ8tjU;=L0&@5j62 z_MR=?JCXB#ygP31+2XwuIq%22f7x++&o-}foc?7w z_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWGuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$ zZ1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWGuXCLKWjXh3 z@!P*&{-URU+5h;VPye!Wul?inFU#p)wt1c7^e@Y87tc1YbDaKV`R(G_=5>zKzbwC9 zJlnj^ar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b#^4rC;&FdVee_4LJc(!?+ zo&T;ye<+qDxi{H-8=i9&R_@|3!o7Xu` z|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^? z;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p z9H)O-e!Fyi`O|$|L%_4 zd$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprXj@x^-c%9?)@9wz0XN%W4 zPXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJt zy=Pzh?cBKS-`yX4`ZwR7eE#b1&+Qzie|N{}AHQ4veVya<@9wz0XN%W4PXF$X+k3Wn zo#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprX zj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d-k>8{{8#E|MV~W zOJDNzFFW^F-@ok}r+-;a_p;6F9H)O-&OO__&T;ye<=nH)>l~+lSl~+lSl>$kSl~+lS0kEWfB4hC?A&Yr zIQ`3V`j>59=Q#b#a@)nT&FdVee_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&F zo#XT`%WoIYHm`G>{$=^?;@RT2bMyK3FFXF};@RePj?=#^zg;}ryv}j@m*uyMXPehK zPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!FCmeaj# z^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVy zI>+f>mUGWGuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXXo zxA}bgmmRnFZ1eiY>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWG zuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%f zbDaKVIrnVyI>+f>mUGV*zy169uX_5I{kI?a^e;R2+CNVJvYh^9o7Xu`|FYb6@oe)t z$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@RePj?=#^ zzg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!Fl~+lS$?~Cwt1c7^e@YA7tc1YbDaKV z`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1LN_fAdjK|L*?q)4zX{{ixnQ zPXF$X(?5QkK*EvrA?vC4gws@W6^zZJty=RNpIZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wn zo#XWH?zp{Yi`O|$|L%_4d-k>8&W+pt-TmRGfAjsx=db?$+|F_OcXyor@w?^U*EvrA z?vC4gws@W6^zZJty=RNpIZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Y zi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprXj@x^-c%9?) z@9wz0XJ7m6-@pFRr+?XZea+Lq?A%{{|F&+f>mUGWGuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}f zoc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGV*zkQp}w}07jd(SqnZ=C*RIrnVy zI>+f>mUGWGuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz z$LU{|bI&%fbDaKVIrnVyI>+f>mUGWGuXCLKWjXh3^E$`rUzT&vHm`G>{$)A$Z1LN_ zpZmI}f7yTiF;D-pbFcm5^e@ZlU$%Ljo z&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT` z%WoIYHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXN%v?&F9;{?D(gPXPehKPXDs} zcJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!Fl~+l zS$?~Cwt1c7^e@YA7ta>I{rguR`}FVbk3IeSSJ{v4{p0lS?l}G9cZ=6KPXF$X+k3Wn zo#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprX zj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;jz z`|aGg?cd!Wd-^xupM3u6@6YWVr+;_H=^wva{(YU}^zZJty=RNpIZprXj@x^-c%9?) z@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4g zws@W6^zZJty=RNpIZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k5u4-~Ro}AAkCnedjx# z{$=O>>if5S0Y*Zo#XT`%eiNp*EvrAvYdOid7b0*FUz@So7Xu`|FWEWwt1c7 z^e@Y~XPehKPXDr;d$xI<l~+lS#G;{wt1c7^e@YA7tc1YbDaKV`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+ z*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b#^4rC;&FdVee_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{| z-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@RePj?=#^zg;}r zyv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!Fl~+lcgO8LTfEM3`geES-m}H)9H)PG$L&2^yv}j@cX!<0v&HKir+;_H?LAw(&T;y8 zcii5y#p@iWe|N|2JzKoaar$?6+}^Xr>l~+lcgO8L``T~k#%=%Z{>0P2`TpedSATzQ z=Q#blJ5K-j-SY429H)PG$L&2^yv}j@cX!<0v&HKir+;_H?LAw(&T;y8cii5y#p@iW ze|N|2JzKoaar$?6+}^Xr>l~+lcgO8LTfEM3`geES-m}H)9H)PG$L&2^yv}j@cX!<0 zv&HKir+;_H?LAw(&T;y8cii5yul@G#pa103zwFO^^V7fV++ThFwr`yNWjWo;Hm`G> z{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWGuXCLK zWjXh3^E$`rUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI%sPeVfm> zf7x++&o-}boc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f>mUGWGuXCLKWjXh3^E$`r zUzT&vHm`G>{$)A$Z1XzD>0g#}&o-}foc?7w_iXbz$LU{|bI&%fbDaKVIrnVyI>+f> zmUGWGuXCLKWjXh3@!P+j{??~|*?;~CPye!Wul?inFU#p)wt1c7^e@Y87tc1YbDaKV z`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1XzD>0g%LE}m^(=Q#b#^4rC; z&FdVee_4LJc(!?+o&T;ye<+qDxi{H-8 z=i9&R_@|3!o7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT` z%WoIYHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR( zZC>X%{mb&(#k0-p9H)O-e!Fyi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6^zZJty=RNpIZprXj@x^- zc%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA z?vC4gws@W6^zZJty=Pzh?cBKS-`$^j`ZwR7eE#b1&+Qzie|N{}AHQ4veVya<@9wz0 zXN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4d$xF;kK*EvrA?vC4gws@W6 z^zZJty=RNpIZprXj@x^-c%9?)@9wz0XN%W4PXF$X+k3Wno#XWH?zp{Yi`O|$|L%_4 zd-k>8{{7RRe)^aFsc(P!m!12o@89-~)4wdId)el7j?=#^=bmj|=Q#b#a_-sYb&k`& zEa#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^ z=bmj|=Q#b#a_-sYb&k`&Ea#qWUgtRd%X047;zKzbxmTZC>X%{mXLh+2(bQ)4wd|o^4*|IQ`3V?%C#bj?=#^=bmj|=Q#b# za_-sYb&k`&Ea#qWUgtRd%X047=5>zKzbxmTZC>X%{mXLh+2(bQ)4wd|o-Ka+_mki8 z^e_8QKk4aTcJ8%*oc?7w{mVA5bDaKVx$WZF=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrA zvix@OZ1XzD>0g%LE}m^(=Q#b#^4rC;&FdVee_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc@oe$ix%qtimmU9f@oe)t$LU{|-!7hQ zUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@RePj?=#^zg;}ryv}j@ zm*uyMXPehKPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0-p9H)O-e!Fnk-5sZY{BH3&$LZhQaeL1euXCLK z-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)* z7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=)FYrmZv zxBa{OGf)5K`;*UK{r$O}y%fGL4oc`S%xA$!EI>+hX-En)*7O!)h{@oq7 z_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRPd(RfHbDaL&9k=&v@jA!p-`#P0&laz9 zoc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL3c_S?UI{IgI0vOn>iPye!W zfA#&_zH$1O<#aFGyv}j@m*w2E&FdVee_75w+q}+k`j_S0v(4)qr+-<_J=?s_ar&3# z+_TN=9H)O-&OO__&T;ye<=nH)>l~+lSl~+lSo&T;ye<+qDxo7Xu` z|FZmc@oe)t$LU{|-!7hQUgtRd%ktaBv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^? z;@RePj?=#^zg;|A{B~|W-~MICKV3ZAyv}j@m*uyMXPehKPXDs}cJXZUI>+f>mftR( zZC>X%{mb&(#k0-p9H)O-e!Fl~+lS$?~Cw)pMeKl+rX ze|O*c^zR>KKc)AN)4#jp^pD>yUgtRdyE|_0+2VDM)4#jp_MR+hX-En)*7O!)h{@oq7_iXVx$LZhQaeL1euXCLK-5t00Z1FnB>EGRP zd(RfHbDaL&9k=&v@jA!p-`#P0&laz9oc`S%xA$!EI>+hX-En)*7O!)h{@oq7_iXVx z$LZhQaeL1euXCLK-5t00>}$XM`-eaG^e_8k-~IG2JNH-LzwH~Re_2lVvd!xpr+-<_ zJ=?s_ar&3#+_TN=9H)O-&OO__&T;ye<=nH)>l~+lS z-m}f?8>fF+&OO__&T;ye<=nH)>l~+lSl~+lSeIjM+-v_h{mXLtmu+6>IQ`3V+r_iZ>l~+lS$?~C zwt1c7^e@YA7tc1YbDaKV`R(G_=5>zKzbwC9Jlnj^ar&3#w~J?+*EvrAvix@OZ1XzD z>0g%LE}m^(=Q#b#^4rC;&FdVee_4LJc(!?+o&T;ye<+qDxo7Xu`|FZmc@oe)t$LU{|-!7hQUgtRd%ktaB zv(4)qr+-;~yLh&Fo#XT`%WoIYHm`G>{$=^?;@RePj?=#^zg;}ryv}j@m*uyMXPehK zPXDs}cJXZUI>+f>mftR(ZC>X%{mb&(#k0k4|9<4tp8nl^*VDfr$$nbzAE$qJ$LSxx zTfEM3`geES-m}H)9H)PG$L&2^yv}j@cX!<0v&HKir+;_H?LAw(&T;y8cii5y#p@iW ze|N|2JzKoaar$?6+}^Xr>l~+lcgO8LTfEM3`geES-m}H)9H)PG$L&2^yv}j@cX!<0 zv&HKir+;_H?LGV2Z|BBs|L(r)>EC>R^7*U3Keuz7{@op?fBbIw_jQibzq{l1o-JPI zIQ_djZtvORb&k`&yW{qrEneq1{kuDE@7dyYj?=%p2h;VAM9uU ze&#o?{Yzi=xNHB?b$`(JZ}yF|e<^48(&p_PXa7>pJ#F63arQ6e+|%am9B2Ph&OL43 z&T;lH<=oTe?Hp(SQqDbX-p+CMFXi0R=ItD3|5DC9ZQjmt_Alk!)8_3QXa7>pJ#F63 zarQ6e+|%am9B2Ph&OL43&T;lH<=oTaXW!Q6vw!Jwb5EPMZ=C&0Irp@AJIC3-lygs; zw{x8ROF8$nc{|71zm#)No40eE{YyFbw0S$n*}s%?Pn)-Moc&8V_q2IC$JxJ>b5EPM zbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71zm#)No40eE{YyFbwD{S-pMLzcf9cP> z^R<8Jy4U^V>|e^+zqENf$JxJ>n_b*(-p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)E zw|P6q*}s&ZUEFQn&T;lH|eV4wTrvW+d0nurTpyTZu54I zvwtZ+ySUrDo#X6Z%FizDHgD%R`wi@VL+InMs2{Osax^LCE2e|e^yF77sO=Q#V9^0SM( z&D%N7{-ylv;%@P?e?Rpu*Z!Tp{Mx^tO5dgLA7}qgm$QHTw0Jwm*}v1}=AIUB=Q#U! zy4>8;;_Vz~|4x^ids@7mY4LWBvwx?{%{?vN&T;ndbh){w z#oIZ~{+%v2_q2FB$JxKr<>sCiZ|6Asce>o%)8g$MXa7!@n|oTko#X7^>2h;VAM9u6 zmYe-MefhP2>-&?>AN2R<>>OwRPM5QP{IvZ0c8;@ur_0SfE#A&?_V0ALxu?b3InMr_ zE;skIcss}0ztiRBo)&NCIQw_H+}zXR?Hp(SPM4c|TD+a(?BD5fb5D!6bDaGb5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71zm#)No40eE z{YyFbw0S$n*}s%?Pm7;@Tc6MVrOVAdZQj0d_Alk!)8_3QXa7>pJ#F63arQ6e+|%am z9B2Ph&OL43&T;lH<=oTe?Hp(SQqDbX-p+CMFXi0R=ItD3|5DC9ZQjmt_Alk!)8_3Q zXa7>pJ#F63arQ6e+|%am9B2Ph&OL43&T;lH<=oTaXa9cuiP!$6Kk=^D{-x_)_m8uG zDQExE=ItD3|59#takqIp$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)E zw|P6q*}s&ZUEFQn&T;lHGIbu?ly1dIQy6Kvx~dU+d0nurTpyTZu54I zvwtZ+ySUrDo#X6Z%FizDHgD%R`wi@VL+InMs2{Osax^LCE2e|e^yF77sO=Q#V9^0SM( z#n1lz*t=c(clwHJ|9&iex4wUz{X1RG{_)e|?Hp(SPM4c|TD+a(?BD5fb5D!6bDaG< zU2g7a@pg{0f2YgMJuTkOarW8; z;_Vz~|4x^ids@7mY4LWBvwx?{%{_gvpPgH7_V4r+*Z!^V zPdpJ#F63arQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(S zQqDase)esBKKqw0H}|x8`^MS7lygs;w{x8ROF8$nc{|71zm#)No40eE{YyFbw0S$n z*}s%?Pn)-Moc&8V_q2IC$JxJ>b5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71 zzm#)No40eE{YyFbw0S$n*}s%?Pm7=Z`{5^F`pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)E zw|P6q*}s&ZUEFQn&T;lHwi@VL+InMs2{Osax^LCE2e|e^yF76gT`}afdaqZvf zE3f_gq4Yia{&Dv2bUFLSPm8y6oc%jpZtiLEc8;@ur_0SfE#A&?_V0ALxu?b3InMr_ zE;skIcss}0ztiRBo)&NCIQw_H+}zXR?Hp(SPM4c|TD+a(?BD5fb5D!6bDaGmx4u95{6T+z&dzc6 z?{qo)$4|?@Z|6Asce>o%)8g$MXa7!@n|oTko#X7^>2h;Vi??%}{X1Q5?rHIMj|e^cr_I|r&i|e^cr_I|r&ipIzK--p+CMFXd+!cZ;8$ zTc6MVrORKtxZAv)|e^yF77sO=Q#V9^0SM(&D%N7{-ylv;%@VHjw zi@VL+InMs2{Osax^LCE2e|e^yF76gTJGVZc{Y#g>c5%0PJIC3-l%HMPZQjmt_AljU7k8Vt zbDaH4`Ps$Y=ItD3|5AQ-akqIp$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(S zQhs)Ew|P6q*}s&ZUEFQn&T;lH8;;_Vz~|4x^ids@7m zY4LWBvwx?{%{?vN&T;ndbh){w#oIZ~{+%v2_q2FB$JxKr<>sC~*w4-_H~V+`v}^y? z_a~n}=|e^cr_I|r&i|e^cr_I|r&iF=P->Y5wm#%x=KhFN8oc&9iw{x8R zOS##_-RA8aXa7=uc5%0PJIC3-l%HMPZQjmt_AljU7k8VtbDaH4`Ps$Y=ItD3|5AQ- zakqIp$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q*}s&ZUEFQn z&T;lH=+q|9Q>|e^yF77sO=Q#V9^0SM(&D%N7{-ylv;%@VH zjwi@VL+InMs2{Osax^LCE2esCiZ|6Asce>o%)8g$MXa7!@n|oTko#X7^ z>2h;Vi??%}{X1Q5?rHIMj`-8rJvu~XJOF6rjHgD%R z`|e^cr_I|r&i|e^yF77sO=Q#V9^0SM(&D%N7{-ylv;%@VHjwi@U|o z&aKa9|I+2JUEFQn&T;lHpIzK--p+CMFXd+!cZ;9>d%?r6{X2cuwSO;2AKv$m zvwx?{**|_-yq)9h-|2F5Pm8y6oc%jpZtiLEc8;@ur_0SfE#A&?_V0ALxu?b3InMr_ zE;skIcss}0ztiRBo)&NCIQw_H+}zXR?Hp(SPM4c|TD+a(?BD5fb5D!6bDaG2h;Vi~r~!x!lKm{6o6j+|%Me_K#lfV}Ix&U2g7a@gMiGm;3lX@{le!_q6zr z|G~?B!bd-(%gsG4{uBT3;9nM@AEr>^ZVg+IlmwHY4Lt1 zaDG3WE;skIc)t@kzaLJQn|oTk-wB-G52wq`JuTkv1kUe=)8*!#7Vmcg=l8?ua&u3M z_d9{}`{8uCxu?bZoxu71aJt;w)8hS3;QW3#U2g7a@qQ<8em|TpH}|x7zY{pWA5NE> zds@8T37p>#r_0SfE#B`0&hLlQ<>sC~*w62W=Rf=U{g8gw`(M8w(sh5(_iuh5aDG3e zoZk&;^L{6Aem|s~d)mC;37p>#Dd(Ox?{@;{_e09Lr_KAF!1?`+|{V&(h`Qo;GjaIQy4!?rHOOjwi@VL+InMs2{Osax^LCE2 ze|e^y zF77sO=Q#V9^0SM(&D%N7{-ylv;%@P?bL;cjzjXO)7k8VtbDaH4`Ps$Y=ItD3|5AQ- zakqIp$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q*}s&ZUEFQn z&T;lHsCiZ|6Asce>o%)8g$MXa7!@n|oTk zo#X7^>2h;Vi??%}{X1Q5?rHIMjpJ#F63arQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(SQqDbX z-p+CMFXi0R;%DF1=d*w5a&u3cw{M*NOF8$nc{|71zm#)No40eE{YyFbw0S$n*}s%? zPn)-Moc&8V_q2IC$JxJ>b5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71zm#)N zo40eE{YyFbw0S$n*}s%?Pn)-Moc&8V_q6!gziAKhbpIzK--p+CM zFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q*}s&ZUEFQn&T;lHwi@VL+ zInMs2{Osax^LCE2e8;;_Vz~|4x^ids@7mY4LWBvwx?{%{?vN&T;nd zbh){w#oIZ~{+%v2_q2FB$JxKr<>sCiZ|6Asce>o%(+B(6x#ed6PM>$}-}?UK^9TL? zIXlPMztiRHA3rVszMbRj-|2F5Pm8y6oc%jpZtiLEc8;@ur_0SfE#A&?_V0ALxu?b3 zInMr_E;skIcss}0ztiRBo)&NCIQw_H+}zXR?Hp(SPM4c|TD+a(?BD5fb5D!6bDaG< zU2g7a@pg{0f2YgMJuTkOarW9>9GwSVclKj`~6`^MS7l(Tzj z^LCE2e<|mlHgD%R`|e^cr_I|r&i9b$=+P`$&>;7@}FXilC+Pt0P>|e^wF77sO z=Q#V9^0SM(&D%N7{-ylv;%@VHjwi@VL+InMs2{Osax^LCE2ea>FJ1oH#ogxZ9B2Phes*!Uc{|71zm%U{+-=^@arQ6eXBT&yw{x8ROZnNw z-RA8aXa7=uc5%0PJIC3-l%HMPZQjmt_AljU7k8VtbDaH4`Ps$Y=ItD3|5AQ-akqIp z$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)ExA@t=XT9FFf2S|F_U~Eg z>-GKP?BD5f_K%+yZ|6Asce>o%)8g$MXa7!@n|oTko#X7^>2h;Vi??%}{X1Q5?rHIM zjAxb|;-fAaZ*{{Ebu8;;_Vz~|4x^ids@7m zY4LWBvwx?{%{?vN&T;ndbh){w#oIZ~{+%v2_q2FB$JxKr z<>sCiZ|6Asce>o%(+B(6zh}Pi+Q0N$KJ?nZblo5H{hNK`>|e^+y|j5d$JxJ>b5EPM zbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71zm#)No40eE{YyFbw0S$n*}s%?Pn)-M zoc&8V_q2IC$JxJ>b5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$n_}RDh`Rrf1+}zXV z?HgzRQqDbX-p+CMFXi0R=ItD3|5DC9ZQjmt_Alk!)8_3QXa7>pJ#F63arQ6e+|%am z9B2Ph&OL43&T;lH<=oTe?Hp(SQqDbX-p+CMFXi0R=ItD3|5DC9ZQjmt_Alk!)8_3Q zXa7>pJuQCr@0&mD+Q0OfuYc`dy6$!VIQy4!_AhPT&T;lHpIzK7es*qsKKqw0 zf9>LK^LCE2e|e^yF77sO=Q#V9^0SM(&D%N7{-ylv;%@VHjo% z)8g$MXa7!@n|oTko#X7^>2h;Vi??%}{X1Q5?rHIMjb5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71 zzm#)No40eE{YyFbw0S$n*}s%?Pn)-Moc&8V_q6!gxApn#U%K4f)8_3PXa7>pJ#F63 zarQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(SQqDbX-p+CMFXi0R=ItD3|5DC9ZQjmt z_Alk!)8_3QXa7>pJ#F63arQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(SQqDase)jJh zKjPZI^yzPS?O(d?b^kc~mvZ(mZQjmt_AljT7k8VtbDaH4`Ps$Y=ItD3|5AQ-akqIp z$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q*}s&ZUEFQn&T;lH zwi@VL+InMs2{Osax^LCE2epFimD&)GT7{+%vo|M+S7_w5{K|4x^ids@7mY4LWBvwx?{%{?vN&T;ndbh){w#oIZ~{+%v2_q2FB$JxKr<>sCi zZ|6Asce>o%)8g$MXa7!@n|oTko#X7^>2h;Vi??%}{X1Q5?rHIMjV z>PxQuOTXcxuKi2b{XyTq**DJqrJUVMo40eE{YyFbw0S$n*}s%?Pn)-Moc&8V_q2IC z$JxJ>b5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71zm#)No40eE{YyFbw0S$n z*}s%?Pn)-Moc&8V_q2IC$JxJ>b5Dz(eOsT;{-w*!J#F5;arQ6e+|%am9B2Ph&OL43 z&T;lH<=oTe?Hp(SQqDbX-p+CMFXi0R=ItD3|5DC9ZQjmt_Alk!)8_3QXa7>pJ#F63 zarQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(SQqDbX-p+CMFXi0R;%EQ9{$sBFOP~5i z*Z!sJUiXi)e<^4G(&p_PXa7=ec5%0PJIC3-l%HMPZQjmt_AljU7k8VtbDaH4`Ps$Y z=ItD3|5AQ-akqIp$JxJ>pIzK--p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q z*}s&ZUEFQn&T;lHwi@VL+InMs2{Osax^LCE2e`+t{++(`+P|lykL>%$*}v1}>>ocZ-p+CM?{vAjr^VYj&iY4LWBvwx?{ z%{?vN&T;ndbh){w#oIZ~{+%v2_q2FB$JxKr<>sCiZ|6Asce>o%)8g$MXa7!@n|oTk zo#X7^>2h;Vi??%}{X1Q5?rHIMjpJ#F63arQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(S zQqDbX-p+CMFXi0R;%DF1=d*w5a&u3cw{M*NOF8$nc{|71zm#)No40eE{YyFbw0S$n z*}s%?Pn)-Moc&8V_q2IC$JxJ>b5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71 zzm#)No40eE{YyFbw0S$n*}s%?Pn)-Moc&8V_q6!gzpwp-YyZ+Gzwxzy>AKhbpIzK- z-p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q*}s&ZUEFQn&T;lHw zi@VL+InMs2{Osax^LCE2eY4LWBvwx?{ z%{?vN&T;ndbh){w#oIZ~{+%v2_q2FB$JxKr<>sCiZ|6Asce>o%)8g$MXa7!@n|oTk zo#X7^>2h;Vi??%}{X1Q5?rHIMj8;;_Vz~|4x^ids@7mY4LWBvwx?{%{?vN z&T;ndbh){w#oIZ~{+%v2_q2FB$JxKr<>sC~*w6kw@yDb5EPMbDaH4Irp@AJIC3- zlygs;w{x8ROF8$nc{|71zm#)No40eE{YyFbw0S$n*}s%?Pn)-Moc&8V_q2IC$JxJ> zb5Dz(eOsT;{-w*!J#F5;arQ6e+|%am9B2Ph&OL43&T;lH<=oTe?Hp(SQqDbX-p+CM zFXi0R=ItD3|5DC9ZQjmt_Alk!)8_3QXa7>pJ#F63arQ6e+|%am9B2Ph&OL43&T;lH z<=oTe?Hp(SQqDbX-p+CMFXi0R;%EQ9`ctm`OP~0r*Z!sJUiXi)e<^4G(&p_PXa7=e zc5%0PJIC3-l%HMPZQjmt_AljU7k8VtbDaH4`Ps$Y=ItD3|5AQ-akqIp$JxJ>pIzK- z-p+CMFXd+!cbm6!oc&Ar*~Q)F?Hp(SQhs)Ew|P6q*}s&ZUEFQn&T;lHw zi@VL+InMs2{Osax^LCE2egN;{+<5h zwSP}YAJzAdvwx?{**|_-yq)9h-|2F5Pm8y6oc%jpZtiLEc8;@ur_0SfE#A&?_V0AL zxu?b3InMr_E;skIcss}0ztiRBo)&NCIQw_H+}zXR?Hp(SPM4c|TD+a(?BD5fb5D!6 zbDaGeH_MOV|BD-@n;6&ib5EPMbDaH4Irp@AJIC3-lygs;w{x8ROF8$nc{|71zm#)NoBzD0U+(kY z`!466HvgE1UG6bYyUV$!&40mrUGA~3a+h;Yn}6(6FZYG-d6#ofoBzV!x!e~$dyWi#9)8_yAZ(r_9o^+RUPn-W2?{>L=@rt{gd)oY$ zKJjw@@?Gz8?rHP?^0zMcWly-vxu?zlt9QBFzk2yy&OL4Z%O8KafBnvPIrp^rfBl=6 z`-;ci<=oTe|IIsH?%({zUCupi{&8P%xvzZ3yPSL4{8#?^mY3b-+|%Zt^%rY?q*`Ico zb5EQ9wnts=+kWyc=bkqIoKLyjx4-FK&OL4Z+kgCW&;6vkoO{~*cf85vzT-#la_(vK z&-;YSedinB<=oTezw?JL_xz8$%ekk`f7c@~_gyc&%ekk`zu;po_uX%Fmvc{>|L&Ju z?u8$9mvc{>|DH!&?t5N*mvc{>f6+%=?t9j&OL4Z-#`6wKmXo$ zIrp^r|M0NO{fDRB<=oTef8o6@_a9&7F6W*${~w=vxnF$GyPSL4{4f5_<^G4K+~wTU z=6~ruF852Xyvw<#&Hs;2zT7Xr`(4gGZT^>k`*Q!&lkRfvY4gAGZkPL&SKQ^?)8_x@ zCtmJX-}Nr%o;Lrhzje9)*Fu?>+gJ*b5EQ9_20bQ z|Ms}MoO{~*Z@kmxe&aXpa_(vK|NB>5?l<4@F6W*$|C_&lx&PzK?{e;G^Dlpg%f0;9 z?sD#F^Z)0UUGBHu{x0X9Hve0{db$7WOYd^-Y4fjmyUV@eSMGA|Y4iX0mt5|*-}Wx& zo;Ls6zkIp>=Zo)h?rHO{e4ER?@|W&%?rHP??-yO}ci#Fg=bkqIJHL3j|L?JPIrp^q zSACVY8uzNN@(XvDn|s>)t3Kv(4|~hIoO{~*!+!p9|H z|4%>Xa>k>%8$@&OL4Zb$f4!Gn?)QJxUCupi{`DVmxz~U3UCupi{ttY_<=)^8?{e;G^KbBdm-~YsewTAk zn}5SMxZE4Q=q~4;Hvfk{>~fEI{kxod+WaG4c)36Pp?5j=wD~uBz01AP3+{66Y4d;N zLoWBo*S*WRr_Dd|`Iq~nAAFZ{Pn&<^*SXvqKkqK*o;Lr-KIn39^4fPf_q6#pdG6)@ z_y^wQ+|%aY^tCScrq8*{xu?zlmmhGsN4@4<&OL4ZQO~~IfA#)%Irp^rH+%Ty-t1X- zIrp^r|N8wd_vqKS%ekk`Kl+)M`)}U&F6W*$|K_iLxi^2tUCupi{=a>n%e}>`-R0cV z=HKG!m;3MD`!466Hvg6nyWCqo?Jnn@Hviwh*X7>oRqk@`Y4dOO)XV*e_q@xwr_KL~ z-?`jdKjkjxo;Lp{-{W$B@|AZv_q6%9dGh7{)Vts1+|%a&)NfzzZJ%_Pb5EQ9)9-e< zKmCfkoO{~*+dc7ef9759a_(vKf9AI?_x4Y?%ekk`|JirB+@F2%$m;0-~beD5an}3fly4+uT>${wL+WcSp z#ml|tWAAeAY4d;mtuFW1f8j3Yo;LqpkGb66c+0z-d)oZp`1#Ad_vhW^+|%a&=389u zZ~oj}&OL4ZeLm-Mf9uWfa_(vKf9q#2_r9NXmvc{>|J#qg+~0oLUCupi{{24Va)0N| z?sD#F^MB{3FZceRc9(NcoBz9yy4>IW$-A6;+WZH6%H{svo8INz)8_x)k6-QsKj|*# zo;LsY-{f+C|3~j~?rHNM^a+>y2XB0rb5EQ92S0qd5B|8joO{~*KYZln{^3jSa_(vK zAM!Dm`$undmvc{>|3@#m+=qVDUCupi{vSW$a{u_ncRBa8`49Vu%l(r#yvw<#&Ht0{ zyWEF=_+8FDZT_FW!R7wxi|%snY4acPVVC>J*T2iTr_F!l3orLkA9|N_Pn-Yf*Sp+D zzu+$Co;Lq6A9A^mecij9d)oZRKL2tb_rZ5L_q6$sf1S&H{PXT|?rHO%@IjaR#Mi#d zxu?y4;&U(eNgsHZb5EQ9gl9%ekk`fA*_i?z5k9mvc{>|D5-^ z+~>a9UCupi{&SyxxzBs=yPSL4{O3RHa-aXSyPSL4{A1qha$oQ&cRBa8`7e0tcDXNk#a+%lZT??8@p51Ku6H^2wD~Xnt;_w(C*0-S)8@bIT`u=!FTcyVr_KMX z$6xNt-}x@*o;LsGzj?WT{kXfFd)oY0ywl~r;y3Pc?rHP?<|{7uxOcqExu?xP?${+Ma_(vK zPx-~mef?waa_(vKPkpP)J@psva_(vK-|(2rJ?$;;a_(vKPy6}HedFid<=oTepZ*q? zd-~7a<=oTezv*)>_l!5c%ekk`KjUXF_sySmmvc{>f99hv_so~w<=oTezvVM7_pCR& z%ekk`KkKJ2_pP6Hmvc{>fA*s;_w1j%%ekk`f7_>A?m2IImvc{>f6k9z?%O}_rAmWeBOUZIrp@A?>unce@Hp^ zw0ZA5aNd7NIrp@A?>unce@Hp^w0ZA5aNd7NIrp@A?>unce@Hp^w0ZA5aNd7NIrp@A z?>unce@Hp^w0ZA5aNd7NIrp@A?>unce@Hp^w0ZA5aNd7NIrp@A?>unce@Hp^w0ZA5 zaNd7NIrp^qdH>-nKjV7;A$|OtUGG1n>t5e~;Jp8ka^8PPoA=HG=lzG2n|C30oA=HG z=lzG2cTb!5&I9NDhm> z|B!O?F2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+Y zF2rv0-g)4>|B&+TY4hHB;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G z;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^6qK#-g)4>|B!O?F2rv0-g)4>|B&+Y zF2rv0-g)4>|B&+YF2rv0-g)4>|B&+TY4hHB;Jp8ka`P_4Zu8!G;Jp8k^6qK#-g)4> z|B!O-Y4hHB;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G;Jp8k^6qK#-g)4>|B!O?F2rv0 z-g)4>|B&+TY4hHB;Jp8ka_(vK-g)4>|B!O?F2rv0-g)4>|B&+YF2rv0-g)4>|B&+T zY4hHB;Jp8ka`P_4Zu8!G;Jp8k^6qK#-g)4>|B!O?F2rv0-g)4>|B&+YF2rv0-g)4> z|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+TY4hHB;Jp8ka`P_4 zZu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G z;Jp8k^6qK#-g)4>|B!O?F2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+Y zF2rv0-g)4>|B&+YF2rv0-g)4>|B&+TY4hHB;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G z;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^6qK#-g)4>|B!O? zF2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0 z-g)4>|B&+TY4hHB;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k z^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^6qK#-g)4>|B!O?F2rv0-g)4>|B&+YF2rv0 z-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+TY4hHB;Jp8ka_(vK-g)4>|B!O? zF2rv0-g)4>|B&+TY4hHB;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G;Jp8k^6qK#-g)4> z|B!O-Y4hHB;Jp8ka`P_4Zu8!G;Jp8k^6qK#-g)4>|B!O?F2rv0-g)4>|B&+YF2rv0 z-g)4>|B&+TY4hHB;Jp8ka_(vK-g)4>|B!O?F2rv0-g)4>|B&+TY4hHB;Jp8ka`P_4 zZu8!G;Jp8k^7AglZu8!G;Jp8k^6qK#-g)4>|B!O-Y4hHB;Jp8ka`P_4Zu8!G;Jp8k z^6qK#-g)4>|B!O?F2rv0-g)4>|B&+YF2rv0-g)4>|B&+YF2rv0-g)4>|B&+TY4hHB z;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k^7AglZu8!G;Jp8k z^7AglZu8!G;Jp8k^6qK#-g)4>|B!O-Y4hHB;Jp8ka`P_4Zu8!G;Jp8k^7AglZu8!G z;Jp8k^6qK#-g)4>|B!O?F2rv0-g)4>|B&+TY4hHB;Jp8ka_(vK-g)4>|B!O?F2rv0 z-g)4>|B&+YF2rv0-g)4>|B&+TY4hHB;Jp8ka_(vK-g)4>|B!O-Y4hHB;Jp8ka_(vK z-g)4>|B!O-Y4hHB;Jp8ka`P_4Zu8!G;Jp8k^6qK#-g)4>|B!O-Y4hHB;Jp8ka_(vK z-g)4>|B!O-Y4hHB;Jp8ka_(vK-g)4>|B!O?F2rv0-g)4>|B&+TY4hHB;Jp8ka_(vK z-g)4>|B!O-Y4hHB;Jp8ka_(vK-g)4>|B!O-Y4hHB;Jp8ka`P_4Zu8!G;Jp8k^6qK# z-g)4>|B!O-Y4hHB;Jp8ka_(vK-g)4>|B!O-Y4hHB;Jp8ka_(vK-g)4>|B!O?F2rv0 z-g)4>|B&+TY4hHB;Jp8ka_(vK-g)4>|B!O-Y4hHB;Jp8ka_(vK-g)4>|B!O-Y4hHB z;Jp8ka`P_4Zu8!G;Jp8k^6qK#-g)4>|B!O-Y4hHB;9m5GcRBa8dG9>%-hW6r_q2KM zJaFIlqPv`X+Prrjc<(=?+`J31+q`!kIPX8CynEWbcOE$JKct*{+PrrjIPX8CoO{~5 zcOE$JKct*{+PrrjIPX8CoO{~5cOE$JKcw8e3$fe0cOE$JKcu{S+PrrjIPX8CoO{~5 zcOE$JKct*{TKv5K@VG}`??0Tr?0Wy8;;=S|0dH>;bxw)ssd*^}k{=?~V zb5D!+&I9NDhtuWeo)+(&2hRHsr_0SfE#5m1ocAA2mz#T9ymuZr??0R_H}|x7?>unc ze>h!k?rHJfdEmVNaJt;w)8f7Jza&u3M_s#?7{fE=#=AIVs zod?eQ52wq`JuTil51jWOPM4c|TD*52IPX84E;skIc<(%L-hVh|8Tn8 z+|%N{^T2uk;dHsVr^S2cf%E>u>2h;Vi}%h0=lzG%<>sCi@0|zE`wyqf%{?vNI}e=q zA5NE>ds@7A9ysqmoGv%_w0Q44aNd77U2g7a@!omhy#H{z+}zXRz4O3%|KW7Gxu?Z@ z=YjM7!|8H!PmA}?1LysR)8*!#7Vn)0&ifCi%gsG4-a8MR_a9D|n|oTkcOE$JKb$T% z_q2HLJaFEBI9+b;Y4P59;J)sc9@6FJo)+(&2j2S+r_0SfE#5m1ocAA2mz#T9ymuZr z??0R_H}|x7?>unce>h!k?rHJfdEmVNaJt;w)8f7Jza&u3M z_s#?7{fE=#=AIVsod?eQ52wq`JuTil51jWOPM4c|TD*52IPX84E;skIc<(%L-hVh< zZtiLE-g)4>|8Tn8+|%N{^T2uk;dHsVr^S2cf%E>u>2h;Vi}%h0=lzG%<>sCi@0|zE z`wyqf%{?vNI}e=qA5NE>ds@7A9ysqmoGv%_w0Q44aNd77U2g7a@!omhy#H{z+}zXR zz4O3%|KW7Gxu?Z@=YjM7!|8H!PmA}?1LysR)8*!#7Vn)0&ifCi%gsG4-a8MR_a9D| zn|oTkcOE$JKb$T%_q2HLJaFEBI9+b;Y4P59;Jp8Ey4>8;;=S|0dH>;bxw)ssd*^}k z{=?~Vb5D!+&I9NDhtuWeo)+(&2hRHsr_0SfE#5m1ocAA2mz#T9ymuZr??0R_H}|x7 z?>unce>h!k?rHJfdEmVNaJt;w)8f7Jza&u3M_s#?7{fE=# z=AIVsod?eQ52wq`JuTil51jWOPM4c|TD*52IPX84E;skIc<(%L-hVh z|8Tn8+|%N{^T2uk;dHsVr^S2cf%E>u>2h;Vi}%h0=lzG%<>sCi@0|zE`wyqf%{?vN zI}e=qA5NE>ds@7A9ysqmoGv%_w0Q44aNd77U2g7a@!omhy#H{z+}zXRz4O3%|KW7G zxu?Z@=YjM7!|8H!PmA}?1LysR)8*!#7Vn)0&ifCi%gsG4-a8MR_a9D|n|oTkcOE$J zKb$T%_q2HLJaFEBI9+b;Y4P59;Jp8Ey4>8;;=S|0dH>;bxw)ssd*^}k{=?~Vb5D!+ z&I9NDhtuWeo)+(&2hRHsr_0SfE#5m1ocAA2mz#U~U_b9XEI02zoWAUO|6%>_H~IWQ z?|;ub51jWOPM7ok13xYQzIPrt??0R_H}|x7?>unce>h!k?rHJfdEmVNaJt;w)8f7J zza&u3M_s#?7{fE=#=AIVsod?eQ52wq`JuTil51jWOPM4c| zTD*52IPX84E;skIc<(%L-hVh|8Tn8+|%N{^T2uk;dHsVr^S2cf%E>u z>2h;Vi}%h0=lzG%<>sCi@0|zE`wyqf%{?vNI}e=qA5NE>ds@7A9ysqmoGv%_w0Q44 zaNd77U2g7a@!omhy#H{z+}zXRz4O3%|KW7Gxu?Z@=YjM7!|8H!PmA}?1LysR)8*!# z7Vn)0&ifCi%gsG4-a8MR_a9D|n|oTkcOE$JKb$T%_q2HLJaFEBI9+b;Y4P59;Jp8E zy4>8;;=S|0dH>;bxw)ssd*^}k{=?~Vb5D!+&I9NDhtuWeo)+(&2hRHsr_0SfE#5m1 zocAA2mz#T9ymuZr??0R_H}|x7?>unce>h!k?rHJfdEmVNaJt;w)8f7Jza&u3M_s#?7{fE=#=AIVsod?eQ52wq`JuTil51jWOPM4c|TD*52IPX84 zE;skIc<(%L-hVh|8Tn8+|%N{^T2uk;dHsVr^S2cf%E>u>2h;Vi}%h0 z=lzG%<>sCi@0|zE`wyqf%{?vNI}e=qA5NE>ds@7A9ysqmoGv%_w0Q44aNd77U2g7a z@!omhy#H{z+}zXRz4O3%|KW7Gxu?Z@=YjM7!|8H!PmA}?1LysR)8*!#7Vn)0&ifCi z%gsG4-a8MR_a9D|n|oTkcOE$JKb$T%_q2HLJaFEBI9+b;Y4P59;Jp8Ey4>8;;=S|0 zdH>;bxw)ssd*^}k{=?~Vb5D!+&I9NDhtuWeo)+(&2hRHsr_0SfE#5m1ocAA2mz#T9 zymuZr??0R_H}|x7?>unce>h!k?rHJfdEmVNaJt;w)8f7Jz za&u3M_s#?7{fE=#=AIVsod?eQ52wq`JuTil51jWOPM4c|TD*52IPX84E;skIc<(%L z-hVh|8Tn8+|%N{^T2uk;dHsVr^S2cf%E>u>2h;Vi}%h0=lzG%<>sCi z@0|zE`wyqf%{?vNI}e=qA5NE>ds@7A9ysqmoGv%_w0Q44aNd77U2g7a@!omhy#H{z z+}zXRz4O3%|KW7Gxu?Z@=YjM7!|8H!PmA}?1LysR)8*!#7Vn)0&ifCi%gsG4-a8MR z_a9D|n|oTkcOE$JKb$T%_q2HLJaFEBI9+b;Y4P59;Jp8Ey4>8;;=S|0dH>;bxw)ss zd*^}k{=?~Vb5D!+&I9NDhtuWeo)+(&2hRHsr_0SfE#5m1ocAA2mz#T9ymuZr??0R_ zH}|x7?>unce>h!k?rHJfdEmVNaJt;w)8f7Jza&u3M_s#?7 z{fE=#=AIVsornLQk2^}aE@`tW+9fHZuz?~XB3No!mJP^`B-sclq>yZgG2-1bzW*lI z)q*D&oCciDdvAx=dEoRP-X3@F?eIDe zoc_bxq-+`YHM z>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd* zhu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv z-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj z?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW z$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l z2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwd;8b^_8Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs z|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9 z>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7< zoO`>x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPg zoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3 zF0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a z&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T7S~=db15+vRm0c>RZ#b8nZ|dEoRPTF$*) zUgv?+e`q=Pc6pr#PXD3h+}q`K9ytAnmUC~H*LmRdA6m}6U0&yb(|>3=_jY-m2TuQ? z<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkV zhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV z^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzypXD!4=v~3F0b>z=|8lb zd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Y zuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gc za_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81 zaQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{C zyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%w zL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_ z^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$ z?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF z`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gp zyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr( z%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26 z!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o z?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD! z4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x z&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0 zxwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW z@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCC zE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O z1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>T zx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^ zKeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T& zIuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7| z=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z= z_s8$QmUC~H*LmReA6m}6U0&yb(|>3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNz zypXD!4=s1Q5Z^AZ z^T6ppw7h$}yv_rs|Il*o?eaPgoc=@0-7dtp%j-OF`VTGd-Y&26!0A7x&I70a z&~mp6@$K?D51jr(%e%MB>pXD!4=v~3F0b>z=|8mG?LvIJyv_rs|IqU8?eaPgoc=@0 zxwp&fJaGCCEqA*R-!8B7!0A7Tx6A81aQY7|=iV-_ z^T6ppw48gpyv_rs|Il)`3-RspIuD%wL(99j%j-OF`VTGV-Y&26!0A7x&I70a z&~onW@;VRPZ-4$;&b?h;=YiLMXt~>k_;z`n2TuQ?<=xxmbsjkVhn911m)CjV^dDNz zy3=_jY-m z2TuQ?<=orlbsjkVhn911m)CjV^dDO8b|Jo9Ugv?+e`xvJh4^-Pod-_;q2=A%<#ir7 z{fCyjU5Ia&*LmRdA6nkMU0&yb(|>3=_jY-m2TuQ?uLm)CjV z^dDN@yk z_;z`n2TuQ?<=xxmbsjkVhn911m)CjV^dDNzy4r_jY-m2TuQ?<=orlbsjkVhnBlth;NtI zdEoRPTHd`~Ugv?+e`vYeh4^-Pod-_;q2+HE;@jnQ9ytAnmUnNL*LmRdA6m}6U0&yb z(|>5W+lBacd7TGN|DomG+vRm0IQ@r~yIqKHm)CjV^dDOOb|Jo9Ugv?+e`xvJh4^-P zod-_;q2=A%<#ir7{fCyjU5Ia&*LmRdA6ou)A--K+=Yi9IX!+ZP_;z`n2TuQ?uLm)CjV^dDN@yk_;z`n2TuQ?<=xxmbsjkVhnBlth;NtIdEoRPTK;w+ zzFl7Dfzy9z`P+r~c6pr#PXD3hZx`a*<#ir7{fCynU5Ia&*LmRdA6nkMU0&yb(|>5W z+lBacd7TGN|Dok?7vkIDZ|C8>-~K~;{7)C++vRm0IQ@r~zg>uLm)CjV^dDOOb|Jo9 zUgv?+e`tC4c6pr#PXD3hZWrR)<#ir7{fCynU5Ia&*LmRdA6ou)A--K+=Yi9IX!+ZP z_;z`n2TuQ?7vkIHbsjkV zhnBxxh;NtIdEoRPTK;w+zFl7Dfzy9zdG~gCod-_;q2+ED;@jnQ9ytAnmUnNL*LmRd zA6m}6U0&yb(|>5W+lBacd7TGN|Dok?7vkIHbsjkVhn9D5m)CjV^dDO8b|Jo9Ugv?+ ze`tC4c6pr#PXD3h+}q`K9ytAnmb+buZ4r_jY-m2TuQ? z7vkIHbsjkVhnBxxh;NtI zdEoRPTK;w+zFl7Dfzy9z`P+r~c6pr#PXD3hZx`a*<#ir7{fCx!Z6B+lBacd7TGN|Dok?7vkIHbsjkVhnBxxh;NtIdEoRPTK;w+zFl7Dfzy9z zdG~gCod-_;q2+ED;@jnQ9ytAnmcLzyZ6B+lBacd7TGN z|Dok?7vkIHbsjkVhn9D5m)CjV^dDO8b|Jo9Ugv?+e`xvJh4^-Pod-_;q2+HE;@jnQ z9ytAnmcLzyZ4r_jY-m2TuQ?uL zm)CjV^dDOOb|Jo9Ugv?+e`xvJh4^-Pod-_;q2+HE;@jnQ9ytAnmUnNL*LmRdA6o8q zA--K+=Yi9IX!+ZP_;z`n2TuQ?uLm)CjV^dDN@y3=_jY-m2TuQ?7vkIHbsjkVhnBxxh;NtIdEoRPTK;w+zFl7Dfzy9zdG~gCod-_;q2+ED z;@jnQ9ytAnmcLzyZ6B+lBacd7TGN|Dok?7vkIHbsjkV zhn9D5m)CjV^dDNzy4r_jY-m2TuQ?<=orlbsjkVhnBlth;NtIdEoRPTK;w+zFl7Dfzy9z zdG~gCod-_;q2=7$<#ir7{fCxwZ7vkIH zbsjkVhn9D5m)CjV^dDNzy4r_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkV zhn911m)CjV^dDO8b|Jo9Ugv?+e`tC4c6pr#PXD3h+}q`K9ytAnmUC~H*LmRdA6m}6 zU0&yb(|>3=_jY-m2TuQ?Vx6A81aQY7|=iV-_^T6pp zw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCEqA*R-!8B7!0A7Tx5MB5!$1AqPygZVzx?zc{;B=D-GAWpAKo6P|G?iJUgv?+e|US`y|=^b zJaGCCZ;!k8c6gl!PXFQUarfR1uk*m^KfFEe-rM1I9ytAnx5wRkJG{;Vr~mNwxO;Dh z*LmRdAKo5!@9pq951jtP+vD!N9bV^w(|>q-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s z4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKT zy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5 z-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8# zkGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP z-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzs zbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ z!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU% z_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bx zq-+`YHM>pXD! z4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F z^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x z!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se z=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887 zyv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxHhqwRo(|dvAx=dEoRP z-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?& z1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@ zod-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U z@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT z+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt z?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w z!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3 z{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^ zaQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx= zdEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSw zdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9 zyZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf z9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6pp zyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l z2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+ zIuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy z;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKv zx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#> zdvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkV zhquSwdpo?&1E>G+_PBd*hu3-F{`mcG_PBd*hu3-F^&j3Ickk`+IuD%w!`tKTy&YcX zfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{ z&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!EnMfBO&r_3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m z2TuQ?<=orlbsjkVhn911m)CjV^dDNzypXD!4=v~3 zF0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a z&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&f zJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lb zd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Y zuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gc za_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81 zaQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{C zyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%w zL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_ z^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$ z?(OnA51jr(%elA9>pXD!4=v~34uAU&=l%8{+T-rMU0&aT(|>3=_jY-m2TuQ?<=orl zbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911 zm)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNz zy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3= z_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ? z<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkV zhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV z^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzyx&I70a&~onW@;VQk{zJ>Tx6A81aQY7| z=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CJN)fG z{Ez?i(|>6H@!$URAKG)D{Rd9}q2=@++U0c~IQ@r~yIqKHm)CjV^dDN@y5W+lBacd7TGN|DomG z+vRm0IQ@r~b8nZ|dEoRPTJClszFl7Dfzy9zdG~gCod-_;q2=7$<#ir7{fCyjU5Ia& z*LmRdA6nkMU0&yb(|>3=_jY-m2TuQ?4r_jY-m2TuQ? z<=orlbsjkVhnBlth;NtIdEoRPTHd`~Ugv?+e`q=Pc6pr#PXD3h+}q`K9ytAnmUC~H z*LmRdA6m}6U0&yb(|>5W+lBacd7TGN|DomG+vRm0IQ@r~b8nZ|dEoRPTF$*)Ugv?+ ze`q=Pc6pr#?zcaGE$7}Yuk*m`KeXKKLVUZt&I70a(DLr>@;VQk{zJ>Tx6A81aQY7| z=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0-7dtp%j-OF`VTGd-Y&26!0A7x z&I70a&~onW@;VQk{zJ>Tx6A81aQY7|ce@bZF0b>z=|8mm?LvIJyv_rs|IqU8?eaPg zoc=@0-7dtp%j-OF`VTGd-Y&26!0A7x&I70a&~mp6@$K?D51jr(%ik`@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppwA}4Ne7n5P1E>Gc^6u^O zIuD%wL(APR#J9`qJaGCCEq}WZ-!8B7!0A7%F2uLX z>pXD!4=wNBF0b>z=|8mG?LvIJyv_rs|IqTc3-RspIuD%wL(99j%j-OF`VTGV-Y&26 z!0A7<-0ebqyS&Z=r~lCM?(OnA51jr(%iS)-x6A81aQY7|f4dOhF0b>z=|8mm?LvIJ zyv_rs|IqU8?eaPgoc=@0-7dtp%j-OF`VTFCyAa@;VQk{zJ>%F2uLX>pXD!4=sPY z5Z^AZ^T6ppwEXQte7n5P1E>Gc^0y1|?eaPgoc=@0-!8z=|8l*d%L{O1E>Gca<>cd?eaPgoc=@0-!8pXD!4=s1Q5Z^AZ^T6ppwEXQte7n5P1E>Gc z^0y1|?eaPgoc=@0-!8(F2uLX>pXD!4=sPY5Z^AZ^T6ppw7h$}yv_rs|Il)`3-RspIuD%wL(99j%j-OF z`VTGV-Y&26!0A7<-0ebqyS&Z=r~lCMw+r#@@;VQk{zJ>Vx6A81aQY7|ce@bZF0b>z z=|8l*d%L{O1E>Gca_;T&IuD%wL(APR#J9`qJaGCCEq}WZ-!8B7!0A7pXD!4=s1Q5Z^AZ^T6ppwEXQte7n5P1E>Gc^0y1|?eaPg zoc=@0-!8(F2uLX z>pXD!4=sPY5Z^AZ^T6ppwEXQte7n5P1E>Gc^0y1|?eaPgoc=@0ySK~hJaGCCEqA*R z-!8B7!0A7<{Ov+~yS&Z=r~lCMw+r#@@;VQk{zJ>(F2uLX>pXD!4=sPY5Z^AZ^T6pp zw7h$}yv_rs|Il)`3-RspIuD%wL(AVT#J9`qJaGCCEq}WZ-!8B7!0A7<{Ov+~yS&Z= zr~lCMw+r#@@;VQk{zJ>Vx6A81aQY7|ce@bZF0b>z=|8mm?LvIJyv_rs|IqTc3-Rsp zIuD%wL(AVT#J9`qJaGCCEq}WZ-!8B7!0A7z=|8mm?LvIJyv_rs|IqTc3-RspIuD%wL(99j%j-OF`VTF4 zyAa@;VQk{zJ>Tx6A81aQY7|ce@bZF0b>z=|8l*d%L{O1E>Gca<>cd?eaPgoc=@0 z-!8x&I70a&~mp6@$K?D51jr(%e%MB>pXD!4=s1Q z5Z^AZ^T6ppwEXQte7n5P1E>Gc^6u^OIuD%wL(93h%j-OF`VTF4yAa(F2uLX>pXD!4=sPY5Z^AZ^T6ppw7h$}yv_rs|Il)` z3-RspIuD%wL(AVT#J9`qJaGCCEq}WZ-!8B7!0A7<{Ov+~yS&Z=r~lCMw+r#@@;VQk z{zJ>Vx6A81aQY7|=iV-_^T6ppwA}4Ne7n5P1E>Gc^0y1|?eaPgoc=@0ySK~hJaGCC zEqA*R-!8B7!0A7%F2uLX>pXD!4=sPY5Z^AZ^T6pp zw7h$}yv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$w+r#@ z@;VQk{zJ>Vx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCC zEqA*R-!8B7!0A7Tx6A81aQY7|=iV-_^T6ppw48gp zyv_rs|Il)`3-RspIuD%wL(99j%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>Tx6A81aQY7|ce@bZF0b>z=|8l*d%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV z-Y&26!0A7x&I70a&~mp6@$K?D51jr(%e%MB>pXD!4=v~3F0b>z=|8lbd%L{O z1E>Gca_;T&IuG0*zyDg!y3=_jY-m2TuQ?<=orlbsjkVhnBlth;NtIdEoRPTHd`~Ugv?+e`q=P zc6pr#PXD3h+}q)A|KY#?{ZIel?Z5u?AO3s$_q+eV=|8+ZPXB?wJG{;Vr~mNwxO;Dh z*LmRdAKo5!@9pq951jtP+vD!N9bV^w(|>q-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s z4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKT zy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5 z-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8# zkGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP z-X3@F?eIDeoc_bx+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzs zbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ z!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU% z_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bx zq-+`YHM>pXD! z4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F z^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x z!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se z=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887 zyv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_x7*-?K~WJ`wwsb^{4-E{=ez{ zulnD&^T6ppygg3;fxkO`U+00-e|US`y|=^bJaGCCZ;!k8c6gl!PXFQUarfR1uk*m^ zKfFEe-rM1I9ytAnx5wRkJG{;Vr~mNwxO;Dh*LmRdAKo5!@9pq951jtP+vD!N9bV^w z(|>q-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?& z1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@ zod-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U z@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT z+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt z?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w z!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3 z{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^ zaQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx= zdEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSw zdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9 zyZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy;dLH3{fD>5-FrK{&I70a@b`;;PfBf z9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6pp zyglyT+u?N{IQ@sW$K887yv_rs|M2#>dvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l z2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkVhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+ zIuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7`VVi9yZ3f@od-_;;q7tv-VU$x!0A7{J?`Gy z;dLH3{fD>5-FrK{&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKv zx5Mi^aQY8#kGuDFc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K887yv_rs|M2#> zdvAx=dEoRP-X3@F?eIDeoc_bxq-+`YHM>pXD!4{wjV_jY)l2TuRt?Q!?s4zKgT=|8+Z?%vzsbsjkV zhquSwdpo?&1E>G+_PBd*hu3-F^dH_Hckk`+IuD%w!`tKTy&YcXfzy9@d)&RZ!|Ob7 z`VVi9yZ3f@od-_;;q7tv-VU$x!2R+2-|TVs-VU$x!0SJ}J?`Gy;dLH3{fD>5-FrK{ z&I70a@b`;;PfBf9(V8U@H!8i{=?hj?!6se=Yi9IczfKvx5Mi^aQY8#kGuDF zc%27M|KaU%_udY#^T6ppyglyT+u?N{IQ@sW$K8AT*Z%e&{^9@q^dH*)_MdpXD!4=v~3F0b>z=|8lbd%L{O z1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>T zx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^ zKeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T& zIuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7| z=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z= zr~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z{r2at<=orlbsl*Ahn911m)CjV^dDNz zy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3= z_jY-m2TuQ?<=orlbsjkVhn911m)CjV^dDNzy3=_jY-m2TuQ? z<=orlbsjkVhn911m)CjV^dDNzy&eAc9nSmhKeWf)d%L{81E>Gca_;T&IuD%wL(93h z%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6pp zw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA z51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV z-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs z|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9 z>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7< zoO`>x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPg zoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3 zF0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a z&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&f zJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lb zd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk z{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Y zuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gc za_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81 zaQY7|=iV-_^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{C zyS&Z=r~lA$?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%w zL(93h%j-OF`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_ z^T6ppw48gpyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$ z?(OnA51jr(%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF z`VTGV-Y&26!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gp zyv_rs|Il*o?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr( z%elA9>pXD!4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26 z!0A7x&I70a&~onW@;VQk{zJ>Tx6A81aQY7|=iV-_^T6ppw48gpyv_rs|Il*o z?eaPgoc=@0xwp&fJaGCCE$7}Yuk*m^KeU{CyS&Z=r~lA$?(OnA51jr(%elA9>pXD! z4=v~3F0b>z=|8lbd%L{O1E>Gca_;T&IuD%wL(93h%j-OF`VTGV-Y&26!0A7x z&I9+y@4uFFZ3=_jdT( zfB3Ke<){D9{=>if=|8mRKKl=x{zJ>@KeWs1JaGCCEqA*R-!8B7!0A7%F2uLX>pXD!4=wNBF0b>z=|8lbd%L{O1E>Gca<>cd?eaPgoc=@0 zySK~hJaGCCE$7}Yuk*m^KeXKKLVUZt&I70a(DLr>@;VQk{zJ>Tx6A81aQY7|ce@bZ zF0b>z=|8l*d%L{O1E>Gca_;T&IuD%wL(APR#J9`qJaGCCE$`kguk*m^KeU{CyS&Z= zr~lA$w+r#@@;VQk{zJ>Vx6A81aQY7|=iV-_^T6ppwA}4Ne7n5P1E>Gc^6u^OIuD%w zL(93h%j-OF`VTF4yAapXD!4=v~3 zF0b>z=|8lbd%L{O1E>Gca<>cd?eaPgoc=@0ySK~hJaGCCE$7}Yuk*m^KeU{CyS&Z= zr~lA$?(OnA58Q8m{#wqxU0&yb*MDfa+lBacd7TGN|DomG+vRm0IQ@r~b8nZ|dEoRP zTF$*)Ugv?+e`q=Pc6pr#PXD3h+}q`K9ytAnmb+buZ7vkIHbsjkVhnBxxh;NtI zdEoRPTHd`~Ugv?+e`q=Pc6pr#PXD3h+}q`K9ytAnmUC~H*LmRdA6m}6U0&yb(|>5W z+lBacd7TGN|DomG+vRm0IQ@r~b8nZ|dEoRPTF$*)Ugv?+e`vYeh4^-Pod-_;q2=A% z<#ir7{fCyjU5Ia&*LmRdA6ou)A--K+=Yi9IXnFT`d7TGN|DomF+vRm0IQ@r~yIqKH zm)CjV^dDN@yk_;z`n2TuQ?<=xxmbsjkVhnBlth;NtIdEoRPTK;w+zFl7Dfzy9z`P+r~ zc6pr#PXD3h-P`4L9ytAnmb+buZ6B+lBacd7TGN|Dok? z7vkIHbsjkVhnBxxh;NtIdEoRPTHd`~Ugv?+e`q=Pc6pr#PXD3hZWrR)<#ir7{fCyn zU5Ia&*LmRdA6nkMU0&yb(|>5W+lBacd7TGN|DomG+vRm0IQ@r~yIqKHm)CjV^dDOO zb|Jo9Ugv?+e`xvJh4^-Pod-_;q2+HE;@jnQ9ytAnmcLzyZk_;z`n2TuQ?6B z+lBacd7TGN|Dok?7vkIHbsjkVhn9D5m)CjV^dDO8b|Jo9Ugv?+e`xvJh4^-Pod-_; zq2+HE;@jnQ9ytAnmcLzyZ4r_jY-m2TuQ?uLm)CjV^dDOOb|Jo9Ugv?+e`tC4c6pr#PXD3hZWrR)<#ir7{fCx!Zk_;z`n2TuQ?7vkIHbsjkVhn9D5m)CjV^dDO8b|Jp~|Ad`)ymeK1t@lR6hS(4VD@7CpGy)2U z(p3~hMNxW3QB-WXD$<*ReiX$D_8RrZs31j}C~B@rBv_Mp6MKnWtkG}Z@w{`5xsQo+ z+`pdRH`e#;xz=2Jzo+dpPV(t_z@z`c#IIh6iOHwu0gwI%6Tf;PCMKVr2R!;8O#JGF zn3#Ne9`NXYF!8GwVq)^?dBCIp!NeywlTXhB9{mp{UiCsuOg=pic=SJ*_|*$BG5Pd7 z;L-nJ;#V)k#N^ZSfJgs>iC?`C6O&KR10MYkCVuroOiVsK4|w!HnE2#o^67cNqyNFg zt6qqS$*1Q5kNyV}zj`4iCZC=MJo+C@{OX06n0$I3@aTUq@v9eNV)E&Ez@z`c#IIh6 ziOHwu0gwI%6QA5nK0Ob3^goz*)eA8(`Sd*C(f?rLS1-iGV=q?e0m=6=zlQrs~2Ko^67cNqyNFguU?3W$*1Q5kNyV}zj`4iCZC=MJo+C@d~!4S z^gQ6v|6t-(FT}*;)AN8w|AUENy$}3P7T|G~ts zUWkdwr{@8W{s$AkdLbqzpPmOi`X5Yuax?k#JmAs)VB(RR$*1Q5kNyV}uX-USCZC=M zJo+C@d~!4S^gQ6v|6t-(FT}*;)AN8w|AUENy$}3P7T|G~tsUWkdwr{@8W z{s$AE+)O?_4|w!Hn0Vx7^67cNqyNFgt6qqS$*1Q5kNyV}pWIA7Jr8*FKbUyc3o$YI z^gQ6v|6t-*FT}*;)AN8w|AUE7ZYH0e2R!;8OgwTk`Sd*C(f?rLRWHQE3P7T|G~tsUWkdwr{@8W{s$AE+)O?_ z4|w!Hn0VC-F){h{JmAs)VB%LV#Kh#&^MFVHgNa|g5EGM6&jTL)4<>%~LQG6PJr8*F zKbZK{3o$YI^gQ6v|6t;io5`o=0gwI%6OY_XK0Ob3^goz*)eA8(`Sd*C(f?rLS1-iG ziAQcGpPmOi`X5ZZ z>V=q?e0m=6=zlQrs~2Ko^67cNqyNFgCpVK%&jTL)4<;VDnS6R4@aTUq@yN~O)AN8w z|AUD~ZYH0e2R!;8OgwTk`Sd*C(f?rLRWHQEiAQcGpPmOi`X5X@ax?k#JmAs)VB(RR z$*1Q5kNyV}uX-USCZC=MJo+C@d~!4S^gQ6v|6t;go5`o=0gwI%6OY_XK0Ob3^goz* z3P7T|G~r~HNr{@8W{)bL^m7Bq* z=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBw zo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKN zPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc| zkN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>N zr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^ zm7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uY zhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@9hecxF@d6k>Nr{@8m{)bL^m7Bq* z=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBw zo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKN zPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc| zkN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>N zr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^ zm7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uY zhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582& z0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^ z!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^F zd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W z{)bL^m7Bq*=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq* z=K+uYhfaBwo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBw zo582&0gwKNPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwo582&0gwKN zPI;A^!Kdc|kN$^Fd6k>Nr{@8W{)bL^m7Bq*=K+uYhfaBwn`6Iv9^_U3L+5u||AT&h zJo+Cx zJo+Cx3P7T|G~r~HiAQcGpPmOi`X5X@ax?k# zJmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*FKbUyrX7cHIz@z`c#3MJ8PtOA${SPJ{xtV-= z9`NXYF!9LE3P7T|G~r~HiAQcGpPmOi`X5X@ax?k# zJmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*FKbUyrX7cHIz@z`c#3MJ8PtOA${SPJ{xtV-= z9`NXYF!9LE3P7T|G~r~HiAQcGpPmOi`X5X@ax?k#JmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*FKbUyrX7cHI zz@z`c#3MJ8PtOA${SPJ{xtV-=9`NXYF!9LE3P7T|G~r~HiAQcGpPmOi`X5X@ax?k# zJmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*FKbUyrX7cHIz@z`c#3MJ8PtOA${SPJ{xtV-= z9`NXYF!9LE3P7T|G~r~HiAQcGpPmOi`X5X@ax?k#JmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*FKbUyrX7cHI zz@z`c#3MJ8PtOA${SPJ{xtV-=9`NXYF!9LE3P7T|G~r~HiAQcGpPmOi`X5X@ax?k#JmAs)VB(RR$*1Q5 zkNyV}kK9Z?Jr8*FKbUyrX7cHIz@z`c#3MJ8PtOA${SPJ{xtV-=9`NXYF!9LE3P7T|G~r~HiAQcGpPmOi z`X5X@ax?k#JmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*FKbUyrX7cHIz@z`c#3MJ8PtOA$ z{SPJ{xtV-=9`NXYF!9LE3P7T z|G~r~HiAQcGpPmOi`X5X@ax?k#JmAs)VB(RR$*1Q5kNyV}kK9Z?Jr8*F zKbUyrX7cHIz@z`c#3MJ8PtOA${SPJ{xtV-=9`J7b^pbewX7cHIz^DJg#3MJ8PtOA$ z{SPJ{xtV-=9`NXYF!9LE ziC4W46O&KR10MYkCO)~De0m=6=zlQr$j#)_^MFVHgNawY5EGM6&jTL)4<iC4W46O&KR10MYkCO)~De0m=6=zlQr z$j#)_^MFVHgNawY5EGM6&jTL)4<iAQcGpPmOi`X5X@ax?k#JmAs)VB(RR$*1Q5kNyV}uX-USCZC=MJo+C@d~!4S z^gQ6v|6t;go5`o=0gwI%6OY_XK0Ob3^goz*HV=q?e0m=6=zlQr$<5@`^MFVHgNawY5EGM6 z&jTL)4<iAQcGpPmOi`X5ZZ z>V=q?e0m=6=zlQr$<5@`^MFVHgNa9OCZC=MJo+C@JaRMn^gQ6v|6t-(FT}*;)AN8w z|AUE7ZYH0e2R!;8OuXuan3#Ne9`NXYF!8GwVq)^?dBCIp!NeywlTXhB9{mp{9=Vx( zdLHoTe=zZ?7h+=a>3P7T|G~s3HiC?`C6O&KR10MYkCVuroOiVsK4|w!HnE2#o z^67cNqyNFgBR7*z&jTL)4<=sqLQG6PJr8*FKbZK{3o$YI^gQ6v|6t;io5`o=0gwI% z6R&z9CMKVr2R!;8Onh=P`Sd*C(f?rLRWHQEiBE1OpPmOi`X5ZZ>V=q?e0m=6 z=zlQrs~2Ko@T=!R$E*Lrl;3(GCMKVr2R!;8O#JGFn3#Ne9`NXYF!8GwVq)^?dBCIp z!NeywlTXhB9{mp{UiCsuOg=pic=SJ*_|*$BG5Pd7;L-nJ;#V)k#N^ZSfJgs>iC?`C z6O&KR10MYkCVuroOiVsK4|w!HnE2#o^67cNqyNFgt6qqS$*1Q5kNyV}zj`4iCZC=M zJo+C@{OX06n0$I3@aTUq@v9eNV)E&Ez@z`c#IIh6iOHwu0gwI%6QA5nK0Ob3^goz* z)eA8(`Sd*C(f?rLS1-iG3P7T|G~s3HiC4W4 z6O&KR10MYkCVuroOiVsK4|w!HnE2HTF){h{JmAs)VB%LV#Kh#&^MFVHgNa|g5EGM6 z&jTL)4<iC?`C6O&KR10MYkCVuroOiVsK4|w!HnE2#o^67cN zqyNFgt6qqS$*1Q5kNyV}zj`4iCZC=MJo+C@{OX06n0$I3@aTUq@v9eNV)E&Ez@z`c z#IIh6iOHwu0gwI%6QA5nK0Ob3^goz*)eA8(`Sd*C(f?rLS1-iGV=q?e0m=6=zlQrs~2Ko^67cNqyNFguU?3W$*1Q5kNyV}zj`4iCZC=MJo+C@ zd~!4S^gQ6v|6t-(FT}*;)AN8w|AUENy$}=K+uY2NRFnOg=pic=SJ*c-0FrG5Pd7;L-nJ;**=nr{@8W{s$AU zdLbqzpPmOi`X5aE>V=q?e0m=6=zlQr$<5@`^MFVHgNa9OCZC=MJo+C@yy}IRn0$I3 z@aTUq@yX5P)AN8w|AUEFy$}3P7T|G~toUWkdwr{@8W{s$AkdLbqzpPmOi z`X5Yuax?k#JmAs)VB(RR$*1Q5kNyV}uX-USCZC=MJo+C@d~!4S^gQ6v|6t-(FT}*; z)AN8w|AUENy$}6Lk=K+uY z2NS<~AtolDo(DYoA58q}g_xLpdLHoTe=zZ@7h+=a>3P7T|G~tsUWkdwr{@8W{s$AE z+)O?_4|w!Hn0Vx7^67cNqyNFgt6qqS$*1Q5kNyV}zj`4iCZC=MJo+C@d~!4S^gQ6v z|6t-(FT}*;)AN8w|AUE7ZYH0e2R!;8OgwTk`Sd*C(f?rLRWHQEiAQcGpPmOi`X5X@ax?k#JmAs)VB(RR z$*1Q5kNyV}uX-USCZC=MJo+C@d~!4S^gQ6v|6t;go5`o=0gwI%6OY_XK0Ob3^goz* z3P7T|G~r~HiAQcGpPmOi`X5X@ax?k#JmAs)VB%FT#Kh#&^MFVHgNaXWCZC=MJo+C@ zJaRMn^gQ6v|6t;goBz!}bhg$yYs}W2ZE@#Y>HB1lUo39*I(@$X<0WssR?AHtU)lfg z-~H8p+M@i<)o(3-wW;GP{oPisfBhzl#b@@{`9Eg`KcIO+Ztg$yXD>N!vH0j+0FZEP3I5_5K&-rjC#N*(FCT7Ds(Uzps1iC6DnAsLeCS{DjK$*6ueJ3}&WGGoKJ;&VWAj-b-{(Qjc}>TM{!Lpg7Kg2?-*K8(rrgx=vA_9Zall;u%}erl2PrpoeCTtX&v^fI{-&;{a`XLTpYwd$#qIn}&WGGo zK3xCs;}?r3{!Tw{`oog@tgWAaDK~XI`g>llpI@!HSeQJX9~UYg`LkP2Uo5tMsot;u z)g>SE8@>NuxvAqLe?EJKyhHVV?Jq9*m~ZL*-^xuLANq%%u~=;Xtakn;*FkP7ANuc_ z>;HXNUw?mW$$gH|*YCq&uQM*cqkdm($Iiu;ntRGkjt~8xYEEwX zkGkKxuHcXL`!D3?KW2Z6-SmF@^%e`0$MfSt<)iiIx86tV?5FoTzjFoOp!d&{oBL&d zi^u8x)<4ub6L~y8E>u2RpM6^Wk-2_f?x{<%pWfH$_|Vt&t^R~QUjF74om_8S|3r=t z{oft5SpBPW_4_J^FL}!o^>5{-jt_m#?{ELu?!U?P_`0U!L;oi`HJ|gx_jQnSUDNTA zKVM5hleAvwzn2bCsLQhd%4v{nnbF|FPtK9@5q~b$sYw@;G@<()!O{@;=*ZedVT(5B(Ef zxmeu()B1VSzb<))N9gC5%1s>~`Y$|SvAFF+_4AKMF3IDOn>s%9pLaKX-Jy?n7j3-c zn(x%t56Vp)ANtSTa0ofT>U5Y z?dkkGSMN~gzuMIC;reg*_r>aOKTJQb_~Vj4{=9zvq1@E*q5pzw7pt$`PCsvY`;yn| z;~}}J<3s<1`z=;q^BLWLeZR!y@yJc(L!Z~*NA95ad+Gb9ratb?{koiv4}E=|$JbYU z{b$zKbA0{B*LBnJk*v|e z^m)ps^!3KiKDgu!Pu9Pcn>s%9e|~K9exc_(j|1_@&E)^$rsnBmR`yb@y=EL)!Z*<@0ZS=VnlvlYK{Eg3Q-X;%SL3x#%!QbSk&3k}8PvJU> z@+vojzv+I>d*EyZI*r3$?H#0|A=x^$4B?SzE6RF)7P30 z@%a9Qnf!l#ee-VKc}YBSGx;~KZr+#Pza$>Hnfxyw)4Z?Tc}YBSGx^NP>vwANv-5{- zeqL|xG~PevBp!3KQ(om}@R^f%%+F4Fm78O~=HzvsYV*^){f6Pfd z=4Yq8%FW<2C-In{o$@L-gU_7AV}5qZtK1Aea}tmF*(tAbGx*F&JmzPoyvohsGbizw zpPlk5H-pcd#AAMT%B$QQ`!y%8J+{qH^SZmW`DrR2y?$y=;xRW(Jm#mFeC8w`^V7tu zxjHfV%t<`vr-@&4bz<_FlX%Qe6Tjx_#N;zSul;J9pXPO^w)tr)AMPJ>5|8<5;*p!l zXHMcVKTSMxGx#+>uRWm6PxHDp+x#?@kIuj5Bp&nA#AAM%$!AXDF+WYbnyV9&&z!_# zewz3-S0^T)If=*oH1TV$PE0=Y^V)xF^V7WUtTsPQ<-`4BPU0~?O+0cl`OHZ?=BJ5A zZYG~OiO2jj@yN~OGbizwpC%r;nSACX9`n=0BR7-JoWx^(nt0@9@|lx(%uf@K+zfur z&ujGkLO%cO{9v1(*O-6l`*+jVugpn2=4Yq8%FW<2C-In{o$@L-gU_7AV}5qZtK1Ae za}tmF*(tAbGx*HUYo6ccr+MxAZGM`{N3WlnlX%Qe6R+m##N;z4@tB_`e$Ca1!LRvw z^)K7}G_Se1%}-PL==^I=;xRu>Jm#mFeC8w`^V7s5H!ysC>A8%t<`vr-@H)CZ9Qp$NV($YOYR9K64U}`Dx+XHMcVKTSMxGx^L(Jm#l~M{XvcIf=*oH1WvId&$sz$UiqRnKTYMM`(N`BkNIihF*nWRGbizwpC%r;nSACX z9`n=0BR7-JoWx^(nt0@9@|mAkKBCRf&TqE)d8PS?@%}L<@tB{T@+voj&z!_#es;>M z+#LHgKd-p5%}?{nquTs5m5=U!%||@ur-{ehG?UMq#AAM%c;sgCnUi?TPZN*aOg?iG zkNIihk(hupPlk5H-pcd#AAMT%B$QAK64U}`PnJ2 zax?hMNj&Cfr@YF|;4>%jn4g{UDmR1AoWx^(cFL>V3_f!bkNMdtuX1zj*ZjQv@HRiq zEAG(dr>T7O`l&gI$NV($n4f0ynUi?TPZO`^>cr$TC-In{CVtJ;iOFY9;xRu>{FRY ze@*41^RM}e$NV+%n7d~3nX`DzUlWhqOg?iKkNIojk(9M|Tr zdHH&6{+h~%`^TKcWB!_Wcr$TC-In{CVtJ;iOFY9;xRu>{F z9`mzPUgc)+nUi?T&rW%jn`6J`=f^i|^Rx5jHa|aZZZ^FCH7D_ypPllUpZLY#Gbizw zpPlk5H-pcd#AAMT%B$QAK64U}`PnJ2ax?hM&yT;M%}?{vUE2IKm5=66%}G4wr-@f{ zbz<_FlX%Qe6Tjx_#NgNabUuD@d;Oc2KBm3?P35EWuh%&quYVJd*T0#3Ugvnc{!Kh` zGx@yE@p%24c;sgCd7b0&`Zw{&&E)es$K&;H;*p!l=XH+9>)*s9HZQA|s{J-t~f6Uw_-+w&rf2Ta|KYlUz+-E%Qf2X|4&ERvN@woq;@+voj&wa+@ z{&&i&+zdYV8ISwlDX(%f_}pha?tiDe%FW<&pYgc=o$@L-$Nuc+k60`&(ERxMHzm3)=U?lu`fOX@JnscANjTZ ztAEqhH(&Giw!W!+1s<{+r52eyxAbd2N03 z-0j=?rt*zuP`Tfg&*ZT)l1O^1H1|EdSJ^*e8B>%Yo;;Lxx2UwKVi-+Yz&T)2Ky z`RM#>{j;xa>zl7Uwykd}ANjS;+55Hi%~#Ia`lj-cU+bUs^tQfv_PnicDj)f^{+T~* z>zil2v8`_^ANjTZ89!_5n`geMt#2wH`L+HTXSMasGauU4H0!>zgN^ z-_|#kkIsK~(>oT6FD!}aI8Kfif-wK_WV?RzxI4D%HRaUXZ$A69cKxRE z(fW0LcwE1U$90>*uj@bdzuNVi&wfw4epC5q{kl#(uHVGt`pw|i^*`(QcKznD8?@^; zm5%`;wO+2pO41QhzvtHY--#qqF?fOmSqxI`L@wk2ykLx#sU)TT4+qUcP{Aj!W zXPUPiu3y)Q$Mtv0P59@lT;aouL{XP3N7 zJ+b=y^R0WY;OEufN^Xun|D3(;4$XVpr7I|}ax?fhep{aUA3yt=6;yv><>n^C>z{p2 za|{1-zg|Ikm7Brm|_umYD-RC3p`9JsHJW`+kbN@}{qxI`P<8l8@Jnp}leD3oRpKSNv zJo1=!|4rq?^|{Y@+3 zdE_PS{+r52>(_n8YWLqf;;!xfo61M~U-uc0`)}ga z^I~G~>;4~pZoB{H5!<%=Zz>M=u^|{Y@ z-2YB_m78O~?*CyMxBK7u5AFURW^O!OzwR?0_rFsf_aDDF_UrSXL*Ce~-#m2JcKxRE z;r?@-cwE1UM{WkcuK$p$+Vz`<9^J0rR6bh2t`CpvH}SY`Gx&A=2S2o3f9Jon>p$3h z=y3hIPCTx^Qy$lkUmW{&{Ri*cuD|n7?fMTk_Z_ZZ*NMmVcgo}X@r%Jf=zaQs`gz5{ zPhP=~s=uAw9Dn{^`*+~y+Wwgby|C?{seE+*YJc$9KNF9AGlO6Ici?}v{WA}`sO_Jr ze6)V;4<7qx;<0aL^4X^Y_45|?&phbyZU0Q=qxEb54$$D>>u>YG+qeBQl@ERP36K3V z@yN~K*Zv)FdfPwqz%ASUnaW4&*FNE~eIA3$!7zy6&cxo-35(Z(;ztK1C!yL8{4sPF&3>%Ue|-}kTF z9Do0R{_ZQ9_nsqHP+sL`@VU;tZfMtU?)}_${igEK{jcl9bG@zocEiseHIT*NMmVn|S1A^11%U>wU`H z|IX{$^*`QRZ@fO&iO2PK%B$QQ`?FiVroS_?mi~UpX)E{<{XGkEbFK0Evzr!+J$|CU zU!wPqnUB%mogg=rkM^(D+5N3;eRGe;we?NqBfr+!{miz$xrh4u>%1l^ANjTZZVzwk zcm79Pe>d~tL%-J9?LKY&&d;{>cQfxZ^lSZH^nE7w-`w@3ZGBVu==^K_T`q0wo4e}o z$#DIq@{wQb@2u~4u)ev=o!k1R@{wQb?7Vkdzw@_k{hiIdhkmX9=+$j~bEo&W^-bk* z{YQVdt#9shUR&Q(KJsh*N9pT5uHSt0&TV~D`N*&9f7FR>ee=-|Z0noKM}Dop<5q3` z&abugcQm&e`nCR!cW>)=Ufb5+(Y*W6ul0Ahv8``D^7yvCseE+)wf+ttZtI(me0p2o zR6g=+{p}BF>zg~=wykd}ANjTZ_ItGTJAc;J-`?C~=-2v>_)S~i-0tmdeN%Z{{}JD4 z>zmu1-PSjikNjHy;j7yE<|DRi>zm3)eqH~=U((h$A9267zNviV*ZSLT+Sc#u-C{s*d%gax+*CgF`8zM0-Clq1<(Dh?@%Hy$HZyNO_F3nFXSDUr2W{2X zHu>VUZGH0ruW0L=%7;GdZ>;@d{m$RC^*1*68vCrj@w40d<|ga5 z^-bk*{SCEGtZ#0#s;zG-ANs7n(Hd?2&JVTqH!{~4`~2O!d+(|B^!M93`Fxh#+;i+- za)$oj3)zm4lKI`98e?N)+@4Tw5e^2u+W1s84r~cj)fB&lU z+im@On%j?k)?e=fZGH0|`?mE><-_x5{q=5Y>znsDp{;K!ANs6+_g&iho&VX^zq`51 z*k}E_AKTV9*SlL=-&8(a|AZ^`cd|B{&0lfU3f`>0zeH|sHug{4sCg%TVFl$?ZU+CP zbDDSZHY+Hvax?fR>*EgRf6B!xD6eue_@^GwywlcPL3x#%!9VR&%{%?L6_i)G8T>Q! zcSbq?GrqKf@+vojf98eFJ8Q=klvlYK{Im4@WBs$=y@K*8H-pdf&v+;5{+oE@X7W$eea1UU_us@L zH^C>>mAfyAM{XwnRckcwRUcXskK9cDInQq1 zx$7>8M{Xvczf(DXY5o1m&NVh_f4|by@!|ZwNPnjiUw^-{6OY_XKI_l!+}7_@A7AC> zo%8y5tlue*^=D@AHD~JYW@`R)@^>@I&A<5fGnezHJk6g@Jk6i-Ve&O+%G3Pm#3whC zuQ^km=1(UcxtV;;nesG$I`PQOn&FQ=l|8;pS^C$AHP!nR&MI}aQ^WBe)QMPH~;hg%{P@t|A*@| zzw`3u|Il0~``>?W^UWVTsrjbzxc>JZ-TclUH2-_%qqF~=uQcEM?kUYTmB;nJ{k-Oz z-??Y=P31%XgeNanUw45%fBLT_uYaWet=!b{q5q02ns?%nOX886$v_9OX886$v@>6%{%oiOX886$vkLORPyvohs^E|=h`O_({ax?fmPw;r&bjqvT z3_i~jJf1(D@+voj&+`P2=TE1+%FW;(cHUz3B|q2u6}DgUt#6*q$xR(!^DcT1seY`s z)*aWF?KWF$oi%3D=WmDqws}XqV@W)6Gxy*d-;TMC?KH;%{o$@L- zgU>$Uv45TNDmR1AKH;%{o$@L-gU|lGNuOu2f99KyX!~a>kIz3m_Rqv)-^}E*Pk8K~ ziAQcGpMAn(|4ck`Gx_Wj9{XqFk( z36K5jlvlYKeD(>C{p*xhxfy)+36K5jlvlYKeD?44KWO`BzTv{Qf2Q*I{KI4aOg#3@ zOg{UB$NrgkC{WI}vUneG?eZph^O#Ir{iOFaGUU$#7f1RId`}aEYo_YW9 z*uPGB>>qwH`0NuN``0P2ax?hs6CV55DX(%f`0NuN``0P2ax?hs--RD(`)9uPfVO|8 z^7#D2WB*J%_RUN_`-I2-nRw)8^4TXm_Rqv4HhUT!!~S2gseaE)@AJer zxu4{w^5Obl)bGC>_9XR}>gO*e&u4N|`OyEF-sgScebx8*@FjUZlbbp|^#Ayb#o{7; z|8C7)R`9j6S>@)RfB){!UO#_);$rbSz5fi~)Z@*_P36P&e|e8~-oMrLa~(z9zsk*U z{#@s(Bb#UPINq1(_;7uWpL3?(ueiyQJdPiCIzIG&{Y|Z}_Z$Cqrxlc6xw-TB`hWLz zd4DyV{o(CPUU=ecMsDi(aDDc9m0oXu`plBr@7jM;$A|txKWAE{_dCz^d^In8(QHO; zDj)gtHS}{z?N{A@lji}sseI_KbzA+vZD;elY`)}0@0`uaO&yOuy^FVbiOyppkLSmQ z|E+&<<@0yG_TDpFf%x9y_btR6bn)PI}+pDt*6@^EX+a+*CgF*L#Tm-)(2} z`|5p+=0zvZ=H#aGp?}wZ)VlioW8I6Eyzr>moZQs$q0c(2^nTlWymv{?!{;>}ANuP* zQaz84n$7QZn>iE#V_ZzgX_V2#yEXn%hX4=1veyw%%`NAgqE_taw9+R6o zK3xCN&si)!`a|`np1!0$?$60h9UuA|>Gy`zliJodbv~7wfBycrKYRY&e?85e_c!0< z@yJc(qxEY}ouzrp{5P3ro@-Ef^iTR*&A<6#Ve&YWS5!Xqf3DAaPI}Men|i#;%|Czt z_R8y@{rVpkixbt~!rV9MJtQ}k57%c-p7bEi&l{FJ>qBjRnmRu6>ptmv>;9SC2kxI4 z_OI^K>FYP&@{mki;^n77{o2R{|&2LlraDDdqgb%m% zP0qvjWja3c=WA&HPuxKBU+=#$Pdi=nU%9D#=->LgI`17dzxDnR^R!pa=H#aGp}+PS zZQVP5f63GIeqeGlt;??udlwY~|(c${_Iz8b{ZGLv@_3YPm@l9i&IfKXi z>6Bl&Irisw`hdJM+w;%lc}Q+5A6@_K2OBOHFaGsxzSWsazGS0W<))4g{jcr6SiI=P zv-zX0UsC^nMsDi($e-`DRr7W^e+4g8A3nJ`_G_IN+*N(`@=dK*xv6}#e*M4a?A*@Z z)c^P6`uNDN^F02Rw!X=EaQ>$9kzZeD9;UvCFX{Q;sjo-fB)&vK7R9kibF4M z-@oY8*Ta>Y7mwHH>vTN6e(#i5xfy)U?_fPozpm$x$#qQUT~t20{+f4(9Ituv8}-Lb zJWRj;!yGh~5B**Bare+SsJ}s7z{5g2{cK=N7Be|(O z`UhM(n?K=a+OLT`jvp5)ANjMJHd!q8yHmSyd1*5?oAArDZ0jB+#iPrF6uedTQa^y8O2c-z^W+|=>m`kd$fKWOWloQJP# zIv#!Yo$EJw96v5h`_Fam|CwohQ-0;-K6P35EWuQ~JN z@3q&x$sF)pg35-6`U-nb;!L2l~!(Erh6<>~X4+n>AS z(>^krk()X`^5=K>a`U!We@S_jo5|;O`m~Gn`aNw)UdQC7jt|%8b-Le++VjWcdF0pi zbbRP<`L@O4sbAOnuU?Y#Avbk=>|dmP{#El$9&bzKrt+cB`8{>LHh)a6!`C$(ANo&! zxz6(++x46H{Uax;AYi+$c# z^Md{F)P7cOhWW=j`~FnxZ@VPtG;T>{b8o(y-;*UP_NlJoF+O~;4+ zpPs&0Jo*c5|4r@pjNDW{^m+WP&u-Umay~w<>G;U6`@Ez2Lb(4X=fV9ql@I-=K27_t z{txDd$vh!9l@I-6u3IdgwApNa>_tmH`E&aDy>e5>hyJs_Bkvuv`CIh!0(0L_&gSH% z@}d9My|m6(XY;qcXa&DHn^$gz*U!c8*SebX_$H4>ZYm$Hf0*`tpM&&%hwrZ7F?#<7 zxp~moXP@w&`K=|{Pw(q=e7HW>iO>0)TnD+SeCWUP+wydt@40CO_4BvN&0~W9Pi@`z zOxIu3ac9p@OunhdtK9s=`26et>ErKF_tfK< zdK^FQbbPozuaie@Ih!B4#ge>^{JNTskNnvmZrxu0f7IuDy#C?#Z`1rzZYm%8H6M0t z^J{BUkK?&A9UuCC(%j#A<2FA`&5IeiseI(m*V;+vdE{*Vlx>#WQJ+7Mn>s%957zT^ zn?2h8nd~RIseI`3`k*I^*N@5bf!tI+^iS2#KOUp6cP~C}1;3`>XCODnU%%J?+x^4x z^*lCtJU=c}K3xB}3m1!ruQ8iH?^#Rkx|q$$O&uTlFT6(A{o~pE#ecmd9=WOGL;t1v zKJCuxnK@zWC3ibZzdx$n)bXKz>VNA0>HeLe@0XeE1G%Yu=%0MJJpKIrti=lI@hUgR zpMT9?b}OB?-lzET&#a)Xr*d=i@%k@#vYxkE=f$5~lKmt%b$odKth4>UYW=I0Q^$w?$-8OYU8nU;oloUv zc>f#sX-9p$s`EGXIF*~>)-Wp%-pzr{L;rQA0MvI$C-yce>P`*ljngyE=|X?fAnnr{PX0SdOSaF z@#yjWf6D_Gi--Po`}kopH_1)q!}a+*Z^ymb=YJ+2_sC7zB{R&ra<}<>vp#-|7jo`P;v;SeQJH9~UYgUO&gT z{Gj}QU6RL{yrPZ|{UhJ5-oSs*`=1}W_eF3YM++6R6BsX<@xc<32wskLj z>yoTXZl?8lo^AL#&AZ)~&a9;^xvfKe{QJPDf7bQ zamY>O+22Y2R`N|fo*%ck)6lQy?=AmQ@0VF^>iO#DwU3Y1Z^yOn{7;M3x0rhWKd%ct zo{x|G`5O8?2|T@DXGxt;<>vqA{J+0e^G!XT*DWd^u78)8FINBHJ+t|J{$|Nv>-(|f zrj8H&`|0;bSeMto$vhx8!}@i8mwu!9rvAUr+sB9NAMs-KL_S3G>NYEQvVI?h+VzIV9|K;-slgA-9l@I+BGS`SZ$q zEP02g>FaUjrj8H&SLi&~{I>cB_F0n0BR6$?=pXkr^={o!{Zsn>m&xOio63{Fdhr1H z4_uh_IDTA_JoZYq!dZw^#nh59>89>x(ZZ|^jl|IOW2 zaLd`eax=Vtq+TCq>hr(z_4+aOdh+XPIzC*V<6k~szJA}&u4BkK0P?9x|E$aAieg5B< zAKA{|JQQ*P?`&_CgW>gl;!A1}YTB#%dK>iE!q z`L`FVuX&&5r#}BPc|3Ac`OrUXTm9bZT;G4*dP$zgV1=yn}6y1cT<1N+TWJ9o!)=^vK7?#?<+UA8=n9C zZb!-6T0gJ-G!ue#Z@tTk^u&>;31-O&yQ>cmCRK|2p5<_V0Xi z?Xk~3ov+VV*uTyQin1%=4bn_Rmy4^6PoF zN^^_npLyOB+y0r#hd$44!GIQ}26W{nO1uvwzzCn%{Y8^G`GHm;Fo-q*N%KwR!}I6$f2w}o%!T9hG#A%u`Hhd}0pDHDMJG9<_h0xA}zqUcXBpQY8-@pGV36%`e6)>dunk5WEc z1?$*?k@G#*^RD|{`y5zzP4e+auX^uXd%f@4&-0vp_F3zk%8!)uce%J*ub=1X@*T_h ztNdU&f0v6p_WPWt%O{odSNVZ*{w^0M_4}MBJkDEXc$tg+exARzZT(mNww%AUqN#uC zZ|#rE`4iWzF6U2-yzl(y`CA*G6H|ZUy5;5kiIMmEoWHf-F6U2NcV;<%V&uI(=LwJV zC*qNd&OazV|5<%oGdOsgj_2(a_pd}Q#^b%mKlo$CJ7ii%JaWH`>%Yg?EhTx6u*Bw_Fowu`^QfjpMB!7|H|+(7md$8@z{T5 zc$tg+e)G||&SB~7ruo=QhWLxP|Abte-Mjzh-JgrUbN^`k{qnfpPF#Ft++D6h(|6u|I7ICb>hqTEf-%G{~Nz${J8JpZE?TJ?>e6Nzv6#K zF2>`%$N$!M;yUSP#os?aYlwHo{ZHiLv-Uey7{y;9q7oC6Q|-TT(1@XF0b3d zBNv_jrbmi*W9%Cr;*pEaziD#uZn~!<9=YiJo0k^v<_#V3$VKPBd42KTa$rY1a?$wt zK4aF`%li-UxJBjthZuR^*Pnc!fyes~5s&vDqVsv5fyes~5szGSKJPPT-B{j#h{wIK zy#Ej*?_EFdGw^u-A>!rxl9tBL_ZhS9F7H3Y;|?zGKg7uUj-T%{@Ob|r;^q62md@vW z1|IJ_MErbT($e_(`p>+ny#C^>7nRpvjJ$9C`8wnA`ipqH{-X1Fo$+}6MZBzwmd@w( zpE$9hd$Nh7Wi;?%Pf6+F@<2qq{h(|6u|IYaN z`HcAa!(HQt_>pFixoCd=aM#}y@BLGU7+&V0@%fx#dVK!Q=MUmB@%cNs7onAPR{iIMjnpYw#r`4jQTMd!2s zqu)^WFHYaC>|cz$_xS7+kNt~yd0tvNpZy>GuCjk|`o3lVV&uKYXPxh`0Rh$X=VSFzbgBmCZ5)ReD;aQ{wu@FT10omW@xJrl{AbK9{9ne;Z$&(E(fPmHzj(j;T1Px`(fO=% z>TzZLl|L=(pDG^b*N?~gE5l>`_(|ilPCV9M8D8e1@mc?2lgj!lKTy_xm^jI=ACL7{ zhR3?`lg4MAc&xuNyv#-8KM|iBANtn#`n|m4lo!X>@5se?ysv%+Z-}qkhpve4mtWIy zO8ou|axot7_1|=3c=O_Y{|krs+j##^F82TYcW~na#k(o)*Wr1R;bkrwpLHIxS6P2$ zTo0eQxR+o5AulNFul#sf{~_WF`hC`k$GR)S%Um@6mV1nh{8?PDzh!*A6c3E+>Bz;% zd(Zz?ONzJE?>pj=i_SmxyyDH=x+5OB==_-?{G{=Do$+}6E5plNG(N909MVmdv3?q%#HaSxfqZ4^?&}mS6^3r z@qqaKm-)OcBe(vmjx2uVKNtU1;*s9pf2-nG-ckJh#jU*m%69+aesha2M&7sn!6o;N zjBFG0H~wCB$C$gBi)Q}D-`!5{vbg_>=ShZ_xoG^ghZS$_4~H0D=A!Y}T~)lxcNt=M znTy81yxspB+x;iQ%Um@674817X!oBCFLTlOSGN1VvfY0&yv#-8U)AoP`^Px{$?!54 zjem8!|7+U)C&SBJH2$^i{^Rd$=kb%_WiA?@I@{`BO8tpjuPOB>M&4V$)EORiC*qNd z&Zo}ss6P>pTy#ElhDZI0c;uq*2&a$PNr-#qcwktZMfa(w-X`|reGoE~34 zA{Qg?JAU)~ZxwID86EM+Md$zF*~N?B7nR3{c;uq-2hV*tyidmGpK-sl_=_3w`Df%} z5jrqE?jJ$9Cd7kk& z{~~_AE-jtUdB)?si}?Avv^0L6=SMFp=U;qmVmbd}=RD(a{wu@FTr~bAb4H&0`)lLt{VzLy?)mY* zBNyZG-uk&T{%$<}W&3o*BNv^2*^i31_S}wmHTD+@1+!2plbpF+Iig$JFJO14O;*pEa zzvlMhUAs?5JaWV#>D#OcMG(L5PNBvcXm$_(s>I{$is|+u5(fHIE9(7k4 zUgo0lsWUw4uQI&M#nJxMp}#*n*g3BEc*m>b@4v>+QN`PCjr(6B7b72@f5U$B_%q`^ z*URGT)rukhP24|3E?(B}kGwU#LGzm-zCZ3CA{UL%ac%8f=<@h2Vxfpq$KiGC%?DMR+fApat-X8all8a~c`#Utnd(NUEzCG@L zA{UL%>wHVRf7vCz{}QPK)kXWbUH{EH#ozymm?)a_1Wi5 zkCfw!_~c^bz5dSebC(;RS@th-9>~SW`~5h7*K_}EoIjE0;k=2F_xV|8m&Nakiuc4-s@9mSG=RtU*)}}{;m+;(d!Q;>{$FQFCJq2J(0}Cen0Dc?cwoxcf8JG ztRr(V^1k&Cb{HSWjr$#*bLJ2~94WQm$}&Qm-TN~&fi+` z@Us3`w;u0ZKj&%fHnIOWf0c1QG8aeRKQLAkoAk44{~wL-wzz^$9Y;izMQ|x zcs|Y_&zBtEfBrd7czJv=yv)UZzj^!xBO@1nBkK2}j?^)^7?1azzx;O>ToB)H95XT^ z@_c$;7l=-T=@6p>zByi;?&GAAN4z_w$-K{--*!9&#}r@AbcQTRHAm4(_-l?tdW{ z-SHp)t8&~Yem2Bo;{20~=J>4hl&jLi5kDj-Eyw~UN zY#kf(@_V1?ctTuHKrY7Pz5egxeM9`6fUG}}IwTh(@AC)Gi=X>mu&~shNF9=kkz4(IJjwa;bZ;0#v{-I;s$C$Y|=I`H)I{rxq z#eIio#NXR_-Vo#WsAevn(SQ7tCdd7I*MoFDg} zk&EX0AJ&P_`bE}5E=F#Tf5NKbi#(6k1ta(VX=VKtOa)#JTBeRjxwrT@g}m+U(+@_zr-@q4#VjCmi|ABeB{R``*Nk@xw738$CeGfw~V z`^MGB>1T2=@?M|*<$6u}SLF2|7bEZW7p#ikfBojT-tvi# z$G$$U-;7+0$9w%d<9*gqm&EmxaUF?x>}_%VW#nSyy*~S#8o$5lrmuD6Jm|c%k6Zt+ z)5DMV&mzyK=Y^5?`OOpa;`d}e7_a}AJ5mSaVm#jG=bYUuzHeauimZeAD@NYy@49RJ zyMx;Gi{WQ39@Ot&^r5)!?g#mKVI|K;F2>`%$A44QIe%w?^C#kwi;?&G7yT&y&cVfT z{CK@Z)}C#wvXH6)At;|GM-P*o1EIa{+v@Uy{2iNjQ7DJ^~hWkBkwyt|L)13#ow=c za{J1B9(zrQj*|NQm$l`V8+YJ9We(7oE>O7sTHyWdGvAd&>UB$a{~^KJnPMh(|6u zpM5TPyzF0G7{8B!T#UT;`0Nvp{fl_yqVw7R{KaMe;)3}6H_uDU$a{~^KJnPUh@a=B zrSsY6{Ikmb#RdD6{fm+J9-n>Uv40US&r3_=4;Idf`()k{?;qm(S8>KEaX(1pV&r|t zAKbZDc=7uW@BCfI8S#FCT#Uzi{kuL}y!T(z5szGSKI@#`l=WBMU)DceZ2FJSI;X#= ztiLio&(2(YQNPbR@mPOlc$tgFXZ_RSeLm-3JolY*M z^;st#>lg9JMdx4gow#mqul#vs$KS>LB^USVKmKL$z6*ct%Z3PW{xx4H-nDNUVtAR0#^-h3>W=dIi(4-(ufG_1Z~gH)$9tsm zxoCV|XFOi_%J4E5jnC_h$Ln7iUgo0ld7bfi{VT)ETr@tfGaj#hWq6s3qy58&u0Lts zwR&Xa_LJi8*FMnkJ@I`IxfqWR_y1G;dABspd*2&B|7sckUeBA1yx0HY4e@iX=f(Tq zPj#lJhb{hYn!iShaFNs){3c;9iFPrN98&)~P)VY{DWPaPRK zbC0<1FFvOh&v|FuHyF7XdEarGU)~bGpXOkWGjsZh$CrN>w~=vvhmX@dwkAHuiGKRc z-*!CVrA_8yJl=Pl!L#>?OIn6;^H{M+PLCjq~q~FY%&-1m(bfv zh)I%XZo-a(sD}x=44S6dcGvL-@BA11_s*=4{7s(k{tY`l>9E5_AHPhZ?XyCfFGeN2 z>x!oN+LVs(SsIfhaxor%x}Cb?4{rbT$jB>RoX1~y#_Ffpe>wi(wzri}?Cyvw$@t_B z?j4`xl8gEzy4}Aj#~r`<_C+Hj>!#)LkAK?p-|qjLKOGslG+x>7Zq@OMvzvxojK_!f zKjn`&|AVi^Wcui?Pka3ECyr~{{eR)Yk&*SUZU*0ZZO3~@ngO{OkLU5@2duk|AJ<&k zY~4(V*L-kRm};)KszaK_4I%NMLza^9KEGq!1(iIXNQTDf}3 zyj820EQ@+CHM9K|BO~|!t{HrE=Vrpo#x<{Nw%@b+SM&BBT{S<;RX?LtO&p#7#-0Du z$}P_QN&f#L|07r3_E*{UbBcd*Tq|&P{Ji{(_?fSG;m4YWT#UTepB=Bzp>JuL6?b=> z^}?nh7vu4#`t9eRCr5`}5I=7_<*JSs9v;V!T#Uzi{n>{WZ+3iE&+|Y$a?$yx-d(&o zCv?Ok7oC4vT&uuwPrIih9=YiJ(=RUG+}%6kk&Dis`%v-b-PjS2Ty*~YX~moWn~r$o zqVpGgq<9PCXV3BP8i+?OI)BkF#anb=M?7-T`HQb8-jY2#;*pEaU-BQtTN*#BX8jP4 zTy#Elx@Nypzm;+QNao_`pP!fhYwMpn#iMR3!^>PWK6Q#m{Z@vTxoCXq6p#9?3@>xh z_|z#L^;;QU=A!YbQ#|UoGQ7-1<5Q=2)Nf^YnTy7!PVuPQ%J4E5jZdB8QNNYpWiA?@ zI>n=YE5plNG(L5TN8MJ2m$_(s>h!z^O8tuGUr_2-jNHy&JnC1(qkcu_Q>S>;uZTx3 zI-felqkcs^a?$zJDIWDJ;*pEar%v&xUlEU7bUt;8NBxR;=ToP6)USx2b=}hW)F~eIE8=Hew{$*ribws5_*vI2oll+OQNJQSx#)cA z6p#89@v^R4I-felqkcvFtm~G}r%v&xUlBj+x~21}Q#|Td#3vV>PyMdiQ0iAacYUc} zF>+hKc+{_mNBxSQ}_ex^C%w>J*Rq74fsKTRNXQ#iM>j{H*Ji&ZkcC zs9zC3>$;`$sZ%`aSHve5oll+OQNJQy)^$tgQ>S>;uZW*@-O~BgDIWDJ;%8mAbUt;8 zNBxTUbEky%thlS>;uZTx3 zI-felqkcs^a?$zJDIWDJ;*pEar%v&xUlEU7bUt;8NBxR;z2-^PVuN;5kKp?rSqv%JnC1(&$@2ueCiaB`W5lBu3I{vI>n=YMSOD6`P3;M z^(*3KUAJ^Tb&5y*iuhUAEuBxD;!(dMe%5tM=ToP6)USw7E;@g9{Enkzx#;{e9xdLP@92m}E;|3L6N`7&NJl(!(fMcpUGdI2qaz-<==^1S z6mQu#I^vOw&R>30@m9RLBObZveEM`oTJ>3sSWkNy?$v#(n^pFYK-e?|Q4>z2-^Px0tq5uaRiK7EQu|B86o*Dak-pW@NK zB7XLDOXt(4c=WG`pMBlZ`Sd9s{VU>UU$=BV{d-JY*g*eQex&s8G2-aoKRxQ_ukram$_(s`V^1;tqd=7(fIT!9{pPxUgo0l=~F!Vw=%rUMdQ<_c=T^& zc$tgFr%&; zi_WJ{@#tR>k6d&xh&ZmE;9Z>qW@}bhd)5HUO|KicVmEqC9_(|i_ zr+D;lWq6s3#-~s5=-jXyv#-8)2Dd!Z)JFyi^iu<@#x>m@G=*TPoLt^ zzm?%-E*hUc#iM^K!^>PWK7EQu|5k>VxoCX)_sDOS{uPh9xb&|Wx&8W!NB@d=^sVT8 z`V^1;74gVL=hLTn^sk6VE;^q+#iM^kJaWxh&ZkfD=wA_!Ty#Etibwy7 zc;uq<=~F!VSHvS1oll?Q(Z3=dx#)cQ6p#KD@yJEz)2Dd!uZTx3I-fqpqkly_a?$zp zDIWbR;*pEar%&z2-^Px0tq5kLF7rSs`iJo;C}&%SQyeEJlR{uS}bMd#C}c=WG`mwnyR z`Sd9s{VU>UU$=BVeTql_iul>rEuBxF;?ch%KDp?8`V^1;74fpKTRNXU#iM^k{Os$N z&ZkfD=wA^(`?{s`=~F!VSH#c0Zs~mb_wc6lZ{^*ke-9U*?*5(j{sHjXyv#-8)2Dd!Z)JFyi^iu<@#x>m@G=*TPoLt^zm?%-E*hUc z#iM^K!^>PWK7EQu|5k>VxoCX)6p#L`3@>xh`1C0r{aYDc=A!ZGQ#|^&GQ7-1 zr%&fU(fRZ#9{nrgk&Di!Px0tq5szGSK7EQu|B86zqVwrfJo;C}BNv@d zpW@NKA|AQueEJlR{uS}aMd#DMQ}!m@G=*TPoLt^zm?%-E*hUc#iM^K!^>PWK7EQu|5k>VxoCX)6p#L`3@>xh z`1C0r{aYDc=A!ZGQ#|^&GQ7-1ram$^9FFTWo`_pitA>NxwGalVf1c;UC>`oYM>czpQl@322u z67IjoHEd^39^yBfl8Ybl{zE%8gLR*18j^PAaw z#eMgy5rRc(pBa7BI_U*Bk%QB?jL^q{y_F$8PAuwxT@b@ z@nq9%cX#nco`+nFy!ZI&$F+~=#h$klxf4# zI)Co;;&Huc{JXsF6pvhVKG&_{&2RS)@yJEz&wrr!3l?_7BNv^&aNFW7d|yXAa?$yV zrWbF~LmlzRMdvTRv3N^j-|_Dnh(|6uf5`*ITe`3#9=Ygz>U_=8Qva13O8u`9m-_n0 zqwXuiqyF)e#;4BlsQ=3FG8c_co#RpemEmPB8lO7Hqy8(y%Um=*b&f~UC@G=*TPo3jY z|CQloE*hWuKYwzm|H^wx{hu#R_VtfP{a1!Z-Qy>XPo3jY|CQloE*hUY$D{r$!^>PW zK6Q>q{a1#UxoCXq9FO|13@>xh_|!Qb^)2_E5plNG(Ppe`jJxq;(3>r`WGX&?|<;9e-V$m z7oAU?<5B-29=Ygz>Ku>y7xBnN=Tql+)W3*FE;^q&$D{s5JaWq{fl_yqVuV9JnCP> z%f4voeCiyJ`WNxDFIqaEI>)2_Mf~iGmd>Zn@u+_hKl`Gk^Qm(@>R-gqzG&%u>Ku>y z7xA+%S~{OP$D{s5{OpUC&ZquYEiLt5xuMiQzYn5)+}1xH^>c29)%thl<=XlhAWq6s3#;4BlsQ=3FG8c_co#RpemEmPB8lO7Hqy8(y z%Um=*b&f~gG@G=*TPo3jY|CQloE*hUY$D{r$!^>PWK6Q>q z{a1#UxoCXq9FO|13@>xh_|!Qb^R)s|b&f~R-eo7oAU?<5B-29=Ygz>Ku>y7xBnN=Tql+)W3*FE;^q&$D{s5JaW3r%OkNOw!voBgYpE}2*{zd%kiKu>yuM979(fHIk9`#=tUgo0lsdGH) zzcRecMdMTFc+`Joc$tgFr_S-H|H|+(7mZJy<5B;W;bkrwpE}2*{wu@FTr@s)jz|4h zhL^c$eCiyJ`mYQxbJ6(JIUe<28D8e1@n^?%Gsiv{^%mDrh%*;Q-9|1(-aG$Kjq5J( z=G@v5k6d*AX$KYWwC{DqBNv^2`nuwAy$|bvc;uqCCMdvRbDc+J>JK~Xx&R=>^ z@s@tCBObZv{4>@S@66bD{JRF?k&Dhh^WNfdy%0XcBNv^|bw_w--`Ww6Ty*|92Nmy} z?{&l@7oERsUGbJbw<8|8==|mP7H>uDn|(k$a?$zBi5WMS`5_*=dzl|%3rq{9`i%Q&$-gl`OFDC z=7)%%bET#8nG<--4G}-*N=xT6C-9gbB7V-5md!v;Y3Y3C1RnE4#Lv0X()r8@Jm!appL3<9^O+NP%nuPi z=SoZGGbiwv8zO$rm6pzDejGES%#X^4%ltS-oZ;sO9`mCzJmv>}()i2?JmyDbc$tgF zXHMWTKPtn^Tr@s&0+0Do8D8e1@tG5N%#X_OG8c`{oWNs#REC$iXnf`b9`mCzyv#-8 zGbiwvAC=){E*hUXfyex)3@>xh_{<4B=0|0CnTy6}PT(;=D#OcMG(K|zkNHsPWK63((`B52O=A!YL6L`#z z%J4E5jnACGV}4YIm$_(s<^&$|qcXhAMdLFk@R%Q!;bkrwpE-fY{HP2sbJ6(B2|VUU zWq6s3#%E68F+VE9%Um=*a{`a~Q5jz5qVbs%c+8K=@G=*T&z!(xepH5+xoCXm1RnFF zGQ7-1<1;7lm>-qlWiA?@`7!OmGC#zl7nk`VMsB}(h@x#)c61RnE4#3L7- z&z!(xeu#MFqVt&(c+3wGk6d&xsX&S!ocb#s{?;uJV zMCUUn@R%PWUe1-4&Sy^GF+W87oGUGz&z!(xeu(%vS6VusIf2Lg5b<-av~)gm0+0D2 z;^$mx>3rq{9`i%Q&$-gl`OFDC=7)%%bET#8nG<--4-r4-N=xT6C-9gbB7V-5md
!v;Y3Y3C1RnE4#Lv0X z()r8@Jm!appL3<9^O+w<&M5Pv^5HT+judD3`GLp$s0@$!fuA%!a{`a~Q5jz5qVbs% zc+8K=@G=*T&z!(xepH5+xoCXm1RnFFGQ7-1<1;7lm>-qlWiA?@If2Lgs0=T2(fG^> zJmyDbc$tgFXHMWTKPtn^Tr@s&0+0Do8D8e1@tG5N%#X_OG8c`{oWNs#REC$iXnf`b z9`mCzyv#-8GbiwvAC=){E*hUXfyex)3@>xh_{<4B=0|0CnTy6}ejG8e%#X^u%KSJ& zoapBV9`mCzJmv;|()i2?JmyDbc$tgFXHMWTKPtn^Tr@s&0+0Do8D8e1@tG5N%#X_O zG8c`{oWNs#REC$iXnf`b9`mCzyv#-8GbiwvAC=){E*hUXfyex)3@>xh_{<4B=0|0C znTy6}PT(;=D#OcMG(K|zkNHs>K63((`61%x zTxsci<^&$|L&VRy($e|N2|VV9h@W$%rSq8+c+3wGKj%tI=QAhpm>(j3&XtzVXHMWT zKScbTD=nSRoWNs#i1;~IS~{OOfyew1@pG=UbUt$ekNF|u=Ui#&eC7lm^FzeXxzf`4 z%n3Z^hlrnZrKR(kA5&(O`BC|BnIBWc8Ge4?F+VE9V}9T#jnACGV}4YIm$_(s<^&$| zqcXhAMdLFk@R%Q!;bkrwpE-fY{HP2sbJ6(B2|VUUWq6s3#%E68F+VE9%Um=*a{`a~ zQ5jz5qVbs%c+8K=@G=*T&z!(xepH5+xoCXm1RnFFGQ7-1<1;7lm>-qlWiA?@If2Lg zs0=T2(fG^>JmyDbc$tgFXHMWTKPtn^Tr@s&0+0Do8D8dMzn_2e{MpAhgH{hZ>hj^CBb@sr_YE*hV|*}nY_W&M?ZS=PV3xPxCm z9_z0RkM-jxjn6vqSa)T3nTw?0cf#<30-U!bh6sL}{K3lj-HF?s8o%peV#i7Ei}M$`7>_^IZ-4*Y$zO}# z8@43w_jy~#3tt}Vk6et$d;QsSig)UZI^vOw&Oh~M#hdfdj(Fsv^G~~^c&AV4h(|6u zf9^AjH}^Xo@yJEz&%3>N^A~l*BNv^&;AO>I@av9vQ9W^)*l}AC*o0mqVuUUJnB!x%erjoeCiC3 z`V;Z9E?YXEI>V#>MEtDFmd>Zn@Tfl#KkKrk^QkjD>QBVax@_ru>I{$i6Y;YyTRNXQ z!=wI0{H)8C&Zo}ss6P=u>$0WuslRhSUg}R=eOakLF>+gfc+{VWNBxP;r_S)GKM{{y zbUt;4NBxO-% z{zN=-(fQOF9`z^Uk&Di!{#NZ#>aX(AQh%$&J$(J)QGb=;QGfVJ<5OpN)L&(InTy7! z&hV(e%J4E5jZdB7QGb=;WiA?@I>V#>D#OcMG(L5PNBvcXm$_(s>I{$is|+u5(fHIE z9`#olUgo0lsWUw4uQI&MMdMR{D{d|IC$2ob)Sno+oqu@LpNL1@iO#3a@Tfl#k6d&< zb%sa%iFo9q^QkjD>QBTY7oAU?;Zc7g9=Ygz>I{$i6Y3%J8T^{G{=zGd$|AGQ7-1<5OpN z)L&(InTy7!&hV(e%J4E5jZdB7QGb=;WiA?@I>V#>D#OcMG(L5PNBvcXm$_(s>I{$i zs|+u5(fHIE9`#olUgo0lslRj9l=>5wy{^=s7`dH)c+{VWN8O3er_S)GKM{{ybUt;4 zNBxO-%{zN=- z(fQOF9`z^Uk&Dhh_3)-S_T%yT$LELQ%**2Sk6etrxBljQzj&wJ(GibabpGk_yIVN! z+`T&Dk&Dis`@7=J`)o%%a?$zouPNSw_za$PKs<8M`3s*{yoLYV5szGS{-X88TfCwp z9=YiJC9f*pk|#Ujk&Dh>`laHXadSsJa?$x`o>aWE{-h%wx#;|}9x2}0AL@ulE;|35 z3yQbw^&RoZMdvS{P`u?2cElqWoll?4*rW7M<)fv4W{7+E{=uVvD#N3H@RP=;Pw?oU z%J4E5jZdH8(La^pWiA?@KEb1JD#OcMG(LTTNB>lYm$_(s`UH>usSGc3(fITU9{p1p zUgo0l=@UHqr!u_EMdQ;ac=S(Yc$tgFr%&+cpUUtu7mZJ!;L$&o;bkrwpFY8(e=5Vv zTr@uYGyT@mKjJZ`m;MnWw_kto=pPY}z7d^IpWx9yA|AQueEI~B{t@xWMd#Bec=V5m zM=m;_KEb1ZL_BiQ`Sb}M{UhR$i_WJ{@aP{Ak6d&u5%I`H=hG*6^pA)~E;^t7IeL8QpUSV7{yAD4@B0Uj{;3R){=rWg zpFY8(e=5VvTr@s?f=B;UhL^c$eEI~B{;3QvbJ6(p2_F4Z8D8e1@#zyh`lm9y%thnV zCwTNvWq6s3#-~s4=%32)G8c_cpWx9ymEmPB8lOJFqkk&H%Um=*eS$~-REC$iXngtv zkN&9)FLTlO^v_XiO8u5%I`H=hG*6^pA)~E;^q+!J~gfJaWlYm$_(s`UH>usSGc3(fITU9{p1pUgo0l=@UHqr!u_EMdQ;ac=S(Yc$tgFr%&+c zpUUtu7mZJ!;L$&o;bkrwpFY8(e=5VvTr@s?f=B;UhL^c$eEI~B{;3QvbFtqato(J{ z_Y(JdtolsHNiS_O7vu51uRnuxuPol`qdVe}i_Yix+kLwnU)=tk<@jRc_V{=lU&Q11 z;u!z%QTM;>-3-qDUewR)J6`zpxPBpWF&-a2{wRNoxL4(%?VILpTXj6{Pn(8ZjK_QZ z*$2dZGZ)7FGe7P)>(Ao)hsecvyw4x3h`!nGytsDv;Et27Z!#C-@u&Kme*a9_f9rFd zM%*8f*Kdg2H$g6rxqo7m&vhDjT)!dWk&DjfIt@Io-w^T0Mdx#!1|HXMhV#>L_BiQ`P3O6^(W$yi_WLc@Tfl#k6d&QBTY7oAW2 zoj0}ApUC|WS(hy%@1392A0G85;%8m9bUt;4NBxQTS(h!HPo3dWeV#> zMEtDFmd>ZnxbK1aC$7G%)So!!{)bWXi#o%j{zN=-(fQOF9`z^Uk&Di!&hV%|5szGS zK6Qpi{fT(wqVuUUJnB!xBNv@do#9b`A|AQueCiC3`V;ZUMdwpzc+{VWM=m;_I>V#> zL_BiQ`PAR4JxcvmK3eLp=l+?e)E^%8R~bI_*K_~OD4#mRqy8$x&s^N2fBsTuc+_8I zc$tgFr_S)Gzsm437mZJy;Zc8;;bkrwpE|>%{wl-ETr@s)hDZHXhL^c$eCiC3`l}2t zbJ6(J86Ndl8D8e1@u|NRx0d=7SDs$#PmJ7t{l%mHL_F$GbUt;4NBxO-%{zN=-(fQOF9`z^Uk&Di! z&hV%|5szGSKJ~|a4}ATt{CcUsp8ID;%`fTfBjNtc+_8Ic$tgFr_S)G zzsm437mZJy;Zc8;;bkrwpE|>%{wl-ETr@s)hDZHXhL^c$eCiC3`l}2tbJ6(J86Ndl z8D8e1@u@RB>aQ}q%thlp`V*Z`o#9b`A|AQueCiC3 z`V;ZUMdwpzc+{VWM=m;_I>V#>L_BiQ`P3O6^(W$yi_WLc@Tfl#k6d&QBTY7oGo%>zcvL2byO5%#O3-cN&q4@py0jP1vb;6MoVWk6d*AmLDkIR;xPV zk&DjX`henX({#im7oE>Ok3F#LU!3`jvVSphyMH|PFXH8SY3Y3SiO2p${5&r$ozFh; z*uRLM=cT3d+5a(rUG^`|IKS*)jNI-YkNt~y>|b;~`^01aA|AQueD;aQ{zW`;(fRCu z`fg?al@FKwPZxLd`^RJdmEo~}{G{>OCm#E+3@>xh`0Nvp{a1#UxoCX$Kkde{fAQ!O z%KpX3?dy-n{zW|YEjpil;<0}bk6d&<`^01aA|AQueD;6jhO&S0sQb$P#mMdc@z}qJ z$Noj|ewq7oE@kk62drFCMvH*}oXM-9H}t7xCD?=zR8x$NojU zJTEPs&pz?kzlfjbrKR)P|I{Cs{fmd+RrW7NZugJJ{zW|YFFK!n;<0}bk6d&<`^01a zA|AQueD;6X^s;|(>T}Be#mMdc@z}qJ$Noj#p{a5~`?EesP zPrrXW_Fowu`^QfjpMB!7|H|+(7md$8@z{T5c$tgFXa5JitL$GqcyZak7`c7@@z}qJ z$G%19vrjzsFXEAl&S#%^>|ewq7oE@bQ^$ThzW7Sna=SO{hNT1-*Kb7HUF7DCafAk3+{ZkoU z=A!ZG6FmB-GQ7-1lYm$_(s`UH>usSGc3(fITU9{p1pUgo0l=@UHqr!u_EMdQ;ac=S(Yc$tgF zr+=p3TKY%izF~4Pa{KiMkNy$y=pWJf^a&pQBjS;Z&ZkfC=pPY}Ty#Etf=B;|c;uq< z=@UHqN5mr+oll?O(LW*{x#)cQ1dsj^@yJEz(rds^OaB}#j`#h8NB>lYNB`g_jZdH8 z(La^pWiA?@KEb1ZD#OcMG(LTTNB>lYm$_(s`UH>usSGc3(fITU9{p1pUgo0l=@UHq zr!u_EMdQ;ac=S(Yc$tgFr%&+cpUUtu7mZJ!;L$&o;bkrwpFY8(e=5VvTr@s?f=B;U zhL^c$eER38HKl(I|PoLn?KO!Ev=zRJFkNy$y$VKPVCwTOa zh(|6upFY8(e?&ZT(fRZV9{nTYk&Di!Pw?m;5szGSK7E2m|A=_xqVwq!Jo-n(BNv@d zpWx9yA|AQueEI~B{t@xWMd#Bec=V5mM=m;_KH50G?w=p!(lYm$_(s`UH>usSGc3(fITU9{p1p zUgo0l=@UHqr!u_EMdQ;ac=S(Yc$tgFr%&+cpUUtu7mZJ!;L$&o;bkrwpFY8(e=5Vv zT-@K^%=EX7h&mS>-|NMmyHe1X+rD-mDqT{(g zX&Q1d9v}YvWR!o!+lzPQ=R4w&i_X7kZt-rup(7r-==|Fz7w<2Q>xf4#I{$r-74NQH zI^vOw&i~*iiua+1I^vOw&i~}v;(hAwj(Fsv^S^L-@$OsQ5szGS{(}>X_l?(f#3L7- z{}10U-Veuh#3L7-|F3rx@8Pd@#3L7-|Jc&v{obi^YUoxk%n#oOg09r4IT=kGqFczayj5szGS{wtnWyuA z{ABT#zO*AAx#;|rUn<_JUvx*~K*&Xr7MdyEMLh-)5Z$~_G(fQweuz25kq9Y!;==>kOy?8(Vd`CQT(fN>xf4#I{){N74Hwbbi^YUjX!R}C(;|Yn~Z>3~qnC8HhYj96z5A zM(+IP_-*s}BL6=9mgLqyP>vR5N|9Spl{QLApbN&Y_Ul#xN z+W6fcJ9M11Ccb_~F2>`1$IriW&uhK9$=5o`^R3*wJRgk5`~2qMPlp))9TwmJiqs6b z7xh`77R8yw&mfL;N>@ zc;sT_efuALdQ$QJ?(H4%$VKCi8-GK3`^6xfg7H{fWUbmmtvSh^qvzCpS>zlfk7vGg@*=FYntIs=s-U_pt7jMK@ zx&L7`f06&)R$iIo?u(NBO%p3vvtZ8Nr<)c0f2@;HE7)@S&l>%nA;@bZ7;yb}QJJue$%k3VNsZZa>cHv~A3Wv*w+( zg7NUwuVU@w-k5jso4TG!&l$a*7#6+j*_iK2Hg!EQx%jG9&rghw-t}zEcUhabp23Ez zMz1FZN#A<%yTkadWbns)*SM+c`Q<&M*AruR-{ue2%oK zD~fjtbbPlW_kJZm0i(zMm`}zwbwwZEcFcgbR8gn3g}boI~2tjN9p*{ILVH+4mq>^^2i z?)^}H+Rr)qV}1&-i7RTpP~H!<-M?~){eCHbeiQ?0DQT~jXmGHA?krfU9?0IunbZ2>2)UC+P zqx`8kE8(ZXXBT5dqkhi5xhvZ4gt03!-=pOf0<459 z2SipheC5FAuIL#zj$M)YE-kNlU?p4&A+jQ_kq|d?Ma|>)ja`xXJ}s}}h$A*!-66(G zMqS;pxhr~X!`Ky>@6__T6js9ZDk3WyzFuW>SM>0HV^?IpSIaAHSP56)h^%P%3Y^Vd zQG7ZzJRzgk%y(;f?GP*Bnj(=E4PR5Vxhs0`uCXgJ->>D>P^^Tjq(oLUe3jH@u4oXS zV(J{NoVT)!qyF#LxV|HfIN-VuF;+C{x{u9W(ULzOvm*EYD6d#yC0xlOvZCQDSvGe? zr#>)dMehAkUW3C*xHd;*MZ?$TZ0?HUcQuZF)!h4|ysC(maJ7-hiiWQ?+T0aQJ#fs5 z-20=vPKuRq{glXxhOeL6+!ej{{4pzX?~n4zFIK`8VInIUz9MXMSM-X%9Me!4a(RJkBALZ3~tc0ufL{>C>)t*_=)sK?(j_VJA7{{ag1qUZns literal 0 HcmV?d00001 diff --git a/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.lef b/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.lef new file mode 100644 index 0000000..601b040 --- /dev/null +++ b/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.lef @@ -0,0 +1,533 @@ +MACRO tt_um_bgr_agolmanesh + CLASS BLOCK ; + FOREIGN tt_um_bgr_agolmanesh ; + ORIGIN 0.000 0.000 ; + SIZE 161.000 BY 225.760 ; + PIN clk + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 143.830 224.760 144.130 225.760 ; + END + END clk + PIN ena + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 146.590 224.760 146.890 225.760 ; + END + END ena + PIN rst_n + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 141.070 224.760 141.370 225.760 ; + END + END rst_n + PIN ua[0] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 151.810 0.000 152.710 1.000 ; + END + END ua[0] + PIN ua[1] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 132.490 0.000 133.390 1.000 ; + END + END ua[1] + PIN ua[2] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 113.170 0.000 114.070 1.000 ; + END + END ua[2] + PIN ua[3] + DIRECTION INOUT ; + USE SIGNAL ; + ANTENNAGATEAREA 40.000000 ; + ANTENNADIFFAREA 2.900000 ; + PORT + LAYER met4 ; + RECT 93.850 0.000 94.750 1.000 ; + END + END ua[3] + PIN ua[4] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 74.530 0.000 75.430 1.000 ; + END + END ua[4] + PIN ua[5] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 55.210 0.000 56.110 1.000 ; + END + END ua[5] + PIN ua[6] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 35.890 0.000 36.790 1.000 ; + END + END ua[6] + PIN ua[7] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 16.570 0.000 17.470 1.000 ; + END + END ua[7] + PIN ui_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 138.310 224.760 138.610 225.760 ; + END + END ui_in[0] + PIN ui_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 135.550 224.760 135.850 225.760 ; + END + END ui_in[1] + PIN ui_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 132.790 224.760 133.090 225.760 ; + END + END ui_in[2] + PIN ui_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 130.030 224.760 130.330 225.760 ; + END + END ui_in[3] + PIN ui_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 127.270 224.760 127.570 225.760 ; + END + END ui_in[4] + PIN ui_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 124.510 224.760 124.810 225.760 ; + END + END ui_in[5] + PIN ui_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 121.750 224.760 122.050 225.760 ; + END + END ui_in[6] + PIN ui_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 118.990 224.760 119.290 225.760 ; + END + END ui_in[7] + PIN uio_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 116.230 224.760 116.530 225.760 ; + END + END uio_in[0] + PIN uio_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 113.470 224.760 113.770 225.760 ; + END + END uio_in[1] + PIN uio_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 110.710 224.760 111.010 225.760 ; + END + END uio_in[2] + PIN uio_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 107.950 224.760 108.250 225.760 ; + END + END uio_in[3] + PIN uio_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 105.190 224.760 105.490 225.760 ; + END + END uio_in[4] + PIN uio_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 102.430 224.760 102.730 225.760 ; + END + END uio_in[5] + PIN uio_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 99.670 224.760 99.970 225.760 ; + END + END uio_in[6] + PIN uio_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 96.910 224.760 97.210 225.760 ; + END + END uio_in[7] + PIN uio_oe[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 49.990 224.760 50.290 225.760 ; + END + END uio_oe[0] + PIN uio_oe[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 47.230 224.760 47.530 225.760 ; + END + END uio_oe[1] + PIN uio_oe[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 44.470 224.760 44.770 225.760 ; + END + END uio_oe[2] + PIN uio_oe[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 41.710 224.760 42.010 225.760 ; + END + END uio_oe[3] + PIN uio_oe[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 38.950 224.760 39.250 225.760 ; + END + END uio_oe[4] + PIN uio_oe[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 36.190 224.760 36.490 225.760 ; + END + END uio_oe[5] + PIN uio_oe[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 33.430 224.760 33.730 225.760 ; + END + END uio_oe[6] + PIN uio_oe[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 30.670 224.760 30.970 225.760 ; + END + END uio_oe[7] + PIN uio_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 72.070 224.760 72.370 225.760 ; + END + END uio_out[0] + PIN uio_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 69.310 224.760 69.610 225.760 ; + END + END uio_out[1] + PIN uio_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 66.550 224.760 66.850 225.760 ; + END + END uio_out[2] + PIN uio_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 63.790 224.760 64.090 225.760 ; + END + END uio_out[3] + PIN uio_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 61.030 224.760 61.330 225.760 ; + END + END uio_out[4] + PIN uio_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 58.270 224.760 58.570 225.760 ; + END + END uio_out[5] + PIN uio_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 55.510 224.760 55.810 225.760 ; + END + END uio_out[6] + PIN uio_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 52.750 224.760 53.050 225.760 ; + END + END uio_out[7] + PIN uo_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 94.150 224.760 94.450 225.760 ; + END + END uo_out[0] + PIN uo_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 91.390 224.760 91.690 225.760 ; + END + END uo_out[1] + PIN uo_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 88.630 224.760 88.930 225.760 ; + END + END uo_out[2] + PIN uo_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 85.870 224.760 86.170 225.760 ; + END + END uo_out[3] + PIN uo_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 83.110 224.760 83.410 225.760 ; + END + END uo_out[4] + PIN uo_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 80.350 224.760 80.650 225.760 ; + END + END uo_out[5] + PIN uo_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 77.590 224.760 77.890 225.760 ; + END + END uo_out[6] + PIN uo_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 66.000000 ; + ANTENNADIFFAREA 1623.806519 ; + PORT + LAYER met4 ; + RECT 74.830 224.760 75.130 225.760 ; + END + END uo_out[7] + PIN VDPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 1.000 5.000 3.000 220.760 ; + END + END VDPWR + PIN VGND + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER met4 ; + RECT 4.000 5.000 6.000 220.760 ; + END + END VGND + OBS + LAYER nwell ; + RECT 25.335 25.255 94.905 84.155 ; + LAYER li1 ; + RECT 15.970 16.390 105.905 96.450 ; + LAYER met1 ; + RECT 22.310 3.980 98.230 96.210 ; + LAYER met2 ; + RECT 22.370 3.930 95.015 96.230 ; + LAYER met3 ; + RECT 0.930 3.955 95.040 96.205 ; + LAYER met4 ; + RECT 0.985 224.360 30.270 224.760 ; + RECT 31.370 224.360 33.030 224.760 ; + RECT 34.130 224.360 35.790 224.760 ; + RECT 36.890 224.360 38.550 224.760 ; + RECT 39.650 224.360 41.310 224.760 ; + RECT 42.410 224.360 44.070 224.760 ; + RECT 45.170 224.360 46.830 224.760 ; + RECT 47.930 224.360 49.590 224.760 ; + RECT 50.690 224.360 52.350 224.760 ; + RECT 53.450 224.360 55.110 224.760 ; + RECT 56.210 224.360 57.870 224.760 ; + RECT 58.970 224.360 60.630 224.760 ; + RECT 61.730 224.360 63.390 224.760 ; + RECT 64.490 224.360 66.150 224.760 ; + RECT 67.250 224.360 68.910 224.760 ; + RECT 70.010 224.360 71.670 224.760 ; + RECT 72.770 224.360 74.430 224.760 ; + RECT 75.530 224.360 77.190 224.760 ; + RECT 78.290 224.360 79.950 224.760 ; + RECT 81.050 224.360 82.710 224.760 ; + RECT 83.810 224.360 85.470 224.760 ; + RECT 86.570 224.360 88.230 224.760 ; + RECT 89.330 224.360 90.990 224.760 ; + RECT 92.090 224.360 93.750 224.760 ; + RECT 94.850 224.360 96.510 224.760 ; + RECT 97.610 224.360 99.270 224.760 ; + RECT 100.370 224.360 102.030 224.760 ; + RECT 103.130 224.360 104.790 224.760 ; + RECT 0.985 221.160 105.630 224.360 ; + RECT 3.400 4.600 3.600 221.160 ; + RECT 6.400 4.600 105.630 221.160 ; + RECT 0.985 1.400 105.630 4.600 ; + RECT 0.985 1.000 16.170 1.400 ; + RECT 17.870 1.000 35.490 1.400 ; + RECT 37.190 1.000 54.810 1.400 ; + RECT 56.510 1.000 74.130 1.400 ; + RECT 75.830 1.000 93.450 1.400 ; + RECT 95.150 1.000 105.630 1.400 ; + END +END tt_um_bgr_agolmanesh +END LIBRARY + diff --git a/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.v b/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.v new file mode 100644 index 0000000..752148e --- /dev/null +++ b/projects/tt_um_bgr_agolmanesh/tt_um_bgr_agolmanesh.v @@ -0,0 +1,58 @@ +/* + * Copyright (c) 2024 Your Name + * SPDX-License-Identifier: Apache-2.0 + */ + +`default_nettype none + +module tt_um_bgr_agolmanesh ( + input wire VGND, + input wire VDPWR, // 1.8v power supply +// input wire VAPWR, // 3.3v power supply + input wire [7:0] ui_in, // Dedicated inputs + output wire [7:0] uo_out, // Dedicated outputs + input wire [7:0] uio_in, // IOs: Input path + output wire [7:0] uio_out, // IOs: Output path + output wire [7:0] uio_oe, // IOs: Enable path (active high: 0=input, 1=output) + inout wire [7:0] ua, // Analog pins, only ua[5:0] can be used + input wire ena, // always 1 when the design is powered, so you can ignore it + input wire clk, // clock + input wire rst_n // reset_n - low to reset +); + + core_prel core_prel ( + .VDD(VDPWR), + .VSS(VGND), + .Vbgr(ua[3]) + ); + + // ties for the output enables + assign uo_out[0] = VGND; + assign uo_out[1] = VGND; + assign uo_out[2] = VGND; + assign uo_out[3] = VGND; + assign uo_out[4] = VGND; + assign uo_out[5] = VGND; + assign uo_out[6] = VGND; + assign uo_out[7] = VGND; + + assign uio_out[0] = VGND; + assign uio_out[1] = VGND; + assign uio_out[2] = VGND; + assign uio_out[3] = VGND; + assign uio_out[4] = VGND; + assign uio_out[5] = VGND; + assign uio_out[6] = VGND; + assign uio_out[7] = VGND; + + assign uio_oe[0] = VGND; + assign uio_oe[1] = VGND; + assign uio_oe[2] = VGND; + assign uio_oe[3] = VGND; + assign uio_oe[4] = VGND; + assign uio_oe[5] = VGND; + assign uio_oe[6] = VGND; + assign uio_oe[7] = VGND; + + +endmodule