From 2ef7f2b25e836f86392c45ce7d73925b166cf245 Mon Sep 17 00:00:00 2001 From: TinyTapeoutBot <139130078+TinyTapeoutBot@users.noreply.github.com> Date: Fri, 31 May 2024 20:30:43 +0300 Subject: [PATCH] feat: update project tt_um_alfiero88_CurrentTrigger from alfiero88/tt07-current-mode-trigger Commit: 5fb701988c41269a0a3a03beeb73d6e7eea7e79f Workflow: https://github.com/alfiero88/tt07-current-mode-trigger/actions/runs/9319952670 --- .../tt_um_alfiero88_CurrentTrigger/LICENSE | 201 ++ .../commit_id.json | 8 + .../docs/info.md | 20 + .../tt_um_alfiero88_CurrentTrigger/info.yaml | 64 + .../tt_um_alfiero88_CurrentTrigger.gds | Bin 0 -> 392238 bytes .../tt_um_alfiero88_CurrentTrigger.lef | 2447 +++++++++++++++++ .../tt_um_alfiero88_CurrentTrigger.v | 60 + 7 files changed, 2800 insertions(+) create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/LICENSE create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/commit_id.json create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/docs/info.md create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/info.yaml create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.gds create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.lef create mode 100644 projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.v diff --git a/projects/tt_um_alfiero88_CurrentTrigger/LICENSE b/projects/tt_um_alfiero88_CurrentTrigger/LICENSE new file mode 100644 index 0000000..261eeb9 --- /dev/null +++ b/projects/tt_um_alfiero88_CurrentTrigger/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/projects/tt_um_alfiero88_CurrentTrigger/commit_id.json b/projects/tt_um_alfiero88_CurrentTrigger/commit_id.json new file mode 100644 index 0000000..577ea38 --- /dev/null +++ b/projects/tt_um_alfiero88_CurrentTrigger/commit_id.json @@ -0,0 +1,8 @@ +{ + "app": "custom_gds action", + "repo": "https://github.com/alfiero88/tt07-current-mode-trigger", + "commit": "5fb701988c41269a0a3a03beeb73d6e7eea7e79f", + "workflow_url": "https://github.com/alfiero88/tt07-current-mode-trigger/actions/runs/9319952670", + "sort_id": 1717176640143, + "analog": true +} \ No newline at end of file diff --git a/projects/tt_um_alfiero88_CurrentTrigger/docs/info.md b/projects/tt_um_alfiero88_CurrentTrigger/docs/info.md new file mode 100644 index 0000000..4f03507 --- /dev/null +++ b/projects/tt_um_alfiero88_CurrentTrigger/docs/info.md @@ -0,0 +1,20 @@ + + +## How it works + +The current mode trigger is a Schmitt trigger, therefore with hysteresis, that takes currents as input instead of voltages and produces a digital output that rises from 0 to 1 when the input current overcomes the first threshold and falls from 1 to 0 when the current falls below the second threshold. The hysteresis thresholds are internally set with reference currents. In particular, the rising edge current threshold is fixed, while the falling edge trigger can be adjusted by means of an external voltage reference. The current mode trigger is useful to detect signals coming from devices that work in current mode, such as photodiodes, SPADs, and Silicon photomultipliers. The latter represents an emerging technology for LIDAR systems, medical diagnosis, and particle physics detection systems, where even a single photon can be detected and converted into a photocurrent. In this sense, the current mode trigger can quickly detect a rare event of specific particle detection, as in the experiments for Dark Matter research. + +## How to test + +The trigger can be tested with current input signal (such as a triangular wave) and the output should be monitored to look for digital transitions. A reference voltage of 0.9 V should be applied to teh vref pin, while the falling threshould can be set by applying a voltage between 1.45 and 1.55V to the vgf pin. + +## External hardware + +A Current signal generator is needed, such as the keithley 2450 Sourcemeter or any equivalent SMU, to produce the input signal. As an alternative, a current could be generated by means of a voltage source with a series resistance. In any case, the input current range should be around tens of microAmperes. A tunable Voltage supply is needed to produce the voltage references and a fast oscilloscope can be used to monitor the trigger output diff --git a/projects/tt_um_alfiero88_CurrentTrigger/info.yaml b/projects/tt_um_alfiero88_CurrentTrigger/info.yaml new file mode 100644 index 0000000..2993627 --- /dev/null +++ b/projects/tt_um_alfiero88_CurrentTrigger/info.yaml @@ -0,0 +1,64 @@ +# Tiny Tapeout project information +project: + title: "Current Mode Trigger" # Project title + author: "Alfiero Leoni" # Your name + discord: "alfiero88" # Your discord username, for communication and automatically assigning you a Tapeout role (optional) + description: "Hysteresis Trigger working with input currents" # One line description of what your project does + language: "Analog" # other examples include Verilog, Amaranth, VHDL, etc + clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable) + + # How many tiles your design occupies? A single tile is about 167x108 uM. + tiles: "1x2" # Valid values: 1x1 (digital only), 1x2, 2x2, 3x2, 4x2, 6x2 or 8x2 + + # How many analog pins does your project use? + analog_pins: 4 # Valid values: 0 to 6 + + # Your top module name must start with "tt_um_". Make it unique by including your github username: + top_module: "tt_um_alfiero88_CurrentTrigger" + + # List your project's source files here. Source files must be in ./src and you must list each source file separately, one per line: + source_files: + - "project.v" + +# The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. +pinout: + # Inputs + ui[0]: "" + ui[1]: "" + ui[2]: "" + ui[3]: "" + ui[4]: "" + ui[5]: "" + ui[6]: "" + ui[7]: "" + + # Outputs + uo[0]: "" + uo[1]: "" + uo[2]: "" + uo[3]: "" + uo[4]: "" + uo[5]: "" + uo[6]: "" + uo[7]: "" + + # Bidirectional pins + uio[0]: "" + uio[1]: "" + uio[2]: "" + uio[3]: "" + uio[4]: "" + uio[5]: "" + uio[6]: "" + uio[7]: "" + + # Analog pins - make sure to also set "analog_pins" above, else the pins won't be connected + ua[0]: "input" + ua[1]: "input" + ua[2]: "output" + ua[3]: "input" + ua[4]: "" + ua[5]: "" + +# Do not change! +yaml_version: 6 diff --git a/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.gds b/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.gds new file mode 100644 index 0000000000000000000000000000000000000000..d49e24b58a515f89687a30ecf6d9644321c3179a GIT binary patch literal 392238 zcmeFaeXwq4S*Q7)kG=Of2?_atDaODcDuMsilQd$qYbxH`0Yb zoBsQ8tF6^H9Nc{48;`x|Cyu@1$6x*0>)-JDr$7DJ)o*&k8?OJ!H~!!oUi+HYT>pmE z!wzkH*Ry`^JFfYkKlIgSeBW^3PQH zXRb=`RF$9G$K~%u`K#3`%7^LiRr$4j(EioxK$O2)9g6ZHK5?_FzYZ!@{zjF*5#mYFRQX4${LL!Awx8`+{+~FivDf~7;=?mJZV)&39Y1pX%0KzC^tQKV;t@AH zfBW|Ic3wIYkGR?SyBq24s^4e_#3OEY{;8LwclyvwJmO~OpZ;g*oq6$0JmO~O?;S{Q z@79@k#Lcmp~xHt3Y>oab4{(;{}@8An(;t@AH|Deuulz&LaU=xqH+4~%a_h#7o1K5S%HN3gD{n+R;%4V>Rry<0KExw#c0Sih4*MSEo9sK3Z;pLkbKE8GI-M`xyP)b5 zar3%S`8v+OF1?+HFDNhKX5;Tx`KPMdS2@*-{yedTSGuj6HuUsQia z+-&?qQNG$9m-kEsB&CVy!@W?w8kGMJZGtUmb zF!OJs`jh;lKZ7qEjeq7D*FNOmMAe7OuaQx|VA550Csa@yI{3 z^T{(j^3TMJyexJ;d4@;+nfQ^H#j&4xrtOh`CjChMnVnCb;gNqPUgTx5^T{(j^3TMN zyexJ;d4@;+nfQ^H#j&6HwNm$S{btgSi zAM%g-1Ya~NKl2Qa{F^9`{KF46K6!>m{!Nq@akKHsGd%KdqW*8h&7m(R%2!`S`9<|- z#LdPh&sHzW{F^8*;%4KMXL#h_M0pW68=pMGBmXAKi?})T$uo7*t>mA{?^Ip}iI2vg zJd5qhKQrq={!yRWKFDA4OWpzUZ$Z^3;^u)-`Q%r6I}cw_Uc}AD-@PlnQ&oOYUc}AD zKl8fu_p1D$yoj4aUww2JK6$s`tra&L|4@`q{-qz37jd)kH>&*2DnBSM;%4I?sq&9j z`9XORH-~=a-OuaQnu{5f zpLvEy{+W2>pV|5386J6O;t@AHpFG1O?@T=6=Gf0X)Aq9vn6ICDbkNO0M ze&*RJJ#XOnXHpOH&(vIEn}2xZpNU8QnVnCb;gNqPUgTx5^T{(j^3TMNyey9W%rk9| z{4?oC^3Uvi@(hpsGw~uXi=9uN;gNqPe&l7b^T{(j^3TMNyey9W%rBl@kbfrqNZy&6 zlOBwJ<`;9;m{!Nq@akKHsGd%KdqP&QkjZdE8 zk$)5AMcf?vnP)r7J@U__AIU#c&sN&}B)CLVFK^V!Gn;#gb6BW`v+=Lmex zxh5WQv-7#e!Q)!d#3OEYKG*7aOrzNOJY&J*8J>wx-0b|#?ew-jI1`V!+4)DFpWcxZ zGx3O<`}|{T>o-27vRT;*?6`4Eq|+4*`lsD9UYRrwH)xHeT-#32g?S6D7-p+HB6UELyRpp;~M*0wsxY_x8Du-v)t0+H- zN8B9yj8poDqI|{=FXLwCAFlE@s(gq?-0b{#_OALLsq!HnadV%4^>Y7Xo>=PxbpD*l zx)0*!zV!q9eD?Fa{z~#Y=?~WLt@$VJsJdP?ljrWl&3(_W*L>D##kv7qtD1PReyiB| ztkc3{-Ij?T>$i%X&pIvk71nK;__2PgIQEq%QNHpf%1>_Hm~pf7c}7XOtlKj2h?||y zIxV~-RX)Uv^;^ZUuVemQ>g!81Uhg$km#-2xiLdSdYvafL9X|Je$@G}_=XY}Z*ylbE zkNdx5dd&ah=k~GBeI6e7f64Ti|HsemBVXeloIm|L`}feCGf0Yx~gWJ`a!kzhrvM|Kr#8fzN)b2 z`wrsfzU%iz{Z;05>2v+c@5DX7eB$`Iebj&Kr|HG|OZgCwxY?B->nq>I{>$$q@rava zpZzqwSbr%WaxFvL?0l~CC^yz$7V(IiogeEf&Mpo(^qo*)BQ?Z^CUTb6F1L!{Hyxw z*;X7sc~-@76vpviadYf*pPk-$tRGv{UwKmHpU3*KHUB}ZA6xi&oIm_NjkS(D=Ek$? z_+LEyzH{uq)qfo4@nZc|5kHRq#m?tAk00x#mCUar`fi{TyeGd5r(W zJ1cHp)sH_O<3CXzbyoj5P&p6{T{uAXz+-!Wt8ISRwC@yL`Mmp%LZvDp9d zV*ODOpYb<4pK->I^+!cK;%4VF&iJwZsE9}09Q!%W+8*O?9@KSntUoGtKI4oR>yL`~ z#LdoUobefd6OXvr`HVAOtUoH^5jV$vj`QkRj=y<;IaTUaTpjDjA1~Jb6!92;v-25e z{8;}}#EZNrc0S{bAM1aL_>mXIv7h6t?J@r5fuk8WJD+jJWBg6L$ctjboeP@yAc@eIVmz=W~CD$MZiEkGR?S+~47G|7YS6H#?vEJ3Q|H zOg!S|*w1l3{jMB;lR3D^i{j~b_2ZAnxSKJDN4?~e2c6G2<1zjwUgSlw^BHG6#^1z` zyeN+S9A|Bh@i&=6j=U&#KI4qX_?!5V7sbwJobecc6F>5z*!hez9^-G~M_v@ievb30 z$L07>yrbgg z@nZh3h)3M)e8w3+=KqR##LcmL{eRsqKxg`u&*x_fC`FNk2(^ zvi|E|0eY3n(UJS;FV8&s3Hgef+TQpo zhfF$R@;m7#iI04pDNe?Am2YM}woaOzPdWPEv#9?}>OwdY68h%f!MuK;boLfdc9zx`EH|NU?Y^_iHL& z`JYt1Rgc{VOyXldRt>8>?pi31*-P3TPc?(Y$Nt_+DMv@&TV~R3;%3+W(>G{r_eZ-9TVElEoe=p_KRd-O{%ZZz@edx#4pV}W+bH%NHSXYC^ zar;%yGikTVfBQ`8L);vCW{&-VNUVKfdh)3M)%HO+{a<%`xXeJ(UbL`98 zOOH3U`5k!ldoyl!e!Oumk1r13$CnV~6UVRZXXj6}UwNuyKOU>jOg!RdS3X~U!Hcgc z74e9hogZIck{4f7D&i40$G*;Ux=vvQ^@(>bxLtAc+VS}Fv}yAnEB`p&6t})l`KP$K z`H#mw9;Q^FXCq7pZQpN%vw->P+r8%p|8BT8BhEDvlmpGB5pSR!JE=M^wk%tjp}dHjLqGG3H!#RQlYWf6Eb3U)=3gAElz(O%%g9TPY2w(= zJmZZI^3S9mO!|@h zGdrI=!z2GpJmO~OlV^D3pNU7@?0oVJkNh+7h?`?S^Ncr$$iIo|Px6oc3=Y5Illc|r zTIJtF)rY*JKEa`%dBz({C@eDZIiyoj5PPoCkC ze-q_J+-!XE43GSqC@Z6r7jd)k55F|Mjg1B6Mci!s&1a^^tU2un%8R%; z^fUi>1DX6Y>Bq>+qVB~9`IGq-_v(+v=Qx=4h`dvM;MmVRGx3O`jNaeJD)tmBmYc1;%4WQXL#hF ziAUV*eDVyByfg8Ln`1xoj5p}XzlrKk@{j%u4!`1)`4w|b%DaiG4|zv@fZVNB&Ke7jd)k$um6i zZ=$@2n?pbIjJa#hzY{h0O#acI!Nw=g@W{W3@*-|FK6!>m{!Nq@akKHsGd%KdqP&Qk zLqGG3FPM;jCjChMnd7hcWS+%bzw*zd9^{`n_OqP$@`}ozczeaot>*Z5p`LsEw#xp< z%}LNaIv-7z|!jEgtA|7$G^SO7@_Pl2*;>A5xaqP>}KgExG{i4=O zqg{w|C>ULOC}{8&H2 zbH6XC?!|cSr+FcB-}ARMe^2u_JhxljGBck0DQ=E^<;fN4#rg%F|6PxladYgekFU_N zR$sy3`Yow_QD47c{w_&;Eq~VP&vhCe*Kf)6n7_l%?PH(oG(4`~lIbyjho9TWKG$h@ zT)!pLWBv|5w~u`F`^|XD*Jqn}#Lb8g#$S1VQ+iy#@jJ}p;WK}i?0v4&@VI_UrpNpp zer+F?&vhCe*Kf)6n7_lX?E_!q{4wo+x^CgTYjWKZ>z9iAt{>LMKi2jxIT^7VCf{CN0GuNyGWdHnGDpDWbY@6%X)a%OzRL2-d46jITf3O#7$pXYYTt zeum=&9>)z6FODBY#fLt}2|VWiP5d~16g!{e1YSIUFXG4Xqd4|8?pLVpSLOIm)U`y! z&E_itj58kNKT%%9&BkY(@fiP!@*-|FKI4qX^Ph?GB5n@-9ACbk!1zzRv*KpsGtPL7 z|3rBaHyfXE#$)^^%8R(!_>40i<3CYe#Lc0f<1@~9jQ>P=5jPv3amHi(C(4VsIrMXU z`FaBT|HL~gZZ+?e8w3+=8uYa#LdoUobhA+sE9}09Q!%W+8*O?^7Vvx?pN%5 z#u+c>kBa!j&CX|>@nim|h)3M)e8w3+=8uYa#LclU_rjd_IgjUOi}?qQ+ox;ZNb@j% z#ph=WU;VCm+n7Ik>dcroQrtY}{7>C~KZxgNi?_hlN4Kf3-lBYCUny?=XvWRWx2zq% z*ZjTL<0)U>GZT-vIrfz|SEUzg=k+^q>qj$gj(zo+)+qB8fbB1u%B4RhZW15Yf9DJ7 z?fx4xy`3+Zy?^TC>7D+dnck_7o4tSL{psz!%}npi`_0Z*zrQuMuMWJ@#3OF@{=t`} zcj#&}y@M|^d;jovrnm8pW_pLe)9n4tN2RxQ$V_kZQD*0B-2Xsh{VV!6_s-n@*^HYf ze`P%WTr=UHxqT)cadYfz|GgGZ$GaP6ZvSk?&CcI=XnLDZoQX%=w7+$pZ{gpt_7?ut z&wj}Zf9R=$xA0%0S>Qj@lcNurni2jw%`CsfjQH{@?t7NsVmbbTy}bv%pnv;6nfea1 zGUE6P%!n`jwYT`U59a7^o-rAH^}s>%tSm^sW^qp(R^30T|DBr2-vA(P?)zH+`+WUd zoiR@QbCcgmKS_Koix$5A?GMCum2Xx(e!%Q}Z9A;K(h(HjjQS{U?)w(?+FSf5->$y; zkdB-;nL4U!Oi#YujQFzu*WQ9Z{tlJ>T=mtPXCD2s>Y})*?TxQ`{+7zS(&Ts2PZA&b zs`uw&yUI7S9{e2->Q&p@@{d1^`m4+$^*R2ItPfOt>94=Ve}nqx2KCju&E>pZD@F1)R!8eBx&3v*HgwR(uxmh?||yN>%)L3%Q6#-0XawB58ZBxG&+?eBRW;k2m&;c*M=l=Z!=Bc;mE)N8IfEcneux zyfItEi#KYEV_*B2jNnQ@J!OpLRwL zs{JPQAa0KRY-g}kTn5hH+3!@_}R`l2dn*NoQu_dbL?k3W5u)DKT-9e{nRHo zd31wcn&3jK4Ydvwg8*TZ8a>P_QgGb+HX=1;^x@TcCrGG_D{U6;^y!zjBICI1FHQKZ>zXD^s}9@;$H1H zV$aZqCME;x9Bl@K{_OtwWV?gCkyuIS))~Nrpj{X~BCg1RlzAWzh7VqNv zCC3<#Tr=?_7mJc;uUjANx|V^W!ZR`Q#gZ9CPHwv8dSjP+Jv-8PYJo3%NBW`v+d8_S_Zzf(`OBBa`<}DuiX7V@b;##cO`Q$Agd1m4hH#?ua z#UtNLJmO~Olec)>E17t4FIDXPc#B28|0eVFH<+)@@@xAtf7jkZ*onnaueS zH@m;(yYm6x@`^uk&vbkGR?S+V)L({EH`V zI{wAny^j0wWUjdH__tO*$3OCo<6pAIL)YIiF1dY~KMP-u`k%bTH)A|JF1h_|zvf@# z3G%0Oym~GDpNxM0MD@RT&i*eyRvyUn-{hVC2Jhq2&+X^v|MFwyiu_o)Qlxz1W>FILVKw{Fb1+4->oQ(mmNEaDM2J3pQR>)#%_GwT8Ih?|`s zE5PN)%I_i`adYhFe!}Ycn7=8;>VNj1XLLI{-7AEZ`J?icok=sA33b@&B$eyZ;pM+XJ4WGnXH~9 zZjM(GQa+xjqT=S*&vN34v&uJP^&$1r@iXot-_?zO9xEt6jwRGX*PT(XV!H}X z<*<4z?qAJ2vfTJvMzOswKaO!Kcj6scejF3k4~jSWuXDvGvV4=(OSIb@uj0va;ux;- z%{Z2;TyyMa+1$5KmdX7~)TMaN^Vf9zPtSkTdHNg9^rd|Iw)0~Zg34#CDL=+s{~ftd z?0j-J?z^}Sk{>xMFLJs#_St8mezC9V-{n51yor6X*!dhY@M0B2G48(Uy*N6b9Ha- z`6=jx*DJR# z`)_R(NUY+xLT!DsexrC)N7Y!xQEdN~!#h-mFDZkrH2IzMlf>8BzbIdOitgC>>r1hU zBbfDwRUE2MZExzYvUIf+t2m1BHyo;7v5MnaYvr%4VtEj&VE+17f#_TGaTgR+oAtK{ z;w~-YW+ke+)vEs>%_P2OqQ^X@~j(vH1 zl&iBJPrizLgPpk9`SIjXUOX`@;t@AHKc2kGizlu{JmO~O$CGP$@x;1_N8IfEc=9gq z!G4RLpuHwjcPOCq(`htGo+)w)_4*hI@JaJe1 zP3jT-Qq;A`u>WaiT*Lg{Ot1bh$9}fYt3S+m607!`<5e8lPOiCVw@H0yzd81^ov~s; z?VosC#m(U=jvVJ{^@pzc7=P+Pzf2tZ*?zD7FsTRQZjM)RWIMh3!~Fkz707SXR^2BS z`36<=WpUrTfNR%3j4>WLXW~aL7CWDPB;H}v%#j)2z+zvPpW8?I%P}IoSOubbKs@5+ zxcod;YrC$0`JE*1K*lPNV&`+t#p7IS#ygaXo1Gu4JmkkJkRl#&v-4vWh&--6DIem+ zHDR&y+0UlcA6vN}POCp|&-~Q(!SMr^`6Kc6nam%>Dv;v7Z%MA@PpkrwPrmUxvCqhh zeW}>_S6}i;A63-r|vGCVm{ti=7{EGqG zgS=P;QXKo4uXwQvq{uvAT$2?$pS;B<-%NbsX6MH$5c#nRq=*;y1jWuLUn!S+B@;jH zrHY*&t32dC*l(Hcd_bihP5VxY>P6bms%w?|vA6Cf@)hZg$_|O-_B$HeBtMLp1j33V?1>JH}~al`ZfO=PYyM26Hg3_(f?ZgVV<-9 zr>B6*UwQGwvxvtNQM2>o$*sJ2a$CfURUpOAk0;Oa;)!z+pSU^pb(~@qi1IF;m=~G1 zA#QelJo%RwPyCB`#Ldo+l?(D>#X=E}xY_x!@O|__yMp&-CgK zQ@^!5|1R=JeW&|$ul_K-`okRiS^l*8b1wy~DPbJ5zIOyNp*W$ia`yBM@4|DA2?|b!!>D3?Rcoj#MAIA`tYyQnD zknESg@+uJSyI2KMjPutgG&Y>S#B-j%rsIElu?j?ftOAi2D{G3KAFDv*#VU{@6h1kS{-8wg2|j>heD_b@u;X_x8U0cr)T>`?VMT#kZ=Qf1vXJ zx0x5}FC-8*wY~9Gzc=dN|Dnn6q@N@{@>T8=V!O&Ws~%4&Jli%_0LgFKTwR)Il0kmD^ zn^}*CX(o^Q)b_Ug`=6h_Nqw^1q~c3|Z57B}nsqwyVU@$oe^7S>&sTf23LqKrrN6cc z&j$CKbzw9?nJmTh{{MvuM{928Z^8N11XR_ZAH}~y7YqLmq ze>}afyk;gIakKO9xir0dJ~k7NxY_w%y*|Brm20#E;t@AH|K5+L_cgtbf)DYCo1K5( zMd{u5uV&&AH#`6SSETpAg){Mpo1Oo_N76g4yEf_%@raw9f8uC*>^t}nkGR?SCtsEx zGnx1hkGR?S%y{B418?FHH#?shdpw>Pn0Um^&gV%79#33MJmO~O^P~umCuk-fakKMz z(uT(>5)+TOIrepxrqv?au35*K7iu<*xY_wUdB*37vx!IC?0i;>;IWFt#3OEYJ}V&b zSn*)u5jQ)Zl^S@gBr)-bo1M>!6TGbt&cq{bcK(s)r*}kmfcy@`BW`y7(GR3|!8J4S zh?|{%!2{`C_^UJVh?|}NkiV7QL++c2N8IfEi{78!#m||EN8IfEi|H#!1!N8Idu&U5&&nzx8Y-0XbLbNI0Wv4}_9 z?EI}iN&m>t&BP;acK*>Pr+4%VGx3O3@@U#JCi7E!*}#*Shq-NjvC&bL?k7^TeP2H>n5xZ~mpLpjr8%{>O)S z^uIawv!6Nk#VY6`?V$h7&ZnR8>3x1)#y|TRkA64t=znwUXFqf9il>J~+7VATi=9tD}UV70*3xKX$Spo>RdW#fA%luTKeCl9`w68_OqW^aYO%4RDI}w>J$7+R)OQu z{}biW|M>H^+YVFLNj4zezjjceC^9XFU4f z#3OEw{p@E}pwa&m)gJnv_5_FDLd<^VT9^KxsQS?V)F(Lf>1P$9IrO_PHnSe|KlRD& zUH_-YD)3kV$~efQ|C7W=KK&fq)&C}5jZ3iiFZ)`0m;bVvevFI!+CI!5p1-hikmo-W z)gKWzhu>nPfAQ%51#hjmIrOuCS+Pj}o75xvz4(`{!pDnmSrqYO6+?0CXa95W7ONPF zv?s=;*!lD?KK*avN52=xe)cmfK1RCpf1wT6gZ?+ie)cabhUtHkdeHBt<}?Q7XFuc7|0W*&Z;t)!U*=56 zf0K65?`G%I&v^8|iAUTV``OQ|yr%yrsy*~S?FkOwg2;Ymu801gsQS?V)F(Lfv!7Y< zP5+zJgZ?+iZ&74Fn9{q2Q{p@F+{m}m=?V$h7&ZnR8=zkM0#-%v+vwvAZPyd^= zgMK&lENYN{*}puiqW?|mLBE@0Kl_;#`}F@r)rbD4KEduS0QEB-{XbD2{f{3U`q|Gs zv!nkfsy*~S?FlwM{ftNdPm~vNbLeM3pL|*Nzezjje^bvA+y3WSBmHku5BlF6`&rJ3 zqgnpM4_DkgIx3%ecFpzvKJ)K0PrM=H=JDSj`}h2GdSCsPnRvv_&j0FX)4TVrGx3O< zo&UARrT4WvX5tYyJO93$(!2k$Gx3OX_9VTyRf z&9R@?_}U)#D&~ndWZdk0?$PkL*EI2no1M=+HXd^YCLVFK^O+;TW3I=KS`fW4uh?|}N(EpI$B|kG0kGR?Sm&Wm%dH3`o9&xktInS_GhV#$F59j%Z zwKTPTaQ@Qy{k5$7)A|4MnXLOGZth$ExAy$^?vJPUmDkL~BW`y7J(s3;&&Ous5jQ*k ztJkM@??Y$e5jQ*k-jAmDwO7u>BW`y7eHW#7-@lrPN8IfE`(KgX0~gN3BW`y710PB6 z__3LI#LdpUh+4)=};&H8O;t@AHpYt3Z=RXsVxY_xf z=kPfHnRvv_&gVRb$9d1hBW`v+=Q%vieVyX@+jc*M=lzwGYxE`Q%lJmTg)f9+fJ zcYjK`@~t{vzJ2CV-OCa;k9_Oe{o9(q^8q~N`>~mL#Lcmgtb2{b%CsDF4l|Pd(GS>=`pp=vf4DbDzKV7B9~?v_4MP zPcvEfO5EJHer})7IOqL)lHW-`8F{e0{#x@{r_cH${`RcNx}#XXU);C;V$ElrzP86( z+$Mgk-!G1R9p}!Zf7x%&WZg%s-!G2+oF6**NYz*KM@jYl|4G~=zBc}A^=EzvkNKly zdOW}3cXIp4S3MsQzrSMsNacul#Lb8g`akE1@R&bJrpNOeeusIauKw}^ zt;EfJ*Y9iXkM}Ed|HoVG`gcJ*;^x?AoYIT;FXTh+8;F~o&;0?%DefPVwIAsDUF;XR zeb9e7Po?8q_-5<}I;NTXj(^$yTK{?Xmoo3a@~)YY|B9RE%s;OmeSUiPoS2DskK$(M zfAu5j-OKS)`ys?5Zg&3H9+lqLIF8GQc*M=lzmMa-y!)@3iAUV*{QK`t?}7Kt#3OEY z{&78{r2ON0R%_xBH#`5t$J0CcnwfaS&Ccg52$ahkvnC#Kv-A1d0UlpLF!6|+ozI)g zc)Yo6;t@AHpRXO@@y4}@N8B9yx=vTVX?uJH!Q}W$-0Xb5c7VrM5KKJcX6N$;KOS%H zn|Q>{&gaVmczkid#3OEY{?;$0cjW4sc*M=lKYAd&qk7g&`4Eq|+4&bl-sxO_dHN8K zxY_v^M&9Xqnd>N(5Aleboqti}ovxR^m_EcKZg&2~k$0MJx+Z;yN8IfEOEh;xy)V&R zk%>p#?EFhB?=HI{eTYZg9Q%2lu&KER*58<0FRSZ^;^v3XasIF4Ki3I(tiLhwJ0-Mex9v|(P;w;zx8Pn1Xd z@qfs<7Vg6PCVLg;t@B;ezxWL7jbjw(@tGGXzq{ur)19EHS6EjuAjL-!sGranLh0wtbbehv=fi^ zn|Rs&WW>+D{;&1-+#lg{|CCIh_T$&~fuHR^qq!H_KT&fh^vfCZ>Tdkgqy6|ndGrf@ zaOh|I&s?4DpQvkR`sIw-__Pm?_D_@-adYTrJ5PN++i#xMTng z_OqSZ9^-GG*1ZSqH#?tp;?aH+kGMJZvz@y?mF+iAJ*xVpxcgIm`|)VMiBJ2@v7hbK z_GrI(>QNatJD+yq(S8#z`lUGbvzONxY_x% z6OZE3dj7!gK)id@2WIDgRXKup@3EP9 z#LdqCn)WsPuQ3l?#3OEY{(U-D;oX1LOg!Rd=ijemJpKcke>d@no1K6BMd=;a=?fp? z5jQ*k#K+S+`I?z{#Ldp%`9ykr1&s0`9&xkt`PvsAU;i@kh?||y*S_%h3YdvU-0Xb5 z_JzmSzf3&h=GfOY!ZAEuI~|?Lyd`n7^ZD8rK41Sb@raw9&)2^2_zIYbN8IduzVd~~ zSHMg>;%4V>={XbL5na2Oc*M=lKYAd&qq+vfhj_%z&c7h?j`@%DAs%tF^Dm6NW8Ncu zh)3M){EH&*nEyy0;t@AH|Ki9y=0DPhc*M=lzohc+k`Jd3@raw9e`)32WmlvR@raxI z{I##Z-TiWn{S7*Id}Zd*AJTb2ar4LxYv;c;pRdJfdwfOC#3OEwedXC@>0kD1GmrjI z#?7&>^Yr(t?%%HZ>N!DDF5gf4ev|mP{(Qv_Z)ej?Z~N=b&R4s4Vtf28L%!p-`5*C! zo4tS8AEtl#zc$mm><`V(XPh+d|3v@hD>F~LJLBf@e==@AU(3_>_==v1N8B9ys^ewp zU-oM=PrN(hrv0te>X91TL1mH)z<2pH#dLkb#H#+lfV7g zt6z2OhBq8Lw*D2qXFv4?FMR4X=l%-cj!v5=bQipFfvIfL8F=To8S&*^;M!OCPW`I> z?KkPD`wCOP^~XAk{i+%9v;DdXil^YesDI0BdXaBv$5Zg)zHezS{+1&DPWAgSoqaia z1o@rxlf>6XapCJ|&(+e8QNEe=IIJsR>QmdB`fK!lCw-H8xL$DIx1`s;!WU10ub{6~ zUh(KBYp_TSo9_)dL;uC_m<{P{mj9SL5d{P_km;!A(+D}1LPqwPA19{dwi zp7z4ik1->@^w++kclvYM{+qh$d7UXwM~2g%Gl{R&f6d=}ss5c-0<3PC$=`A$Zfg6u ze4TYq{Gkn#ul8+}yYSudQO`O+CE512gf6 zo1MR>88G&9X1q*1;^x?wx0fDo?BYZIh9YsZ^Lf)5k2khWJmTiq*M9Z^jnlOp{}$Y? zxY>L~f_Ca#=Q(p&nUW`j|>}UV-wFLU#q#g9Txf*^&BKud{qyJ6nLBE@0Kl_=dJ@o%X z)rbD4KEdWI4D>S|{XbFvH{#~dm!p2>+(-XURC^+BHa`7~NB>Wh7jbjw)6c5pt@OXi z@6@;iiI4J+eva+xe>3Yr|5KmZKFnX9yzCvI{})t!B5pQcVL0=#^!Bb_P+r8%p|3jL zj7R@3cx%PY#^=c~K2MA%%8R%;^t1nY1A_iH>5mwfqOPR|{g?gAwHEzvQjh5O;@HoA z=8X&b-=rS&zuA3-fqurL|4lsN=Gf1E=Gv3~H)#jsVs<|Lj7R^Qc*M=IpZ$FLQ1<^s zwTJ$vJ;6hL|8uQO|4&qX=zr=H9QxVMyfH)no798;H}y4w!T4uCnUW`j|>}Nmo zwFCO!q#g9X+4=M{9{q3P#kds5e)cbKAd&wj?V#Vy@mCnKfB9Mh{clna`rRD+`Zwxl z-gu(_C#pUXH=D08(9d}E|3rBaH-~=qGhaQR|0k+F^grzhHa`7~NB>Wh7jbjwXFu}> z8U1h44*K65e}y6YnXe_#|0eaI|IM+V+4-FNnK$E{Z{iU*$G$xMQ#`KKOy={5o1M?KDjwI`CLVEfpTG7N z&)#!%-}^L`_tBaB4MO5(_qPa7zeeN!!|Ja)XYw})iJSZW7U80Nwfk{+s_!q&+}5go z;^sbo{Vj$M?m&Tn}68n=Qy$dFkW0o=e(;K{kY7(H9zLhn0L5E{a1{6 z2kq15zWIkWpW`Hd%aG$|Qv1SJIewbN*Un#SKF3Ksj-Sc&xPIn$a{I_vy>E`+kK?Dx z5%GwdUHKZfo6_g_iO+R3KG)C5&R4tT$MNTrGvm0UxVi86v1q^Q`%FBQr~WhJxTCn) z`5a%^PdNT0Gmp6bWh)4U)v7hbajTzc+@^?jPzuEb;6OZk@ravaKikQQA=+=UqA2>Ms5h#b z@lTKT<3~Tpi+(AN{cI<1Y{mU|kvF=cUy7YiJMn41i68w^9Q)Z$R$S5kiFZ`od|cmt zJlZ``9_?pkS8(WOJ9*XFGXgkoKFrQONk4oliUQ;`*tGN8B9yYWJt>y6H_becxuD^ZF-_|El*p z>$>TwGkxD??(^4H0qwnB*S&91d*3sYza>fB?EaSIsgJAudd7bGgQl*fSbyB zt(AY~{psz!%}npi`_0Z*z26$!R|j5c;t@A{|KQ8gJ9M>~-ocldov(K5m~--1lyA4s zv`D^~}d(u0l{Q&=z@*aOzIg#xBGgbax zl^;iM`Dd#9+&(IwqksJV>OhpQqfeBdXN9fbowg6!ud`p2uRFjfA5w4PW>lub$$n4V+_(R)%_1^WiN_4CiAUV*d}ey_n6WnTh?||y zOg$b?4op1aX6N&y1&=2_CLVFK^Lb*1$83g)N8B9y$`3v1)OO8!&OE9dAZ~U(Ppa{G za&F=gH#?t|26(J^F!6|+ozF@UJXY|Sc*M=lXQdAwE0Ih*;%4Xb_lNNKyF(@(adYf* zo}g^bUkh$m+`RT2`+w~}+pm+S*@K;j&)m9E`LDRS`KhtLdslj=-a8YIxY_w2kUJMVbV0_R zxY>*!;~(FF)%csNUL$UfSD|G&tJOGunDk@hRZ+**!?ME9ICd(3%(0(& z!V@s^$D|(QmD#RhQ~%+SKPDdeV|G4yf=B+Cc*M=lCr>!nkUu6KadYg;iSorLKS@6l zH#?s^pGOfKNHoTDs{4wz&uZo>dp5T!`CVu2qaqMS)#FKR8k4Zn0H|BU1W9A3f zp5%{7J;)n#>}Q^^0)YIPsO~3!s86t4MX3J6BY!5!BY*INjZdE7kv|jVMcizB@`T)3 z`=7jsn?pbICyrfFe&!GTNM22BeDVaJ{Fx{};%4KMCwSz~M0pW6hdy~iTRDH3{7&Un zF#2M!|C1-NUHM~XJ;)#GQ`-kV`62HB`Lm$v6LGU!1*w07zpJ`VRBVU1+4!fgOpmvT z@PqOqZZHyi(OmA_Ht2jxZFZ2YY%f2+z5%8R%; z^fP~AC6V&Sq#q-%in_NRjDO|__uk}>8TZcQ75C8M*v~v+wI%suQV;USY*%rr|M18k z6Oa5cJD)tkBX3MR;%4WQC(Jd(v8#wj+#LIvC-~%zNk5WTX6KV9c;tUdj=x3g=T9o`TsR#LEYA$Jz zznLd^5*IQBD7@W>xCR^dkbr|pCGXP)4Z zKPGo#LdPhPw>c}iSibsX|?B9bsljoPj&ExNB#$P#tAGuq^<8Qf`ollPA$FZ}BN8Idu zjoW0(o1M>GBVNp17x9RjozEOMemnyy;t@AHpTF6H&+}gskGQ$dU#x=9 zeP;8O%HKE3DRL}lUotmext9NH{_Z={JGDJC&IO8_oqtBGzr2@6Wi|`G=x>`aOM! zN8IfEjVhmfrydZGxVg_?tm5Z9pU*9sf8+TjJo@^KoBN($?(^BtwC~+)@;mSxskk{V zU*jC*Yy6}9+`O?!v^6^%uplPtIuH(_1XuKP2Vq z?GRT?L(jY1U!!Q$@G{%#jouHpZ!E* z#r3!LSu?J?6*u=?KQ7wO{*hj+U()Zuxb9Zm?EF}tByX?Ehj_%zvCn=&`Rq3{x$Y%y zc7Cj1k{|1rig?7$&X4s=@?!l`5s$dp`CNZvblf6t zj?33Lzb=n^=du1{t^5bE{$t@QXRlQ5y-(CNkI7qHar`WHZ&7ia#EbP4Mf^B^7CWEg zBz~-)DB{QQv)K6@C$(M2&zbmf{49?BJWk@r`iWw^MW%Y0ozHO+Kh{kY@#6Sd?0k-s z_*`q6_;LI!j{O`b-acdeCf-?b^QzU^`)}nxo@>j#Q>#LdoUoZ>A&^`D7H-0XbDN!w%mOg!S|*w?>_^2I1W8E@}J`P246 z{^mGQZmb_D;xm3`S3cu}&-j^m#LcmvV>5 zi``q0j1wN?XX3}W7CWDD!ejhQ{213_=QB>)9^+@?$G8^9evS_w<7UQNpsJVI`HT}D z<7VO!H#?tk!ejhQycpNw*w1m|?a_FCY~E3Evw4e?al&W(Cd!Mr+4zhT9^*GrUc}AD zXPkKZl>Kj_yoj4aKgSP`@tb%@#m&ZNobVXGiSi{7iht&s2QqGfsFh|5C&wZjOD;5xh=grQ_FmJpWv~f84z*{quPKx#mBJ=bsB- z;}+%XTH(FaBgwo6ar2z>A94SqYxiis`upMOL%ins=UVv>;`!&|EnJoLSl$2shWh`d zGq*Gz#Ldn1^}}oa?k(x@)e3$G;t@AH|4fx1Un7zqU+)w^>dW3evk5# zTN(%A=D2+IbCj?CkM<|=h?||yJ0jY?+N|;+9&vN*Ys@cF-|H>%llPf&_5Sb4i%jC9 z_G{bEr^ny%;&=FaTKGFZZxSE-r>p$aRsQMw(mP${=k~F`7v-zJqkNeDUX@?lm&cE_ z@jDph>o^tVLwqsHhh65=h?`@d{e*JaZ)R@4IOAsL^YsV( z!%=<`kGR?S8&&>hl@IZVoA$TP^D6-Be~aVl7k|eKzIX7qIClR`BX~fy*PH)I)j$WM zU40oK8S!Nnti8n_SKj)oFfn5h)PL)*n{Y*%)PG;LmIZ5X@$-~zLx0hPw};KmA5{5@ zn~I-Ze$Ars6kTS3||EZog%hP@z-;ygH)^UWmsqI6b<4nA*r{kZ=+mpo2ars$KR&Y`O ziCTe0{aJ|>9KJ=D<-}WGDu1HhzN38J!V3<4<;kb%Yvs?IW>OF0rp98>{>&T3iaap! zVivnN_T{`Yy@OAkNjr#}V?X>qNM^Os3G=r?ohXFtUZqWW*5 z>O=ogpJ4MARLml)@94kjfAS)34*l#WJo;~<+C%@*p5V}@pD2s|Gx?qB*I?9TF#hyY zY>)FNb&h^ieds^xQ`-kV{UiSX`M03z6LGV73y8XNtfI~ni7o#(^y#1U_?9w$Q0<7g zIrOvtSV2nvnY1VRwWwqBp#9lD9J{&xFsVoMTXF1XKe1w!{xhiu{b%Z2FepF!iE{=0 zXX4R+=Gf1E!lU0z+Cl%BV?XZ=&i$|52ad(9hpz z1v2HEtRSXbvwI68zFEil^LjbOQ#yafH~fmb>({@F^KaIVw-p$Flea&px7n3XA8r5B z94nLSSK{Wr>(@p3z4Dl z|JmnfKa+p-H|H^~!}51w^ux0L3t#QLM(yXi<-IfGxzEJZeiculKdsn_bL>BL|Hl5oQ(TUh zrk_5WW1o7a$NqyK*DoK)I8T9V`=I?TmKCH0oXK%6|~&-?dp>a^}!4sJx$h6eK?OnPq0Kgjwihddx!O*Y-jAs`pQ%7x!w+-|61zp#Hk_fuAt@^3VLVj$!%& zBe`at(w8ZTo4fxY>wmtpnEStF`hw?u-;<}c*muRZNDr@`aEY$|b~ZH^`@idmyJPAZ z#5WT+Bfji~?^%A!^rY^TPu!t@tKUj$4enKPbO)S__|jjS1&u4eM`*;aQ>%l@27TX> zE3#z7&-QCC=6$c;oBes=my{C;6T9lf*~9`t6q39`8~yi|2a8 zGal8ewzuV95a%K1qGWjLE+@_21X)zn^4AeCe;vB5prLWoy=F z^WV+fI;pZ1H?_U-^>20cy7NaSzmtBF_{dlPJU+Iod^78@@p!ZIwe2bDw8K1Y2#X8cS%;%4VF zbBV`{rHMz}9Q(@g+i^9bHu~<`1$R|8akFVZ{l{!C{bw?(P2B9h<+giQdhrBC zzXS1zo1M?Q9F)s9JWV{}=Ga%iNBPQIl}irB-KcVhI5||?&pv*|`03w7`Rc1EKZ!@& z?8>K~DVOt}iAUTV``OPiJIWhW|m+# zi>?00qyHz$r~mPTjZZ)0(fbxe=A+4%G`cdqpRM0pW68=rp0 zqyHz$i?})T>1Q>P8NZh~(L z(eEZ6{cd(X{ftNdn|Q>{v7i0SwHN(wa_z*pn4KRh2ISNK_z;h{+4=M{9``RM9&vN* zXFoIBPyU-cjiCQcU7HS%-}Eyc{cqwCH#?tx#-smDycn0_*w23E+L``0x%Q?1&CaKv z@#uFGFUF~J_A}poq5mh|R&lfWmeT1f<-SdA{oKsmZ`1!*+}wHF zc>Fj$V zllyk&HOzhY@B8kb(qrG}cOYKmezEg8M&NPmHt~s@olie&d-T7FN8B9y^7K#fxOOs` z2Z?KkV&`+Mg~zq8i67T~#m?s%8IOA)6Q8)b&tH2Ab?Q@cU$6dp#>|aB)p#my>Iu_w z{MY=w=isTmADxLu+#LJrw{CzeH;<&f#La#8zx({m)AN|WTl1Nx;r{oG za>nGoHRf-M`|dy2eCBE5zW277ao?-BIri00QNH>u%1?6N8}m2Cv9G?qOLaZ0|Gn4L zZ=51-5?>qtwfe{TPkx;Lg6YNmr+z25k9_4#l&|_m`4FGD*_E$<^Saoc=fA`1LtTgC zbN!v{d~Lf!`KR+w%)b>me-JnK9sk$bAMUr$utnXDs^{4eUSi=N$o)&988*YPIiUyAsV|HZMN zc^-egG|I31e{AJ{vGe0T|EA1)ekbx@UgUpq>}NXEV={?N4^)XZz1wo$a4^cg4-C`}X6} z{)zHv_Zf5OXZz1wO}p`ncURnOeANj|P{TY+^xc+CJpWfb+&GgPZ z-|T#~JIYsmqkM=*-0b~BQNH>v%7^J4it_c}xqX>`YyE%fOB(;{8NZpk*QiXz&7JGV z?cbBD?Ha$Cc*M=I&p4%bD9TrT#ocQ%Zra~E&v(K0ucG+=7mrp^ocepZ`qCY zGUChf*WM*N^~Ai|JoE=Mx%(n+?z;=y=jYXCyopF%KF{x@pNy;9LHVlVV`Ka3&>xsp zkH?ywPdRz@i_cYX{!YfZ3a;(T^4C^TocKJz$cz%s`v_ z@^@_(jw>(jw&Dp+5s$bz_T}kG4}Ls>EAsT7xHc28mBZtFkgQ)so5+@<|yJcXuT zUZ{9(KYRbJ{f~BXx5W5Q)Nn@JY@UMpZp(LHL3t53hklMT`>yZ4g4*}uF3fCv+81|Q zYX3xe5jTfE?NlW-10Q!1rmmnPZZ=OL<1SNP+=ZI*^6s-Z^l2yM)BXkjANJln+_JK| z*WFa#_kG_Nt$;-VB@!iw#BhijHOa-8ic$0!MMP{ve3XYn?7}5B#2_}|7`-v@3+3SHq3D^oO_e|$N7WjEq-&qYtHq}F~2qEH#_UQ zbaYy#H>^LtXL$T>@;OfPJr^72AK#NR7kqz_GZ1`#F*^(BM_cCe{qg;1^xyL=sC|FV zUh=&~Kl^F9m@Plw)6YKI_ZK;XLoQ}#;rRYK`zZdqo`tmU$=O4`zvyQnX_vlH2ifxT zJvn>G`fu^O_W$H!au)F=9EJA!{bIhc{4IVrmfNo+w(ntiL;XjM>g<`we&hd-&!YXm zcoxdGw~Os4YNquU|Ig0?#VA6#7mI$L{ht?c{Lss{{Zni27jgX1;rG1J_FLHhv2`x$ z?2GtbObNag%8I^ZoMs{}T2;WB%HIf3NLlTtmNK`R_LhZ`--e|37XNocY^# zx8HmJ{dZa|*#4CEi(-0H``h1c!!N)8PJD9Fr#G%YKEE~ktI^=@Sg!x?M}cELzVBqe z|Dr};MccP*SpN9_?0denQP^mE-|no3xbmgAO6jXsLmDB^$Y zD4>1+%k6vHcj&sMQloF;OC3e)e4^6XXPx_|#edMH_d;~si*$6W&Hae=UK2zcgJrK` ztLS-q6?EK7#GPQrhglU|A=|q+WQxqzx4)U|I2LtmW!4rzU^ha z!S-m1})BW6s{atH0`useWr%X@hf7qU&!?_cY<>B0jXnDKeF$(7Q zUoA4<#*Z})+<&?GHnJ#Mp7=JOhwS_RMC5bA50W?e_WfVu(|yD;#?NCp`n*QWeCA`{ z`y`gXQseWYO>bZRwox>z4XZouggn9OFlftk6}jknyWcSi#u4DLKd`OHHmIO^HZsQ% zRnYTxzjGAqt@d|+V*a-)``Rd6kL99GZ}xAu&;N$`mxz3h`PMT9J#Y5kYHetvm|fql zJoXLdj~BG*&-b+#VBeEE_q~Bawi~{`*lYXg*o)^Ei|vk4GqL|=_WzcP&Au(OZKsU7 z9D7w88I>Xz-;^xB{c`rP5ntvf_Wi^7KBDCf-`^bDPJ3Uw&uEh8Vlsc0(`(0;U-Z!= z%SCIOhWT5aJ`x`9GsA~?D!{=fL&&ko=F>7}&4efL71MB=|+h0RX{iFW4c5&rvC$dg3;_p7kkXFm4d z0p?%H(;2+~EX%YT4{yqDy!|d=Q{NVG(65Hl)?RK?K?>cF^7|U_u)neu|pBRh8XDl*4C-Otqwq<(z z@^>7?KKV}T{cf@Lv+ECn*85#c)Z&`DpdqXDo{f?v9r)&?7Ct+;-v1mtcHY)Ixokt3icYOa2|Jl{y?dI3W zK4-V>Rp33lTFm`D-w%)81fSjyf6w>D%#YrOCvpsXo&TK?k6g_C;kNgPKY6Yg-f%lA z;&aOMhW6{~nsQNi^X-V0&zWyWj(Afp539(oL`AYE@uA9N5WfWzv3(h#3L6ofA!q(R@-;Rhj`>-=CAo^cxz`@;*pD)zxILf z)_u4Vk6g_B^)tg;e_tgYxtRG+dq3IJv%&lCARf7x`5W$u`95=1B_6q$`5UK(xACq@ zJaRGfpSA6c^|onpB_6q$`J3(xZ}SzEc;sT{ZD&)9!oUUWrF8X8yjR;qAM<5|3QW{QXPAJ21Esk6g_B z1Gj~D@NJcN*OS!w$U-wTf%Kc>IH?{E42@VEL{`*9rw?FjM6#ccln>xojd zn;r4|eWk7e6`R}7j@;f99wWzm4#XoDGoR}f@m|^!rOZ1Le!T-R=1b1}%k+l*4|881 z{(wW3cyVWMYkH$U@LS;xy0j9XT+F`zpabCzzO@pMT+I9-mxMQDUnL&7nE6A$86NwM ztOtlkE@u9)J>d=idL z=ECsCbXVe$iW``T6i>Ew02P7c+m>+VEz7wi1tA%=|fv!ke?Y5|3QW{JEbFZ{C@ec;sT{ z&s!PZ{Oc?6$i>WGaC&$PR#f7Vi_N}`Q`mau9*E_({#!g!axu9V;i+fLTV}_b_H1F1 zYXHf`Ue^M49RIGeaX!3NPgmlRiV*@apgm*i~z^AH*XUGymY1!#ngFm3ZW0<{#P|-r+A+;*pD)f8>?n z@g75#-`{6wJ~@6&Z$5tHU21s8UQvlhE@tyTR{HPbrT>O_R7^iAOGGe*gD}H(*jF9=VwL1AY zXhL{{epZP`E@uAVKMillxJo>7G4qFb|82)h-hT)2$i>Vb@t@^8Z% z_1l$rx5681!m3vb+OEAhz1%pbQiyzyVH#3L6o zf5L0RoA6vE9=VwL6TSbo;}!3}gLvd(=1*M^^PT#uN<4Bg^QZk;c+=-q;*pD)KmFnG zW_+v?k6g_BnRCLM`Cug;xtRI0J`&#SS(SL?V&>1jKfE~~s>CA~Gk@-k@aEoIiAOGG z{=CwE&oBKq#3L6opYzO&eR2M~#Uq@Tw*MEC;|GpExLTCs50QJ3$;Iqm;1xDsycPFU z;*pD)zwtnL&)WDEp9As8#mwLRXn1?PE!(x+=_k>I9Fv5%ImQ3NxQNvFTnvBEHvE zVY44~f=B&`jD34u6=ptlf=B&`_+D3qnNOYIQ9mNS*HvNWQzv-TkBIMeRoLuDop8k` z_0ys?AN9i(q0Z!9bm|0;`f1TT&&9;2PVlIo7R~cqOnmADkNRoRJkQ0%r%wE;>$kMg zJkP~OKk5XZ`f0JJI9Fv5%I{y z%%@K9s2>rJTx|BEPI!g|^&@gO2lXRn&yt`{@TeaV&+Do%^QjX&>PN))x+=_k>I9Ga z5%ImQ3NxQNvFTnnBEHvEVY44~f=B&`+>Jv0h?!5F;88y!p4U}j=2Itl)Q^bobyb-8 z)CnH-BjS5q6*l`(Cp<%h`f2gIB^Q%tc|7%uU8`~(=YJ}>hnrmNbuV|X^FQIOdb$#C zm0cGuX8!8G3~$ZZm3ZW0=C4^7-rCPq;*pD)zwWH?)~%_;BNsD&{b#~^+TJWkJ3>5i zG4r2Z72bxwsKg@|Gyj=0!h7baN<4Bg^EdsE@HYSFN<4Bg^S6u%Z_7_A@yNx@-)h%i z({9^FRpOD0nZNBv;cd6;*!diYM=oZ5_lWSie^7}>E@u97cE>RD-7%~Zk6g_B9sd^I z&OffiBNsD&mt8l{{CEBLN<4Bg^Y{Kuc>8{{5|3QW{C(TQ+yB>?n9eK7Ak6g_Bqkk3Nu~$^$k&Bss ztn}Z@^8Z%_1l$rx5681!m z3vb+OEAhz1%pbQiyzyVH#3L6of5L0RoA6vE9=VwL6TScDyifQLk6g_BsSCoJ`m0Jj zaxwF#{aJX^=T+j7i0>i!khVEB_6q$`LjL}-t1YGc;sT{&%Qsr zIUlOTBNsD&?u_u}-dl-BE@u9`(tpn{{WruT7c-yZ46a${_@l+|#_W3=v z$i>WG^U?6u&aT8G7c+nD1L3Xva3vnOnEC5xhPVE{N<4Bg^Pjf$Py27M^)KR)i0TYg@N zM=oam*7t_DZG0sjxtRIeej48P_f+DMi<#d&HoWdTD)Gq0%zy6P;q4e*iAOGG{*E7q zxAXE!JaRGfca02h*AFZ4$i>Xx{f_YV46no^7c-yxXQ}_aZ?D877c+m~(D3%%UWrF8 zX8!)A;T;%UiAOGG{(;-VJNULrJaRGf4-E`2-mGQL_rfE`kLk_lZx6pUe8#S5M~Fu* zX7lHHxp<5{ig^F)jgqvVjjQ(1E=}!k$7yf!>2~hE5|3QWe6Dq{>3;3Gh(|7Fe!nB( z^X4{uz2S`UWrF8X8wqa!yB=y5|3QW{E@eW zH|nBFJaRGfN9_o2^v#ucW`v?;vFU#P?*7c+m#dErgjScyk2X8zP0!<)9G z5|3QW{AnA)n|?zj9=VwLGtLQb#`;P;axwE~em=Zei!1TS#mt|zHoV!Nt;8c2Gk?ya z@aC+p#3L6of9|Kln|Ed<9=VwL^Hzp8-+sgG@46r!xtRG2P7iOvib_0kv6tWR6t|~7 zWxp|3+VRG3RPrWbaxr_O@TSYc+s*ao_BjxbT+IBvXN7m1>zB=kc;sTUZ{xQk@T|Y* z`qx5#6SC!E<`3T--lXqT;*pD)KgF(-XTI~j9fNq}VzW;>+rHjR+P{)FDwB)7-iX|> z{x)3}-fqT!?Q%$JaVzwr~UD0|4M(8v*lvu58oW#r0-PXk&Br> z|C{`wx5hY-e%)ImqYebK^X; zZHJBX=Q&5*|FZGNmE5=D&p#^cb^UV3{CUn1KF>W8@%{Nng_+NDF7SBnk%;flKPqhY zZU5TZ*rxk^>mt`(lZ%MA=eb8BzCZt{u-T96M0g{%Uq9ls zefOi}q8(c$Z^ZWNN6ho{c+2=q!o+~D1zCSWG$9|j5cOCmNg?Qv*=C5bJ$GoTCP>Dw_X8s2D zzs%q8gGxMdG4r4C`;Tnq- zZY%q*)(#MlT+IA!rS7(~A8kIwBNsEj+v*LkoBhy2JaRGfpZipJJ5H{|BNsD&N2$A= zUko4Ok&BtXtJK}D!{I|baxwGw{%&}C?YDyO5An#w%-{E;@bET+Do)fQ;YQ`_UjCxtRIvqu}@Rel&?Q<;0FVmm@`|H1dHcoq1_=DC|;*pEl z{0Dp8*?8@1!-sg}V&)I^y0hcQe-0nwk&Br>VnBE!ydSl3SMN8CmgAS{jo*Ld`@5cxVQg@@hAMNUbc;sTX{9{Vpjq!fe#$CPNH2Uv%F!RTLCzgMl z_oFs$>iwpTzgo`x%k;+OA3q}e@js}AVZj@1HK@U4VZ4UfAcF7dU<{ z`~QBQt9gF^bRoVUzZYh{-{)$c-#=Z5@5k?jna^>$P50w=5#NvB3!D8eKTgN<`=<+e z53wJ=7iPZS=W3qcKV69L$M1!i@AtWy=l4$+;`{M?VY46WiFYab{mbGVB^Sr$>kr@W zUlz?{{hc5tKI;kJ?_Uem(KMRJ(`ok~N8~j**yvvjI*J5|c#S5Fhf2E;jnH zo_GhUk6#z^4phJYv9S4FsIh+Vef+u*pYWOJ@GD9)}M$+E;jqI zp78ws$HHT_?fLzWg_+NK!uRp(LVR*D^I1>$K7L(@M=mz|Hcsu={WE?UOIPb&OwzN6zQO^yck<%@g6R<@}rZ5RY8U=D&{f zp60FNJYXRnxtRIuId5p*({HH6BNsD&1LrTz-|&M^ z!rScE@iTrI9yxwYZ*Kq1>%!mixk@~8F`NI^Qg>T9|7-04@yNx@-&X2wJLjRzhj`>- z=674Y;dOH!w-Aq9%>3s*72b}MEAhz1%->P!Zs!-nhj`>-=I<(Xx9f2D5RY8U{Jp;$ z-rl2?c;sT{@B2}B``=lKM=oamfl_w|{QeQfFT*3pkLk_zcd*pmA+95^^#}3D#cckE zc87QPYn6E9V&)&YEIiKPGJl9iE@uAGQg^&D3?Jf=ip|H$`;Kg#=2#xKJo$1l?x{ZXavMteWX_+@zH_+@&d zKc>{(81F|JzYLEYzf5oR$9^Z4f1LNDj9-RFj$fuX`r}80KmG@mc;sT%{u4^wO`H`z z#3L6oe`2Y-NuLQH;*pD)KV@BbQ@tN${4zXp{4%}q`%n9=@TYk{%J^k?1-=Fcm2H~&w-FTdk0nomu%KKFLpf3#-?iv4e~{eR2F zez$l0{&x7!?h0@BwUv0}V&?C8AUxi7%lsi8x!CO6aoe}??09Q&W&c|u7c+nOC&QaO zvJ#J6%={_#>=@=he@i7Ex!CO6dl&61AGKOtu~D?&Jgc22dqnc)_TRW7yk~zZhPQEr znEShL3vbWOVtBi66LY`k2A|&5b+s5?&kbVc+j@IVb{>ktrkF3Na7c+m#{}$f-EtPoWqWppObJD+0*PnOw@0!*% zqU)rt+5YdD_V0yV1G^Rs7;yD_KYr$-GnT&Vol8IP!KF*z|E|kFwDgQKKXUHU_kH9; zOaI{afB*M>_qSj8ExJ7Y<%oT0e` z+kDO8UECx2^>LQvuz&mV#PoFjyo(!O^yOeLlI1b>dKY}hTX1)L*5Hf4+($RQz9O@ z==t;gvi1?iUfWN{QxNX(%$H5~GhQMdx!CNRXM1~iJb_v4Z&z}V zi)%wjJSN8ow`+v*DKL6V6@3TEn{QV;<@yNx@ z-~Yq#4!olhk6g_BgZ8_@{11M=5|3QW{6qG8fq!^tB_6q$`Serx^j{(#xtRI%Q+V`W zA|APz`SepZ-TN;Qk6di_qo2Z~{}TKDVdP@w(@){ie~EbHV&?a^?GA5%Z7(7oxtRF_ zZM(x8Xxod3M=oamAlvTn247r>M=oam;9cPjxup`1T+IBT7lk);M~P8Z50SSqkc;+P*1Z4r>hO1Ot;E}HxtRG!ULM|&Rh4+;VzY03 z<~%&(!(m|vzh_|g76ZJqWPc^iPQmqP1{8-3arkM#V&>E4d~e=hAmWjWnNQ8)QL`c*xtRHW71!TI zpJRXLeHNZy#Z}ns+uz%t;_+J{9{Zih#mr})8IPwpiFo8<=J($h-hgjb;*pD)Kk#+o z4ct?SM=oamps$BF_~J@DaxwD<+i0~-?;3JTB_6q$`9m)XZ|IInJaRGf=_lA%rvGTs z_N6@+lkK0U%^m&@<42W@Tat^t#xHw~ABD$L==dCn=XYTjW@$@+nk6g@ro;HWaQ|LrIaxwFH+8iEFp%d}Q#mwhv zb9g*`PQ)V@GoPo;;qeqY5szHV{Gs0r&!6I8p9As8#mwhvbIg~g(202DVlThrDL{J| zx3J&Y!&g@JwS7TyvCr>x>_2t*M>%e{{=Vd?S@f33L=&Q5k$7A^`@s3*ii_JdE8Qw74-uN7N%*GSQ#a@2LQ$#q(58_?#zV-0ATCO2&=+{83@A@rMqd^F%h?&-{z{e*UPi z*|&DG^F(;Vo~mTr!_OZTHv2t(oOSG=(T=}@_Bn&dMe>gI-?980XW?=D6%3E#Up^j_fH?)5oXW?=D6%3E#Uwn?kvgP;lf42Ya=l=@XeC+X{?B~KejmA*`RwP>PqF_Ov^HaVuq^0#L;J;X zBK;n|=-a^nyw>#R`(^xl&lYQEtN*=Us`UD|TztX$EB?MWhPThom+(0dk6g_BmoWYv z>#xlJ;Ov<1!3QdtFS(e_|IlZ{JG`h8k6g@r?qK12AG^L1k6g@r?p(q@-d%}DE@u8q z82@f-Kilsb%Y2P@RMP*Di_P;l=bG@ibCu75c;sT{_kSq70UxczBNsD&;NtKGuC2r) z7c>7QjDL5u-;n+>-yz?vWWMBLHvgelgg0zrB_6rh>_03i!yCA^5|3QW{FgBP*U^4M`p0~S z*mw)yA2MHZF`NI;E5aK#u@aA5?B#b{g}&z%*4AIPeYdA8`~I12*OrU+M5Xrqw+{d4 zsd)Cck5%H4i_N~Rry1c5ySuXQpG7V<`_|9Sv05Ky%WKC9L90EkADttTH!uH@ap4`Y z{a5@Whr>HEPRxAkXKg>1>sI@17xBo&+#hDgK7QS+-E|TSZLB!6OLRw`WMaL|LCcBtiMV;ajKJ@3;z?y&Xnx*oz5TyV(&rdx1gE+vneL6|g^h&)V9bomM#TO*a3t zZ2cAX|8B?pd->LOw(br;DcX0obsC=w$(wxp+Y^1d&0oYP7c)OT|KLBd{8nd$EKkh0 zHNE}&cU;AMbh@>V?XC9RAlkoeZ}RAL(erk{^D5*=ZTalGA3t4;?{xH0k-X#kclbTt zc6J0{ZDxOG46nzI!fBf_y2%+H*9}wKhDnW zr{gRXXTI>bimXNRJQow6Gw*nud2i7?&&9;&D4OkzD>qs+&vUWSH?Jo=u6V(BK2dTp z@wsvdkE_U9G|zJ}@wwU+kE>u?G|zLf(YN~k9)D}=_^p-nrG8a)p?%i#>!+;$W2e}> zUuNs;@itmLdoI4L*{7ZRy)4?lMe{rt8+~ghpTE)PUufHb=VId1&w5+EzLK^i7qjnA zJNcO?YrhulH_dY~xeD#bw(ySL)JF3>7Zd;Zd&BD)-$wI17aM(Rcb~u2t7c-xB#-sg3JaRGfX=gn4J48HkvDv4c?Yr9%~dly!{{97T(dD#PEH+m><)d{p0TquV=g%zONVaV|tTsf9vzN@9Oi1_~as= z+wAxC`P2UXcd;JK_x0k;efIC@>)3w_#(aIfm|vzh_P?~hpUJoO7dfNv>!mQe3XS%~ zqy0s{3XgU%Kc+W*e{<~njTugl08ISgF(LB$^MnBrwucWc|7rCN_`WI~M}eQ|Oz z`~I}qp_5BniFVxRx!8I9*lYhie6B*`bDZW;iyRj_6Q4c<&-*OVJkQ0%r=2`>+@&%@gf&{`7w%I#;@4VF^mR@Z+xUwvpp5ai{IXr&rX=J`|K%s1*@#3L7*{rH|;OXGWs zeied!e=)lXfbWOr$KQo`{=EyE{rH|X-M_!cRS16kU6}cPoM*lte;49=yA(G2e1BWk z1APBVzY4)}F}n(Y{te%czY6il#b%%HiO=`1yD`4M z$i9HLOQAhwB&mPf$28xMe+u!vT?(81_?|q)#E*Xp*_ZNmDa?GnC%zy56#Bjx?ZQ4$ zOmAF%KhAh@#~&U2$4fZ=X!Gg6tgWrze-XzYooAsp+IJsi-*svm_uKj;7e{rhzYf3W zay)DATiR%z=VGI8>&@qH?dsP+e{I}p^CTCC zG=Kk|%kfx$Z8XnwvC(Heh1b{TZ_5jJmRv0U!2hYU*cV>3_|3n!xa$SaV&A#T&i*~s z)%D7eqMf~5(9?70F46P$R(y5)S?phIw)>83Px$;vqTNSvdQZ z_@u6`SG-HKmaxyf>#L&Y&-cq-z@1;SJt&+1E8khkn|R5^UT@^>IE#Jf*K9A$miL#h z75SX-gXA4m(B^k_o%bW3Zu1voInMi$nEA}d+V^^vzmnzAa+T@r-@oH5_FdDhYODu& z#Rk!~1gp%uri-4p`yFSY@0@AN`DUB{`pSU^ZT^;vHa+p}`~9P}-<=|#6Mm4q$+z}9 z->2LB#aNE>&KEPE`B=LRV)-js9&*v9w=aLkS?s$9S^HS+EV1t#w05&~a<_eE(DQb` z<1F;uKd~cN>w$j#A<_2yt!KOYCn9;r{5$+*e;wXhyMB((S!>r(;V=7ZG56PfAiVAN zONzhUe$nvPeL&3p?%xjYP#-b8?%x)3|L{DY-qnAV7~bJ|V&>aAJ|5nfe-rV@#oQlj zM=D&$KJ%}{@W$E^IG^Kt78=M9^2ExyJtfs9=VwL_udfRedko-k&BssAN_{S|NhTc z;*pD)|G?t#9#~t6M=oamgP#rWA*%tF1LBd3ng7u0@E-njB_6q$`H!3#-Xkk3@yNx@ z|CROqEcc_QSK^V2ng8gD@P2(=B_6q$`O8iVZ`txnJaRGfAG_h9`!bxkE6xtRGY=ZClQkxD#r zG4oe_JiOI&EAhz1%wPRbcxygdiAOGG{@U5$t$m;pk6g_Bbsr9IJ^LZnju4Mr%>4ED zh4=K;m3ZW0=5LrD-Ub`VVg3-0T+IAut_p9X9fjdTJaRGfH{KQAvsYH)k&BtXX>xd* z?ySTk7c+nJ72$1}Scyk2X8x9+hqu*6qxk+1k6g_BZR5k+_R~r{axwF_zbCxzv6Xn_ zV&-?>5#Dp}uEZl3Gk?eE@OJ#T5|3QW{GFGFw`*i29=VwLyM7oR&rYTNAs)Gy`8cB+U+E@nQ@V8!FvsUjY^nE5<|6_019ig@H= z=KI+$^SKHOAL5aVna?v=@%#*SAs)Gy`F{1Od42^!As)Gy`F{1Od42^*As)Gy`F{1O zd42^?As)Hd?Az$cTloIQp2~sOL@s7NS8Cz=6?BDo{ONm;*pD)?`Mn5^RuUgc;sT{^9)wz%d=BOJaRGfc?K&U&rTKb$i>X(8LW6bJ5|IZ z7c-w{u;TITR1uF{%zU1~ipR54MLcpb^LYj<-iV7U@yNx@AF(UEk+)Rhk&Br>>Z0&Q z?Wn{f7c+nK&Ebu?uo914%=|Ik;f?)DB_6q$`Qt7KZ``&@JaRGf$KMp*gjZGKk&Br> zVM}-uzf_4wE@u9u^TV68sS=M|%>2n;2ye=Hm3ZW0=1 z$i>W`enWUO&Z)#B7c+mx`tW9cz7mgI%=}r4!<)6X5|3QW{MnxkZ_c7hJaRGf=d2EI z?x!p9$i>W`cV>9=R#xJXiV*^`!7lT~>)lE@u8|p9t?|3oG%+#ms-%qv4%y zj{RL1#3L6o|BU(Jo$*K|9=VwLXMQ}qm(Q)lBNsFOI2W+fiEnE7Yl7v4EnSK^V2nSbu|@Xo!b5|3QW{3Ta~_ll{Nc;sTUZ|5oO zJQY_!{_?eLJW_J8^De;7@!zkTx6H3(EoV$i>Wm;O6iiwEY)+h(|7F{)64&J!JbY_z;g=%>0Kh2=8Irf5C@% zZt_BNsD&=d$p2eWDVNT+IC43&Y#} zXeA!GnE89I32*QGN<4Bg^Y=ay-oB4l;*pD)zkhCc`yZ;rBNsFOK)KDn6rgBOK2cy%QnxtRGwJ{{iBGb{1P#mpbNGQ45eSK^V2nLqsW@P@Cb#3L6o zf5dg+jXbRqk6g_Bk;}syb!{acxtRH*z5llHI`6-Oc;sT{kNaWFclT zZ{EgAJaRGf=ieCKf+dxBm3ZW0=ASt}yfc4ViAOGG{>$GJ z-lDOUc;sT{FS;YVv))~aM=oam;?dzP{&6K9xtRH9Umo5$BP;R9#mqma^xx-}{u|)xGvfLUrff27=PjpUdEq9?!YA%ZC~d3*FWm|#V5kM`%{&8cUdlG z{;&Tfyk(bF;*pD)zxH$Ctvjm{k6g_B?bdF5@9q(mc;sT{ANq~(4%zkYd=A7T7n^+> zGqh{pZF<*$UsU?JXv@XSAG15WvA!PddRJd>cK*(C{4%{^{n>T14~9SMBb9jMVmAL% zzZ2eRZ>Yp07c+l}*Nt7jescH_k6g@r>fEM#{fl_yVzVE0&K>a7zsMczzFidBu|Pxn zMV;eO{~|v1FJ?Y6lOkkjz|5A_`Y2f zWq|1aYEc2U^uN1gKo3F^N^JN%~pc@l*4Eluqgb&f~Ku>yZ_zx@#l)x1@u>e6>GLeCiyJ`ft%Z&&9;2&he=K7R~cqZ1khP{Te8% z{}y{nE+#&8jz|5sXrAX{;#22%)PIZSc`hbCb&f~q z{kLeI=VGHzecMX1r!{f^SBw8pa`A+${=>WbQ*AWwt|#pO#l-*hzl68!vNoFMxtREC zKNsG*v)X8$=VIb-e`k2zBid-5=VIa?`i<}o**$yyBpYa+=VGHzo#PpQ(Z+u$xtRE4 zc853C*CX2j^QZpXnD{dv41d-~+GxJ#V&b3ro$yY3LmSQWTul5WUN_W#_)haY7ZacR z4{1N{A8gS)&&5VR>YOJRQU4-OAY!`^Z7jFp_cQ7okNOw!sDCl@sdGH)U&JF9GoL!g zqy9xaaxwF%b3E!_#3L6opE}2*{zW`;vDuG0x9ML0qF;+^+l83<)Hxn?FXEAlnNOYL zQU4+yxtRIXIUe;d;*pD)Po3jY_aYv-nEBK>9`!Hck&DfK)HzQ}qy9yn+(rG1b}l5X ze?016#H0Sj%%{%rsDBa9w~NBer_S-He-Yoei^9yO&he;!5#P6q!px`6@u+_h-?xjx zW9`!Hc`*u;7`P4Zc^)KT4c2Su5)HxpY zFXH=lQJDGEIUe;d;`??{*z8B0^Bzy?zs2vCTS5>yIjroW}J>_IZVe zuWR=2-4)(_w^ZVhiv%AFjkB z7c+nP%dNp| zPp-rx7c+nLo#Cyyq7si>%>4Cs?IPR%db?Ip#3L6o|LJdsx8e1bc;sT{Z#Wd*GvBJj zBNsD&8E@u9-w}!Xrl1e;sG4nU=3vcr`EAhz1%-{05@V4x!#3L6oe{1Q# zx0U`I;*pD)|J*4t-{&5$#3L6of5#`o+j&wY9=VwLJC}vG>l2lD@yNx@XP*(DeMS+FT+Doqx$rpF67k5z%xBC3k1-1ok6di_8FRujnj#)KEpjpQ z88gRY%v{7H7c-x8PI#Pa67k5z%;(y3Jb$NmAs)Gy`2*L6Kj^cSc;sT{4_*}B;MJ9Q z8IGwi1tA%>2>TPcz@q-hT)2$i>Vb_rvhVzoQb5T+I9l!^4~K{YpG?G4m(BJ-kUn zEAhz1%%607c$1e_;*pD)KV@)uQ*NuoBNsD&>f6GbHn0+pT+IAw-wSX0TPyL%#mt}K z{WsSgg%9z_#mt}mrSRsQUx`O9X8xQ_;m!R*B_6q$`SZ>TZ{EgAJaRGf=ieCKf+dxB zm3ZW0=ASt}yfc4ViAOGG{>$GJ-lDOUc;sT{FS;YVv))~a zM=oam;?dzP{&6K9xtRH9Umo5$BP;R9#mqma^xx-}{u|H<9Nbl8g3y#K!U0du+SKyT`US5szHV{CjP?#k4Uo zyT!ZTwl@)vT+I9jY`evKz_vFLk6g_B2W@-BduUN59=VwL53LUG;ZIlMk&BuC$eH0i zva%A7T+IAm*|M|zkD4Rmk&BuC=!)=ueO)CUxtRIOP780@@=82%G4mh0HoV7Asl+1} zGyn0&!+YYBm3ZW0<}W`fyyeR(@yNx@fASOItyoxzM=oamibunH>Y7SCaxwE)&JS

@YZ~^5|3QW{I#>gTl+vI9=VwL>pmRb`k9q@V*FgUyew^ibii=}j1%H9ekkITi61moDh%kLlNJvg(__JW1P^Y`}m<~&l~q~MKSXkC&Xj?P{i|VaSJn_aY8)C4@G>x zmc20Z87IVJ{7}Rv7c-x?Q3l0}h2Z@LQGmY~5|3QW{9&&PZ`htnJaRGfhkrf15f@kDk&Br>Vpn)0Z>hv1 z7c+mQk&Br> zZAp03HdNw~iH*0Mr9=VwLvp*Z&oJEy* zB_6q$`De@z?~F$(@yNx@Kl9_^y?kyZ9=VwLFMlY!MIWuiBNsFO ztl8n6^*|*axtRHjKOElKGb{1P#mqnZzVObux)P6E%=~kwhj;Ehm3ZW0<}bM_yjM)E z#3L7b`5kXz=^&;@E;o+-kL`%@yNx@U)LSpHoI1y z<$!qPV&-?>6P|rX_aPp+nE8iq#k0R%T8T$4Hv936Is7r+j=}!xBNsD&oZUNvKhxVW zh(|7F{%l*<_@{U~2Jy(n%zxS7@Ol0op9As8#b!Uo`48K+^XLIV*d@G){)zV5lawKs<6W^JkwP-YMRW)K7Ti_+@(g`JcA!pE?Pj{)_oTJaVzu z{NpWv-mkneEPY#emcnKgdg;NuzmSEeBKkq^N)W0p-P^A z~;TthtGSW@Of{Pi0|)@D$IP|6NSfnqeOgve^g=S^PVWYLwzdo{rypena_Ko zY`VQasuJJdA63}wTU#FwZ_K|{^4tV}e^g=S^PVW?Kl86D@%{Z#g_+NLqVP}s(@K1Q ze^g=S^PVXDC9kQ(_xDE?Hv4g($b?EC#E=K1|6&hWVZ7C)vp``l;3_xJlxoZ)f*Eq+XI z@~uwnJ`-!7F77`J;*pD4`^9}Gcz*whGd%9U<#YW0l*abAy5s&6^Zfo3XL#Iyi(jTU z^dE7b3BKQd;tY@bZ}I*9lw|&X|A~2i|A{laC7%+r?@vEv+o~OV`TOq*xo(nN>~;M> zNB#Nx@67Y}-xcDKi<$55zcbI@e^-b{E@r;J|IR#r|6L&-xtRI>{yV#V&fkAmh(|6q z`}9+^pVi5~RdU@0xtRI>emnF1{da|UCOz&l~DL?o(o)8(-vinEUrc%b)L;=hxh0_X_g+b?*t4{Qi)O zFWCR;`N=;G@4oj`;@xYxnECfF4DWvX&MXJSBNsFOfg8hnko|x2As)Gy`44j3W!^)# zR^pM1ng8(8@E&15-aZH7k&BuC2>bo!{pzYpJaRGfd2b#*@4FN6$i>Wm?9A{UTUm)m zE@uAYH;4Dcg_U^ZV&*R|b+?@3Px~Gak6g_BCrjO}7#lvsBNsD&h1DDL<^6Rc9=VwL zE3Mw}R&J=oBNsD&RjIqxmxK@T$i>WGQ|fNbZQ(;aaxwGQ4-Ieq?Ui`sV&?PSIle#d zixct4#mwJO>h2lF5A1Uw9=VwL8%y17><%B|k&BuC>_3FJiE#j%Kg1&!Gk^2g@HXF3 ziAOGG{+3dATjz%l@yNx@-&*Q!+YRAEJaRGfyElgSTN9ji)9=VwL zJ4@Z|D*Y(LBNsD&cd5JGr5}ZO7rsk?*k4j9p!tjrleiY)7iV?UPTm+1}rk9MB_gzyJ@KWhK){buC& zWqPAO#Ou!PH}ZZoh(|7F%RkiX&d&FHKN`d%7c+nOl34!X-jCXOfA2SqmgAS{jmtm6 z>dijCYvd)Bc;sR>|52sxM%@-Z#3L6oe{`w4F;l~bc;sT{kGnd&@zX2u$i>VbzcRcD z-jCXOckegt{JrJ)WqM=#O)Pac(fd)mZ^`>jW2wV3z0sdk>Ta_4qjuii`%OE4U+S<- zZ}g{(jpd(mMNVj_n_r1XE@u9;Qg^&>%>K^bJBCLtX8w#)cQf{d5An#w z%%6Q}c(c79wee=}H;tC#m+6h|H|O^7=azmH;*pEl{O3&%e_rWFAs)Gy`Mf90rrUY* zN<4Bg^A}jX;V&%xD8wTdGylZ5gnwdBB_6q$`6t~G-pTK-#3L6o|CCa9r#uoq#3L6o zpZ9**bbnu$h(|7F{^>V{e@5v?As)Gy`Dc{6JG1no5RY8U{Fj%yTU7c{h(|7F{vxY4 zo8EO+=|>?RxtRHjPY-|bib_0kG4szZb$8AM;X^!fG4szUb$9OHhY#_{#b!Uo$@|#( z9-dz*_O)vdeEhty&o#~CNB*2v^Zoh7h4?;xUYPlelQUm`esLkbkDnK2zCWkcJb!+1 zA-<2F7iPXcr`0@vesLkbkDnJd`!+_$b6Tyh_vaTE_O)%#$IlBh-=EWJoI~nXA1s>Zx!CAO zot-c?>aWE+N-icob%y894;IbyTuglG44=Ad(LB$^#HY^i{Q1G6d7g`jzr^YcpSo+& zJkP~OpE~RDYuEkxrFIR2p5sgZ$FnVBdRBko`}0f9*K>TSKYY#~+VeOY_MfP;@csFv z=Ic4W)E|CKZ}vGq$hG(W{8DFl)E|CKZ}tz_`9ptRsh(S^=lJTmzCOLlr_TKQS^p<8 z->AQ!=MCRK&JXguQa!g+&+(=H@Hv0j+5TEmh!SJX*e9j+cKIaGV{Q0HM@Tfoh zGQDy6Ie&=H`NLp%)E|DC-rz@l9lwyevoQ;?yX4}9P3<4`g-89hXdZQUTuglG3y=D1 z(LB$^#HYUSsJ|A?^IS}P>JN|e*Dadox!CAO{T;VsMe46b+jplfkBf;krSb zpD4tm{>03u&hY*Ei9$SbG4rW2e7}C85RY8UeCiC}ub(KyBNvz9>IUqi{nE4Of7~X?iKWIM0BNsFO zL9W|0?;);ZEW{%hGymbG;XT52faXIyaxwEC;krTdesxtP9=VwLJhuR!=M9K>-=092LZiVf;<3l`hG4oeg zz2Wiv01=N|%>0#BZ+I&=RN|3~nZK&k-Reuihj`>-=C3Jrx8}C+As)Gy`Rj*CZXxx*@!6H&o)0i<#fOF}&wWKML{4#mwJv zY4|%zKML{4#mwJX>TXx*M-=J)fuv$5t2!iRX|V&)ILIlMs^R^pM1nLqf1@CJK7%K7K;$nnec zhU0I}GlxIa`%%t6hewWIrZ@USz3w>g9G?U6$i-~=hc5|lxc8%+e-4ivzf5nO|AGy!WGazS#Rs&Oe7=rZ;~7 z@hiih;Qc7)pTi?({$+ZjKe5!^#P0YUh(|7F%Ri~q-DK}aIsY6UIewYmIR7bQW4=?o zAGPz&-fwdLIs7uc(Vu!v_|xWBGGB5rTYl~vx9NVrw}?kBX8w#)cQf|I=RiDiG4p3% z8s2R0M>+o-9yxxQ-uV6J+#dei(vLzsaxt6#yi#}bNX(K5d)s_ic-K?RxtRGcFLk%5^rH}uT+IAM_r&s_Rr*ng zM=oam;?u)lyrL41T+IBlzY^X#7gXYri-Qk`3dzE

)TlW-QN&x-__0~-1P&Iyt#gU{l)N>eM}7R*IyKK zf9?CiTlc$Scx&G$=Kl8AhSz`JSOJ;%zqAV)|bWbX8yC7`=@>;ywlz5#H`m{?{}$fbS5)GWiajU zpSkFarSE#@(hq!a>Cz9p>+%mRJ>$%eoV)aWANkPIi{5T9FN2knfNZE^DliS|dtImktu-oE^Q)X{$Pte3gT z+HaL;z0Z5C{pN|DxBG8%U+uTRmh&>3|5KIRLHm^DqD@bHTh8xT``s_{IpGJ%n|y1( zvwgbFUyS9Lcea@M%*WcT56fT4@{o%*y?yyV(f<8ckF@r&J+3*sL~A$eQC5!>J#Y7a zv%URS-)URxY1V6gQnbC1WxNGUB=4AihriyB8tm+Wt$#k}8LMOb^;ZAE+}~K{yZOBE zH=h^YMyo0OnBF}9EoHvjCx^d%a(G+Ha>Vpzzq`!0HF|DW-!k9svK%qJ+22*>yXWzk z|DMOg-&K|)rZ@Y04}`~4Txq`pw%sxRy=6IKdb5A9%$Fy=;Pd1Y{DWmVVtTWGw9L2X z%J6%x4F70Zj+ox$cXf67e67|l#fSLhqUR0uXMf}KwZ8V0@CTj}9!~_~bISBapQm*) z-=TxW@CKFTDAODLVP(D}HibW8Q~1Nma+K+fzD5hj+!g+qyTWhX-4e^y=#MS)onZYX z-(!OH`+V=QWjV_9Mt@?N?-cvZz@Kt$_!G-=lnH8^YV|{v{O*y9y?+0q|0({OkA}B)b|oIUnE7k% zx1ag1`*0;5xtRIuXNI@_zDhiDG4r3kIy~+oW;q}pxtRGIxLV)5XSjO55RY8U{Ebt? z+i3NI5An#w%zxIlQ@l;q--&qSV&-qUGrY}LRN|3~nZIRXcw2s6iAOGG{?_+~w{3hS z9=VwL+kP6}_V-lck&Bt%JvO}VJ1X(W#ms;1-Qn#RU5Q67X8w*Jhqv?cN<4Bg^LLF5 zZ`Thi@yNx@-~Ep8_6)DYBNsD&&-cUI`}RsaaxwGw4GnMK?Ui`sV&?B(8s34ym3ZW0 z=JR{cc60D;m3ZW0<{ugu-l6YR;*pD)fB3E8@dh831LBd3nSbQF;qeA)e27ObWCA~Gk@T>!ef8U{?4Ah z9v(S65gnbD)Gq0%pbKQywNvT;*pD)Kjy;l#&lQWk&Br>_AB9y zyPy(}T+IA&+rk@vQzag`nE4Z472bp`m3ZW0=1=@mc$3br#3L6of6}J#CV!z4k6g_B zDd&YZWn(2CxtRG=ZwznRl1e;sG4uUy@8#w0??OCsG4p4f6Z4(1z7mgI%>0?34{z4u zN<4Bg^JlFMZ}w*^@yNx@pR*{uIjbx2$i-&g#)+(-Kb81T+gVEyk6g_B4Q~!_!|_Ty zaxwEat_km1&YIYAKs<6W^EbUbyiKbr@yNx@-}002w!W(pk6g_BZKJ~5#@Q!Zeuzgd zW`6fq!+Y*Em3ZW0=09g=f0^%&zp2C{7c+m?$HLoP>JZ|Qi)a{;9hY*ik%=~@7 z*X7HpUWY+Eaxt6#u+PQ(hkG5`RhM3Ow*P54ewp4l|KVP@w!i6h7{ntNv-ywu&oTee zUWaz|q}QG8KU$7orZ>)iwAZceFM1sY@yNw&{^MR7^B-605aN-GnLobN?SxW?5RY8U z{7Lh=y#D_$(#|{HuBuww>xASa5JCx^K&WCxkzS-%=@3E*p%Xevmo6RYC}0BwK@>#v zieke8BE^E&daquu(rf4e(vxpIW6bx=eIj%G^nQQj_rSZ(v*y}sjWt)@b1z-$5aN-G znZHb_+ht1~LOgOY^OqaadHc(iI)r%SV&*Sj>UM0YLx@K%X8sC~ci#Srr4At;xtRGY zmAYN2)FH$p7c-ydoLnpO{8i*ynq17zpE%Fr7uY!e8sO|W|KgX_VtVWRDca#$pY{hh zyX4}ymT%8V|IR%JyI+2BCCfoB+C7QJ{$uBD_lEbxq)I$;G4r3c>pt3N)JYMKT+ICE zKM~#wD^=o=i<$q@`0!quTZu<5X8vn-p3QQ3;u9#3L8mzU?!1-GevO*JF@6CKoe*_~GG? z@bzfNJ6~^h-^X(NGQCm1cAv%WThRVUUyngNaxrUv;Z?$4*w>@o_we;*v>d-oZ??Z! zU-*la^$79E#jO1$?K+X=U&_{(h(|7FKBKqcjVbF9;*pD)Klb|YS19Wd;*pD)zw&9} zuTs_{#3L8me)Nx>l^gx1$o@dTDcU{O=K80f<{m8lr^xys7u$aHlZ+NZ|2aTg9{Nv~ z$7$~#Sy}4+qe0If=_m2%KL==@=VId1PvX&k4$wT$#l)wd#H0Tlpn0B)iBCU?NB=oM z^E?+5pMDaLesh54c`hbC{Ujd!<^av}TuglWNj&<^0h;HznE3RQc=VeCG|zJ}@#!ZS z?PSnD^E?-ue)N;L^q&K?Z9)G@Jv$Sh{t=IUbAaY~E+#(xBp&_e0L}AUOnmxBJo?Q6 zn&-Kg`1F%_^qT`T&vP;H=_m2%KL==@=VId1PvX&U4$wT$#l)wd#G~IFpn0B)iBCU? zN545h^E?-uKK&%MM*k@?pVF^7y%rn&7yYD9_xJaxS?@<}dAwhBW z=VD*he}?yjy-Cb`oaQ}#ul>K6_)mW!Jic{~?=;VIG4Y@OM0hW()I;+;7Zd*_TgS9( zFWpv}=ed~pudNl{Yh^t;>GL{5Ka3|4r7HEr-)Q&&9-_YVW_|O)KlsX`bg|;?I0E z{8?o^I?eN3OnkO6&u*#N0h0CpYx?w$;SKfmNI!~Oaxw9TA0GY)Uyt;o;d^d=ncm!g zMs6McNMDciqv3mQewp6%7hWa&g?&BJkB0BL`DJ?3U(B{0>us^J9-ZcSE+(IU$;ZN9 zs;oz+d7g`j&$l*de@t1APV+n$6MyXW;jd8EqtiUk#l&CvwD4Cc>(ObR=VH^3{*zyT zr~ee$AG}{Jw08-b>!1FUcMW*|S!8{9zgpP#qo3p#=jlI1mV^FNw09#K?MFX}N53iJ z(SM4WPd|xAzbWF8i@$!{$rSE@u8ydxZCtedh%q;*pD)|I9zad)B^9h!63|#ms+h&G4SH@9*G4 zJaRGfU-)r&FCJEjM=oam`CD zPcL-{@yNx@pHb>|W~oDnM=oam?9tKw>{5pik6g_BIi+srmO6xZm%_J?^L^87nIa{MyA(SP!sJN$mHL!N(!M~+{n zH~kUc2!DRBL!N(!M~+{nH~j^?Zh7t<^MQEeV)pqLyfnN~UWYvY4v!qaOmDV7%IlWr z-Z3ADM=oaVFLFe9i+UaM{5w2y{4%}S{-R#DJok?IKs<6WYk%==!dtx5A;cpWGk=Lv zw@a2fgm~m)<}bZ!w7+zzLx@K%X8tmzZkH`}2=U0p%wKLuw7*=bLx@K%X8!V}ZpW57 zgm~m)=CAO0w7+7hLx@K%X8ua0ZdWRG2=U0p%;)@ycl9~{5_yN8T+Gg&{%+@u(?4m~ zuXg?|^1WYjah~u04tjp_k57d6m|Z{OLp*XZ^B?3sb2=Do0EAhz1%zt62@LssT5|3QW{1=Z7Z}JkAc;sT{PyShWFCAHlM=oam%Zr8g z@=q%9$i>Wm7ng8m&;k`Dg5|3QW{MQ!_@AV&6;*pD)|HdKVO&L{* zM=oaml<$Z4=0TNs9u1Hzj+ex+2gV&-#?3XglPA|APz z`P}ES>3;u9#3L8mzIpbic)V*M+IShBic)Y7G;*pD)KXhhz z!)~j@BNsEjf0yw3r&r>UiVbF*UsTzg&q&E@u7$JA}8uTa|d^ zV&;#$DZB+gT8T$4X8wXx!W(sCB_6q$`3r3u-a@Ze;*pD)zwq_pEwXhb9=VwLi@X}% zqF<=QBNsD&^p@d`ez_8lT+IB%J{R8Nn^)qIiWOv{ak! zqo|5_KDugQ=8s)3{IO3|;**P+zrtnVt+-Al9=VwLD?S$9N|#jPk&BtX@>=1o{P#*c za&aF2fI;K${M7pTlkIuk1(ic>+yC8ialVrWT|W%+pSU5srw^{gBNsFOnbX62ezQtE zaxwE?*gL$J##Z8yi<$rOy5YS#s}he~%>36E4{yrWG>b~%ny{Hn8T+IA2 zUkY#Rp_O>#V&*e?sZH-z-l7uEM>Q>M`}8w*uD=ZZPvtD@JITeF%VhmecuyZ(iTA_} z;ls>-=JfEM->ed!T+I9z_73l*v6Xn_V&=cRZg{WGs>CA~GynC)!<+Iym3ZW0=D+zy zcvF8+iAOGG{WGaJBFjdZ`kRT+IAMhK4u#SCx3=V&*UQWOz&7UWrF8X8uz5 zg}3ZQm3ZW0=8ySOcw-N(#3L6opV3Ecy7fPmc;wPxwD}An7s&^me+}w?c+V4$_dkQ-@%0f$NQhb@c8}_^C{DtKJR(r@&0EpJidQ~ zU#2&G-t)xc{m)={eE$f)OmF(U=ZVMrpTY3>{tWmrnKwhN1G4v$i>X}@uAK0@uLgz$i>X}@uAK0 z@uLgz$i>X}@uAK0@uLgz$i>X}@uAK0@uLgz$i>X}@uAK0@uLgz$i>X}@uAK0@uLgz z$i=qLc@lMFwPw$aMBZB=7c<|-hc@5Gk1oU`7c<|-hc?g0k1oU`7c<|-hc?g0k1oU` z7c<|-k2cT8k1oU`7c<|-hc?g0k1oU`7c<|-hc?g0k1oU`7c<|-hc<8Q0kQoc9=VwL zK0dVhK7Mo|9=VwLyr;x{cHUnK#`PrMU*vkSOmFsIyq|9O=iL{%jwBc7xql!1m&Tu7 z+G_W&_P)~3E4hC7hvnisp8pm9v2((E{C$;p@yNx@pYfUSW|lgHc;sT{&o2AT>{5pik6g_B zImdOr+;dAELOgP@?RQ=0`;EQ7;&mA0`jcGD{2@EjzP+#Fbr{4W7c+mT?>E-hdL0Jw z$i>X>|3I|g?{#SR{JidrmgAS{|J;9j|7pi*-*4=_aj(N59=VvczrX^~{sLZy_TGrs zozZgqGQHXUg1+C_``%uMK|FFXYk$=9(f&eShjt#}b!YRj9KTF&w!et)H}<~wh?oz= zBNwyw7kwza(O!pk9^!Rp^RpbkOmDWoxbHXizD21+h(|7F?Jx0_Xn)C4hY*ik%>1Q& zzp?ivN*zKxaxwFlIj{5jU$)dC#3L6oe@xkLmMe7#@yNx@U;e<(+aFu%5aN-GnZH8W zZ&oaI2=U0p%wK7f&f8z9)FH$p7u$Z^r{Wj4ef+UPev#Y9A1my8_#N(Fl=C0%Q{nsg zV}cE5sugGoSlZ_&)wvAs)Gy`P`?%_wmOH@yNx@=ROs_k3Uw3M=oYQ z_o?uG{INnjaxwF{PlfN}j}_vPit;qRf$I~wtcHzyHADh z0V^L+fVLOgOY^SMt&`#%0yAs)Gy`98jwc|QJFAs)Gy`P`?XeII|U z5RY8UeC|`>`}kvpc;sT{bDs*|#~&-iBNsED`&9To{#YR%xtRIfr^5H~#|rVt#kL>) zRA<+$KK@r>pIv*?e~H}zdH;p)<9`+6dB0Ye`998`k_ zJRkq75a0W?!p!$^zRdISzY6iaUn|UfALq+FAOEWm-}|+~%=dA=%=7WT3h}*PD{TAp zQ+BOr_j-ALD9*Ke=RW>c;hd>i{}mq35Al8cE%SJ8$b4Yt`#3S?@%#|q$KNuK96zSF z+xKx|%;WhXzK_FY9yxwYZ~Hz@jCnji#D{p~Vz&G~PK?=7%aPA5%zPgw#yp-M;`{hp=8@x<>CNj;A1B5?Y8J4Nw?usJ*9tSA ze#)kM|0UvkzgF1xqo2a_@vjQ!Y*6~O!px_C!uRp73h}+)D$IQPCww3Osu17%t-{Qw zf5P|iuL|+K-zv;}`X_uJ|Edt*`>n#vr=P;7-xBf3#muLF!t?R33h}((D$IQPDSY}Z z5#Rf@!px_i!lU03@x5OwZ2LUtvsyii=YN$v_a+zL@%iPz^S|&Oe_tiuW9NhqGyjRV z!+Y}PN_=uL^Pk#3yr)N0;*pD)|IDAld-m)~JaRGfpZiF7&plg-M=oam3wMY2;>1ck zaxwEKFB{(EUsU3ei<$rO)#1IeVI>~9nE9_v3-8riD)Gq0%zyo3;k{An5aN-Gng7Or zg+ImX%-%yObw`dL)7!`2w{{Hwtx|^&k6g^!fBU=PPc3x_@yNx@pI-Kx>7@=K9=VwL zGd>gT&n$Ha@yNx@pI!Ew*`*F49=VwLbB>Gl=axEzc;sT+x8t7gH+HYU>oCZB6Xas% z580Xa?OvJJVGxg8%>1Fg-|*Zo<^%D_#mw*jKzRLLhdloaj~u^DZ=S!7@coA8elZ`2 zM=oaVFR(y(3wRy!{4YFm{4%}S{({jz+w;Ge55yxEv-U?lAKpS9XEi}^r2axrUv(TBnt?RCiWzwpTM%k*aZi~D}V^S_u6#3L88_LuldcuST#gm~m) z<}dC04bT5#J`j&w%=~4}3vby{hY*ik%=|HBzge!-A;cpWGk^I5qy4d^4j~@7nE5M| z{bt2dhY*ik%>0!$iS}12bqMju#d-X#dcVK(_y4wYWxMzB_|cU^?fwC|IN!a4jvs^k zC+-RF>5o?8k&BuC%r)UXZ_CVbKs<6W^Ix!M1$ZwFtHdK0GymmX!h7|pN<4Bg^Iu;* zyeaor;*pD)&+nA8{8MkL#3L6of7%P-**5n1Ks<6W^JhIA-rRjF@yNxtZ^x8@^N}9=VwLBMu6W-+yL45RY8U`~^1+Z=pX{;*pD)zsU08jsA8e z9=VwLi_HvgNxOz&`5_*;nE6ZnHN0hyt;8c2Gk?tY!yCIpB_6q$`72x(-pcQ-#3L8m zzTGGKnVoBmw*KZe(av37As5Np{m$crUFd=KgC-g!kG^F}&B75OaUZlWm;rQ@g8dix%E@uAAyM*_u)e-GO zJaRGfUtc}EDON}L5RY8Ue8vgHn|f0v9=VwL(_RRVdw|Rb;*pD)KkMP}=2{)$Lp*Y^ z?Q@=lXZ_6vm9w^vT+DplF~%RhR3#p{nE4|P3Xk#Em=DAw7c+msO~YI0kCk}jV&*Sm z$35B|{q0ITaxwE4v*RBAl2=vYk&BtXlpXi*mp!%;k6g_BF?QU;AG<>(9=VwLD_j@e z%I~ejBNyclw_&-44e8G8hIPwz3w7`9R`UN|!T$T*-SBR;{{9n>KJCM6t#QC%haPa; z2?rc-++mYWI$(_tpR)b|$DDG~0c)+h?$%rFGw>^jll>}iX!n6d=Ci$}-zxrE+xjy^ ztEgkhMbCSC!OeQFBB#u@J@7Ky>Nc;SRnW<{H_sM5@AWtD_22%MefmGxT_8IO2d#oX zXm=6qEFkFlKl|k_{F`?6%@xGRU3zFeiRa={gU%wN{Q*Dr__u8DWzT=hdVlt)m@g!6 z`L^C{FXoDCr1g5iSdIm56EnZef79ZNwj9y!!1UhFzyF~1x2CP1@wWbcE82Ry)7Iab zqUXK-e(vk@uWfhgzG&_LrgFq4)~@BEO;3EgV|$pbx4($YC;T9J%eVFS9-nUQi?JLF zyhqG@+OhijIm=(k@{o%*y?6P~>izsv>4nDHXMIYv_4cIAi>o-N=e>T6g1va`Kb!?m zf7$+hNVMf%%zQfw4w4UQe~>@J>K@-mb9II{!_G$WV|v@4Rob6Z+Mn~G@Me|vV|v@4 z>+Rc7$M!>8PGk6UOZ#Pd?U(8Q?3enVI)Ci%jAFum@3elyb8&G0F=!O@Y5RpY-MVOer+J=>i9h|@ z;ms)hj?+BP#l)XEEPSq-{7e9v=ed~pj1-T@FZc}5JkQ0%=a+u)_{E_Cn&-Kg`26A; z9&cR^&^*t@rf>CXeINZlzqmR;y8`iCOniQ67>{2(9-w)ii-|wPj&peY0{sBZ^IS}P z>Kt$A%pRKOxtRFF%5kQDm++nDc`hb?|Mc*N-`Yd-JQow6(P~)!5mS3;p66oIw|?5{ zpAnBa?uv|vOfK5GY3{$%-fw@mjO}lK?%@OW|K#G}QSb)szcZ}v@HvJK&^*t@#GhT- zpIzE_n&-Kg_|*NdWujgOXrAX{)3-YF_Kn_t;R7WX6MtxFf0%!MTNY@Z=VIbB`Vq@L zytMB$&vP;HIZvYYIe!zWU2-wo{?rL0Zc{%Z#|*ElLfbbR^%L!|@6x`=zURwT*!Jx_ zYqG6t&VjG1WI4!1>kAs~TR(qvcr%u$#3L6oe`aZaR%svNk&Br>r?fw}v=8yf#kOyC z>+M^A>FozukK|(J``tF{Lx+0%K|FFX^M{r8`%C)}k6g_B5!Q}P@8&P% z?nHdA%fig3&hV%^5#Q^wuWp82 zrv3(K%R~LKJkI1-(5W*#>TiJNc`hbCb%sa%4bVK##l)x1@Tk85+W&ekHhpuveOp)F zexa>T&&9;2&Nx@4{sw5C=VIbhXL!`#0L}AUOnmALkNO*+d7g_+pE|RZWcM4me-dOq zr7oT1S^fERt3NT8gZg86xWCf&sV|$}NB#AX`yb?D@+;EQj}C9f5aK@(mRwAHo`T^I_4W(R^IS~)VWs{4(!SF? z&&9+aQQDuswC^;}bFt}1{qc)4)SpN_cwH9SwQ17-;nDw9vOc`-3fq3v8NZ-I{fR6G z^(SV(!b_duQGX&HxtRIX86I^f;*pD)Po3dWcOo9S*!H8&Y`WK<$oix%#mx7ou;x>L z_z;g=%zWw$kGd1_$i>X3&hV%^5szGK`%!27ViNT?KwF>GAM4ZE{1xP=Gw!`me*?7T zq5fDNXVZ^5;}@W)Kau62{zSW%)2RQbGd$`~#Phl=%zWw$kNOkwy)FwgpE|>%{zQDQ z%fhxFb!OAO{zTRz^(SUNb%saXiFjU@g_%#C;Zc7gzSm`8=2K^Q)Srm&by?Wkq?eL3bv@i0DX0$KbJ%|`#AozH{Nbg2r+J=>iBFwy&zkxXx%W&iX4{`%@0+%%^V$+X$pSG#dlmWg_axw9_M#bZre}LwBE+#(r zSn#-4H9+$`7Zaa*g?QY19-w)ii;2%YYCL{*Z-C}`E+&5e^zeq?+C%d^7Zab+PHC54 z-5a2Jo{NM1LBB#Z^gy?OgVJaVz^Tb)@uHr?N17W>~FxtRGwOZ&r0`w)*@%>4d`qx})~ zxoIEbk&A7g?PS~TuWY}{nL9)-PXBAR{lc61!%Dmv+gcx1nEAZzMEiVmSH$zTqY5*B zuC-&+ZTnT?lZ$Pi?GzqwQ86EXn`(#1XBKAu(9-^}(muo^7c;-Vv_GP>5An#wdHg}6 zXmg*A=V#o18{qw%KiU6_gP)(x>Ijmin&-Kg_`IipH=?xfG|zLf>Bn{6%%9r!y3Xp&X>D&oE|L%0{|9Y9 zuJiD?{tJf3{a5A_)7w7Rd3ap^1;gY1D}GFG`&{SYas3wzkNdCqF}>y6_V)H|dwKg1 zk6dKFZJ+Bro9@?t!SJ~M%6!W7-s_j1^=I3zw9oY)et&77`>*(Adei4R50C4=V0her z#V^wvKKrR{JG*}3{i6XsS8{Ri`Fqduv!8~?`76HDJkQ0%=lvo)-ai_kd7g`j&-+DO zfAId%0L}AUZ2Ih{;qm?vzVo?~i;2&99v<%>4bVK##l+|RBD@i$eW!Vzi;2&5(!crs z;GpftbvEaHTz?JFjt8ELgU|oMFYiB1dq4e;xqt4V^_!lH@6`Vk|Nrp*#Gv+Pm-hdS z_a_GV_H4lWPo5R{aRAcqlZ(ye=Q=yQf8+g$p7yOyO8fuD`xArw|HJzeJ%04_)I87M z2Kd*KiywN2{;zC5`gz}Hmgu2*o{Nc3KacP49f;<6E+#(xJifnoAe!g7*z}{H=h#iZ zKS0hWSuQd0>F4oy{x(4KJQow6ejbm0e}LwBE+#(xJf6RIAe!g7*z{vNa}Mm!{|gy? z)t~&i_R`af9_a_M=oYQ z=lA%W|BHC!V&-#xkH`7Hh(|8A{n*ZozUI%L3%M8Lby1j&g2i^m_vg=relLgRGC!ub z&mY*%KKh$|ei6^>qA+Wp?TqKop9}H5E(+UzY-gM9+h3fsL8*(v%x62}vHeASuZzOW zXFKDu{Y8AQi^9xjJLCED=R$n1i^8@a+nLdZ{Q0l=`;v?AZf`%fFTOwj70vTpOnkO8 zzCZsJ>GLe6};bKmQfY^IUBDv7H(1g#CYjzc0C%_-to9fBq|)=ed~pY-fD7{{YSN zTugknGoC;H70vTpZ2DZM*|xLm?|7eU}|HJdsLH_L0{=f13bdW#yPL|)c*Z3Yj zXZ1iXzSH%yU%%KnlDBXCbNJ5ZN-ie;|Ka&*&+^+n+S2~N@%(hqx4@@9W3^|`o~Dnf z9QK6m&z6hxy#GGPpD`)Cnb%k1k&Br>tF%9-v=8yf#mt}U?c4VA_JerjV%xX<*4wxJ z&D#$Sdm?f%^M{u9hn4mr9=VwL{iXd8rG1D;F1CH^XCJk`?hm%T?D=)j+UEPKkBa1j z`rkp@Z@N9d!<(^|7~b@q#N21JReb+7M`w79g3ofq^mhAmO8aw5`*YU{Z%%1Hrnh|C z-rl}#FaP`ypIpqA-?q26Z-49U!|?c3Zst>__ul{PS%0>TO8fn#{r=Mau+n~+-t_%f zB>DbvX@CCGzW)lhwO^(;eD+h@b}O*|R`TqhT%55&YyGjGhBx#2N<4Bg^JiH*v_Ge` z5An#w%%5xR*mT=}EAhz1w$FYV-hAFZ`!8PPV&)Gm?GG#MLp*XZ^ZQHtBTD-ak6e^L z{NMZ*{l^AK4|#|`EwTZ>0a~pcgFD8Czwx}-e}+cX36mT=ZJXZVx#@C|L|>X z>YQ(Hi|jY#;ynA$pl^}#ZEZZ>fD`e^#mx7f&V1g$#D{p~V&?OvCLV8&ig@H==JTd8 z9&bF0c;sT{`!@*8pL=Kc5RY7J`_^HO$1~2Y95x|xG4uJR5ja=wXH|CWnG?tX{;zwCd!DT6=rtx7y{G4rW2eCkfbBNy9#)R|59 z`V;4y7`d4FLr2oCt^0c_@yNx@A7*!c@%!zLuZTx3Ww zw!g?5nB-#iEx>8Zg*WY?N`HgXaxwE~uNL0y-&Nv~i*4UN^BQ=@|EXl(Cl@n+_=e#P zf4UNnT+DpdGwrkfMLcq`?X#Y#-8laW+I;Meh;J9C*Y-aj|8)FXF8pZ^iQ)TpF@Ks* zZ|{GzR|{|U@5JzZyOq(@&>eTmqI%>HhtEwzkzM*U-UPqS?}h@^tR9X#bf=8c)s2X z+wI5t=h)5q7rjqpyU;fpGoST~$NCrXe7zTDKI<2c^)KT4dM|AIv7UJYp7lS#yGkx5 z--4QM=ijrw!ttkaww=?Gi?hDcUjP2as`)eD3LoN;iA>^1-wN@_#mwj18u-+%h(|7FKHt{B zW8W9?$i>X3&hY%Mc_ALT*!H8&Y`WK}Qp6({GoNp3;PEXE5szHV ze1BWdeD0*-=JRb0Jif&t;*pE<_=CPhG5s3*tkzF(y(Z3g zpVhDB;*gyO^gly`j4%g@4m>zw$F0fvTqjkF7kUSEF12HjmGCj^kI5`EmRb zy$*WM9|rl{C*itf){B)~xA^^&!gizc6wm^*`ft zm0tgri|<%}x_`j6hWRtO1}wy5R5vm6dGiL3H*Q2caN4&CO*U?7u$a9r=6Ya`Sp7tZ{e~3irHIeew}EZU%waP`Sp8Y z+qY%5{nXbX*YB)LBX7a^^?PCF`*osue*IpE@7M2zZJ*D{w&wF!^7ffuHy37aVfpnN z*BaJ;F(1E60F$GjFT7c<|l-^}ytw?aI>ek*MI)_=WB|H1xO z>HU`F;yd;q1N&cicG~6hfp~l?U(9^gnO`^Ax)SkxT^6=|Y6dTARixh|7c+loU--NY zf)DY?#mpaeWO$6&ix2V0#d-Xmr`WdsIsRU2?tfLzcY5UFkZT7We+Kz;R>ZT<|9B-H zx!CsYZ{8PPKj$AdA2{FXk&A8Lo-^6;hGW$H~0Kl_lg+a zY&-V)vCyZtd|T$xK7ao$JUn z>wh}O-;3COm9vjw``Pv{oOMxa`K`?r@vN=KEAhz1w$FA7ub<~{HXk_qn8-!>Jx{^M zb_;hKb?+K9mi*}c{w+^F;e<&aJ86#-jy(MENhfqe7wEdxMyDgpuf5x@+wHz)*T1YC zuet>nu{!~yp6a?!ZPfL@e@M4+H{t{Rd+)U7hvW0V)7%C>Y2RR-UB|7nNAKK5ey8gW zJ#^6A)@aXd@aXqjZoSXAJ=YmDH>=7!`g-T~;SJhz8@z1ex8G*Xz4jh7x2wBuliLT) zZT)|BZrg3W=XP7~*gLmz-{`t69v?Kf_5Sa3yZVN%+j6-sxg{JFI*jv>8z0-OdycPD|Yp*?b z-@a#?c5mD9>zr@&&TaifN(|WyIp?mE}Teh$E&TYMad2U;7z0Mwk_xkI; z+I3&OqjzrW{>yXQdXIJYm@vNglOBI^*Im4D@7&h;|D4+qr}fTl?SFZ0<<~uW`re;y z-F0UV`lM?ma~r%(%Ue!8bGy%uq1(OEyKHOzf6VP|J3IR5g1vM5aG9GO>ib6x>3Xh< zZNhK6?%NM_-C`fCy!*wfnJMZT+uW`SBOKj$E|qt>y2A9NcvipN{P> z9{q}Kf6GP7TYlfl=XKpad)oT5Zdjb~tgS!GMa!H1+E#1(*!J7->`FXx(WW>3T|V1& z<0f`}dkv`^zfIRiF52{#-+kZChPK?>>hILbt!!T)7j1gef8~9C{?pAJTe+3hKDlV; zM@@fI+xONxwCfJHeL!4)kFFyZEpPf~e#X3;{U|oT^{w{EMVsFAhwj;R>)7WVZS9Ne zE@J(k<)Y>P?E7`OU4PpBuW$d^IJffdPev}<^rqkclkkQgUWrF8X8wqGg*W0qEAhz1 z%%A^?@D{KGKc55Qk&BtnZ^Gh@yr~k8T+I9h?OY3g)bL6?axwEqJs93XAFsqC7c+n1 z)x%r(zbo;`#mryiy6_g=v=Wb8%=|@Xhd0{J67BCgh(|7F{=RnIcGr#8huQiPzqzd) ze=Qd+Z`R*_W5V0d))zj+BNsD&|MSB;z}6QL6o|A5Ki9cb$dAL5aVnSao@@D7?? ziAOGG{=xT#cgUnlJaRGf4_zg^Lm#cgBNsD&(q-WtwoWA;xtRHfO$qOCTX*(%9mFFS zGyjNv!#i?VB_6q$`A0qw-ciR^;*pD)fAssqJKAcW<%f9WV&)%nO?by{T!}|6X8y4= z!~586m3ZW0=70Q<@Qxc*iAOGG{&Bw!kE2`D4eyMdD)Gq0%s+EvcxT>IiAOGG{#hr6clPp?c;sT{pZ&M+K6zm!9=VwL=WH3? zIWJe@k&Bss?w#SCH@*^&T+ICQ7YpzFpH$+Ji{u1Y*|G4n5cD!hxXsKg@| zGymcp!n^pbN<4Bg^FQ^S@GjY}5|3QW{7c7#cj<$bc;sT{Uv_?Ym#?<-4G;*pD)|CK+6ciUN&c;sT{e|4kq zzWPih9=VwLU%NHD+jpwOBNsFOj*;QraZe>4xtRH1KQX*Jm#@Sl7c>9PzlHaW3oG%+ z#mv8J%kb`cxe||D%=~ZO8Q!M zk6g_BA5ISMN7q&2k&Bss@3`>pon47XE@u9Z?+x!KlPdAZ#mxWdD&hU~(MmjWG4p?R zS$OxYQ;A0|X8wIs!n^;*N<4Bg^MAf?cn=J##3L6o|A7a>`^B-9c;sT{KluLe9{h79 z9=VwL4_y=9!y8xPk&BuC@XYWYxvdh9T+IAm9unTKMpfdGi<$qcUx)YWlPmGa#mxWB zTH*cX@0EDuV&*@3eR#j!x)P6E%>4hHFTDS}s}he~%>2pr?Ck3^y6&ZmD)0P?J^!;@ zwCT-plsPhWS7|axwE?vF99kuiE{75szHV{MQx_@3o&+;*pD)|N5EXy|G#) z9=VwLZ#*5|6nkdN@+>47c+mQeZyOM zSS230nE5L|5Z)@sR^pM1nZN4$!&~*wm3ZW0=D+Kj@K)Qn5|3QW{MBZL_wL&&@yNx@ zf6pP|y?0b49=VwL@BMXn?>o5?k6g_B_pcS+`~O~vM=oam2d)oq^{p%M$i>Y6;C$hI z@UBWcaxwEibaZ%YEK!L^E@u83e+=)#XI0{nit$$%99=VwL8*CZg1}|6Qk&BtX;ho`a zG`#mwL2sqi+vq7si>%>2!E2ye5uD)Gq0 z%-{Sw;cc;BB_6q$`CE<&Z_5WO@yNx@-|GDEwqCswk6g_BttW@K&2^P{Jk8@yNx@ z-+ABgb{STQM=oamE)Rsa>#>!1nIc`hdY5o?8a#NT^pp66oXA9;OvM{V6h^E?+5|LFO`JNm93 zn&-Kg_{SU_-my#c&^*t@#6R|r;eG6^9-8O5nD`&xD7=q9(?jz-7Zd-uTf;kkryiQ; zxtRDTj12FDdwOV|=VIcYcw%@b**?I2;55&3G4W6OTX-j5*hBL?7Zd-KEyFwIn zxtRE$xHG&{$M?`Y&&9+)ZL#o9`$-SY^IS~))6WR+jCb|WJkQ0%KjW$J&b*?B=6NnA z{#iSOch*}yG|zJ}@z4HFc%R&_hvs=MCjL2N!aL`|9-8O5nE27Zd-2ap7GsyNBj^E++nk_l9@Tq#l~*xtRDDuM*zHkM__!&&9<5)Mepa zvQ7`p^IS~)OQwW(>5V-!&vP;HFWWb~%ZK&QJkQ0%zx;vlt~j=b=6NnAKIhu>TUY+M zhvs=MCO+r*_*ZY-L-RZr6aVU&;eGnH9-8O5nE0PLB)n@z_0T-e#l*kn*Wq1zau3b( zTugkfQ!Za7u3rXd+r)D*`*lq7FSRkOxqcGa_V}XZ&Fdfj?vjs&KR~NV&&7}C{>4v( zFH-yXqUCA(7o8Tq$i9a!THf^U`Hk)CFL&MdPN}@;bN2p<<)Te*`rltWyzl>`5|3QW z{2$y9-Ve8_#3L6o|3^c^`_VTm@yNx@zxSB%e!OHQ9=VwLKmJpAKRLS+k6g_BpME5~ zpFUfOM=oam&+J*TP4Dj8xe||D%>4To4DbH$RpOD0ng8>X!h2wBB_6q$`49YWc)zgs z5BMAqk6g_B2e%6E!B;Br$i>Wm=o{fZyk{jIxtRHnEFRt?_Wl9O5An#w%x6En&;}!* z{~4eilRXy~ZSQ~l-T5{$1ir|zpIo%Ox&QKa=WQPT04ImfIY^nF`se&Le35e>Jkj!& z-?xK(IsV)O?SAdwD$iTM{@-%ZrZ@c^zYyL|TUO$citdC7425hd2H^m3ZW0 z=I?oYczcbh#3L6of3LrWx3|@t{aqi#BNsD&pUuPD$7&rP;*pD)KjDt>_O*QuAL5aV znZL4)vvAhsUEeAvRGz(V*GDee^k)69x<+`b{!b+yxtRIyvhR7*{%TuP;*pD)zou>X zv(B>Twfj|`{cd~yYq@CCo6EnpZFl^&AFRY97c+ky+ur!=u3m{pE@u9^w!QJ!v+FMr zk6g_B^=*6Otv|aGk6g_B4ekwZ!%3BR#^`=U(!SKJQovx&bHyrdA*0`c`hdY+;6$p*X`3o^E?;Z zeqY~G;q~3$!@qWsi-|wvli|(x-X5CgxtRF#Jr~~4PxsI~&&9Ui?KI8Ct+8Y6&bL&4 z+>XWMqD^ldzq(xx3~yH(KZ*H3JaRGfcQprZH*-WhaxwFFx8pqC?soha@yNx@A7{sT zyglsrFXEAlnLoY@Z~WIQ@yNx@-}8v@_8MJ@M=oamUcU=(@6#*s$i>XxXZ`T@d9o6Z zT+I9lH;1?H_LX?#V&+dA5#GeREAhz1%-`?0@b+J}5|3QW{QdtD-T~)T;*pD)f8b`} z9r$7;9=VwL2i+dt!Mj)Dk&Bss$Rgn#@}o*TaxwD{JvF>ZD_7!?i2W*3-9nZD)Gq0%s=8k!aH(8B_6q$`A01s-cdiV#3L6o|LAkVJLY|rc;sT{AM<>8 z$9|>~k6g@r#vZfj-N$BB;*pD)|M4G$cih31c;sT{AHQOF$N#Dlk6g_B6FwE*iECEk zk&Bss;%niZ^u2`? z4)630EAhz1%s+ivcxT*FiAOGG{+S1cch&-xc;sT{pY=$1XPaYx*FijTG4nsUMtGn6 zpGrJ(G4mOFk#=p2!U5uui8|zl3+W zZ7}=04&srEnSaG*;a%}!B_6q$`B&Z^-c`F-;*pD)fAu2aUHzjY6 zv28EBA3s%zM=oamPi%YP|8$2+JaRGfe`ebY|7YK+#3L6o|32GZ`1g;g#3L6o|Ng&* z_j7yR#C#wgxtRG6Y#!bN_Phxn;*pD)|BE}qdvIJO9=VwL4=ozrL-$tVk&BuC@M+;a zV$YjceuzgdX8tSJn0K&^|6uR0iCfw6gj}?|S^uxj4DYquD)Gq0%zyom@ZK0ziAOGG z{u{pzZ_3G)c;sT{zqwX;Z~na!k6g_Bx2_NG?X4^E$i>W`I$wBG@2bQj7c+m_(cw*B zq7si>%>3zp3~$C+m3ZW0=Fi+HyqV8b;*pD)KkL@;X75yqM=oamoRQ(pxu+73T+IAU zzh>j7U)*(@?N+(|hi&|I%SD^stpCjy4sY`xR^pM1nZJcSzoPvuSE|G#7c+m$$HLp{ zl1e;sG4r?HHoUE0uf!u4Gk=?Jg}3cKm3ZW0=5M!Dc-!4yiAOGG{zpF<-uCaU#3L6o zfBWac+u_rdc;sT{@3>2NJ5H~}BNsD&r|*Zi^Fft(n zY`;Rwo5#8oO~bq7g-SefG4n6|T6mZ3R*6S0X8z?1hj;l8EAhz1%)jCj;a#~>B_6q$`By#` z-c^@W;*pD)fAzNEUHy6`9=VwLpZ->OpV_Apk6g_BYnBS{n)@s9$i>XR_LJdV_ufi8 zaxwF-doH}se!3EmT+IB>?GoPSrdQ&Ti<$rV?}zt=gDUaJ#mxWW3gLb6mz8+rV&-3e zad)j5|3QW{F{Cj-pxl=;*pD)|K)dw_vPPL z;*pD)f6G~9=VwLw@wZ3D_^d}BNsD&sNJ_-XLtL(tjl{itLr=$cW)m*`|Z9p ze*c?2G|zJ}@rT=eYy1%tduX2LV&czl_pR~g|3weY^IS~)1e8dO0nmd21d$#Mo zW&0k!$o$Af%Ny;-=e+g#_+{;Kn%?qbJ>62apUCH6y@{4Lm!CTOvi0HApU8a3Ma!E$ z^?&{5;fu_NT(ms(zc8ljmbbqbnUCfREpPf`9${eJvXc3bi#EOO+rEF@e{`Ka z@*W`b8)La>)0_T=*6&@riQR8}q>_GvT(s#;f5LgTpIYDbyFXRpk&8CH>F@G68#io! z8}EB`CHo<{IM46z_Vl0echviyLt{UJ%un-$+5R)`d$yh53}0k^*b zO}w`9>z}pzKbDI&z3HFy^YBjDt`d)2%={~l3Gb>mD)Gq0%)kA8;oV_1#OHu`3z2y<-_i**D&vWrT zO}~5Djup4tGR&~$7w@ohDss{CR{Py#>nCq}&Hmo%Mf{q*w?i&k-t=E9{u{-Ic;sT{ zAO5`c(+}A@Tpz2vZLHltvs|?4&GygzOnB!nU5Q67X8!fNhIhk%RpOD0nSYP92KM3!4n^fYFi<$5Jm-RLDpI;W=jQ)%MtW0mNzgG@3?*x0^{8Htu$Jz61 z%SD^s^rsa6&Ei8maxwF#-(bHL^=#CyNF9@lmbcpX{p5~S?fc5Vv3f6Lf6{&hEpPeW z&duNL`Z}wh0ow9txtxRF9~{(wu%F%Z+weu3kMDn?<*oL8IdA%l?f zm$ml4YTtJrb6@4{$JzMzmWwvM>96%W^Q`|`=cLNpPq*(wTQ1u4roYn#;qCICN<4Bg z^AEP?&bK|#^-X%Zl75q1wCT{yy>rI^@{hNt1I!y z#mwLA>)~y&b0r?RnE4Zq2yfzom3ZW0;(MLlWcv#J{{Vj*^=Eab>CMkioqg#~vHytF zA-QOI%kSQp&-%&}?fCgb5A9x}=i-S?-`g?YkAEV|q2+>>r|p04y6{DoN6Q5*&;3ut z@_$aeE`0NRK9)EA&uky(%|Cpkhr8SR)8u0F{4cJL&auCn&-NFQ>nN^&Ma!G*uVDSw zRnK?bidKIEe7Wm97nAGfVW--;;@#nk%!gdGyxD&L<94rqfw=!A@-98OXnE7;J`>(3 zJ6?!*T; zcx*qB?LaPC-u(Owt{^F&5 zh(|7FKHK@c_r&%S*^a7rZ4ol8cr%eeRR+T|@4li0nt?qUBA0Cp*u& z{IjwDiFo9qY&R=)2u(?Hx9}&- zsKg@|GykA9!aMkTm3ZW0=JOph+CS>dN<4Bg^N+K01^g4%uf!u4Gyl{>!#nN8N<4Bg z^Uql^ymP-=iAOGG{>A?r-X#ZD;*pD)f7R#1`?U3g_IDk`BNsEDe)9bNqyH1Fzw`c6 zw7gkA_iSdhZu=VNUn1vEU5jK8MA)iN|3tHavUwGa=x7|Nt z`9)jaj$E|7<@c>*>&?!0efdQ`2f1i@>Ys1-pFU#!pU8YPUub#D@9z15^)+^``F;EB z;(5>7y0cu&uAhHY{2vz|;*pD)|Fh!XUwnv1E@u8OivLjYAs)Gy`OjOep1X*RSNFln z^KR}sa?z$Y*WZlu%v;vJFEYB4>p61KrnmgQ5ufsQy?(5nfjT0mp33y5zpUM#Irm4g z{YC14T(rF9cduKmpZ!g{-@IbwIh)w`pDY(`ddu&d&yMppy>HmiO7lDyGoR0Q_R3w~ z0{>OXdeC|))0^${IX`)S*Z1yiDp?O&FJ*em_x7goBsBfTbkE?VC7f3SYnoqkT7KZv%zI&#tS zrvDQ=ZsYy*50!Z2V&?yx_D`|rw~tgZ77V#))0^!-T$cY4d|M7kyX0che&6bw*|o|R z_Ishzdbnd3xwu8U{pa4a^}L|%zb{svY5f_wXwzHE-?#j!=Gpe<`|={oLoQn0^jZEh z-e=!GKf4l7pQ}u7`CccdT7S#=he#c%uAt>jpU?S;PucHHzpv6huYZ2grZ;^*f3lkc zHyvo_QR0$u{>1rInV$N`TCLsmWxL-}$b2+kXnD);8}(JYRv8t`FYmmxke9P>H0huf7kZe&iE@VS4kbHF3R*~`zu@ja;lA&yy}Qb+h6*~MVsFASG2ppL(D$^hQ;5g_z;g=%zTbhC+ybsZL@AA$1xq(%Jk;v-@(p%&X{H6 z&0kx2_8wgyxoFdy{w_1Z+wIayJaRGf$Cvi^EbT))axwGwDedoD+J|`LV&?Ol`h;KD z@-ALU-I9woz4`ffKRvuX7O2D{7c+ma;_p*@h(|7FzVE*_PAtc-<3-z_v|pF$&E;SI zW7hxvIDC=$kc*Z#ecwO-WWU#K`}^^twXglUOmF&I+Iq&@X8TI&nOwB#O`rL*+#G*J z=BMQ<)0;l+;7vH95?{+zrnmfV_-c0V?lxsXnLlai@DBS@B_6q$`N#YzypK(L6opXU@TH_t6ZJaRGfc}{`H^9vD= zT+I9nMud0am6dqpV&?Olf_8a+A>xsXnSaeW;qm+eAL5aVnZKlMw@L4g`W2~Ta?$c; z{qdY*lKtcPhj_Tv4!LM~)8EJXO}u@FR^pM1nSaoR;T?QmB_6q$`A57I-ccu1;*pD) zf86cio$$d*JaRGfPqpKmP47;7vl5S7%zV2?;6Bekm=DAw7c>9jXT!VXm`XfyG4rpw zCA?3+rxK4`%>4e%tnc})9j_j$Jn#qhpxAQJrZ?-yx>@%}UQ~%kE@u9y`@&mzn@T)# zG4mHaC%nb_EAhz1%;z|<-}ABmiR_2uqUFuc&-Lx0)^~CJD{>qs7cFo49On=DO!y-6 zAr~!g`m}S1?W?puK%1ZE;@NHghF5K0y(@f?`H+j2H{0j$_Md6*ejZ!Nd^F!Oz3C4- z)ZX8?G}gb!b|4omZ~APfiMFj*Jh+E8KhMSH{j+#aWUIsMeUmqAyraU2t3@tu)qH=( z`srzQtp9q~Eo1xN03Rv2nB2c{-;NtAT7NlSwE1biWqR}TGkx6Y=G$i#nUCgMrsux( z7cBoc(dMW5Iw!W*Ki^y0@r%*E$a0X2mN(mf-`+mXpx^tl@dllH@7{IfqUBBhH=i>9 zm-hbqmn!$Vwd=@5o8I(khvoj@&`Op=%T=Z~{gdXHXaBf&C*qTfmN)%1*Nk=#U%Q82 z={nEFWc{(8ci7eXdhu?+=xH4t=gNJ@vQQChAXQewr_|yy*|K?Y8x@;fu_NT(rF5M?bN? ztt-BNF4B+i{+Vcb!?)wqDP6b8)As(HErV$Njpw4}x&N5$uU5yKh&DgX*ZEl6XZ_&O ze~7FHa?$d%{f+F{gD*0l(yr6;+`l1~eNs_-_&;1^p+p%Y5jHM^NTDu>rb@2(SGdb8(t9gFH*nk|Dxqhf78!*-L_VLn}4Ko z`=f09Jo^OYf$8~?`o0?S34-faIcyH>(K{7;p5 zw6~(tn8S+x8?EEpPhs?cQ~3Txs=Z+gn`sgZ4hE z<)Yeg z+F^%Nt^dEhlH&lmXw#einI}cvoxOeK_SScji&_1Bc5HZ`d$SUcT+ICM+h_l%ZL1%C zq;mV0?0pi;MVsDS{`>zC-UAaV@yNx@zuVg0_5)q_owqBuySD4dMVsDi|L)^#tV{gA zR5Cwu(WW1Hq4xZE*UIg_6u* zh}09gXnE8B%bB*^7uolRʌsIDUyZF9e0~eNue>|5w_Xz|0R)U+h2uarGwkz`KKtpwYvTG7*^lbia(dSP5$fdj_xQVs1JQTPMQ{7#cG~|J(HA*CZP(@WZ2SM5 z4F+J;FJQSb1I9Ix~dtyIi%tcJk`ioXY@3yBB=`j~QpPw^({}SgP z{Sx>2Y5e>VF+JP<8B5~l9=|Ki&tJ0ly|@>NT=YKw?*1rx_jXI{_f32kAGzrHT+eKm z>tE!0WG;ICYmebL2iH)z{zR@%<|6X!@^d}2UHT&D!(4RQFWTH;UeRHPL_UYXT=e`c zPsDX1JnypA7Kyx{#$3eoT>W;R?zr#9`-dXEo%%k##T^k!O9@H@!#nMb3x0h&=1>i{qwa?~nD1Tn^?U@~qG0oIWA?BIm|*$?WMa(dQhozsp8#fXr|<8HiCvoy6pmPXz>c;vS zzH{Q#i$cL%#PqB`68%qq)bd1n%tg=V_!^Jz`*Zw?9Eat&Ga}En&-F`huLX%52O1aU z^sLY4L&m)v+ZQ=M<|6W}zZ3Sm<35S)i}aX_$g}>o0oQpC!}DWLC63z`;~%+*=~=%U z#?5H#@9B%2A9E3T*57kb^!8bqIIb<8CqpiJKHC|23!XoLFR~8RRZh>g&*ePw>(LiE zALb(Rtl$3y)Q#uW4thP2+W~VC)3g5Jx1gW;VZ0Ab9E<%Aa}m?C{_sig24TFnO&og= zwkPBwre}TDISTvxkuN85d9+;Rbl1oI4qJYa^V57u!dx?u%;%DR{rf2Kl{-)^duv;QM=A!2xUbf4>_rN+xddx-7KeBB1 z$X`XDq{m$JeD?D_@5KHW7he$jUqqf=e)cmx_PDm5!u}}0~{Cy%l=A!3wJ>7YBTz}$So#Xlwk!RcIdZNem zCepL@TGI2mp6GG?iS%u~mh^nCCwg3eB7Ix0B|V?(i5}OVNZ;0LNzdncy7S_={=~bs zitA5Ap6x%bCwg3eB0XELB^|$*IuY*~#QFNv4-@Y^06!xaF+JCQG3{H?o8B{#9&^$0 z!?Fo@_T{z^mVJWXCv&l7 zw*6wy(fGX%INtqdjd$W_=3xmxMpGeQvYe~ls$Kp8kwu5o41jkzyU&PPM#e=i$hlQ=9xA2x4;aM&^{!RFdVY@eD zeOH8Mx#;-!FNoff4mHBFTy*^3?Haw`{jx@QmWz(he!6wT*nbtj5&Q2}aYMiV=&}DQ z!ejr@H#$E1i5~l}B0S4Q$7es$WB*lzXSwM3>?eBczl!iI7af23OSo441>FCbTjN8x z|G`}RLcagrFZ~Zn-w4lgG4B@}+Wy({ue7-oGPyAMBe*kGbgiAG{g856?`b$6WM$)_Eg7J6M0k-^KcG z6!-S)r^os$!ejmPjgHSc>9PKb@GKV{pY`)^&#->+hAU(JB67F?>9Ky19_to8pLNn> z{USZ)qUUdcW1s7=t!%kf;`P{;n2VU6t$)Mecu&{=!}Hs3CtiLaenu{0de(1qboAQ1 zpGc3n==qzJ?Qc@HPts#9dVZJE?^60CJ?5h44;_#9MlHqjM=KI99)q8eiNbg_Dmu@=A!3+byf7P#q~ed zLDFL`I({(;>+OPXgksWti5KpHpOK50o*RF~%SFe(Xhifb`g@J=EEgUBs`k;l z>N_>Uvs}#kMUO+Vt-T$J9d_Qi#=Zi;Y+qdnM9=F?y@VNcb zH|G7Y!DguY@DMh@XL!Ze@iTMr@ND~`)r$byDN@A@%;Vb_!E)m*1wH2dK`ZuJsX!L9pA>;Li9bypLpJZ zar}wMbM4zWqsQ?l(z9_{(({+}#J=M_oPYi%ap70+Gjb8rv+e(+^nX(NBt7P$=l`_y ze_Hw^J?5h4KUVsWl|D(2x#;u1PCOwamTbdKH@_axF|E;_!g=X0>^T>s*^2gmg< zBG0vN>zN+cyGYN*MM=-+dZx$qF4DJgQPT6dp6PMDi}Y<=lyrRS=X1Uq`(HeFhuHrj z^4#)UKhtCXi}Y;0lyv;CVq?7jYe$?f;(BStpW$cb;*R^GBBLk1E?I=`j~Qe{9+QxUzkc z9&^$0!vpu@Tmk34KR&cZWR{CtWtTrZ{AlzZ8CfGd%SFduir-n#8Sg)1`y$4YlHieY5S`dZx$qUJ)MGKYgR)b3N1Jdanr2 za?$a*p6PMDSA=J|nD@h7<3c!nDDGcjJF55^er7HX%`Shq`=;pKvs;buEEgT0f=@h5sd$JyzBjN?x{_Sg|#t5EFmvl_pJpP7rT@_z5q?_K&vc$SNf&pJ;X8SAh3 zk68by;>c|KHcr3%XdJ&H=3}{tJh%KdPU&&{iu7z;m-Kv&Q+gb~B7GazB|V?xlpe>g zNZ-bFNzdmvrN?nA(zkJ4((}2U&p$i%pZMj@vHwKmZvW9^|B3Y2f1>BJpXjmwM0(b* zB|V@0M34O^(zkvs>G|v@dh9=uzV&NK&u9P5+b{NC#XrXWnUqyKAKl(<; zXFt(n|5b!%x#;-pCwlC^itsEK9iRO~kNsB>p5&`V|eON9!*MEi<@D9ZDBG=dW zJbq>_9+>U_V%Wc;H~jJ%;aM&^{^-&lQ~E}DmWz(haXRPfIDW;sUyS2dM4lTzHcshr z{EGB!T$l8G_VetIWB-es4|5TDw*8*>XM?!@E8Y~>{~U3HeEVF_^tk>j!n0g-e6DADT<;a(SuW=N@Z1mZJuUS0p9d$N z&>7!9MlND{uK&WH-;ds3E>5J!T=e|s_lVx}PbAV~E_(h8bE5ZRt3-OtMbCfn2hn?} zTz--sbJ6qJPsi;S`>*03WB(l|=088IpXjmwD#By`(Kk9i`-vX=uOd9lMaO49(PRHr zglDWEEn^B(eGs3J9{`3`?W|sZg{X<#PrH%v(w{!_loc=7af24RneRAg&N^m zE;{}Rcz%}cp73go@GKV{pZD46^Zt89c$SNf&-?83c>ld3Jj+GLKmVNQUC^aQc$SNf z&-?6bm-pW*!n0g-eBNiL$NTOT;aM&^KKCCpSI7N_IO}V1{~;pJjepxe(Bu9?q{sb+ z==t1F(Bu9?q{m$JeC{XcasMIGV=j6=_Y?HE{}Aah7ahOY;XeEx&i}tOs79Q(TQ26$ z-$QdeKQJ@kekGnCsCZq7xriS(!T&JS)88K~xBr$mM6cD(HNvx8bo@4BqSt15jqofN z9lt%s9_wz8u~reD_0XX$bOE7G_9a!Jph)(YOt z_`Gw))%cmYI5Xe=^uf`e{?{7eSuW;%JI*=w(YXDIGe^elPeh(ue%sFIar+bLar+ZJ zpW7KdZhs;@+b&CbKDRS^-2O!Rwq2I=d~Rp-xc!OrZM!V#__m&o#eFJ1uU_%dxc=a2 zdanO$J<;R(s|b(lkG^q-eE)O*dCb{y{fWnRj_XfEo@?LM6Fsg!ksjBd==oev^tk>+ zdbVCmdOp_^J+42IzOC1ip3n6}kLypQZ|k+B=Wluijur6yXV>nDGp-DQxrpi6{^N66 zGX}=zw<`W6KEE|X9GLfyS{ePZm(>W*a?$Z8WB<=~r(pkI5uW9u zhx6@4HR62^mWylp{&@WSFGfBYy-`zYglD;!_rq-)g)r$Ze0SyBiIexk&&Wkg&u)LW z<9Y@C+h0kf$6R#$qWda%vvIuz+q*cqWw2aCo^8Ly19;EHsd!#{XyT-{I8Z_^VtUqZ z4VV5#a722{MbB^BJ9=%ON~FhJ^!!a$MX$pZiS(F@p3n6(ab{e96<5dgH&JwsKe(Rg zas5?xnp zV*ig656!l3{Y;PjUlAVr zpT5!YH+>B6**+M@pLh;#{rsvuZM`8TYAB*uP(qk@qKL5t%;Kd=Fd3WL=1Mo9)5!18n zUx#r^|N0S$^q7mD|G*yb{twSfJ(0-wMl%;NJ=^}$Bcr$U%|v?4MbH23MEoAEz5MpW z8gItW%*7V@_TR^G@8JL7`+Cz854i^4|3fZfdba-m{w;c+oR&zBx#;;ECxZsY@lz4U z{g#XQ<9{0`^f-Ph!sGZEBsxCF$)Mxo_z?#;#PK5{&n`d52|bPpLkjHPuez-9&^$2FJ6w{ z>mR};_zbD|EWW$QTl_U#+jzlc2B|6I@Xxc)_YHZDqfKG$;}T)W}@Ke6vYas7+P zv+Z*|)8qOV>Djm_>G@pGDAU$|#p~kw?<Tx{$g7+SP#2%SQY_RZ{=EiA_8-!S}l#VKVjHvar;C!_f6s>K z^&C*+V!VHdx#;+ZEs5Sx^cCwc!n0g-{Hd*@H~o?tm*D+F%*DJP#`VYVwZXL;_P>br zVY%2QyZrWdmgw>CXI8|2^Y1TleHtDAif=~m%AeK<&vMc6?;Ib!yUwZ+p5>zBFKrRM zpW}R&^&8HP+3hdj`&Q^bk>gS0vYehBf19D7zS9-=xv>63jsxZ*@~qEs`km%+ z{8q&LEEk*SeU8)b;C-$hgk!R~) zenb4Mdgs9!7vcDux#(=a@0b1urEi31x#;-Ec0=9Q;(k}(8nHZcBRtDR$KMd=I#;yB z^B!NSaSPlJVJzB zZ~n_zH~(H3mxH_>eac)!o*n;(;Jo+xuZ8f$1BpByVJ>2N)^G7F{`SYR*sjPrn2X4> z{=M&oa5aw8{%}H#IQFqzyeaShsZ;d0{c}Fr4>0Hcpq%cuf8`y~6FDE%MIz7o9A}q4 z7sp>k^oQl*b9tZRj2_2dMR=Brj?ZyMkK?W)Jj+GL=QyLsaaR$Z<)Y*7wg=7~`r+Ik z`&;p{5CU@%dA9$Cc8T8My=xqV=RcW?jz995=#BcIMtGKsj(;?cJ6ZPxoI_QFXSwM3 z)B8tn#*`Z2SuQ&M@&Ae5iJz+xp5>zB&s`e5d9T$7&vMc67n~KnGjFL8p5>zBpTBGL zE*MrLJj+GLzjS5vF7H|+Jj+GL|LT3wyY~NTglDx#;*aw~yYeLu!O)x#;*OW88ANXSb*kp5>zBpL$*NPJ5t6c$SNfe-6ei+dp@1 zjqofN9si=X(YqMi0q0|cXSwM3SN=MBS7BdE-w4lg(eax4K7hI5w zn4TSft!{=l4bOZ0utv!itp(U3gJK;uZgUKxrjXLb3b!_hq(W#2;XwC@%xt>9Y1qFLy!BPitsEK z9iRIddffk1glDp}{5IyrTy*?mWBlGN)A0B1UQN8U3*LW?T*UNT`^6+|w_nHeBlJbi zkGY6E>v!G;?+I=eeUbBFE+TjRYyOV!8~-5$k@L}fN#r@-`uU1;g7u%s^`P}aBG36n zXYPBRi0zB4gSm)2=UY3MWBh!Kbta-c)urh<-`c_ZS&L0^{Z3>Zs*6OP^*j6mpYz?K zFLFN2MdUd@e8l4(Y`-7BT;mIP{~UAinY_>K``q>+{AXYy=T~m`MoiDP|JKvk@4STP zMgNn?`7swUJ?pd1bKZ*ei}aX_$g@8G&hk0^u>Zz#R2+u=A9K+;e|v9f^j0jd@nl>- zW-jLaq8W}~&~DKjeOB>gynl_knD@h-IB(=}*F6}26=&ey0dvtg{^D^O|8_ckk@L}U zT{%75e|CI$OFaIaBR&$3A9*}kPS5(B|8YNy$B!cGP+jHptk2~<6&HG}ei6Rq;$`{u z-yHpXEcTUMS0>Jyjq?}eBBp2CH@`c+KlnI&5%bf0%jsFaCB_)NRFZ@Jh#-+r48 z(c|?y&c_JPa?$bIVcgMc|3QuLEEgTW%R{)<)GGF$$o^z5BF`@WUaj$aAIJ4CavU%h zk!O7#-yC;*JpL1TJf!2Ia(dS1c77JV|IqxSMD7Q)Unr+%{RgnDr(c4GAq)MR=Brjz9g7=*_?~(>KDiTy*>sT14-}?Q4W*x#;-3PRn+A{k9@J z%SFfMby|97V*FKvXSwM3=kF7}3&z(7&vMc6d7YN+^7?H>c$SNf&+D}Gc>T5_Jj+GL zZ!sI|9>;E*q5s86f5-hNzBcUgsP?e5rrBKwiKh&;ReUH*b=N2kL-D3SAF zE@FDt=jY5J7lp9*(~0~X(&th+J?k$*oyWX|za6$k;<4B!nTwd7_3!Q*z3+}sJa%V1 z`-EKd{3Cl{Ux9T`Ut~SZMdaD``8TR2yohHl4o~EKn2VU6^%vkc>5!-J+1a(mW!V0i zi%;eK>yC)t_1F(_K1O(!i;mBJqQ~VISvPYLdA9y1QRjs7;^()>&t2vs@~qF}13p9f z5h|v;K}a{yH6hr*G#o5--5_ahQvkp7keQh_Q1Ot{-3<6fb-; z6wF2BS^toaaGry|8%$s1e3*;Kvp(xQwG+NCymca%N6S@C&-%N+46ikwmugcZ=4ZLs zI^X_YrN4LS8{t_lI{pUtVto8E6s;eq5#z{m@t67bZG6G+HZ=N1c;&c@bbPimXZz@j ztVeZ~)3g0&(?1u-{~QtX(|pV6IX^r%0sFZ@*#5qm$nB81i0N6M+xa;B?%$UsazCK` zLOI>_N8A(lA0p?c`I5+UzAfjWyT|n>vLDnhB=W5P`8{#(U@pdMi^O?D@qKROBBp2k zp0n_K_`Y{99KToWfad|3i!Jm1KBeEM^o{T=7agC^9nL;06#Z{WWF5>!OwZPT*kX9s zVEex&ao(6vFc&dB>u>)7?)6~2v;Rc)Cvy>b*6-H^=PBQf^^05{<|6W}zb}pxW^M=n zkwngixrpgmpMR%m*5PsaMfL}C5qZ{Uol`H0^^2^BxrjXLvz=r99EutE{(;CkR989O z^{3){>Rf*!=cDuK_pas5?BPsHa5auIp9{avx1j>dEPy{9Cy z9_AvZXMMIa@=u}I;)z7op}NZHS)c6;-x{CyJrY@u>MEz_{IK7zaombym;GDUcr%{o zVJ_ykzi`x9(Ho2X2j^piXSwM3Jij>@$KK=d`7d%lIxi}xXX{^paegp9qj>x+@;IEi zh&=26XazpsI^p^k>Ztg+5G)rv<^84YqsQm==^No$E;>Hj8GHqv_q{Zc^HE*p^lbg_ zpN!>P7C*m5d|n6UBJ!+n^T)9#mw&K`dQ?|AJ?nEh>2bL$!na)9I^RC)9E|ba8sCEw zQLoi6BG0z}KH3glDMMS*FTwyj=%hT{2uCj2it1Jhw%JA zb1{GZX3Kdn_C1ed%!#Z+{X!zou0NaJHum4aBIZ|mk!|xn`{|%(V*iP(gSm)2+dlj0 zpvPmsiS(F@$g}?Iv*5ph?;k&v$bMulV!G=ea3#J!)(iKXN^*XhFNr+suet&4zJT_> zS0jAO#TWAakVEjjNqmN!^kibc4*32jauL(B?O%-F?K27YJFveM`<;X5agdA1v;J#% z)@c$SN6^2_i4{}S8xjc;Ll!TJ}!jdJFMVu!<~@%8pik0c zE_(hyTSo7nPbbo2E_yz{=frm3!Lo|J!t>f~G$lc|q$K@93;m06) zK9`domtUl3{Zi8Nxt#R4{33npmy({p>{&d&@+#W>@9kGbgitnwIc?0@l!OJe;Za<_hZtY4(Z`bE!Yo%C40NRPSb_{IKz$A11!yr=5E#DO>-WG-TQ zuKwbH)1xR4@9mh#I?8s9n4WF_Uq8cj7kr0+zR3A87m>UEnBRq> z<6bykE6Mq2z9jOTU$p%#_7mTazR3A77m>Su)8kjpNAo3h{MrV$nFE+-ma;_HPgJUmL#HV|yHr9`ZzJxmk;uxQx}PefVwZ+xtKGec3^)LfGTN z#35gg-)9vu-RfVP_P6SVbBYmcf7;ZOPRzIeIc(?S&k14cDTxcW$M<=Vi92C>KU3@XJc+j3& zTKD~5&c?cY*Oq?weCxVH_12hp=ZnnU`C5ymFZyD8xN$s}{-k+x^Sxg^;ms${|JRe_ zW&59H%PV&N0`5diF57Rs{Piub*r`8y>9tVof|-k_yc8@Kk=J|wGqpePP29QJuWY~Z znPa2&54ZtOolU@*GqzOmoUQT6ja)?j8QSl^{fA4h9KZca?s-LaUHGZ*JRyLQXJ z#Rdb$hWL-40>!Ye;;dqY)p86D=res0iX(9K_3}?bF#_Ls5wCtD<|6X791Ed1sRQ<} zE7?A_MW_7}K8I}vS4~c7kvI$Af?zIU`r5W1o=< zcH$5m*Dx0`-CEx;ge^8`2n+D}xm9S3ubuX){`-qz!?10O7IWuLoOi;+$;Z!_Ieqp? zy?akQVBYN6(@&f`V)o2qj+s6?G;fWE)H<|il9r$1TWoMZ`ePWbeQi0=g}-hMPR?6_HI=<|AF z&&z`4BBp2kFSiQ~8~;2McYGsp)7wMAT*UOO|Bau7;+=Npi#9*xBJ-@jynAS9_Cjdr z`g~$5yl0uYi0PhRygw-v@4tutMRI=Tmt>yv8+Mx=ie+b5|B2S0$VKKkzxe)&P_)7p z`1rPo?Y|DK9`dom%k!B%SFfMa?<1SSA=J|==i7o zF*I}<9E#JAtMRX)uv{FRU;Z;XNAHY#YJ_LG==cjpMsLACYJ_LG==f)TEqZ6|SR*{k zMaSoIcEoeAT>gsRip$?oY~?RMJuZJmcwBz^M#txJ(&O@1glDgsiEEgT0 z%fHDJarwm#6XWuW$g}%DE+0KEzeta{==oeudR%^y9&^$2xt#R4{31Q(qUUq@+g}`) zU)*G?xcnk=clqgY`9*rxFC{&n%Sn&RFVeSuDe3uKPI_E^k-qgyNyl&KbPSF)?#H(C z-^7-O;%DR{rstNwq4OQl>#}bmJ?5h4cX=~koXPxv|e?@qf zi+R8J!TxxM0KUin13Viiw#DC*U@juh^?&ihbE9|vrit{Ji=Kb~&!V?vbRs?GqUW>D zHuGZr;>H`r`bFe!{q$JBNY9q5r026vdaPfhZ_8EE^I88!J!Ab9e-rE9NOaa8>!io} zE5fr}bbQuHkM&oCXSta78(N-;XCQ{-T(4t|Z)4kME)LK3e?u!gk3zro@EYM+E;@ee z|3+^kT!Gko zXPxv|e?@qfi;mCwr~N$EFHS!y)-NK@jUTIz9_ttBv2M}xStmW#FVbT!Hu2-VmGb)8 zh#!ZBJ^F=W?9>{E;R-8rv0tP58~e9}hMlH_VnstD=Qjemi0Sp^Z|tL;o$x&zwqFtR zWBZ)1acJHjemy?p7lq=e{cFVg+$|RuIsRFpp&h=5b#|LX&Tlw!5!18vvz>PL#r7*= zewK^(W&MUu9YRC%PeQ{Cv?sP)h~ppRBJy1O4O2b}#bcw*7i~VsMdo=Q*Y1{X0RNgq z&Tk5G5!3TN+W*x1n;(q zwE1YhAoIMByI}vq=k!!O*CBE~laPzZ^FHSPFRXvIUlH?TyPTgfzy6C?+Tw3Qyp8Sm znnc`FwOqvXT>A|z4+>%A&++;3>qNX4)p8NjbAIviM0z2I!JoVMbBrQ zyWo2jtY6#}-zQ=&B6sVj$NEKjtY7qe)=7_bi}aX_p3gdW9v|x$cj+GM7m>U5(_{T2 zJ=QOJKI^2%`bB!STqQk!#HqOMgy+{r_D}4D=LDIHn4YbFRLkg%dODFFbJ6oh-w?eq zlM?AM7d@YKcEEFNtY7Si=k#p3N+Ngbr#A}qi}Y=|N_sx)q{sS2`piYoXPxbT8tWG~ z!E<`dMdWV%^hTk6ksj+8J)d>bWBnpM=Az>_OuZ@;zrbhxv@tdQCB$6pkgdOA`qt5# z{#K3fEEgT0?JvdHXZzyM4~gxI$aDQ??a*WUB0aV*I({+fX?!Q-xll~T(;VVQx8QRV zxrjX1elcZI^rqtJ2>K*F=A!4bomGQk`{KXb$M!|!Zu|7uu1L@7D(UzQEnf&>1djb$ z-Ih4=Abjp27co88enacyqqotXiS(F@p3ioMEsyPs!gkL`<&U%Z0l zKJqr4@8I{vVaMb18@Y%)*M9Lgv`g=A|4F3BT=aalb3~`ue#IAJ`$veK{PyXw{fh9| zK7C_jztMBCC;!*ZlfwMp)i^o?%f)_;+HdTyiswmV7bN1mE1Zm6Y;yk9*hl+24Gz|R zMLdkh_Tfbi&bD9der~Yyq~618#C{UUu^u9BY5I(go}`bC~MFc+JgKYXfwdaPfh$NELjXPxv|zeta{==gS? z(*BZo{vd8LES^7z$aCYz&J*bI{6VBQed|z&p3n1_wjagz#db?$`yz6;eR^zPq{sF} z$G7v8t8krw=Pwm;J%r~K_*)H{o@?LE6X@~$p&~qr^oh1dTdv8d^=Cs2m3&tzlePv#OLM<_?~u29Jjr9@`fk-_BEd;(iCuU&LN5;`xJ!JlDRRAJF6ZgGi6(4Wj4s z{AG`OWBcNsc+V1Z5xLtwJ+?2>WBXzg|KL#jyUgvb3(bx@5%0xbns~~~crP|`5!37K zH}bb!8NIExO{B+M^!y&9qPNq+M0(6c&);WB^!mJ-NRPSb`GdPe?~nnB^q7mDKYD)j z#w<#t$6WOM>CZ+F-#W1MK+zFckU9sE&~$jF&905yZO=Uz9^9%bJ6p6dp3G|G$hhvE_#0dUeVisN+Lbx zqUR62E_#PAO{B+M^!)KFqc>sOM0(6c&p&Qd^p0PcNRPSb`273L&8B}3@4;G}i2a@A zBBtl|U!mDs@j82}UsP;1VN75y@;bch^E&(d|BKh#NnW?te90!)?;9OIV|wU#5&kaD zFDlw~`_kilI6d3`qsQ8H_VC!iitLYoT;z3l*XMQi-ecnRb`rl&zhaZ?_l@eu?^*q5 zU*vUr^Gmw*Z;0#E{MQ=SuSG61a}jyA{-aNi-lR_w=`j~Q{|667??+f?{72GbE+Wpg zU$pwjv|{7465&}cdOoky7q5=bPX!TqwtZe-?)GH7{w(&oIbL@bk>`B7KK{k$ z;`MW}?-B93xrp5LyN|%NC-j@h`S7~Ch&<;POHL1A(?PL*k;}ncMDF_Rw;z9k|1Zh; zXuc%=<@|;=588CAAOBS?2Xih@IX&y+IXV90^M7Ko3ATAYKUb2u`EBC!PuPCe^j?wv zL!PvM*mX7jc74OqmxX30*_#HY9)H}Lmc`z55cj#*S2lc>eet^1a@;c2GX2EKPAzM* zUtiZ+4)0;LwBD@6>^XCrzI`U{rOKXJn@_p_QO%9^?*@DOO~bT@FyuZ6s9@gYal0Pv z^v4>;3HL7?Clx>AIB8Tt+Xb}>cJnG&(@19p%*A!6pm?Bft%BX13T&H13yro_?jyO4 zitAFrWL*2I*1{fM1#8;dvSsGtx>T@HeH`rRRj@Xn7i%#R!~OMf(9@~FKH0F0_DLsV z+1L1qw>A|_tdE0UUIlCOnY}g@w5*SVy}Sz6=BVR;RFK_k_kYs%PHnJnm^pFgiQGMW z`ctIbKdsGC{JPe&`|*wI!OkLE&)S@otZO~p+cd5RyN+x<+s%(>2cP9EYhCNP9|56oxS5or1&gn_3K*C-!E%i z4|X}(de-JD#k$t>LXXDvUi)CA)u#iURLzint!w=)NX$+rzGFMfe-EJg+YIkf_MpAK4vQR>C{9 zA}gxjsa@ZS#zpH7rUCP z>Fc%D`V47Ok+(mx=Kxp7$ zvL+RI`y+c+gO%_Z4v`hrpW#^FirV*RQjxbmvZqg237<+4SyBC|l=ZFX%_kdGG;gkV zhGzHESqbm6i>#=ApM8BR`t$KkD{{`!>KP9rF`twukTTzE)O)Bz^kL<}WR>CL3 zL{?OPB5ZvtYJORhioD|^dzOur@EJFe74g|OaXl*vD|1E1a~r`u6I-lW~UdHDYXm}iOr literal 0 HcmV?d00001 diff --git a/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.lef b/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.lef new file mode 100644 index 0000000..52d05f9 --- /dev/null +++ b/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.lef @@ -0,0 +1,2447 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO tt_um_alfiero88_CurrentTrigger + CLASS BLOCK ; + FOREIGN tt_um_alfiero88_CurrentTrigger ; + ORIGIN 0.000 0.000 ; + SIZE 161.000 BY 225.760 ; + PIN clk + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 154.870 224.760 155.170 225.760 ; + END + END clk + PIN ena + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 158.550 224.760 158.850 225.760 ; + END + END ena + PIN rst_n + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 151.190 224.760 151.490 225.760 ; + END + END rst_n + PIN ua[0] + DIRECTION INOUT ; + USE SIGNAL ; + ANTENNADIFFAREA 4.350000 ; + PORT + LAYER met4 ; + RECT 156.410 0.000 157.310 1.000 ; + END + END ua[0] + PIN ua[1] + DIRECTION INOUT ; + USE SIGNAL ; + ANTENNAGATEAREA 6.000000 ; + PORT + LAYER met4 ; + RECT 134.330 0.000 135.230 1.000 ; + END + END ua[1] + PIN ua[2] + DIRECTION INOUT ; + USE SIGNAL ; + ANTENNADIFFAREA 4.530000 ; + PORT + LAYER met4 ; + RECT 112.250 0.000 113.150 1.000 ; + END + END ua[2] + PIN ua[3] + DIRECTION INOUT ; + USE SIGNAL ; + ANTENNADIFFAREA 10.312400 ; + PORT + LAYER met4 ; + RECT 90.170 0.000 91.070 1.000 ; + END + END ua[3] + PIN ua[4] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 68.090 0.000 68.990 1.000 ; + END + END ua[4] + PIN ua[5] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 46.010 0.000 46.910 1.000 ; + END + END ua[5] + PIN ua[6] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 23.930 0.000 24.830 1.000 ; + END + END ua[6] + PIN ua[7] + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 1.850 0.000 2.750 1.000 ; + END + END ua[7] + PIN ui_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 147.510 224.760 147.810 225.760 ; + END + END ui_in[0] + PIN ui_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 143.830 224.760 144.130 225.760 ; + END + END ui_in[1] + PIN ui_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 140.150 224.760 140.450 225.760 ; + END + END ui_in[2] + PIN ui_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 136.470 224.760 136.770 225.760 ; + END + END ui_in[3] + PIN ui_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 132.790 224.760 133.090 225.760 ; + END + END ui_in[4] + PIN ui_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 129.110 224.760 129.410 225.760 ; + END + END ui_in[5] + PIN ui_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 125.430 224.760 125.730 225.760 ; + END + END ui_in[6] + PIN ui_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 121.750 224.760 122.050 225.760 ; + END + END ui_in[7] + PIN uio_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 118.070 224.760 118.370 225.760 ; + END + END uio_in[0] + PIN uio_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 114.390 224.760 114.690 225.760 ; + END + END uio_in[1] + PIN uio_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 110.710 224.760 111.010 225.760 ; + END + END uio_in[2] + PIN uio_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 107.030 224.760 107.330 225.760 ; + END + END uio_in[3] + PIN uio_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 103.350 224.760 103.650 225.760 ; + END + END uio_in[4] + PIN uio_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 99.670 224.760 99.970 225.760 ; + END + END uio_in[5] + PIN uio_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 95.990 224.760 96.290 225.760 ; + END + END uio_in[6] + PIN uio_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 92.310 224.760 92.610 225.760 ; + END + END uio_in[7] + PIN uio_oe[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 29.750 224.760 30.050 225.760 ; + END + END uio_oe[0] + PIN uio_oe[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 26.070 224.760 26.370 225.760 ; + END + END uio_oe[1] + PIN uio_oe[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 22.390 224.760 22.690 225.760 ; + END + END uio_oe[2] + PIN uio_oe[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 18.710 224.760 19.010 225.760 ; + END + END uio_oe[3] + PIN uio_oe[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 15.030 224.760 15.330 225.760 ; + END + END uio_oe[4] + PIN uio_oe[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 11.350 224.760 11.650 225.760 ; + END + END uio_oe[5] + PIN uio_oe[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 7.670 224.760 7.970 225.760 ; + END + END uio_oe[6] + PIN uio_oe[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 3.990 224.760 4.290 225.760 ; + END + END uio_oe[7] + PIN uio_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 59.190 224.760 59.490 225.760 ; + END + END uio_out[0] + PIN uio_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 55.510 224.760 55.810 225.760 ; + END + END uio_out[1] + PIN uio_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 51.830 224.760 52.130 225.760 ; + END + END uio_out[2] + PIN uio_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 48.150 224.760 48.450 225.760 ; + END + END uio_out[3] + PIN uio_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 44.470 224.760 44.770 225.760 ; + END + END uio_out[4] + PIN uio_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 40.790 224.760 41.090 225.760 ; + END + END uio_out[5] + PIN uio_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 37.110 224.760 37.410 225.760 ; + END + END uio_out[6] + PIN uio_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 33.430 224.760 33.730 225.760 ; + END + END uio_out[7] + PIN uo_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 88.630 224.760 88.930 225.760 ; + END + END uo_out[0] + PIN uo_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 84.950 224.760 85.250 225.760 ; + END + END uo_out[1] + PIN uo_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 81.270 224.760 81.570 225.760 ; + END + END uo_out[2] + PIN uo_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 77.590 224.760 77.890 225.760 ; + END + END uo_out[3] + PIN uo_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 73.910 224.760 74.210 225.760 ; + END + END uo_out[4] + PIN uo_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 70.230 224.760 70.530 225.760 ; + END + END uo_out[5] + PIN uo_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 66.550 224.760 66.850 225.760 ; + END + END uo_out[6] + PIN uo_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 41.144600 ; + PORT + LAYER met4 ; + RECT 62.870 224.760 63.170 225.760 ; + END + END uo_out[7] + PIN VPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 1.000 5.000 2.500 220.760 ; + END + END VPWR + PIN VGND + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER met4 ; + RECT 49.000 5.000 50.500 220.760 ; + END + END VGND + OBS + LAYER pwell ; + RECT 110.310 119.660 115.410 124.620 ; + RECT 119.970 119.590 125.070 124.620 ; + LAYER nwell ; + RECT 129.100 118.470 134.290 124.620 ; + RECT 137.490 119.550 142.680 124.510 ; + LAYER pwell ; + RECT 110.890 97.910 116.990 109.450 ; + RECT 110.950 82.310 117.050 93.850 ; + LAYER nwell ; + RECT 121.720 86.310 125.410 105.800 ; + RECT 129.550 86.310 133.240 105.800 ; + LAYER pwell ; + RECT 113.940 73.160 117.040 78.700 ; + LAYER nwell ; + RECT 127.080 73.060 131.410 79.210 ; + RECT 137.390 71.150 141.580 102.430 ; + LAYER pwell ; + RECT 113.970 59.190 117.070 64.730 ; + RECT 128.210 57.640 131.310 66.180 ; + LAYER nwell ; + RECT 137.040 61.760 140.900 65.350 ; + LAYER pwell ; + RECT 119.740 49.200 122.840 51.310 ; + LAYER nwell ; + RECT 125.070 49.050 129.260 51.360 ; + LAYER pwell ; + RECT 119.740 44.000 123.340 46.630 ; + LAYER nwell ; + RECT 125.070 43.630 129.260 47.220 ; + LAYER pwell ; + RECT 118.300 36.690 123.400 39.800 ; + LAYER nwell ; + RECT 125.070 35.490 130.260 41.000 ; + RECT 136.840 35.380 141.030 56.790 ; + LAYER li1 ; + RECT 120.600 124.440 124.450 124.490 ; + RECT 129.740 124.440 133.640 124.470 ; + RECT 110.490 124.270 115.230 124.440 ; + RECT 110.490 123.990 110.660 124.270 ; + RECT 110.450 120.300 110.660 123.990 ; + RECT 111.340 123.700 114.380 123.870 ; + RECT 111.000 120.640 111.170 123.640 ; + RECT 114.550 120.640 114.720 123.640 ; + RECT 111.340 120.410 114.380 120.580 ; + RECT 110.490 120.010 110.660 120.300 ; + RECT 115.060 120.010 115.230 124.270 ; + RECT 110.490 119.840 115.230 120.010 ; + RECT 120.150 124.270 124.890 124.440 ; + RECT 120.150 119.940 120.320 124.270 ; + RECT 120.660 123.380 120.830 123.710 ; + RECT 121.000 123.700 124.040 123.870 ; + RECT 121.000 123.220 124.040 123.390 ; + RECT 120.660 122.420 120.830 122.750 ; + RECT 121.000 122.740 124.040 122.910 ; + RECT 124.210 122.900 124.380 123.230 ; + RECT 121.000 122.260 124.040 122.430 ; + RECT 120.660 121.460 120.830 121.790 ; + RECT 121.000 121.780 124.040 121.950 ; + RECT 124.210 121.940 124.380 122.270 ; + RECT 121.000 121.300 124.040 121.470 ; + RECT 120.660 120.500 120.830 120.830 ; + RECT 121.000 120.820 124.040 120.990 ; + RECT 124.210 120.980 124.380 121.310 ; + RECT 121.000 120.340 124.040 120.510 ; + RECT 124.720 119.940 124.890 124.270 ; + RECT 120.150 119.770 124.890 119.940 ; + RECT 129.280 124.270 134.110 124.440 ; + RECT 129.280 118.820 129.450 124.270 ; + RECT 130.175 123.700 133.215 123.870 ; + RECT 129.790 123.290 129.960 123.640 ; + RECT 133.430 123.290 133.600 123.640 ; + RECT 130.175 123.060 133.215 123.230 ; + RECT 129.790 122.650 129.960 123.000 ; + RECT 133.430 122.650 133.600 123.000 ; + RECT 130.175 122.420 133.215 122.590 ; + RECT 129.790 122.010 129.960 122.360 ; + RECT 133.430 122.010 133.600 122.360 ; + RECT 130.175 121.780 133.215 121.950 ; + RECT 129.790 121.370 129.960 121.720 ; + RECT 133.430 121.370 133.600 121.720 ; + RECT 130.175 121.140 133.215 121.310 ; + RECT 129.790 120.730 129.960 121.080 ; + RECT 133.430 120.730 133.600 121.080 ; + RECT 130.175 120.500 133.215 120.670 ; + RECT 129.790 120.090 129.960 120.440 ; + RECT 133.430 120.090 133.600 120.440 ; + RECT 130.175 119.860 133.215 120.030 ; + RECT 129.790 119.450 129.960 119.800 ; + RECT 133.430 119.450 133.600 119.800 ; + RECT 130.175 119.220 133.215 119.390 ; + RECT 133.940 118.820 134.110 124.270 ; + RECT 137.670 124.160 142.500 124.330 ; + RECT 137.670 119.900 137.840 124.160 ; + RECT 142.330 123.870 142.500 124.160 ; + RECT 138.565 123.590 141.605 123.760 ; + RECT 138.180 120.530 138.350 123.530 ; + RECT 141.820 120.530 141.990 123.530 ; + RECT 138.565 120.300 141.605 120.470 ; + RECT 142.330 120.190 142.530 123.870 ; + RECT 142.330 119.900 142.500 120.190 ; + RECT 137.670 119.730 142.500 119.900 ; + RECT 129.280 118.650 134.110 118.820 ; + RECT 111.070 109.100 116.810 109.270 ; + RECT 111.070 108.820 111.240 109.100 ; + RECT 111.000 98.540 111.240 108.820 ; + RECT 111.920 108.530 115.960 108.700 ; + RECT 111.580 105.470 111.750 108.470 ; + RECT 116.130 105.470 116.300 108.470 ; + RECT 111.920 105.240 115.960 105.410 ; + RECT 111.580 102.180 111.750 105.180 ; + RECT 116.130 102.180 116.300 105.180 ; + RECT 111.920 101.950 115.960 102.120 ; + RECT 111.580 98.890 111.750 101.890 ; + RECT 116.130 98.890 116.300 101.890 ; + RECT 111.920 98.660 115.960 98.830 ; + RECT 111.070 98.260 111.240 98.540 ; + RECT 116.640 98.260 116.810 109.100 ; + RECT 111.070 98.090 116.810 98.260 ; + RECT 121.900 105.450 125.230 105.620 ; + RECT 111.130 93.500 116.870 93.670 ; + RECT 111.130 93.210 111.300 93.500 ; + RECT 111.060 82.950 111.300 93.210 ; + RECT 111.980 92.930 116.020 93.100 ; + RECT 111.640 89.870 111.810 92.870 ; + RECT 116.190 89.870 116.360 92.870 ; + RECT 111.980 89.640 116.020 89.810 ; + RECT 111.640 86.580 111.810 89.580 ; + RECT 116.190 86.580 116.360 89.580 ; + RECT 111.980 86.350 116.020 86.520 ; + RECT 111.640 83.290 111.810 86.290 ; + RECT 116.190 83.290 116.360 86.290 ; + RECT 111.980 83.060 116.020 83.230 ; + RECT 111.130 82.660 111.300 82.950 ; + RECT 116.700 82.660 116.870 93.500 ; + RECT 121.900 86.660 122.070 105.450 ; + RECT 125.060 105.190 125.230 105.450 ; + RECT 129.730 105.450 133.060 105.620 ; + RECT 122.795 104.880 124.335 105.050 ; + RECT 122.410 104.120 122.580 104.820 ; + RECT 124.550 104.120 124.720 104.820 ; + RECT 122.795 103.890 124.335 104.060 ; + RECT 122.410 103.130 122.580 103.830 ; + RECT 124.550 103.130 124.720 103.830 ; + RECT 122.795 102.900 124.335 103.070 ; + RECT 122.410 102.140 122.580 102.840 ; + RECT 124.550 102.140 124.720 102.840 ; + RECT 122.795 101.910 124.335 102.080 ; + RECT 122.410 101.150 122.580 101.850 ; + RECT 124.550 101.150 124.720 101.850 ; + RECT 122.795 100.920 124.335 101.090 ; + RECT 122.410 100.160 122.580 100.860 ; + RECT 124.550 100.160 124.720 100.860 ; + RECT 122.795 99.930 124.335 100.100 ; + RECT 122.410 99.170 122.580 99.870 ; + RECT 124.550 99.170 124.720 99.870 ; + RECT 122.795 98.940 124.335 99.110 ; + RECT 122.410 98.180 122.580 98.880 ; + RECT 124.550 98.180 124.720 98.880 ; + RECT 122.795 97.950 124.335 98.120 ; + RECT 122.410 97.190 122.580 97.890 ; + RECT 124.550 97.190 124.720 97.890 ; + RECT 122.795 96.960 124.335 97.130 ; + RECT 122.410 96.200 122.580 96.900 ; + RECT 124.550 96.200 124.720 96.900 ; + RECT 122.795 95.970 124.335 96.140 ; + RECT 122.410 95.210 122.580 95.910 ; + RECT 124.550 95.210 124.720 95.910 ; + RECT 122.795 94.980 124.335 95.150 ; + RECT 122.410 94.220 122.580 94.920 ; + RECT 124.550 94.220 124.720 94.920 ; + RECT 122.795 93.990 124.335 94.160 ; + RECT 122.410 93.230 122.580 93.930 ; + RECT 124.550 93.230 124.720 93.930 ; + RECT 122.795 93.000 124.335 93.170 ; + RECT 122.410 92.240 122.580 92.940 ; + RECT 124.550 92.240 124.720 92.940 ; + RECT 122.795 92.010 124.335 92.180 ; + RECT 122.410 91.250 122.580 91.950 ; + RECT 124.550 91.250 124.720 91.950 ; + RECT 122.795 91.020 124.335 91.190 ; + RECT 122.410 90.260 122.580 90.960 ; + RECT 124.550 90.260 124.720 90.960 ; + RECT 122.795 90.030 124.335 90.200 ; + RECT 122.410 89.270 122.580 89.970 ; + RECT 124.550 89.270 124.720 89.970 ; + RECT 122.795 89.040 124.335 89.210 ; + RECT 122.410 88.280 122.580 88.980 ; + RECT 124.550 88.280 124.720 88.980 ; + RECT 122.795 88.050 124.335 88.220 ; + RECT 122.410 87.290 122.580 87.990 ; + RECT 124.550 87.290 124.720 87.990 ; + RECT 122.795 87.060 124.335 87.230 ; + RECT 125.060 86.920 125.470 105.190 ; + RECT 125.060 86.660 125.230 86.920 ; + RECT 121.900 86.490 125.230 86.660 ; + RECT 129.730 86.660 129.900 105.450 ; + RECT 132.890 105.050 133.060 105.450 ; + RECT 130.625 104.880 132.165 105.050 ; + RECT 130.240 104.120 130.410 104.820 ; + RECT 132.380 104.120 132.550 104.820 ; + RECT 130.625 103.890 132.165 104.060 ; + RECT 130.240 103.130 130.410 103.830 ; + RECT 132.380 103.130 132.550 103.830 ; + RECT 130.625 102.900 132.165 103.070 ; + RECT 130.240 102.140 130.410 102.840 ; + RECT 132.380 102.140 132.550 102.840 ; + RECT 130.625 101.910 132.165 102.080 ; + RECT 130.240 101.150 130.410 101.850 ; + RECT 132.380 101.150 132.550 101.850 ; + RECT 130.625 100.920 132.165 101.090 ; + RECT 130.240 100.160 130.410 100.860 ; + RECT 132.380 100.160 132.550 100.860 ; + RECT 130.625 99.930 132.165 100.100 ; + RECT 130.240 99.170 130.410 99.870 ; + RECT 132.380 99.170 132.550 99.870 ; + RECT 130.625 98.940 132.165 99.110 ; + RECT 130.240 98.180 130.410 98.880 ; + RECT 132.380 98.180 132.550 98.880 ; + RECT 130.625 97.950 132.165 98.120 ; + RECT 130.240 97.190 130.410 97.890 ; + RECT 132.380 97.190 132.550 97.890 ; + RECT 130.625 96.960 132.165 97.130 ; + RECT 130.240 96.200 130.410 96.900 ; + RECT 132.380 96.200 132.550 96.900 ; + RECT 130.625 95.970 132.165 96.140 ; + RECT 130.240 95.210 130.410 95.910 ; + RECT 132.380 95.210 132.550 95.910 ; + RECT 130.625 94.980 132.165 95.150 ; + RECT 130.240 94.220 130.410 94.920 ; + RECT 132.380 94.220 132.550 94.920 ; + RECT 130.625 93.990 132.165 94.160 ; + RECT 130.240 93.230 130.410 93.930 ; + RECT 132.380 93.230 132.550 93.930 ; + RECT 130.625 93.000 132.165 93.170 ; + RECT 130.240 92.240 130.410 92.940 ; + RECT 132.380 92.240 132.550 92.940 ; + RECT 130.625 92.010 132.165 92.180 ; + RECT 130.240 91.250 130.410 91.950 ; + RECT 132.380 91.250 132.550 91.950 ; + RECT 130.625 91.020 132.165 91.190 ; + RECT 130.240 90.260 130.410 90.960 ; + RECT 132.380 90.260 132.550 90.960 ; + RECT 130.625 90.030 132.165 90.200 ; + RECT 130.240 89.270 130.410 89.970 ; + RECT 132.380 89.270 132.550 89.970 ; + RECT 130.625 89.040 132.165 89.210 ; + RECT 130.240 88.280 130.410 88.980 ; + RECT 132.380 88.280 132.550 88.980 ; + RECT 130.625 88.050 132.165 88.220 ; + RECT 130.240 87.290 130.410 87.990 ; + RECT 132.380 87.290 132.550 87.990 ; + RECT 130.625 87.060 132.165 87.230 ; + RECT 132.880 86.950 133.180 105.050 ; + RECT 137.570 102.080 141.400 102.250 ; + RECT 132.890 86.660 133.060 86.950 ; + RECT 129.730 86.490 133.060 86.660 ; + RECT 111.130 82.490 116.870 82.660 ; + RECT 127.260 78.860 131.230 79.030 ; + RECT 114.120 78.350 116.860 78.520 ; + RECT 114.120 78.080 114.290 78.350 ; + RECT 114.060 73.780 114.290 78.080 ; + RECT 114.970 77.780 116.010 77.950 ; + RECT 114.630 76.720 114.800 77.720 ; + RECT 116.180 76.720 116.350 77.720 ; + RECT 114.970 76.490 116.010 76.660 ; + RECT 114.630 75.430 114.800 76.430 ; + RECT 116.180 75.430 116.350 76.430 ; + RECT 114.970 75.200 116.010 75.370 ; + RECT 114.630 74.140 114.800 75.140 ; + RECT 116.180 74.140 116.350 75.140 ; + RECT 114.970 73.910 116.010 74.080 ; + RECT 114.120 73.510 114.290 73.780 ; + RECT 116.690 73.510 116.860 78.350 ; + RECT 114.120 73.340 116.860 73.510 ; + RECT 127.260 73.410 127.430 78.860 ; + RECT 131.060 78.610 131.230 78.860 ; + RECT 128.155 78.290 130.335 78.460 ; + RECT 127.770 77.880 127.940 78.230 ; + RECT 130.550 77.880 130.720 78.230 ; + RECT 128.155 77.650 130.335 77.820 ; + RECT 127.770 77.240 127.940 77.590 ; + RECT 130.550 77.240 130.720 77.590 ; + RECT 128.155 77.010 130.335 77.180 ; + RECT 127.770 76.600 127.940 76.950 ; + RECT 130.550 76.600 130.720 76.950 ; + RECT 128.155 76.370 130.335 76.540 ; + RECT 127.770 75.960 127.940 76.310 ; + RECT 130.550 75.960 130.720 76.310 ; + RECT 128.155 75.730 130.335 75.900 ; + RECT 127.770 75.320 127.940 75.670 ; + RECT 130.550 75.320 130.720 75.670 ; + RECT 128.155 75.090 130.335 75.260 ; + RECT 127.770 74.680 127.940 75.030 ; + RECT 130.550 74.680 130.720 75.030 ; + RECT 128.155 74.450 130.335 74.620 ; + RECT 127.770 74.040 127.940 74.390 ; + RECT 130.550 74.040 130.720 74.390 ; + RECT 128.155 73.810 130.335 73.980 ; + RECT 131.060 73.660 131.320 78.610 ; + RECT 131.060 73.410 131.230 73.660 ; + RECT 127.260 73.240 131.230 73.410 ; + RECT 137.570 71.500 137.740 102.080 ; + RECT 141.230 101.800 141.400 102.080 ; + RECT 138.465 101.510 140.505 101.680 ; + RECT 138.080 98.450 138.250 101.450 ; + RECT 140.720 98.450 140.890 101.450 ; + RECT 138.465 98.220 140.505 98.390 ; + RECT 138.080 95.160 138.250 98.160 ; + RECT 140.720 95.160 140.890 98.160 ; + RECT 138.465 94.930 140.505 95.100 ; + RECT 138.080 91.870 138.250 94.870 ; + RECT 140.720 91.870 140.890 94.870 ; + RECT 138.465 91.640 140.505 91.810 ; + RECT 138.080 88.580 138.250 91.580 ; + RECT 140.720 88.580 140.890 91.580 ; + RECT 138.465 88.350 140.505 88.520 ; + RECT 138.080 85.290 138.250 88.290 ; + RECT 140.720 85.290 140.890 88.290 ; + RECT 138.465 85.060 140.505 85.230 ; + RECT 138.080 82.000 138.250 85.000 ; + RECT 140.720 82.000 140.890 85.000 ; + RECT 138.465 81.770 140.505 81.940 ; + RECT 138.080 78.710 138.250 81.710 ; + RECT 140.720 78.710 140.890 81.710 ; + RECT 138.465 78.480 140.505 78.650 ; + RECT 138.080 75.420 138.250 78.420 ; + RECT 140.720 75.420 140.890 78.420 ; + RECT 138.465 75.190 140.505 75.360 ; + RECT 138.080 72.130 138.250 75.130 ; + RECT 140.720 72.130 140.890 75.130 ; + RECT 138.465 71.900 140.505 72.070 ; + RECT 141.230 71.760 141.470 101.800 ; + RECT 141.230 71.500 141.400 71.760 ; + RECT 137.570 71.330 141.400 71.500 ; + RECT 128.390 65.830 131.130 66.000 ; + RECT 128.390 65.580 128.560 65.830 ; + RECT 114.150 64.380 116.890 64.550 ; + RECT 114.150 64.130 114.320 64.380 ; + RECT 114.000 59.790 114.320 64.130 ; + RECT 115.000 63.810 116.040 63.980 ; + RECT 114.660 62.750 114.830 63.750 ; + RECT 116.210 62.750 116.380 63.750 ; + RECT 115.000 62.520 116.040 62.690 ; + RECT 114.660 61.460 114.830 62.460 ; + RECT 116.210 61.460 116.380 62.460 ; + RECT 115.000 61.230 116.040 61.400 ; + RECT 114.660 60.170 114.830 61.170 ; + RECT 116.210 60.170 116.380 61.170 ; + RECT 115.000 59.940 116.040 60.110 ; + RECT 114.150 59.540 114.320 59.790 ; + RECT 116.720 59.540 116.890 64.380 ; + RECT 114.150 59.370 116.890 59.540 ; + RECT 128.320 58.220 128.560 65.580 ; + RECT 129.240 65.260 130.280 65.430 ; + RECT 128.900 63.200 129.070 65.200 ; + RECT 130.450 63.200 130.620 65.200 ; + RECT 129.240 62.970 130.280 63.140 ; + RECT 128.900 60.910 129.070 62.910 ; + RECT 130.450 60.910 130.620 62.910 ; + RECT 129.240 60.680 130.280 60.850 ; + RECT 128.900 58.620 129.070 60.620 ; + RECT 130.450 58.620 130.620 60.620 ; + RECT 129.240 58.390 130.280 58.560 ; + RECT 128.390 57.990 128.560 58.220 ; + RECT 130.960 57.990 131.130 65.830 ; + RECT 137.220 65.000 140.720 65.170 ; + RECT 137.220 62.110 137.390 65.000 ; + RECT 140.550 64.740 140.720 65.000 ; + RECT 138.115 64.430 139.825 64.600 ; + RECT 137.730 64.020 137.900 64.370 ; + RECT 140.040 64.020 140.210 64.370 ; + RECT 138.115 63.790 139.825 63.960 ; + RECT 137.730 63.380 137.900 63.730 ; + RECT 140.040 63.380 140.210 63.730 ; + RECT 138.115 63.150 139.825 63.320 ; + RECT 137.730 62.740 137.900 63.090 ; + RECT 140.040 62.740 140.210 63.090 ; + RECT 138.115 62.510 139.825 62.680 ; + RECT 140.550 62.370 140.800 64.740 ; + RECT 140.550 62.110 140.720 62.370 ; + RECT 137.220 61.940 140.720 62.110 ; + RECT 128.390 57.820 131.130 57.990 ; + RECT 137.020 56.440 140.850 56.610 ; + RECT 119.920 50.960 122.660 51.130 ; + RECT 119.920 50.730 120.090 50.960 ; + RECT 119.690 49.780 120.090 50.730 ; + RECT 120.430 50.090 120.600 50.420 ; + RECT 120.770 50.390 121.810 50.560 ; + RECT 120.770 49.950 121.810 50.120 ; + RECT 121.980 50.090 122.150 50.420 ; + RECT 119.920 49.550 120.090 49.780 ; + RECT 122.490 49.550 122.660 50.960 ; + RECT 119.920 49.380 122.660 49.550 ; + RECT 125.250 51.010 129.080 51.180 ; + RECT 125.250 49.400 125.420 51.010 ; + RECT 128.910 50.770 129.080 51.010 ; + RECT 126.145 50.440 128.185 50.610 ; + RECT 125.760 50.030 125.930 50.380 ; + RECT 128.400 50.030 128.570 50.380 ; + RECT 126.145 49.800 128.185 49.970 ; + RECT 128.910 49.660 129.180 50.770 ; + RECT 128.910 49.400 129.080 49.660 ; + RECT 125.250 49.230 129.080 49.400 ; + RECT 125.250 46.870 129.080 47.040 ; + RECT 119.920 46.280 123.160 46.450 ; + RECT 119.920 46.040 120.090 46.280 ; + RECT 119.670 44.590 120.090 46.040 ; + RECT 120.430 45.390 120.600 45.720 ; + RECT 120.770 45.710 122.310 45.880 ; + RECT 120.770 45.230 122.310 45.400 ; + RECT 120.770 44.750 122.310 44.920 ; + RECT 122.480 44.910 122.650 45.240 ; + RECT 119.920 44.350 120.090 44.590 ; + RECT 122.990 44.350 123.160 46.280 ; + RECT 119.920 44.180 123.160 44.350 ; + RECT 125.250 43.980 125.420 46.870 ; + RECT 128.910 46.620 129.080 46.870 ; + RECT 126.145 46.300 128.185 46.470 ; + RECT 125.760 45.890 125.930 46.240 ; + RECT 128.400 45.890 128.570 46.240 ; + RECT 126.145 45.660 128.185 45.830 ; + RECT 125.760 45.250 125.930 45.600 ; + RECT 128.400 45.250 128.570 45.600 ; + RECT 126.145 45.020 128.185 45.190 ; + RECT 125.760 44.610 125.930 44.960 ; + RECT 128.400 44.610 128.570 44.960 ; + RECT 126.145 44.380 128.185 44.550 ; + RECT 128.910 44.220 129.150 46.620 ; + RECT 128.910 43.980 129.080 44.220 ; + RECT 125.250 43.810 129.080 43.980 ; + RECT 125.250 40.650 130.080 40.820 ; + RECT 118.480 39.450 123.220 39.620 ; + RECT 118.480 39.190 118.650 39.450 ; + RECT 118.160 37.290 118.650 39.190 ; + RECT 118.990 38.560 119.160 38.890 ; + RECT 119.330 38.880 122.370 39.050 ; + RECT 119.330 38.400 122.370 38.570 ; + RECT 118.990 37.600 119.160 37.930 ; + RECT 119.330 37.920 122.370 38.090 ; + RECT 122.540 38.080 122.710 38.410 ; + RECT 119.330 37.440 122.370 37.610 ; + RECT 118.480 37.040 118.650 37.290 ; + RECT 123.050 37.040 123.220 39.450 ; + RECT 118.480 36.870 123.220 37.040 ; + RECT 125.250 35.840 125.420 40.650 ; + RECT 129.910 40.390 130.080 40.650 ; + RECT 126.145 40.080 129.185 40.250 ; + RECT 125.760 39.670 125.930 40.020 ; + RECT 129.400 39.670 129.570 40.020 ; + RECT 126.145 39.440 129.185 39.610 ; + RECT 125.760 39.030 125.930 39.380 ; + RECT 129.400 39.030 129.570 39.380 ; + RECT 126.145 38.800 129.185 38.970 ; + RECT 125.760 38.390 125.930 38.740 ; + RECT 129.400 38.390 129.570 38.740 ; + RECT 126.145 38.160 129.185 38.330 ; + RECT 125.760 37.750 125.930 38.100 ; + RECT 129.400 37.750 129.570 38.100 ; + RECT 126.145 37.520 129.185 37.690 ; + RECT 125.760 37.110 125.930 37.460 ; + RECT 129.400 37.110 129.570 37.460 ; + RECT 126.145 36.880 129.185 37.050 ; + RECT 125.760 36.470 125.930 36.820 ; + RECT 129.400 36.470 129.570 36.820 ; + RECT 126.145 36.240 129.185 36.410 ; + RECT 129.910 36.110 130.140 40.390 ; + RECT 129.910 35.840 130.080 36.110 ; + RECT 125.250 35.670 130.080 35.840 ; + RECT 137.020 35.730 137.190 56.440 ; + RECT 140.680 56.170 140.850 56.440 ; + RECT 137.915 55.870 139.955 56.040 ; + RECT 137.530 52.810 137.700 55.810 ; + RECT 140.170 52.810 140.340 55.810 ; + RECT 137.915 52.580 139.955 52.750 ; + RECT 137.530 49.520 137.700 52.520 ; + RECT 140.170 49.520 140.340 52.520 ; + RECT 137.915 49.290 139.955 49.460 ; + RECT 137.530 46.230 137.700 49.230 ; + RECT 140.170 46.230 140.340 49.230 ; + RECT 137.915 46.000 139.955 46.170 ; + RECT 137.530 42.940 137.700 45.940 ; + RECT 140.170 42.940 140.340 45.940 ; + RECT 137.915 42.710 139.955 42.880 ; + RECT 137.530 39.650 137.700 42.650 ; + RECT 140.170 39.650 140.340 42.650 ; + RECT 137.915 39.420 139.955 39.590 ; + RECT 137.530 36.360 137.700 39.360 ; + RECT 140.170 36.360 140.340 39.360 ; + RECT 137.915 36.130 139.955 36.300 ; + RECT 140.680 35.990 140.930 56.170 ; + RECT 140.680 35.730 140.850 35.990 ; + RECT 137.020 35.560 140.850 35.730 ; + LAYER mcon ; + RECT 111.420 123.700 114.300 123.870 ; + RECT 111.000 120.720 111.170 123.560 ; + RECT 114.550 120.720 114.720 123.560 ; + RECT 111.420 120.410 114.300 120.580 ; + RECT 120.600 124.270 124.450 124.490 ; + RECT 121.080 123.700 123.960 123.870 ; + RECT 120.660 123.460 120.830 123.630 ; + RECT 121.080 123.220 123.960 123.390 ; + RECT 124.210 122.980 124.380 123.150 ; + RECT 121.080 122.740 123.960 122.910 ; + RECT 120.660 122.500 120.830 122.670 ; + RECT 121.080 122.260 123.960 122.430 ; + RECT 124.210 122.020 124.380 122.190 ; + RECT 121.080 121.780 123.960 121.950 ; + RECT 120.660 121.540 120.830 121.710 ; + RECT 121.080 121.300 123.960 121.470 ; + RECT 124.210 121.060 124.380 121.230 ; + RECT 121.080 120.820 123.960 120.990 ; + RECT 120.660 120.580 120.830 120.750 ; + RECT 121.080 120.340 123.960 120.510 ; + RECT 129.740 124.270 133.640 124.470 ; + RECT 130.255 123.700 133.135 123.870 ; + RECT 129.790 123.370 129.960 123.560 ; + RECT 133.430 123.370 133.600 123.560 ; + RECT 130.255 123.060 133.135 123.230 ; + RECT 129.790 122.730 129.960 122.920 ; + RECT 133.430 122.730 133.600 122.920 ; + RECT 130.255 122.420 133.135 122.590 ; + RECT 129.790 122.090 129.960 122.280 ; + RECT 133.430 122.090 133.600 122.280 ; + RECT 130.255 121.780 133.135 121.950 ; + RECT 129.790 121.450 129.960 121.640 ; + RECT 133.430 121.450 133.600 121.640 ; + RECT 130.255 121.140 133.135 121.310 ; + RECT 129.790 120.810 129.960 121.000 ; + RECT 133.430 120.810 133.600 121.000 ; + RECT 130.255 120.500 133.135 120.670 ; + RECT 129.790 120.170 129.960 120.360 ; + RECT 133.430 120.170 133.600 120.360 ; + RECT 130.255 119.860 133.135 120.030 ; + RECT 129.790 119.530 129.960 119.720 ; + RECT 133.430 119.530 133.600 119.720 ; + RECT 130.255 119.220 133.135 119.390 ; + RECT 138.645 123.590 141.525 123.760 ; + RECT 138.180 120.610 138.350 123.450 ; + RECT 141.820 120.610 141.990 123.450 ; + RECT 138.645 120.300 141.525 120.470 ; + RECT 112.000 108.530 115.880 108.700 ; + RECT 111.580 105.550 111.750 108.390 ; + RECT 116.130 105.550 116.300 108.390 ; + RECT 112.000 105.240 115.880 105.410 ; + RECT 111.580 102.260 111.750 105.100 ; + RECT 116.130 102.260 116.300 105.100 ; + RECT 112.000 101.950 115.880 102.120 ; + RECT 111.580 98.970 111.750 101.810 ; + RECT 116.130 98.970 116.300 101.810 ; + RECT 112.000 98.660 115.880 98.830 ; + RECT 112.060 92.930 115.940 93.100 ; + RECT 111.640 89.950 111.810 92.790 ; + RECT 116.190 89.950 116.360 92.790 ; + RECT 112.060 89.640 115.940 89.810 ; + RECT 111.640 86.660 111.810 89.500 ; + RECT 116.190 86.660 116.360 89.500 ; + RECT 112.060 86.350 115.940 86.520 ; + RECT 111.640 83.370 111.810 86.210 ; + RECT 116.190 83.370 116.360 86.210 ; + RECT 112.060 83.060 115.940 83.230 ; + RECT 122.875 104.880 124.255 105.050 ; + RECT 122.410 104.200 122.580 104.740 ; + RECT 124.550 104.200 124.720 104.740 ; + RECT 122.875 103.890 124.255 104.060 ; + RECT 122.410 103.210 122.580 103.750 ; + RECT 124.550 103.210 124.720 103.750 ; + RECT 122.875 102.900 124.255 103.070 ; + RECT 122.410 102.220 122.580 102.760 ; + RECT 124.550 102.220 124.720 102.760 ; + RECT 122.875 101.910 124.255 102.080 ; + RECT 122.410 101.230 122.580 101.770 ; + RECT 124.550 101.230 124.720 101.770 ; + RECT 122.875 100.920 124.255 101.090 ; + RECT 122.410 100.240 122.580 100.780 ; + RECT 124.550 100.240 124.720 100.780 ; + RECT 122.875 99.930 124.255 100.100 ; + RECT 122.410 99.250 122.580 99.790 ; + RECT 124.550 99.250 124.720 99.790 ; + RECT 122.875 98.940 124.255 99.110 ; + RECT 122.410 98.260 122.580 98.800 ; + RECT 124.550 98.260 124.720 98.800 ; + RECT 122.875 97.950 124.255 98.120 ; + RECT 122.410 97.270 122.580 97.810 ; + RECT 124.550 97.270 124.720 97.810 ; + RECT 122.875 96.960 124.255 97.130 ; + RECT 122.410 96.280 122.580 96.820 ; + RECT 124.550 96.280 124.720 96.820 ; + RECT 122.875 95.970 124.255 96.140 ; + RECT 122.410 95.290 122.580 95.830 ; + RECT 124.550 95.290 124.720 95.830 ; + RECT 122.875 94.980 124.255 95.150 ; + RECT 122.410 94.300 122.580 94.840 ; + RECT 124.550 94.300 124.720 94.840 ; + RECT 122.875 93.990 124.255 94.160 ; + RECT 122.410 93.310 122.580 93.850 ; + RECT 124.550 93.310 124.720 93.850 ; + RECT 122.875 93.000 124.255 93.170 ; + RECT 122.410 92.320 122.580 92.860 ; + RECT 124.550 92.320 124.720 92.860 ; + RECT 122.875 92.010 124.255 92.180 ; + RECT 122.410 91.330 122.580 91.870 ; + RECT 124.550 91.330 124.720 91.870 ; + RECT 122.875 91.020 124.255 91.190 ; + RECT 122.410 90.340 122.580 90.880 ; + RECT 124.550 90.340 124.720 90.880 ; + RECT 122.875 90.030 124.255 90.200 ; + RECT 122.410 89.350 122.580 89.890 ; + RECT 124.550 89.350 124.720 89.890 ; + RECT 122.875 89.040 124.255 89.210 ; + RECT 122.410 88.360 122.580 88.900 ; + RECT 124.550 88.360 124.720 88.900 ; + RECT 122.875 88.050 124.255 88.220 ; + RECT 122.410 87.370 122.580 87.910 ; + RECT 124.550 87.370 124.720 87.910 ; + RECT 122.875 87.060 124.255 87.230 ; + RECT 130.705 104.880 132.085 105.050 ; + RECT 130.240 104.200 130.410 104.740 ; + RECT 132.380 104.200 132.550 104.740 ; + RECT 130.705 103.890 132.085 104.060 ; + RECT 130.240 103.210 130.410 103.750 ; + RECT 132.380 103.210 132.550 103.750 ; + RECT 130.705 102.900 132.085 103.070 ; + RECT 130.240 102.220 130.410 102.760 ; + RECT 132.380 102.220 132.550 102.760 ; + RECT 130.705 101.910 132.085 102.080 ; + RECT 130.240 101.230 130.410 101.770 ; + RECT 132.380 101.230 132.550 101.770 ; + RECT 130.705 100.920 132.085 101.090 ; + RECT 130.240 100.240 130.410 100.780 ; + RECT 132.380 100.240 132.550 100.780 ; + RECT 130.705 99.930 132.085 100.100 ; + RECT 130.240 99.250 130.410 99.790 ; + RECT 132.380 99.250 132.550 99.790 ; + RECT 130.705 98.940 132.085 99.110 ; + RECT 130.240 98.260 130.410 98.800 ; + RECT 132.380 98.260 132.550 98.800 ; + RECT 130.705 97.950 132.085 98.120 ; + RECT 130.240 97.270 130.410 97.810 ; + RECT 132.380 97.270 132.550 97.810 ; + RECT 130.705 96.960 132.085 97.130 ; + RECT 130.240 96.280 130.410 96.820 ; + RECT 132.380 96.280 132.550 96.820 ; + RECT 130.705 95.970 132.085 96.140 ; + RECT 130.240 95.290 130.410 95.830 ; + RECT 132.380 95.290 132.550 95.830 ; + RECT 130.705 94.980 132.085 95.150 ; + RECT 130.240 94.300 130.410 94.840 ; + RECT 132.380 94.300 132.550 94.840 ; + RECT 130.705 93.990 132.085 94.160 ; + RECT 130.240 93.310 130.410 93.850 ; + RECT 132.380 93.310 132.550 93.850 ; + RECT 130.705 93.000 132.085 93.170 ; + RECT 130.240 92.320 130.410 92.860 ; + RECT 132.380 92.320 132.550 92.860 ; + RECT 130.705 92.010 132.085 92.180 ; + RECT 130.240 91.330 130.410 91.870 ; + RECT 132.380 91.330 132.550 91.870 ; + RECT 130.705 91.020 132.085 91.190 ; + RECT 130.240 90.340 130.410 90.880 ; + RECT 132.380 90.340 132.550 90.880 ; + RECT 130.705 90.030 132.085 90.200 ; + RECT 130.240 89.350 130.410 89.890 ; + RECT 132.380 89.350 132.550 89.890 ; + RECT 130.705 89.040 132.085 89.210 ; + RECT 130.240 88.360 130.410 88.900 ; + RECT 132.380 88.360 132.550 88.900 ; + RECT 130.705 88.050 132.085 88.220 ; + RECT 130.240 87.370 130.410 87.910 ; + RECT 132.380 87.370 132.550 87.910 ; + RECT 130.705 87.060 132.085 87.230 ; + RECT 115.050 77.780 115.930 77.950 ; + RECT 114.630 76.800 114.800 77.640 ; + RECT 116.180 76.800 116.350 77.640 ; + RECT 115.050 76.490 115.930 76.660 ; + RECT 114.630 75.510 114.800 76.350 ; + RECT 116.180 75.510 116.350 76.350 ; + RECT 115.050 75.200 115.930 75.370 ; + RECT 114.630 74.220 114.800 75.060 ; + RECT 116.180 74.220 116.350 75.060 ; + RECT 115.050 73.910 115.930 74.080 ; + RECT 128.235 78.290 130.255 78.460 ; + RECT 127.770 77.960 127.940 78.150 ; + RECT 130.550 77.960 130.720 78.150 ; + RECT 128.235 77.650 130.255 77.820 ; + RECT 127.770 77.320 127.940 77.510 ; + RECT 130.550 77.320 130.720 77.510 ; + RECT 128.235 77.010 130.255 77.180 ; + RECT 127.770 76.680 127.940 76.870 ; + RECT 130.550 76.680 130.720 76.870 ; + RECT 128.235 76.370 130.255 76.540 ; + RECT 127.770 76.040 127.940 76.230 ; + RECT 130.550 76.040 130.720 76.230 ; + RECT 128.235 75.730 130.255 75.900 ; + RECT 127.770 75.400 127.940 75.590 ; + RECT 130.550 75.400 130.720 75.590 ; + RECT 128.235 75.090 130.255 75.260 ; + RECT 127.770 74.760 127.940 74.950 ; + RECT 130.550 74.760 130.720 74.950 ; + RECT 128.235 74.450 130.255 74.620 ; + RECT 127.770 74.120 127.940 74.310 ; + RECT 130.550 74.120 130.720 74.310 ; + RECT 128.235 73.810 130.255 73.980 ; + RECT 138.545 101.510 140.425 101.680 ; + RECT 138.080 98.530 138.250 101.370 ; + RECT 140.720 98.530 140.890 101.370 ; + RECT 138.545 98.220 140.425 98.390 ; + RECT 138.080 95.240 138.250 98.080 ; + RECT 140.720 95.240 140.890 98.080 ; + RECT 138.545 94.930 140.425 95.100 ; + RECT 138.080 91.950 138.250 94.790 ; + RECT 140.720 91.950 140.890 94.790 ; + RECT 138.545 91.640 140.425 91.810 ; + RECT 138.080 88.660 138.250 91.500 ; + RECT 140.720 88.660 140.890 91.500 ; + RECT 138.545 88.350 140.425 88.520 ; + RECT 138.080 85.370 138.250 88.210 ; + RECT 140.720 85.370 140.890 88.210 ; + RECT 138.545 85.060 140.425 85.230 ; + RECT 138.080 82.080 138.250 84.920 ; + RECT 140.720 82.080 140.890 84.920 ; + RECT 138.545 81.770 140.425 81.940 ; + RECT 138.080 78.790 138.250 81.630 ; + RECT 140.720 78.790 140.890 81.630 ; + RECT 138.545 78.480 140.425 78.650 ; + RECT 138.080 75.500 138.250 78.340 ; + RECT 140.720 75.500 140.890 78.340 ; + RECT 138.545 75.190 140.425 75.360 ; + RECT 138.080 72.210 138.250 75.050 ; + RECT 140.720 72.210 140.890 75.050 ; + RECT 138.545 71.900 140.425 72.070 ; + RECT 115.080 63.810 115.960 63.980 ; + RECT 114.660 62.830 114.830 63.670 ; + RECT 116.210 62.830 116.380 63.670 ; + RECT 115.080 62.520 115.960 62.690 ; + RECT 114.660 61.540 114.830 62.380 ; + RECT 116.210 61.540 116.380 62.380 ; + RECT 115.080 61.230 115.960 61.400 ; + RECT 114.660 60.250 114.830 61.090 ; + RECT 116.210 60.250 116.380 61.090 ; + RECT 115.080 59.940 115.960 60.110 ; + RECT 129.320 65.260 130.200 65.430 ; + RECT 128.900 63.280 129.070 65.120 ; + RECT 130.450 63.280 130.620 65.120 ; + RECT 129.320 62.970 130.200 63.140 ; + RECT 128.900 60.990 129.070 62.830 ; + RECT 130.450 60.990 130.620 62.830 ; + RECT 129.320 60.680 130.200 60.850 ; + RECT 128.900 58.700 129.070 60.540 ; + RECT 130.450 58.700 130.620 60.540 ; + RECT 129.320 58.390 130.200 58.560 ; + RECT 138.195 64.430 139.745 64.600 ; + RECT 137.730 64.100 137.900 64.290 ; + RECT 140.040 64.100 140.210 64.290 ; + RECT 138.195 63.790 139.745 63.960 ; + RECT 137.730 63.460 137.900 63.650 ; + RECT 140.040 63.460 140.210 63.650 ; + RECT 138.195 63.150 139.745 63.320 ; + RECT 137.730 62.820 137.900 63.010 ; + RECT 140.040 62.820 140.210 63.010 ; + RECT 138.195 62.510 139.745 62.680 ; + RECT 120.850 50.390 121.730 50.560 ; + RECT 120.430 50.170 120.600 50.340 ; + RECT 121.980 50.170 122.150 50.340 ; + RECT 120.850 49.950 121.730 50.120 ; + RECT 126.225 50.440 128.105 50.610 ; + RECT 125.760 50.110 125.930 50.300 ; + RECT 128.400 50.110 128.570 50.300 ; + RECT 126.225 49.800 128.105 49.970 ; + RECT 120.850 45.710 122.230 45.880 ; + RECT 120.430 45.470 120.600 45.640 ; + RECT 120.850 45.230 122.230 45.400 ; + RECT 122.480 44.990 122.650 45.160 ; + RECT 120.850 44.750 122.230 44.920 ; + RECT 126.225 46.300 128.105 46.470 ; + RECT 125.760 45.970 125.930 46.160 ; + RECT 128.400 45.970 128.570 46.160 ; + RECT 126.225 45.660 128.105 45.830 ; + RECT 125.760 45.330 125.930 45.520 ; + RECT 128.400 45.330 128.570 45.520 ; + RECT 126.225 45.020 128.105 45.190 ; + RECT 125.760 44.690 125.930 44.880 ; + RECT 128.400 44.690 128.570 44.880 ; + RECT 126.225 44.380 128.105 44.550 ; + RECT 119.410 38.880 122.290 39.050 ; + RECT 118.990 38.640 119.160 38.810 ; + RECT 119.410 38.400 122.290 38.570 ; + RECT 122.540 38.160 122.710 38.330 ; + RECT 119.410 37.920 122.290 38.090 ; + RECT 118.990 37.680 119.160 37.850 ; + RECT 119.410 37.440 122.290 37.610 ; + RECT 126.225 40.080 129.105 40.250 ; + RECT 125.760 39.750 125.930 39.940 ; + RECT 129.400 39.750 129.570 39.940 ; + RECT 126.225 39.440 129.105 39.610 ; + RECT 125.760 39.110 125.930 39.300 ; + RECT 129.400 39.110 129.570 39.300 ; + RECT 126.225 38.800 129.105 38.970 ; + RECT 125.760 38.470 125.930 38.660 ; + RECT 129.400 38.470 129.570 38.660 ; + RECT 126.225 38.160 129.105 38.330 ; + RECT 125.760 37.830 125.930 38.020 ; + RECT 129.400 37.830 129.570 38.020 ; + RECT 126.225 37.520 129.105 37.690 ; + RECT 125.760 37.190 125.930 37.380 ; + RECT 129.400 37.190 129.570 37.380 ; + RECT 126.225 36.880 129.105 37.050 ; + RECT 125.760 36.550 125.930 36.740 ; + RECT 129.400 36.550 129.570 36.740 ; + RECT 126.225 36.240 129.105 36.410 ; + RECT 137.995 55.870 139.875 56.040 ; + RECT 137.530 52.890 137.700 55.730 ; + RECT 140.170 52.890 140.340 55.730 ; + RECT 137.995 52.580 139.875 52.750 ; + RECT 137.530 49.600 137.700 52.440 ; + RECT 140.170 49.600 140.340 52.440 ; + RECT 137.995 49.290 139.875 49.460 ; + RECT 137.530 46.310 137.700 49.150 ; + RECT 140.170 46.310 140.340 49.150 ; + RECT 137.995 46.000 139.875 46.170 ; + RECT 137.530 43.020 137.700 45.860 ; + RECT 140.170 43.020 140.340 45.860 ; + RECT 137.995 42.710 139.875 42.880 ; + RECT 137.530 39.730 137.700 42.570 ; + RECT 140.170 39.730 140.340 42.570 ; + RECT 137.995 39.420 139.875 39.590 ; + RECT 137.530 36.440 137.700 39.280 ; + RECT 140.170 36.440 140.340 39.280 ; + RECT 137.995 36.130 139.875 36.300 ; + RECT 140.690 35.990 140.930 56.170 ; + LAYER met1 ; + RECT 125.375 142.105 146.405 144.780 ; + RECT 96.015 134.595 108.445 137.125 ; + RECT 105.915 129.020 108.445 134.595 ; + RECT 126.500 132.060 137.435 133.545 ; + RECT 126.500 129.370 127.985 132.060 ; + RECT 105.230 129.010 109.250 129.020 ; + RECT 105.230 126.730 124.730 129.010 ; + RECT 105.230 126.650 124.740 126.730 ; + RECT 105.230 124.250 109.250 126.650 ; + RECT 105.230 120.010 110.690 124.250 ; + RECT 111.400 123.900 114.330 126.650 ; + RECT 120.410 124.240 124.740 126.650 ; + RECT 123.530 123.900 124.020 123.960 ; + RECT 111.360 123.670 114.360 123.900 ; + RECT 105.230 108.880 109.250 120.010 ; + RECT 110.970 117.450 111.210 123.640 ; + RECT 111.430 120.610 114.330 120.630 ; + RECT 111.360 120.380 114.360 120.610 ; + RECT 111.430 120.210 114.330 120.380 ; + RECT 112.080 119.380 112.920 120.210 ; + RECT 112.085 117.450 112.915 119.380 ; + RECT 114.510 117.450 114.810 123.650 ; + RECT 118.160 118.660 118.780 119.220 ; + RECT 118.190 117.450 118.750 118.660 ; + RECT 110.970 116.850 118.750 117.450 ; + RECT 120.510 117.410 120.860 123.720 ; + RECT 121.020 123.670 124.020 123.900 ; + RECT 123.530 123.570 124.020 123.670 ; + RECT 121.090 123.420 121.580 123.500 ; + RECT 121.020 123.190 124.020 123.420 ; + RECT 121.090 123.110 121.580 123.190 ; + RECT 123.530 122.940 124.020 123.020 ; + RECT 121.020 122.710 124.020 122.940 ; + RECT 123.530 122.630 124.020 122.710 ; + RECT 121.090 122.460 121.580 122.540 ; + RECT 121.020 122.230 124.020 122.460 ; + RECT 121.090 122.150 121.580 122.230 ; + RECT 123.530 121.980 124.020 122.060 ; + RECT 121.020 121.750 124.020 121.980 ; + RECT 123.530 121.670 124.020 121.750 ; + RECT 121.090 121.500 121.580 121.580 ; + RECT 121.020 121.270 124.020 121.500 ; + RECT 121.090 121.190 121.580 121.270 ; + RECT 123.530 121.020 124.020 121.100 ; + RECT 121.020 120.790 124.020 121.020 ; + RECT 123.530 120.710 124.020 120.790 ; + RECT 121.090 120.540 121.580 120.620 ; + RECT 121.020 120.310 124.020 120.540 ; + RECT 121.090 120.230 121.580 120.310 ; + RECT 122.715 117.410 123.285 119.310 ; + RECT 124.160 117.410 124.510 123.270 ; + RECT 126.450 120.120 128.015 129.370 ; + RECT 143.730 129.160 146.405 142.105 ; + RECT 129.670 126.870 147.320 129.160 ; + RECT 129.660 126.800 147.320 126.870 ; + RECT 129.660 124.200 133.680 126.800 ; + RECT 130.270 123.900 130.750 123.910 ; + RECT 129.690 118.030 130.000 123.700 ; + RECT 130.195 123.670 133.195 123.900 ; + RECT 138.780 123.790 141.310 126.800 ; + RECT 143.300 124.330 147.320 126.800 ; + RECT 130.270 123.530 130.750 123.670 ; + RECT 132.670 123.260 133.150 123.340 ; + RECT 130.195 123.030 133.195 123.260 ; + RECT 132.670 122.960 133.150 123.030 ; + RECT 130.250 122.620 130.730 122.690 ; + RECT 130.195 122.390 133.195 122.620 ; + RECT 130.250 122.310 130.730 122.390 ; + RECT 132.670 121.980 133.150 122.050 ; + RECT 130.195 121.750 133.195 121.980 ; + RECT 132.670 121.670 133.150 121.750 ; + RECT 130.250 121.340 130.730 121.420 ; + RECT 130.195 121.110 133.195 121.340 ; + RECT 130.250 121.040 130.730 121.110 ; + RECT 132.670 120.700 133.150 120.780 ; + RECT 130.195 120.470 133.195 120.700 ; + RECT 132.670 120.400 133.150 120.470 ; + RECT 130.250 120.060 130.730 120.130 ; + RECT 130.195 119.830 133.195 120.060 ; + RECT 130.250 119.750 130.730 119.830 ; + RECT 132.670 119.420 133.150 119.500 ; + RECT 130.195 119.190 133.195 119.420 ; + RECT 132.670 119.120 133.150 119.190 ; + RECT 129.690 117.450 130.830 118.030 ; + RECT 129.690 117.410 130.800 117.450 ; + RECT 120.500 117.140 130.800 117.410 ; + RECT 133.350 117.140 133.660 123.700 ; + RECT 138.110 123.510 138.360 123.590 ; + RECT 138.585 123.560 141.585 123.790 ; + RECT 141.760 123.510 142.010 123.590 ; + RECT 138.110 120.550 138.380 123.510 ; + RECT 141.760 120.550 142.020 123.510 ; + RECT 138.110 118.900 138.360 120.550 ; + RECT 138.585 120.270 141.585 120.500 ; + RECT 139.730 118.900 140.880 120.270 ; + RECT 141.760 118.900 142.010 120.550 ; + RECT 142.300 119.710 147.320 124.330 ; + RECT 138.110 118.725 142.010 118.900 ; + RECT 136.015 118.175 142.015 118.725 ; + RECT 135.140 117.990 135.680 118.020 ; + RECT 136.015 117.990 136.565 118.175 ; + RECT 135.140 117.450 136.565 117.990 ; + RECT 135.140 117.420 135.680 117.450 ; + RECT 136.015 117.445 136.565 117.450 ; + RECT 110.970 116.770 118.730 116.850 ; + RECT 120.500 116.830 133.665 117.140 ; + RECT 120.500 116.810 130.140 116.830 ; + RECT 115.500 114.260 116.430 116.770 ; + RECT 140.330 115.085 140.920 118.175 ; + RECT 140.300 114.495 140.950 115.085 ; + RECT 115.500 113.320 119.430 114.260 ; + RECT 115.500 112.250 116.430 113.320 ; + RECT 111.550 110.810 116.440 112.250 ; + RECT 105.230 98.440 111.270 108.880 ; + RECT 111.550 98.880 111.800 110.810 ; + RECT 115.240 108.730 115.870 108.820 ; + RECT 111.940 108.500 115.940 108.730 ; + RECT 115.240 108.400 115.870 108.500 ; + RECT 112.010 105.440 112.640 105.550 ; + RECT 111.940 105.210 115.940 105.440 ; + RECT 112.010 105.130 112.640 105.210 ; + RECT 115.240 102.150 115.870 102.240 ; + RECT 111.940 101.920 115.940 102.150 ; + RECT 115.240 101.820 115.870 101.920 ; + RECT 112.010 98.860 112.640 98.940 ; + RECT 111.940 98.630 115.940 98.860 ; + RECT 116.100 98.680 116.440 110.810 ; + RECT 112.010 98.520 112.640 98.630 ; + RECT 105.230 97.260 109.250 98.440 ; + RECT 105.230 96.510 112.740 97.260 ; + RECT 105.230 93.430 109.250 96.510 ; + RECT 116.150 95.150 116.530 95.160 ; + RECT 118.490 95.150 119.430 113.320 ; + RECT 125.470 110.200 126.860 110.205 ; + RECT 133.180 110.200 134.770 110.205 ; + RECT 143.300 110.200 147.320 119.710 ; + RECT 125.470 106.980 147.320 110.200 ; + RECT 125.470 105.300 126.860 106.980 ; + RECT 123.850 105.080 124.260 105.160 ; + RECT 111.610 94.210 119.430 95.150 ; + RECT 105.230 82.830 111.330 93.430 ; + RECT 111.610 83.310 111.860 94.210 ; + RECT 115.240 93.130 115.950 93.240 ; + RECT 112.000 92.900 116.000 93.130 ; + RECT 115.240 92.770 115.950 92.900 ; + RECT 112.060 89.840 112.770 89.950 ; + RECT 112.000 89.610 116.000 89.840 ; + RECT 112.060 89.480 112.770 89.610 ; + RECT 115.240 86.550 115.950 86.670 ; + RECT 112.000 86.320 116.000 86.550 ; + RECT 115.240 86.200 115.950 86.320 ; + RECT 112.060 83.260 112.770 83.380 ; + RECT 112.000 83.030 116.000 83.260 ; + RECT 116.150 83.190 116.530 94.210 ; + RECT 122.310 85.390 122.630 104.880 ; + RECT 122.815 104.850 124.315 105.080 ; + RECT 123.850 104.770 124.260 104.850 ; + RECT 122.870 104.090 123.280 104.170 ; + RECT 122.815 103.860 124.315 104.090 ; + RECT 122.870 103.780 123.280 103.860 ; + RECT 123.850 103.100 124.260 103.180 ; + RECT 122.815 102.870 124.315 103.100 ; + RECT 123.850 102.790 124.260 102.870 ; + RECT 122.870 102.110 123.280 102.190 ; + RECT 122.815 101.880 124.315 102.110 ; + RECT 122.870 101.800 123.280 101.880 ; + RECT 123.850 101.120 124.260 101.200 ; + RECT 122.815 100.890 124.315 101.120 ; + RECT 123.850 100.810 124.260 100.890 ; + RECT 122.870 100.130 123.280 100.210 ; + RECT 122.815 99.900 124.315 100.130 ; + RECT 122.870 99.820 123.280 99.900 ; + RECT 123.850 99.140 124.260 99.220 ; + RECT 122.815 98.910 124.315 99.140 ; + RECT 123.850 98.830 124.260 98.910 ; + RECT 122.870 98.150 123.280 98.240 ; + RECT 122.815 97.920 124.315 98.150 ; + RECT 122.870 97.850 123.280 97.920 ; + RECT 123.850 97.160 124.260 97.240 ; + RECT 122.815 96.930 124.315 97.160 ; + RECT 123.850 96.850 124.260 96.930 ; + RECT 122.870 96.170 123.280 96.260 ; + RECT 122.815 95.940 124.315 96.170 ; + RECT 122.870 95.870 123.280 95.940 ; + RECT 123.850 95.180 124.260 95.260 ; + RECT 122.815 94.950 124.315 95.180 ; + RECT 123.850 94.870 124.260 94.950 ; + RECT 122.870 94.190 123.280 94.270 ; + RECT 122.815 93.960 124.315 94.190 ; + RECT 122.870 93.880 123.280 93.960 ; + RECT 123.850 93.200 124.260 93.280 ; + RECT 122.815 92.970 124.315 93.200 ; + RECT 123.850 92.890 124.260 92.970 ; + RECT 122.870 92.210 123.280 92.300 ; + RECT 122.815 91.980 124.315 92.210 ; + RECT 122.870 91.910 123.280 91.980 ; + RECT 123.850 91.220 124.260 91.300 ; + RECT 122.815 90.990 124.315 91.220 ; + RECT 123.850 90.910 124.260 90.990 ; + RECT 122.870 90.230 123.280 90.310 ; + RECT 122.815 90.000 124.315 90.230 ; + RECT 122.870 89.920 123.280 90.000 ; + RECT 123.850 89.240 124.260 89.320 ; + RECT 122.815 89.010 124.315 89.240 ; + RECT 123.850 88.930 124.260 89.010 ; + RECT 122.870 88.250 123.280 88.330 ; + RECT 122.815 88.020 124.315 88.250 ; + RECT 122.870 87.940 123.280 88.020 ; + RECT 123.850 87.260 124.260 87.340 ; + RECT 122.815 87.030 124.315 87.260 ; + RECT 123.850 86.950 124.260 87.030 ; + RECT 124.470 85.390 124.790 104.880 ; + RECT 125.000 86.750 126.860 105.300 ; + RECT 133.180 105.180 134.770 106.980 ; + RECT 131.640 105.080 132.170 105.160 ; + RECT 130.645 104.850 132.170 105.080 ; + RECT 130.130 85.390 130.450 104.820 ; + RECT 131.640 104.770 132.170 104.850 ; + RECT 130.680 104.090 131.210 104.170 ; + RECT 130.645 103.860 132.145 104.090 ; + RECT 130.680 103.780 131.210 103.860 ; + RECT 131.640 103.100 132.170 103.180 ; + RECT 130.645 102.870 132.170 103.100 ; + RECT 131.640 102.790 132.170 102.870 ; + RECT 130.680 102.110 131.210 102.200 ; + RECT 130.645 101.880 132.145 102.110 ; + RECT 130.680 101.810 131.210 101.880 ; + RECT 131.640 101.120 132.170 101.200 ; + RECT 130.645 100.890 132.170 101.120 ; + RECT 131.640 100.810 132.170 100.890 ; + RECT 130.680 100.130 131.210 100.220 ; + RECT 130.645 99.900 132.145 100.130 ; + RECT 130.680 99.830 131.210 99.900 ; + RECT 131.640 99.140 132.170 99.230 ; + RECT 130.645 98.910 132.170 99.140 ; + RECT 131.640 98.840 132.170 98.910 ; + RECT 130.680 98.150 131.210 98.230 ; + RECT 130.645 97.920 132.145 98.150 ; + RECT 130.680 97.840 131.210 97.920 ; + RECT 131.640 97.160 132.170 97.250 ; + RECT 130.645 96.930 132.170 97.160 ; + RECT 131.640 96.860 132.170 96.930 ; + RECT 130.680 96.170 131.210 96.250 ; + RECT 130.645 95.940 132.145 96.170 ; + RECT 130.680 95.860 131.210 95.940 ; + RECT 131.640 95.180 132.170 95.260 ; + RECT 130.645 94.950 132.170 95.180 ; + RECT 131.640 94.870 132.170 94.950 ; + RECT 130.680 94.190 131.210 94.280 ; + RECT 130.645 93.960 132.145 94.190 ; + RECT 130.680 93.890 131.210 93.960 ; + RECT 131.640 93.200 132.170 93.280 ; + RECT 130.645 92.970 132.170 93.200 ; + RECT 131.640 92.890 132.170 92.970 ; + RECT 130.680 92.210 131.210 92.300 ; + RECT 130.645 91.980 132.145 92.210 ; + RECT 130.680 91.910 131.210 91.980 ; + RECT 131.640 91.220 132.170 91.310 ; + RECT 130.645 90.990 132.170 91.220 ; + RECT 131.640 90.920 132.170 90.990 ; + RECT 130.680 90.230 131.210 90.320 ; + RECT 130.645 90.000 132.145 90.230 ; + RECT 130.680 89.930 131.210 90.000 ; + RECT 131.640 89.240 132.170 89.320 ; + RECT 130.645 89.010 132.170 89.240 ; + RECT 131.640 88.930 132.170 89.010 ; + RECT 130.680 88.250 131.210 88.340 ; + RECT 130.645 88.020 132.145 88.250 ; + RECT 130.680 87.950 131.210 88.020 ; + RECT 131.640 87.260 132.170 87.340 ; + RECT 130.645 87.030 132.170 87.260 ; + RECT 131.640 86.950 132.170 87.030 ; + RECT 132.340 85.390 132.660 104.840 ; + RECT 132.810 87.650 134.770 105.180 ; + RECT 140.330 103.670 140.920 104.725 ; + RECT 138.050 103.080 140.920 103.670 ; + RECT 132.810 86.890 134.790 87.650 ; + RECT 122.290 84.925 132.670 85.390 ; + RECT 121.135 84.330 132.670 84.925 ; + RECT 121.135 84.300 125.770 84.330 ; + RECT 121.135 84.235 125.765 84.300 ; + RECT 112.060 82.910 112.770 83.030 ; + RECT 105.230 81.330 109.250 82.830 ; + RECT 121.135 82.495 121.825 84.235 ; + RECT 105.230 80.530 112.850 81.330 ; + RECT 105.230 78.170 109.250 80.530 ; + RECT 127.710 80.450 128.380 81.875 ; + RECT 127.710 79.780 130.770 80.450 ; + RECT 115.040 79.420 115.400 79.450 ; + RECT 113.400 79.060 115.400 79.420 ; + RECT 113.400 78.170 113.760 79.060 ; + RECT 115.040 79.030 115.400 79.060 ; + RECT 105.230 73.660 114.320 78.170 ; + RECT 115.590 77.980 116.000 78.060 ; + RECT 105.230 64.310 109.250 73.660 ; + RECT 114.600 72.800 114.840 77.790 ; + RECT 114.990 77.750 116.000 77.980 ; + RECT 115.590 77.680 116.000 77.750 ; + RECT 115.000 76.690 115.410 76.760 ; + RECT 114.990 76.460 115.990 76.690 ; + RECT 115.000 76.380 115.410 76.460 ; + RECT 115.590 75.400 116.000 75.470 ; + RECT 114.990 75.170 116.000 75.400 ; + RECT 115.590 75.090 116.000 75.170 ; + RECT 114.990 74.110 115.400 74.190 ; + RECT 114.990 73.880 115.990 74.110 ; + RECT 114.990 73.810 115.400 73.880 ; + RECT 116.140 72.800 116.380 77.790 ; + RECT 127.710 73.960 127.980 79.780 ; + RECT 129.670 78.490 130.330 78.580 ; + RECT 128.175 78.260 130.330 78.490 ; + RECT 129.670 78.180 130.330 78.260 ; + RECT 128.180 77.850 128.840 77.940 ; + RECT 128.175 77.620 130.315 77.850 ; + RECT 128.180 77.540 128.840 77.620 ; + RECT 129.670 77.210 130.330 77.300 ; + RECT 128.175 76.980 130.330 77.210 ; + RECT 129.670 76.900 130.330 76.980 ; + RECT 128.180 76.570 128.840 76.650 ; + RECT 128.175 76.340 130.315 76.570 ; + RECT 128.180 76.250 128.840 76.340 ; + RECT 129.670 75.930 130.330 76.020 ; + RECT 128.175 75.700 130.330 75.930 ; + RECT 129.670 75.620 130.330 75.700 ; + RECT 128.170 75.290 128.830 75.380 ; + RECT 128.170 75.060 130.315 75.290 ; + RECT 128.170 74.980 128.830 75.060 ; + RECT 129.670 74.650 130.330 74.740 ; + RECT 128.175 74.420 130.330 74.650 ; + RECT 129.670 74.340 130.330 74.420 ; + RECT 128.180 74.010 128.840 74.100 ; + RECT 128.175 73.780 130.315 74.010 ; + RECT 130.500 73.960 130.770 79.780 ; + RECT 133.630 78.700 134.790 86.890 ; + RECT 131.030 77.540 134.790 78.700 ; + RECT 128.180 73.700 128.840 73.780 ; + RECT 131.030 73.540 132.480 77.540 ; + RECT 114.600 72.710 116.380 72.800 ; + RECT 118.525 72.710 119.255 72.740 ; + RECT 114.600 71.980 119.255 72.710 ; + RECT 138.050 72.090 138.280 103.080 ; + RECT 139.890 101.710 140.490 101.790 ; + RECT 138.485 101.480 140.490 101.710 ; + RECT 139.890 101.400 140.490 101.480 ; + RECT 138.490 98.420 139.090 98.500 ; + RECT 138.485 98.190 140.485 98.420 ; + RECT 138.490 98.110 139.090 98.190 ; + RECT 139.890 95.130 140.490 95.210 ; + RECT 138.485 94.900 140.490 95.130 ; + RECT 139.890 94.820 140.490 94.900 ; + RECT 138.490 91.840 139.090 91.930 ; + RECT 138.485 91.610 140.485 91.840 ; + RECT 138.490 91.540 139.090 91.610 ; + RECT 139.890 88.550 140.490 88.640 ; + RECT 138.485 88.320 140.490 88.550 ; + RECT 139.890 88.250 140.490 88.320 ; + RECT 138.490 85.260 139.090 85.340 ; + RECT 138.485 85.030 140.485 85.260 ; + RECT 138.490 84.950 139.090 85.030 ; + RECT 139.890 81.970 140.490 82.050 ; + RECT 138.485 81.740 140.490 81.970 ; + RECT 139.890 81.660 140.490 81.740 ; + RECT 138.490 78.680 139.090 78.760 ; + RECT 138.485 78.450 140.485 78.680 ; + RECT 138.490 78.370 139.090 78.450 ; + RECT 139.890 75.390 140.490 75.480 ; + RECT 138.485 75.160 140.490 75.390 ; + RECT 139.890 75.090 140.490 75.160 ; + RECT 138.490 72.100 139.090 72.180 ; + RECT 114.600 71.940 116.380 71.980 ; + RECT 118.525 71.950 119.255 71.980 ; + RECT 138.485 71.870 140.485 72.100 ; + RECT 140.690 72.090 140.920 103.080 ; + RECT 143.300 101.930 147.320 106.980 ; + RECT 138.490 71.790 139.090 71.870 ; + RECT 141.200 71.640 147.320 101.930 ; + RECT 115.130 69.530 124.830 69.680 ; + RECT 111.090 68.140 124.830 69.530 ; + RECT 143.300 68.900 147.320 71.640 ; + RECT 139.820 68.200 147.320 68.900 ; + RECT 111.090 68.070 116.770 68.140 ; + RECT 112.675 64.310 113.405 66.535 ; + RECT 143.300 66.435 147.320 68.200 ; + RECT 140.725 65.745 147.320 66.435 ; + RECT 114.630 65.110 120.895 65.620 ; + RECT 105.230 59.610 114.340 64.310 ; + RECT 114.630 60.130 114.870 65.110 ; + RECT 115.020 64.010 115.390 64.090 ; + RECT 115.020 63.780 116.020 64.010 ; + RECT 115.020 63.710 115.390 63.780 ; + RECT 115.650 62.720 116.020 62.800 ; + RECT 115.020 62.490 116.020 62.720 ; + RECT 115.650 62.420 116.020 62.490 ; + RECT 115.010 61.430 115.380 61.510 ; + RECT 115.010 61.200 116.020 61.430 ; + RECT 115.010 61.130 115.380 61.200 ; + RECT 115.650 60.140 116.020 60.220 ; + RECT 115.020 59.910 116.020 60.140 ; + RECT 116.160 60.130 116.430 65.110 ; + RECT 115.650 59.840 116.020 59.910 ; + RECT 105.230 56.325 109.250 59.610 ; + RECT 127.420 58.050 128.590 65.720 ; + RECT 129.860 65.460 130.260 65.550 ; + RECT 129.260 65.230 130.260 65.460 ; + RECT 105.230 56.070 125.505 56.325 ; + RECT 127.420 56.070 128.320 58.050 ; + RECT 128.860 57.380 129.110 65.220 ; + RECT 129.860 65.150 130.260 65.230 ; + RECT 129.250 63.170 129.650 63.260 ; + RECT 129.250 62.940 130.260 63.170 ; + RECT 129.250 62.860 129.650 62.940 ; + RECT 129.870 60.880 130.270 60.970 ; + RECT 129.260 60.650 130.270 60.880 ; + RECT 129.870 60.570 130.270 60.650 ; + RECT 129.250 58.590 129.650 58.680 ; + RECT 129.250 58.360 130.260 58.590 ; + RECT 129.250 58.280 129.650 58.360 ; + RECT 130.410 57.380 130.660 65.220 ; + RECT 143.300 64.880 147.320 65.745 ; + RECT 139.300 64.630 139.810 64.710 ; + RECT 138.135 64.400 139.810 64.630 ; + RECT 135.595 62.155 136.645 63.145 ; + RECT 135.625 58.375 136.615 62.155 ; + RECT 137.680 61.200 137.960 64.400 ; + RECT 139.300 64.320 139.810 64.400 ; + RECT 138.150 63.990 138.660 64.070 ; + RECT 138.135 63.760 139.805 63.990 ; + RECT 138.150 63.680 138.660 63.760 ; + RECT 139.300 63.350 139.810 63.430 ; + RECT 138.135 63.120 139.810 63.350 ; + RECT 139.300 63.040 139.810 63.120 ; + RECT 138.150 62.710 138.660 62.790 ; + RECT 138.135 62.480 139.805 62.710 ; + RECT 138.150 62.400 138.660 62.480 ; + RECT 139.990 61.200 140.270 64.400 ; + RECT 140.500 62.200 147.320 64.880 ; + RECT 137.680 60.920 140.270 61.200 ; + RECT 137.730 59.540 138.010 60.920 ; + RECT 135.625 57.385 140.385 58.375 ; + RECT 128.860 56.790 130.660 57.380 ; + RECT 105.230 55.170 128.320 56.070 ; + RECT 129.860 56.690 130.660 56.790 ; + RECT 137.480 57.330 140.380 57.385 ; + RECT 129.860 55.670 134.190 56.690 ; + RECT 105.230 54.915 125.505 55.170 ; + RECT 105.230 32.190 109.250 54.915 ; + RECT 126.270 52.860 127.010 53.540 ; + RECT 116.500 50.860 117.710 50.870 ; + RECT 118.740 50.860 119.425 52.790 ; + RECT 120.600 52.020 125.965 52.030 ; + RECT 126.300 52.020 126.980 52.860 ; + RECT 120.340 51.600 128.630 52.020 ; + RECT 116.500 49.630 120.150 50.860 ; + RECT 120.340 50.030 120.630 51.600 ; + RECT 120.790 50.590 121.200 50.680 ; + RECT 120.790 50.360 121.790 50.590 ; + RECT 120.790 50.310 121.200 50.360 ; + RECT 121.380 50.150 121.790 50.210 ; + RECT 120.790 49.920 121.790 50.150 ; + RECT 121.940 50.030 122.230 51.600 ; + RECT 125.680 49.980 125.970 51.600 ; + RECT 127.550 50.640 128.170 50.720 ; + RECT 126.165 50.410 128.170 50.640 ; + RECT 127.550 50.340 128.170 50.410 ; + RECT 126.180 50.000 126.800 50.080 ; + RECT 121.380 49.840 121.790 49.920 ; + RECT 126.165 49.770 128.165 50.000 ; + RECT 128.340 49.980 128.630 51.600 ; + RECT 129.525 50.920 130.420 52.905 ; + RECT 126.180 49.700 126.800 49.770 ; + RECT 116.500 46.180 119.280 49.630 ; + RECT 128.850 49.510 131.950 50.920 ; + RECT 123.700 47.980 124.385 49.155 ; + RECT 120.600 47.970 128.640 47.980 ; + RECT 120.370 47.540 128.640 47.970 ; + RECT 120.370 47.520 125.970 47.540 ; + RECT 116.500 44.470 120.130 46.180 ; + RECT 120.370 45.360 120.650 47.520 ; + RECT 121.820 45.910 122.250 45.990 ; + RECT 120.790 45.680 122.290 45.910 ; + RECT 121.820 45.590 122.250 45.680 ; + RECT 120.840 45.430 121.270 45.510 ; + RECT 120.790 45.200 122.290 45.430 ; + RECT 120.840 45.110 121.270 45.200 ; + RECT 121.820 44.950 122.250 45.040 ; + RECT 120.790 44.720 122.290 44.950 ; + RECT 122.430 44.880 122.720 47.520 ; + RECT 121.820 44.640 122.250 44.720 ; + RECT 125.680 44.590 125.960 47.520 ; + RECT 127.600 46.500 128.160 46.590 ; + RECT 126.165 46.270 128.165 46.500 ; + RECT 127.600 46.190 128.160 46.270 ; + RECT 126.180 45.860 126.740 45.940 ; + RECT 126.165 45.630 128.165 45.860 ; + RECT 126.180 45.540 126.740 45.630 ; + RECT 127.600 45.220 128.160 45.310 ; + RECT 126.165 44.990 128.165 45.220 ; + RECT 127.600 44.910 128.160 44.990 ; + RECT 126.180 44.580 126.740 44.660 ; + RECT 128.350 44.590 128.630 47.540 ; + RECT 130.030 46.770 131.950 49.510 ; + RECT 116.500 39.340 117.710 44.470 ; + RECT 126.165 44.350 128.165 44.580 ; + RECT 126.180 44.260 126.740 44.350 ; + RECT 128.840 44.080 131.950 46.770 ; + RECT 118.730 42.280 121.120 42.960 ; + RECT 123.625 42.280 124.500 43.780 ; + RECT 118.730 42.260 126.085 42.280 ; + RECT 118.730 41.590 129.620 42.260 ; + RECT 118.730 41.570 126.085 41.590 ; + RECT 118.730 41.415 121.120 41.570 ; + RECT 116.500 37.150 118.690 39.340 ; + RECT 118.930 37.580 119.200 41.415 ; + RECT 119.410 39.080 119.920 39.180 ; + RECT 119.350 38.850 122.350 39.080 ; + RECT 119.410 38.750 119.920 38.850 ; + RECT 121.790 38.600 122.300 38.700 ; + RECT 119.350 38.370 122.350 38.600 ; + RECT 121.790 38.270 122.300 38.370 ; + RECT 119.420 38.120 119.930 38.220 ; + RECT 119.350 37.890 122.350 38.120 ; + RECT 122.500 38.060 122.770 41.570 ; + RECT 119.420 37.790 119.930 37.890 ; + RECT 121.790 37.640 122.300 37.740 ; + RECT 119.350 37.410 122.350 37.640 ; + RECT 121.790 37.310 122.300 37.410 ; + RECT 116.500 32.190 118.160 37.150 ; + RECT 125.680 36.420 125.960 41.570 ; + RECT 128.560 40.280 129.160 40.360 ; + RECT 126.165 40.050 129.165 40.280 ; + RECT 128.560 39.960 129.160 40.050 ; + RECT 126.200 39.640 126.800 39.720 ; + RECT 126.165 39.410 129.165 39.640 ; + RECT 126.200 39.320 126.800 39.410 ; + RECT 128.560 39.000 129.160 39.090 ; + RECT 126.165 38.770 129.165 39.000 ; + RECT 128.560 38.690 129.160 38.770 ; + RECT 126.200 38.360 126.800 38.450 ; + RECT 126.165 38.130 129.165 38.360 ; + RECT 126.200 38.050 126.800 38.130 ; + RECT 128.560 37.720 129.160 37.800 ; + RECT 126.165 37.490 129.165 37.720 ; + RECT 128.560 37.400 129.160 37.490 ; + RECT 126.200 37.080 126.800 37.170 ; + RECT 126.165 36.850 129.165 37.080 ; + RECT 126.200 36.770 126.800 36.850 ; + RECT 128.560 36.440 129.160 36.530 ; + RECT 126.165 36.210 129.165 36.440 ; + RECT 129.340 36.420 129.620 41.590 ; + RECT 130.800 40.480 131.950 44.080 ; + RECT 128.560 36.130 129.160 36.210 ; + RECT 129.870 35.960 131.950 40.480 ; + RECT 133.170 39.295 134.190 55.670 ; + RECT 105.230 30.530 118.160 32.190 ; + RECT 123.180 31.985 124.260 34.260 ; + RECT 130.140 32.015 131.950 35.960 ; + RECT 133.035 34.305 134.245 39.295 ; + RECT 137.480 36.320 137.740 57.330 ; + RECT 137.950 56.070 138.520 56.170 ; + RECT 137.935 55.840 139.935 56.070 ; + RECT 137.950 55.750 138.520 55.840 ; + RECT 139.370 52.780 139.940 52.880 ; + RECT 137.935 52.550 139.940 52.780 ; + RECT 139.370 52.460 139.940 52.550 ; + RECT 137.950 49.490 138.520 49.600 ; + RECT 137.935 49.260 139.935 49.490 ; + RECT 137.950 49.180 138.520 49.260 ; + RECT 139.380 46.200 139.950 46.300 ; + RECT 137.935 45.970 139.950 46.200 ; + RECT 139.380 45.880 139.950 45.970 ; + RECT 137.950 42.910 138.520 43.010 ; + RECT 137.935 42.680 139.935 42.910 ; + RECT 137.950 42.590 138.520 42.680 ; + RECT 139.380 39.620 139.950 39.720 ; + RECT 137.935 39.390 139.950 39.620 ; + RECT 139.380 39.300 139.950 39.390 ; + RECT 137.950 36.330 138.520 36.420 ; + RECT 137.935 36.100 139.935 36.330 ; + RECT 140.120 36.320 140.380 57.330 ; + RECT 143.300 56.330 147.320 62.200 ; + RECT 137.950 36.000 138.520 36.100 ; + RECT 140.660 35.800 147.320 56.330 ; + RECT 143.300 34.295 147.320 35.800 ; + RECT 139.255 33.480 147.320 34.295 ; + RECT 143.300 32.015 147.320 33.480 ; + RECT 105.230 29.730 109.250 30.530 ; + RECT 122.895 25.485 124.305 31.985 ; + RECT 130.140 30.205 147.320 32.015 ; + RECT 143.300 29.730 147.320 30.205 ; + LAYER via ; + RECT 125.960 142.690 127.460 144.190 ; + RECT 96.530 135.110 98.030 136.610 ; + RECT 136.240 132.350 137.140 133.250 ; + RECT 118.190 118.660 118.750 119.220 ; + RECT 123.530 123.620 124.020 123.910 ; + RECT 121.090 123.160 121.580 123.450 ; + RECT 123.530 122.680 124.020 122.970 ; + RECT 121.090 122.200 121.580 122.490 ; + RECT 123.530 121.720 124.020 122.010 ; + RECT 121.090 121.240 121.580 121.530 ; + RECT 123.530 120.760 124.020 121.050 ; + RECT 121.090 120.280 121.580 120.570 ; + RECT 122.715 118.710 123.285 119.280 ; + RECT 126.450 120.150 128.015 121.715 ; + RECT 130.270 123.580 130.750 123.860 ; + RECT 132.670 123.010 133.150 123.290 ; + RECT 130.250 122.360 130.730 122.640 ; + RECT 132.670 121.720 133.150 122.000 ; + RECT 130.250 121.090 130.730 121.370 ; + RECT 132.670 120.450 133.150 120.730 ; + RECT 130.250 119.800 130.730 120.080 ; + RECT 132.670 119.170 133.150 119.450 ; + RECT 130.220 117.450 130.800 118.030 ; + RECT 140.330 114.495 140.920 115.085 ; + RECT 115.240 108.450 115.870 108.770 ; + RECT 112.010 105.180 112.640 105.500 ; + RECT 115.240 101.870 115.870 102.190 ; + RECT 112.010 98.570 112.640 98.890 ; + RECT 111.960 96.510 112.710 97.260 ; + RECT 115.240 92.820 115.950 93.190 ; + RECT 112.060 89.530 112.770 89.900 ; + RECT 115.240 86.250 115.950 86.620 ; + RECT 112.060 82.960 112.770 83.330 ; + RECT 123.850 104.820 124.260 105.110 ; + RECT 122.870 103.830 123.280 104.120 ; + RECT 123.850 102.840 124.260 103.130 ; + RECT 122.870 101.850 123.280 102.140 ; + RECT 123.850 100.860 124.260 101.150 ; + RECT 122.870 99.870 123.280 100.160 ; + RECT 123.850 98.880 124.260 99.170 ; + RECT 122.870 97.900 123.280 98.190 ; + RECT 123.850 96.900 124.260 97.190 ; + RECT 122.870 95.920 123.280 96.210 ; + RECT 123.850 94.920 124.260 95.210 ; + RECT 122.870 93.930 123.280 94.220 ; + RECT 123.850 92.940 124.260 93.230 ; + RECT 122.870 91.960 123.280 92.250 ; + RECT 123.850 90.960 124.260 91.250 ; + RECT 122.870 89.970 123.280 90.260 ; + RECT 123.850 88.980 124.260 89.270 ; + RECT 122.870 87.990 123.280 88.280 ; + RECT 123.850 87.000 124.260 87.290 ; + RECT 131.640 104.820 132.170 105.110 ; + RECT 130.680 103.830 131.210 104.120 ; + RECT 131.640 102.840 132.170 103.130 ; + RECT 130.680 101.860 131.210 102.150 ; + RECT 131.640 100.860 132.170 101.150 ; + RECT 130.680 99.880 131.210 100.170 ; + RECT 131.640 98.890 132.170 99.180 ; + RECT 130.680 97.890 131.210 98.180 ; + RECT 131.640 96.910 132.170 97.200 ; + RECT 130.680 95.910 131.210 96.200 ; + RECT 131.640 94.920 132.170 95.210 ; + RECT 130.680 93.940 131.210 94.230 ; + RECT 131.640 92.940 132.170 93.230 ; + RECT 130.680 91.960 131.210 92.250 ; + RECT 131.640 90.970 132.170 91.260 ; + RECT 130.680 89.980 131.210 90.270 ; + RECT 131.640 88.980 132.170 89.270 ; + RECT 130.680 88.000 131.210 88.290 ; + RECT 131.640 87.000 132.170 87.290 ; + RECT 140.330 104.105 140.920 104.695 ; + RECT 121.135 82.525 121.825 83.215 ; + RECT 112.020 80.530 112.820 81.330 ; + RECT 127.710 81.175 128.380 81.845 ; + RECT 115.040 79.060 115.400 79.420 ; + RECT 115.590 77.730 116.000 78.010 ; + RECT 115.000 76.430 115.410 76.710 ; + RECT 115.590 75.140 116.000 75.420 ; + RECT 114.990 73.860 115.400 74.140 ; + RECT 129.670 78.230 130.330 78.530 ; + RECT 128.180 77.590 128.840 77.890 ; + RECT 129.670 76.950 130.330 77.250 ; + RECT 128.180 76.300 128.840 76.600 ; + RECT 129.670 75.670 130.330 75.970 ; + RECT 128.170 75.030 128.830 75.330 ; + RECT 129.670 74.390 130.330 74.690 ; + RECT 128.180 73.750 128.840 74.050 ; + RECT 115.650 72.255 116.040 72.645 ; + RECT 118.525 71.980 119.255 72.710 ; + RECT 139.890 101.450 140.490 101.740 ; + RECT 138.490 98.160 139.090 98.450 ; + RECT 139.890 94.870 140.490 95.160 ; + RECT 138.490 91.590 139.090 91.880 ; + RECT 139.890 88.300 140.490 88.590 ; + RECT 138.490 85.000 139.090 85.290 ; + RECT 139.890 81.710 140.490 82.000 ; + RECT 138.490 78.420 139.090 78.710 ; + RECT 139.890 75.140 140.490 75.430 ; + RECT 138.490 71.840 139.090 72.130 ; + RECT 111.370 68.350 112.270 69.250 ; + RECT 123.260 68.140 124.800 69.680 ; + RECT 139.850 68.200 140.550 68.900 ; + RECT 112.675 65.775 113.405 66.505 ; + RECT 140.780 65.800 141.360 66.380 ; + RECT 120.355 65.110 120.865 65.620 ; + RECT 115.020 63.760 115.390 64.040 ; + RECT 115.650 62.470 116.020 62.750 ; + RECT 115.010 61.180 115.380 61.460 ; + RECT 115.650 59.890 116.020 60.170 ; + RECT 129.860 65.200 130.260 65.500 ; + RECT 129.250 62.910 129.650 63.210 ; + RECT 129.870 60.620 130.270 60.920 ; + RECT 129.250 58.330 129.650 58.630 ; + RECT 135.625 62.155 136.615 63.145 ; + RECT 139.300 64.370 139.810 64.660 ; + RECT 138.150 63.730 138.660 64.020 ; + RECT 139.300 63.090 139.810 63.380 ; + RECT 138.150 62.450 138.660 62.740 ; + RECT 137.730 59.570 138.010 59.850 ; + RECT 126.300 52.860 126.980 53.540 ; + RECT 118.825 52.195 119.335 52.705 ; + RECT 129.605 52.095 130.335 52.825 ; + RECT 121.380 49.890 121.790 50.160 ; + RECT 127.550 50.390 128.170 50.670 ; + RECT 126.180 49.750 126.800 50.030 ; + RECT 123.775 48.550 124.305 49.080 ; + RECT 118.130 46.720 118.650 47.240 ; + RECT 130.435 47.665 131.085 48.315 ; + RECT 121.820 45.640 122.250 45.940 ; + RECT 120.840 45.160 121.270 45.460 ; + RECT 121.820 44.690 122.250 44.990 ; + RECT 127.600 46.240 128.160 46.540 ; + RECT 126.180 45.590 126.740 45.890 ; + RECT 127.600 44.960 128.160 45.260 ; + RECT 126.180 44.310 126.740 44.610 ; + RECT 123.735 43.020 124.385 43.670 ; + RECT 118.935 41.625 120.065 42.755 ; + RECT 119.410 38.800 119.920 39.130 ; + RECT 121.790 38.320 122.300 38.650 ; + RECT 119.420 37.840 119.930 38.170 ; + RECT 121.790 37.360 122.300 37.690 ; + RECT 128.560 40.010 129.160 40.310 ; + RECT 126.200 39.370 126.800 39.670 ; + RECT 128.560 38.740 129.160 39.040 ; + RECT 126.200 38.100 126.800 38.400 ; + RECT 128.560 37.450 129.160 37.750 ; + RECT 126.200 36.820 126.800 37.120 ; + RECT 128.560 36.180 129.160 36.480 ; + RECT 117.145 35.320 117.775 35.950 ; + RECT 130.555 34.340 131.325 35.110 ; + RECT 123.405 33.405 124.035 34.035 ; + RECT 137.950 55.800 138.520 56.120 ; + RECT 139.370 52.510 139.940 52.830 ; + RECT 137.950 49.230 138.520 49.550 ; + RECT 139.380 45.930 139.950 46.250 ; + RECT 137.950 42.640 138.520 42.960 ; + RECT 139.380 39.350 139.950 39.670 ; + RECT 137.950 36.050 138.520 36.370 ; + RECT 133.190 34.460 134.090 35.360 ; + RECT 139.340 33.570 139.980 34.210 ; + RECT 123.150 25.740 124.050 26.640 ; + LAYER met2 ; + RECT 87.225 144.190 88.675 144.210 ; + RECT 87.200 142.690 127.490 144.190 ; + RECT 87.225 142.670 88.675 142.690 ; + RECT 86.935 136.610 88.385 136.630 ; + RECT 86.910 135.110 98.060 136.610 ; + RECT 86.935 135.090 88.385 135.110 ; + RECT 136.210 132.350 157.310 133.250 ; + RECT 123.480 123.620 124.070 123.910 ; + RECT 121.040 123.160 121.630 123.450 ; + RECT 121.070 122.490 121.630 123.160 ; + RECT 123.500 122.970 124.070 123.620 ; + RECT 123.480 122.680 124.070 122.970 ; + RECT 121.040 122.200 121.630 122.490 ; + RECT 121.070 121.530 121.630 122.200 ; + RECT 123.500 122.010 124.070 122.680 ; + RECT 130.220 122.640 130.800 123.870 ; + RECT 132.640 123.290 133.180 123.350 ; + RECT 132.620 123.010 133.200 123.290 ; + RECT 130.200 122.360 130.800 122.640 ; + RECT 123.480 121.720 124.070 122.010 ; + RECT 121.040 121.240 121.630 121.530 ; + RECT 121.070 120.570 121.630 121.240 ; + RECT 123.500 121.050 124.070 121.720 ; + RECT 123.480 120.760 124.070 121.050 ; + RECT 121.040 120.280 121.630 120.570 ; + RECT 118.190 119.220 118.750 119.250 ; + RECT 121.070 119.220 121.630 120.280 ; + RECT 123.500 119.280 124.070 120.760 ; + RECT 126.420 120.150 128.045 121.715 ; + RECT 130.220 121.370 130.800 122.360 ; + RECT 132.640 122.000 133.180 123.010 ; + RECT 132.620 121.720 133.200 122.000 ; + RECT 130.200 121.090 130.800 121.370 ; + RECT 118.190 118.660 121.630 119.220 ; + RECT 122.685 118.710 124.070 119.280 ; + RECT 118.190 118.630 118.750 118.660 ; + RECT 126.450 112.505 128.015 120.150 ; + RECT 130.220 120.080 130.800 121.090 ; + RECT 132.640 120.730 133.180 121.720 ; + RECT 132.620 120.450 133.200 120.730 ; + RECT 130.200 119.800 130.800 120.080 ; + RECT 130.220 117.420 130.800 119.800 ; + RECT 132.640 119.450 133.180 120.450 ; + RECT 132.620 119.170 133.200 119.450 ; + RECT 132.640 117.990 133.180 119.170 ; + RECT 132.640 117.450 135.710 117.990 ; + RECT 156.410 116.055 157.310 132.350 ; + RECT 156.390 115.205 157.330 116.055 ; + RECT 156.410 115.180 157.310 115.205 ; + RECT 115.190 110.300 124.405 111.010 ; + RECT 126.450 110.940 132.660 112.505 ; + RECT 115.190 108.770 115.900 110.300 ; + RECT 115.190 108.450 115.920 108.770 ; + RECT 111.960 96.480 112.710 105.570 ; + RECT 115.190 102.190 115.900 108.450 ; + RECT 123.695 107.925 124.405 110.300 ; + RECT 131.095 108.790 132.660 110.940 ; + RECT 130.640 107.925 131.230 107.950 ; + RECT 123.695 107.215 131.230 107.925 ; + RECT 123.810 105.110 124.320 105.160 ; + RECT 123.800 104.820 124.320 105.110 ; + RECT 115.190 101.870 115.920 102.190 ; + RECT 115.190 101.470 115.900 101.870 ; + RECT 115.170 93.190 115.970 93.300 ; + RECT 115.170 92.820 116.000 93.190 ; + RECT 112.020 89.900 112.820 90.010 ; + RECT 112.010 89.530 112.820 89.900 ; + RECT 112.020 83.330 112.820 89.530 ; + RECT 112.010 82.960 112.820 83.330 ; + RECT 112.020 80.500 112.820 82.960 ; + RECT 115.170 86.620 115.970 92.820 ; + RECT 115.170 86.250 116.000 86.620 ; + RECT 115.170 81.520 115.970 86.250 ; + RECT 122.760 83.380 123.420 104.320 ; + RECT 123.810 103.130 124.320 104.820 ; + RECT 123.800 102.840 124.320 103.130 ; + RECT 123.810 101.150 124.320 102.840 ; + RECT 123.800 100.860 124.320 101.150 ; + RECT 123.810 99.170 124.320 100.860 ; + RECT 123.800 98.880 124.320 99.170 ; + RECT 123.810 97.190 124.320 98.880 ; + RECT 123.800 96.900 124.320 97.190 ; + RECT 123.810 95.210 124.320 96.900 ; + RECT 123.800 94.920 124.320 95.210 ; + RECT 123.810 93.230 124.320 94.920 ; + RECT 123.800 92.940 124.320 93.230 ; + RECT 123.810 91.250 124.320 92.940 ; + RECT 123.800 90.960 124.320 91.250 ; + RECT 123.810 89.270 124.320 90.960 ; + RECT 123.800 88.980 124.320 89.270 ; + RECT 123.810 87.290 124.320 88.980 ; + RECT 123.800 87.000 124.320 87.290 ; + RECT 122.760 83.215 123.450 83.380 ; + RECT 121.105 82.525 123.450 83.215 ; + RECT 122.760 82.320 123.450 82.525 ; + RECT 122.760 81.520 123.420 82.320 ; + RECT 115.170 80.720 123.490 81.520 ; + RECT 123.810 80.315 124.320 87.000 ; + RECT 127.710 81.845 128.380 107.215 ; + RECT 130.640 104.120 131.230 107.215 ; + RECT 131.540 105.110 132.210 108.790 ; + RECT 135.625 105.735 136.615 105.935 ; + RECT 140.330 105.735 140.920 115.115 ; + RECT 135.625 105.145 140.920 105.735 ; + RECT 131.540 104.820 132.220 105.110 ; + RECT 130.630 103.830 131.260 104.120 ; + RECT 130.640 102.150 131.230 103.830 ; + RECT 131.540 103.130 132.210 104.820 ; + RECT 131.540 102.840 132.220 103.130 ; + RECT 130.630 101.860 131.260 102.150 ; + RECT 130.640 100.170 131.230 101.860 ; + RECT 131.540 101.150 132.210 102.840 ; + RECT 131.540 100.860 132.220 101.150 ; + RECT 130.630 99.880 131.260 100.170 ; + RECT 130.640 98.180 131.230 99.880 ; + RECT 131.540 99.180 132.210 100.860 ; + RECT 131.540 98.890 132.220 99.180 ; + RECT 130.630 97.890 131.260 98.180 ; + RECT 130.640 96.200 131.230 97.890 ; + RECT 131.540 97.200 132.210 98.890 ; + RECT 131.540 96.910 132.220 97.200 ; + RECT 130.630 95.910 131.260 96.200 ; + RECT 130.640 94.230 131.230 95.910 ; + RECT 131.540 95.210 132.210 96.910 ; + RECT 131.540 94.920 132.220 95.210 ; + RECT 130.630 93.940 131.260 94.230 ; + RECT 130.640 92.250 131.230 93.940 ; + RECT 131.540 93.230 132.210 94.920 ; + RECT 131.540 92.940 132.220 93.230 ; + RECT 130.630 91.960 131.260 92.250 ; + RECT 130.640 90.270 131.230 91.960 ; + RECT 131.540 91.260 132.210 92.940 ; + RECT 131.540 90.970 132.220 91.260 ; + RECT 130.630 89.980 131.260 90.270 ; + RECT 130.640 88.290 131.230 89.980 ; + RECT 131.540 89.270 132.210 90.970 ; + RECT 131.540 88.980 132.220 89.270 ; + RECT 130.630 88.000 131.260 88.290 ; + RECT 130.640 87.880 131.230 88.000 ; + RECT 131.540 87.290 132.210 88.980 ; + RECT 131.540 87.000 132.220 87.290 ; + RECT 131.540 86.965 132.210 87.000 ; + RECT 127.680 81.175 128.410 81.845 ; + RECT 123.810 79.805 134.105 80.315 ; + RECT 115.010 79.060 115.430 79.420 ; + RECT 115.040 76.710 115.400 79.060 ; + RECT 115.540 77.730 116.050 78.010 ; + RECT 128.190 77.890 128.920 77.940 ; + RECT 114.950 76.430 115.460 76.710 ; + RECT 115.040 74.140 115.400 76.430 ; + RECT 115.650 75.420 116.040 77.730 ; + RECT 128.130 77.590 128.920 77.890 ; + RECT 128.190 76.600 128.920 77.590 ; + RECT 128.130 76.300 128.920 76.600 ; + RECT 115.540 75.140 116.050 75.420 ; + RECT 128.190 75.330 128.920 76.300 ; + RECT 114.940 73.860 115.450 74.140 ; + RECT 115.040 73.850 115.400 73.860 ; + RECT 115.650 72.225 116.040 75.140 ; + RECT 128.120 75.030 128.920 75.330 ; + RECT 128.190 74.050 128.920 75.030 ; + RECT 129.610 74.240 130.380 79.805 ; + RECT 128.130 73.750 128.920 74.050 ; + RECT 128.190 72.710 128.920 73.750 ; + RECT 118.495 71.980 128.920 72.710 ; + RECT 90.170 68.350 112.300 69.250 ; + RECT 90.170 64.285 91.070 68.350 ; + RECT 112.645 66.370 114.115 66.505 ; + RECT 112.645 65.910 115.430 66.370 ; + RECT 112.645 65.775 114.115 65.910 ; + RECT 90.150 63.435 91.090 64.285 ; + RECT 114.970 64.040 115.430 65.910 ; + RECT 120.210 64.960 121.015 71.980 ; + RECT 133.595 71.545 134.105 79.805 ; + RECT 135.625 73.460 136.615 105.145 ; + RECT 140.330 104.695 140.920 105.145 ; + RECT 140.300 104.105 140.950 104.695 ; + RECT 139.850 101.740 140.550 101.800 ; + RECT 139.840 101.450 140.550 101.740 ; + RECT 138.450 98.450 139.150 98.550 ; + RECT 138.440 98.160 139.150 98.450 ; + RECT 138.450 91.880 139.150 98.160 ; + RECT 139.850 95.160 140.550 101.450 ; + RECT 139.840 94.870 140.550 95.160 ; + RECT 138.440 91.590 139.150 91.880 ; + RECT 138.450 85.290 139.150 91.590 ; + RECT 139.850 88.590 140.550 94.870 ; + RECT 139.840 88.300 140.550 88.590 ; + RECT 138.440 85.000 139.150 85.290 ; + RECT 138.450 78.710 139.150 85.000 ; + RECT 139.850 82.000 140.550 88.300 ; + RECT 139.840 81.710 140.550 82.000 ; + RECT 138.440 78.420 139.150 78.710 ; + RECT 138.450 72.130 139.150 78.420 ; + RECT 139.850 75.430 140.550 81.710 ; + RECT 139.840 75.140 140.550 75.430 ; + RECT 138.440 71.840 139.150 72.130 ; + RECT 133.585 70.975 134.105 71.545 ; + RECT 133.585 70.040 134.095 70.975 ; + RECT 138.450 70.040 139.150 71.840 ; + RECT 123.260 69.680 124.800 69.710 ; + RECT 123.260 69.650 129.670 69.680 ; + RECT 133.580 69.650 139.150 70.040 ; + RECT 123.260 68.205 139.150 69.650 ; + RECT 123.260 68.140 129.670 68.205 ; + RECT 133.580 68.200 139.150 68.205 ; + RECT 139.850 68.170 140.550 75.140 ; + RECT 123.260 68.110 124.800 68.140 ; + RECT 114.970 63.760 115.440 64.040 ; + RECT 90.170 63.410 91.070 63.435 ; + RECT 114.970 61.460 115.430 63.760 ; + RECT 129.190 63.210 129.650 68.140 ; + RECT 129.860 66.410 138.700 66.860 ; + RECT 129.860 65.500 130.310 66.410 ; + RECT 129.810 65.200 130.310 65.500 ; + RECT 129.190 62.910 129.700 63.210 ; + RECT 115.620 62.750 116.070 62.780 ; + RECT 115.600 62.470 116.070 62.750 ; + RECT 114.960 61.180 115.430 61.460 ; + RECT 114.970 61.150 115.430 61.180 ; + RECT 115.620 60.170 116.070 62.470 ; + RECT 115.600 59.890 116.070 60.170 ; + RECT 115.620 58.110 116.070 59.890 ; + RECT 129.190 58.630 129.650 62.910 ; + RECT 129.860 60.920 130.310 65.200 ; + RECT 135.625 64.590 136.615 64.615 ; + RECT 129.820 60.620 130.320 60.920 ; + RECT 133.045 60.695 134.175 64.580 ; + RECT 135.605 63.650 136.635 64.590 ; + RECT 138.090 64.020 138.700 66.410 ; + RECT 139.280 65.800 141.390 66.380 ; + RECT 139.280 64.660 139.860 65.800 ; + RECT 139.250 64.370 139.860 64.660 ; + RECT 138.090 63.730 138.710 64.020 ; + RECT 135.625 62.125 136.615 63.650 ; + RECT 138.090 62.740 138.700 63.730 ; + RECT 139.280 63.380 139.860 64.370 ; + RECT 139.250 63.090 139.860 63.380 ; + RECT 139.280 63.050 139.860 63.090 ; + RECT 138.090 62.450 138.710 62.740 ; + RECT 138.090 62.430 138.700 62.450 ; + RECT 129.860 60.520 130.310 60.620 ; + RECT 133.300 60.020 133.925 60.695 ; + RECT 133.300 59.395 138.185 60.020 ; + RECT 129.190 58.330 129.700 58.630 ; + RECT 129.190 58.290 129.650 58.330 ; + RECT 115.620 56.845 126.980 58.110 ; + RECT 116.000 56.820 126.980 56.845 ; + RECT 126.290 54.760 126.970 56.820 ; + RECT 126.290 54.170 131.830 54.760 ; + RECT 126.290 54.155 134.430 54.170 ; + RECT 126.290 54.080 136.425 54.155 ; + RECT 126.300 52.830 126.980 54.080 ; + RECT 131.150 53.490 136.425 54.080 ; + RECT 133.885 53.465 136.425 53.490 ; + RECT 118.795 52.195 121.250 52.705 ; + RECT 120.740 50.360 121.250 52.195 ; + RECT 127.500 52.095 130.365 52.825 ; + RECT 127.500 50.390 128.230 52.095 ; + RECT 121.330 49.080 121.860 50.160 ; + RECT 126.120 49.080 126.850 50.040 ; + RECT 121.330 48.550 126.850 49.080 ; + RECT 127.560 47.665 131.115 48.315 ; + RECT 118.100 46.720 121.310 47.240 ; + RECT 120.790 45.460 121.310 46.720 ; + RECT 127.560 46.540 128.210 47.665 ; + RECT 127.550 46.240 128.210 46.540 ; + RECT 121.800 45.940 122.300 45.960 ; + RECT 121.770 45.640 122.300 45.940 ; + RECT 120.790 45.160 121.320 45.460 ; + RECT 120.790 45.130 121.310 45.160 ; + RECT 121.800 44.990 122.300 45.640 ; + RECT 121.770 44.690 122.300 44.990 ; + RECT 121.800 43.670 122.300 44.690 ; + RECT 126.130 45.890 126.780 45.930 ; + RECT 126.130 45.590 126.790 45.890 ; + RECT 126.130 44.610 126.780 45.590 ; + RECT 127.560 45.260 128.210 46.240 ; + RECT 127.550 44.960 128.210 45.260 ; + RECT 127.560 44.940 128.210 44.960 ; + RECT 126.130 44.310 126.790 44.610 ; + RECT 123.735 43.670 124.385 43.700 ; + RECT 126.130 43.670 126.780 44.310 ; + RECT 121.795 43.020 126.780 43.670 ; + RECT 121.800 43.000 122.300 43.020 ; + RECT 123.735 42.990 124.385 43.020 ; + RECT 113.275 42.730 120.095 42.755 ; + RECT 113.255 41.650 120.095 42.730 ; + RECT 113.275 41.625 120.095 41.650 ; + RECT 119.350 35.950 119.980 39.180 ; + RECT 117.115 35.320 119.980 35.950 ; + RECT 121.720 34.960 122.350 38.660 ; + RECT 126.150 34.960 126.860 39.680 ; + RECT 121.720 34.330 126.860 34.960 ; + RECT 128.450 35.110 129.220 40.370 ; + RECT 128.450 34.340 131.355 35.110 ; + RECT 123.405 33.375 124.035 34.330 ; + RECT 123.150 21.225 124.050 26.670 ; + RECT 133.190 21.955 134.090 35.390 ; + RECT 135.735 34.940 136.425 53.465 ; + RECT 137.880 34.940 138.570 56.180 ; + RECT 139.340 52.830 139.980 52.920 ; + RECT 139.320 52.510 139.990 52.830 ; + RECT 139.340 46.250 139.980 52.510 ; + RECT 139.330 45.930 140.000 46.250 ; + RECT 139.340 39.670 139.980 45.930 ; + RECT 139.330 39.350 140.000 39.670 ; + RECT 135.735 34.250 138.570 34.940 ; + RECT 139.340 34.210 139.980 39.350 ; + RECT 139.310 33.570 140.010 34.210 ; + RECT 123.130 20.375 124.070 21.225 ; + RECT 133.170 21.105 134.110 21.955 ; + RECT 133.190 21.080 134.090 21.105 ; + RECT 123.150 20.350 124.050 20.375 ; + LAYER via2 ; + RECT 87.225 142.715 88.675 144.165 ; + RECT 86.935 135.135 88.385 136.585 ; + RECT 156.435 115.205 157.285 116.055 ; + RECT 90.195 63.435 91.045 64.285 ; + RECT 135.625 73.505 136.615 74.495 ; + RECT 133.045 63.405 134.175 64.535 ; + RECT 135.650 63.650 136.590 64.590 ; + RECT 113.300 41.650 114.380 42.730 ; + RECT 123.175 20.375 124.025 21.225 ; + RECT 133.215 21.105 134.065 21.955 ; + LAYER met3 ; + RECT 28.925 144.190 30.415 144.215 ; + RECT 28.920 142.690 88.700 144.190 ; + RECT 28.925 142.665 30.415 142.690 ; + RECT 78.465 136.610 79.955 136.635 ; + RECT 78.460 135.110 88.410 136.610 ; + RECT 78.465 135.085 79.955 135.110 ; + RECT 135.600 73.480 136.640 74.520 ; + RECT 125.525 67.285 134.175 68.415 ; + RECT 90.170 37.245 91.070 64.310 ; + RECT 125.525 61.675 126.655 67.285 ; + RECT 133.045 64.560 134.175 67.285 ; + RECT 133.020 63.380 134.200 64.560 ; + RECT 135.625 63.625 136.615 73.480 ; + RECT 156.410 69.220 157.310 116.080 ; + RECT 156.415 69.195 157.305 69.220 ; + RECT 119.555 60.545 126.655 61.675 ; + RECT 119.555 55.775 120.685 60.545 ; + RECT 113.275 54.645 120.685 55.775 ; + RECT 113.275 41.625 114.405 54.645 ; + RECT 90.145 36.355 91.095 37.245 ; + RECT 90.170 36.350 91.070 36.355 ; + RECT 123.150 13.975 124.050 21.250 ; + RECT 133.190 15.435 134.090 21.980 ; + RECT 133.165 14.545 134.115 15.435 ; + RECT 133.190 14.540 134.090 14.545 ; + RECT 123.125 13.085 124.075 13.975 ; + RECT 123.150 13.080 124.050 13.085 ; + LAYER via3 ; + RECT 28.925 142.695 30.415 144.185 ; + RECT 78.465 135.115 79.955 136.605 ; + RECT 156.415 69.225 157.305 70.115 ; + RECT 90.175 36.355 91.065 37.245 ; + RECT 133.195 14.545 134.085 15.435 ; + RECT 123.155 13.085 124.045 13.975 ; + LAYER met4 ; + RECT 3.990 224.110 4.290 224.760 ; + RECT 7.670 224.110 7.970 224.760 ; + RECT 11.350 224.110 11.650 224.760 ; + RECT 15.030 224.110 15.330 224.760 ; + RECT 18.710 224.110 19.010 224.760 ; + RECT 22.390 224.110 22.690 224.760 ; + RECT 26.070 224.110 26.370 224.760 ; + RECT 29.750 224.110 30.050 224.760 ; + RECT 33.430 224.110 33.730 224.760 ; + RECT 37.110 224.110 37.410 224.760 ; + RECT 40.790 224.110 41.090 224.760 ; + RECT 44.470 224.110 44.770 224.760 ; + RECT 48.150 224.110 48.450 224.760 ; + RECT 51.830 224.110 52.130 224.760 ; + RECT 55.510 224.110 55.810 224.760 ; + RECT 59.190 224.110 59.490 224.760 ; + RECT 62.870 224.110 63.170 224.760 ; + RECT 66.550 224.110 66.850 224.760 ; + RECT 70.230 224.110 70.530 224.760 ; + RECT 73.910 224.110 74.210 224.760 ; + RECT 77.590 224.110 77.890 224.760 ; + RECT 81.270 224.110 81.570 224.760 ; + RECT 84.950 224.110 85.250 224.760 ; + RECT 88.630 224.110 88.930 224.760 ; + RECT 3.100 222.640 89.260 224.110 ; + RECT 49.000 220.760 50.500 222.640 ; + RECT 2.500 142.690 30.420 144.190 ; + RECT 50.500 135.110 79.960 136.610 ; + RECT 90.170 1.000 91.070 37.250 ; + RECT 123.150 6.860 124.050 13.980 ; + RECT 133.190 10.200 134.090 15.440 ; + RECT 133.190 9.300 135.230 10.200 ; + RECT 112.250 5.960 124.050 6.860 ; + RECT 112.250 1.000 113.150 5.960 ; + RECT 134.330 1.000 135.230 9.300 ; + RECT 156.410 1.000 157.310 70.120 ; + END +END tt_um_alfiero88_CurrentTrigger +END LIBRARY + diff --git a/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.v b/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.v new file mode 100644 index 0000000..5227ed7 --- /dev/null +++ b/projects/tt_um_alfiero88_CurrentTrigger/tt_um_alfiero88_CurrentTrigger.v @@ -0,0 +1,60 @@ +/* + * Copyright (c) 2024 Your Name + * SPDX-License-Identifier: Apache-2.0 + */ + +`default_nettype none + +module tt_um_alfiero88_CurrentTrigger ( + input wire VGND, + input wire VPWR, + input wire [7:0] ui_in, // Dedicated inputs + output wire [7:0] uo_out, // Dedicated outputs + input wire [7:0] uio_in, // IOs: Input path + output wire [7:0] uio_out, // IOs: Output path + output wire [7:0] uio_oe, // IOs: Enable path (active high: 0=input, 1=output) + inout wire [7:0] ua, // Analog pins, only ua[5:0] can be used + input wire ena, // always 1 when the design is powered, so you can ignore it + input wire clk, // clock + input wire rst_n // reset_n - low to reset +); + + + CurrentTrigger CurrentTrigger ( + .vdd(VPWR), + .vss(VGND), + .vref(ua[0]), + .vgf(ua[1]), + .out(ua[2]), + .in(ua[3]) + ); + + // ties for the output enables + assign uo_out[0] = VGND; + assign uo_out[1] = VGND; + assign uo_out[2] = VGND; + assign uo_out[3] = VGND; + assign uo_out[4] = VGND; + assign uo_out[5] = VGND; + assign uo_out[6] = VGND; + assign uo_out[7] = VGND; + + assign uio_out[0] = VGND; + assign uio_out[1] = VGND; + assign uio_out[2] = VGND; + assign uio_out[3] = VGND; + assign uio_out[4] = VGND; + assign uio_out[5] = VGND; + assign uio_out[6] = VGND; + assign uio_out[7] = VGND; + + assign uio_oe[0] = VGND; + assign uio_oe[1] = VGND; + assign uio_oe[2] = VGND; + assign uio_oe[3] = VGND; + assign uio_oe[4] = VGND; + assign uio_oe[5] = VGND; + assign uio_oe[6] = VGND; + assign uio_oe[7] = VGND; + +endmodule